-- dump date 20170509_025301 -- class Genbank::CDS -- table cds_translation -- id translation PEX2_032630 MEETIAQLRRQLEEERQAREEAERRQEEERQAREDERKAREEAE RRQGEAERHVQPNTLFTLLDRCHNSLSQAIGVETDATLTTQGDATNPVNRLYPKRIVP WLDFPRLQEQVWRKFDRTAAFTSRPLFPSDTQIDYIVTNIQNRPIYSEASLRNFERDT VDNFVEMIIKALRDDEVFQHEFGIQGQVTFYDRANPSETSLKNSLEQIDLQDVRTPQR LANTRPGRGRGRGRGAAQGKKRGGTARRRNRRADQFCVHLMADERKIPVYAVEFKAPH KVTIPELVAGLHQMDLAHDVINQEGETFEFYATCLVAAVVTQIFSYMIDSGVQYGYIC TGEAFVFLHIPEDDPTIVQYFMCIPNQDVQADDELRLHRTAIGQVLAFTLQALAAEAP SQEWHDSAHDKLKTWEVEYLDVLRKIPQTLRKDPRASNYRPSHWKLEPKTHNTRSRTR CKPNISTPNHSSTEGSGSDKESHSPSIAAAARSRSSRGRGNNRQSTKESESTRAGQDN KQTSRKDRQSSQLYCTIACIRGIVNREPLDKECPNWKLHGGQRHSMGPQEFTRQLHRQ LARNRNHGFEQLHVCGRTGYLVKATLLSYGYTVVIKATTMEKQHRLQAEANNYRHLRS LQGNQIPVCLGTFTPRVSYWYHGELMAQMMILSWSGKRLQHVINDENSRFFQQERDKA LTVLRSHGVVHGDSEWRNMLWDDLGVRLFVIDLEEVKWLKRPRALEPTFGNMRHDHHV GMGKSRKKPLSSSTAVCS PEX2_032640 MPAKELLRNNHRFSEFDLEGRVYAITGGGRGLGLSMAEALIEAG AKVYCLDRLESPHPDFLVAKKRAEDDYGSSLEYIRIDVRNNTEVHNAFAAIAAQGKRL DGMIAAAGINHLQSALEHSQQALDDVMSINYHGVFNSATAAARQMFKYQQKGSILLVA SMSGLIANKGMTSPVYNSSKAAVIQLGRSLAMEWGRHGIRVNSLCPGHIITPMVDEVF RQNPAARATWEAENMLGRLAMPEEFRGTALFCLSDASSFMTGSTLLIDGGHTAW PEX2_032650 MDRHVVLDVVDLVGDFVGDELFIIEGDSLLLECFSNEKLDFNPG FQVLHATYLVEKLLQKLQQRKCFFEVVFFDENASLCIPKGVHRDLHARYLLAREAIIQ HLISVRVHPSRFFQALRFDSFQSDEFKAHLISSGAYLFMCHDGAFTEQNNDDAASDLD NSDSESDSDTSDLEDDDQKGSFVDKRGEISRFKLRAMIYWFVVHGYNLALLNSLEFRD TKVMAMVIESSIKTNPLSTGPETDGPNQLWNSLSQLEIEDSQDQAEDALEHDFNESSN QAQTDKLLEQVLLEKPELSQRQCLVVATLSSMLLGGVADNLENKIGAQSMLLHIVLLQ NTQLSDRSIQTTAPKNLKFFNEFVKTAQALLSSKIWEKSMRDHNLPCDLSDVVDGTLF FEVQRIVENLGIQTVISSTTQSAFNTLACLVDRICDTTLQCEAIGGAGAASEVTTHRS ISKPHGFEKVLPFSNAVFDKLLKPVHLAIDESADAKNDSQVFKEFKEDSHWHSSRPLD QKKVILTPQEVERNHKRNQRFMAEMRDYAASLSGSQPEPIVVESSSGSRQKSHQNPSA NREVGTHSTASKSDKKSNSKSGKPSTKEMAAAFVHQKAMEVTQKQRQKWKNMYNDEFA LIGDLVSRFTRLNGYLISLPKDSRQVLEPEILTCMIDTLLRLLFVEHTSVQSKKHILV VTRIWEIVTCLVKIKQGISSDIVAYVSTACQILGLPAAQLHADSEQKLSFPSFKIPKA APSMSIEMSSVEFQLLHGGPFMERSIDSLPDSRTPDFAPDRWQREVLDQIDAKKSAFI VAPTSAGKTFISFYAMRQILKEDNDGILVYVAPTKALVNQIAAEVQARFSKSFPAKTS GKSVWAIHTRDYRINNPQGCQILITVPQILQIMLLNPSNASAWTPRLRRIIFDEIHCI GQAEDGVVWEQLLLMSPCPIIALSATVGNPQEFYNWLDFAQRTNGFELKMIQHQHRYS DLRKYVYQPHGDFAFKGFASTPSLARLGLDGSDDMTFVHPVTSLLDRTRSIPDDLDFE PRDCFTLWKAMKDLQTKQFPVDPSLDPTVFFSNIVIQKVHVIEWQRQLKMLLGQWMKD QESPFEKLLRNLDHPTGFVVEKEKDLSKQVSRMNGLSDTLSGNLVDTTLPLISSLHAQ NALPALFFNYDRGRCEDICQELLTQLQNAETKWKEESPVWKSKIAKWEVWKTSKLSAK KKLERATKKKTSGNADEEPTSKAEQAKESASTDFGWLDLFDPKRPVDGFHLADFKKVP ASEFLVYSKQLQWRSVPQWLIDALERGIGVHHAGMNRKYRQVCEILFRKGFLRVVIAT GTLALGINMPCKTVVFSGDSIFLTALNFRQAAGRAGRRGFDVLGNVVFQQVPPSKVQR LMSSRLPSLSGHFPITTSLVLRLFILLHGSKQAPSAVKSINSILSSSRMHLGGPEMKQ TVLHHLRFSIEYLRRNNLLSGTGMALNFAGCISHLYYTENSSFAFHALLNSGYLQDLC QDIETKPKRTVHTLMLVMAHLFGRIPLRASTLEWFQNAERKPSSIVALPPLPRRAASA LRAQNKQVLDIYTGYVSTFAKQHIKEPDCLLPFSGIKCGGESTSEKLGFSQFDHISPS IVSPFFALSGNGDQCNTIFELSQMVRSGVWIEDSVIPYVAADPNENAVPLNAYLYDFF KHGNVSQLETANRIRRGDIWFVLNDFSMTLATIKTSIEGFLKPGGNIGADMLDVTGGG DDYENLVDDKAVDQIEAENAGKLDVKLDNKQPIPAASLPTSRPRVKKVVMDSWEDEMD DEDDESEDEAISAAKDTAVTSKTTQEKPVDNSHRSMFLVAKAFKELHNEFDTKFKAMW A PEX2_032660 MANMEHKVNTYWKNVLTIVPIVGAGVATMIYLLRLYCRRMKAVG LQLEDYLMGVGLIISFAVTAFVVDTAFNGVGLPIASLPKHERQRVQFGSWMIQKFWAP SMAFVKISIVIFIKRLFGSIRAYVVISYCLVGFIATWALAALLTNIFQCTPVQYYYNK NLKGHCMSGQVQFFQTMGSIALIEDIIILCLPIPVFWKLQINTRQKIALILVFSLGGL VCIFSLMRLIEFREFQLTDLAASSAKESIWTCLELDVAIICGCLPFLNPLVQGVRSKV RSEASKYHSQPSTGSNLHLRTLRGNKGEFRTLNSDCGASTHSDSHNAMTTASQKSSDM ENNTQVVDGRSNNIMAS PEX2_032670 MSKLSGLVLLALISSTVAKHCTLDALNATVGGRVQILTPFSLPC FSNYNGNPVARDNAACAAIQANYSDPWLRTNSPNGYMNNQDEMWASDPSDQCLLDSSN PMDSLAFVNATCRQGNLPSHYLEVKNKKDVIEAFRFSKCSGKKVVIKNSGHDYLTRSS GRDTLSLWTRNLRSMHYSADFAPHGSKKTARRYQAITVGAGVNFDEAYEFAQQNNVTI LGGYSPTVGVSGGWIQNGGHSILSPVYGLGVDRVLEFKIVTPDGVYRTANEFQNHDLF WALRGGGGGTFGVVLESTHRVEPAIRFVAANIKFPTNSTNMLPFMEIVVNNTLKWGEE GWGGHITGNTLVNVSPFLSVKEAEVSLASVITYAKANGGSATIEEFTSWYPFYEKYVK TSSVAVGVTRFPGSRLIPRSTFETVEGRTNLMTFFATIQSMGQTPYIPVVGPVLYNYT EGSTSASPAWREAIWELGSGASWEWNATISTRIQKIQSIQSMTAIIEGITPGGGAYSN EANPFTIDWIEAWWGDNYEKLLSVKHKYDPKGLLSCWKCVGWKESQVADSSFAALL PEX2_032680 MADLRPSAAANNASSTSSSTSSNPQFTPVSYASDEDNPVPGPAK PTTGPLRLQTNFDDHQDVSDPLSDFEGDGNYNTSGELEGKLPRGGLGPKYTPEEENEV VRRFDRRLVPFLALLYLLSFLDRSNIGNAKIAGLKDDLQLSSSQYEWLLTAFYITYIL FEWMTLMYRVVPPHIYISLCVCGWGLVASFQCLATSFGGLVVLRALLGITEAAFGPGV PFYLSLFYRRHELAFRNGLFISAAPLATSFASSLAYLIVRFSSDGPISPWRTLFLVEG FPSVIVAVFAWFLIPDSPGRARFLSRRQRVVAQQRLEESTADYQPSHGSRFNWREIWK TASDPKAYMAAFMFFSCNVAFSSMPVFLPTIIKDMGYSSLQAQALSAPPYLVAFVVVL LTAYASDRSRSRSPYLIAHALISSLAYLAIAATGYFHSHLSPWLHTFIRYICVYPATA GFFSAITLIITWSMDNRVEKEGKGASIAILNIIGQCGPLLGTRLYPETDGPWYIRGMA TCSFFMVVVAVLAVGLRILLQRMNRAAAKTTYTIEQAGPVDQGEERDVLMGGGRRDDL EHSTGDRRLVYII PEX2_032690 MPPFSHPKHRETKRVVSRGVRAKEDSLYLKWSVVMNPSELLKEL VGNREHARALFHGLRRQVKSIRHRSQSYDDGQVTIYDRVLLRLYDEGHTMQHYGLLEF YLAEYLGTKNSHSEGENNSVIAAHFAAQHILDNGPISEIPIITADSVEGTTEDVQTSK SSEISGASKSQHVDKLIQVYQKAKADYYILEVTESHRERTNSVRFLRDTAENLLRYLM SADKDHDLIPEIEDIIQVSQTHANQLAGGRKRKFEHMDNDSRGSLSPNPYKPYGDQRG RRNRRDRYVPDSVTWDHSHKGGWDHSHVKRRIGWDSRALDSYRP PEX2_032700 MEKLPIHSKEGYGDTLEPNNPNRRARPSTTTYLCRIGVIALFFI GYILLLRPSSPRCTGIAPKTESYRAQAQEEYNNYLQLGRFDSDTHQTLEQTKIPLEAH IMSKCPDAQDCLQKLVLPAMERISDKVDFKLSFIASVSKDSEEIECKHGPGECIGDML MLCAANLPFPPTADEASLPSQYPRTPIIRSLGFANCLINDFARIPDREFVHHCAMEHG IDFDALNKCASQQNDDPNDGNDGGPPLSGIALLRESAMHGEQLGVKISCTVRLDDVVW CIRDSDEWKNCAQNGEGSQPSALVDQVEKLWKERN PEX2_032710 MASVNSKSSKKDEDEEREIMHDDLIDDEEHEDDSSELNAIQQEC LAHNQQLEDFLSPMSLDEAVLYKLARRFSTTYRNLALTSDQQFLPTPVTQLPTGRETG RYLAIDVGGSNLRVAFIELLGEAVDSDVRPIDATERSRDTIRKAQRQRVKRTLERAWP IQEHLKMDKAEDLFSWIGDCIAEVVAESLSSDATKKDIPAELDMGITFSFPIMQESLA EATLMPMGKGFAISSNLNLGNILLNGYEKHTRRPDDEDEPSTKRRKLFALPKLKIQAI TNDTVATLASLAYKVKSLPNSRVAMGIIVGTGCNATIPMKLSALHESKAKSVNAMEPS AVETIVNTEWTISGAAPPLKELNVTTKWDIELDQACARPGFQPFEYMTGGRYIGELIR LILFDYLTTVAELSKRSLPANLIQEYALTTTFISDLVAKSRSDSDLAKALNKSLPPPE SSDWRWDARAAGAFRKIARAVQRRSAGLIAAAVVGLLACAREIELKEDSNSSSPENPA SPQSNGDAITEFVGAPDAAAASLNSAAVAANHAAQEHVVPVLDPTPIDWQSGPEELVV AYTGGIIQHYPQFKEMCQQYIDRLIMRTGPQRGGKSVFLREASDGGVIGAGVLAGMVV RK PEX2_032720 MTVKGLGPLSFRNKTLSSRLHVTGIRSLSTTLFRASEAQGRSVQ FKSPSQANTHCFGTIDSQSHQNTESKQGESNQTSEKSSNQDNNRRTLPPTVKTVSQAD AELRERLEQMSGAGGACGIEYEDGKPNAMKRGVRNNMFRLI PEX2_032730 MASVAYYELYRGSSLGLSLTDTLDDLINEGRIEPQLAMKILGTF DKIVTEVLADKVRARLTFKVTHFTFKALAQWAMGHLDTYRFCDEVWTFLIKDVSFKLD NQTTVSADKVKIVSCNSKRPGEVTLPWLRAENRTPVKKESTPRKRVKTEPSPDHNLTP KNPRVSPPRRDFFRSSQSPPTSPIRRCPSEEFLIEGIQHDDGWVMVEDEFYAVAQAFT QHLHHAEYLRRRKQVKADNAAGIGEIERPTDGRTPLPNEVERKRETEALRGRQKAGLA QIGHGGVDEKDEAEDDERWAGTHLHDLMTSPRKTRSLAGVHAPKSSTRAAAGFGQAPT LRTGRARVSSIGSVTATSRAPEVSCIELDEETASGSDDDDLDLETNPVVLPPPRRTND TPRKSQTHDETTPRAQRQSKIQIMSTSKPNVKPAHGRPSPANAYKSRVQSLFDDLDEL PESSRINSTISNKSKEPPSRHSPVAGGKKISDAKKSRHNEVPTFLM PEX2_032740 MECFRQIFRCLKAPLRRDKGRIIEIGPPTDFRKEELPAYFSDAE SVLSPSQNPTERSHLTNQSQDAHVPGQPHSDRGERRHDDRNGSSTIVDEEQGAGPCPK DEREIVIRLRERVKLPRWWKSSPAAGHETEALAESIKMKEMRSTELEG PEX2_032750 MHKFQPSWQFRNKACFSTQFPLFRYNSNPARKRFQKYHQFNRNK RPCGWLRGSGMVGVFLLFRVSLASEQWQVKEQLTKVSVAQHKS PEX2_032760 MSITHIVLFQFKPEVATEVVHDACERMLALKDNCVHPTSQQPYI KSASGGKDNSPEGIQNGITHAFVVEFTSAADRDYYVQSDPSHLAFVKTLDGLIEKAQV IDFTDRVL PEX2_032770 MAVFPFNLKCSAVILMVVALLAGVAFALGHDAFYQSLNGKPVVN GQPLGVLNSSLNLSDQQVYVSLGTFFAFLVKSSLGLSVSTVFDQSAWKSIQGHRTGIG TIDDLLSVLKNGFTILNLQLWKRFPISMTLAVIVWLLPVASMISPATLSVHLASIDQH SLRRIPRVDFTSANFADLNSALFTNSTGQNGWLSGYSGPTPETQRVVNSVATQGTILP IEPPAVNSSWSVEFHGPSIVCDNVNSTLGAYITQNVAQAMKVSELYGPEVSSLTRYGY LSWAPESDDPKGSTPFYQVNGNDTYIQRSIQLGPELRDPEGVNVGISTPTNPFVHGAP LSLFVAIFPRAMEYAEYDSAVENVDKAVQNSTILRCLLHNASYQADLTYINREQTIHV INKTILNGVGLVDAIANYDNGSLVSSNLSFIHNPQLMECLSYQSLMEAFGSLLFGSIK NFIAIVANPKSGTDRSFSYSENPNTSIISTRLMETEEMRSIQFITSSNISSPFTDYWK SRSVSSLNISSTPLSKALEELFQDVTFSLMSSRMFQPNYTMNDMPETNVTITSYRNIY VYTRSILWAAYGTALGATALSIAAGILLYFTNDGSYSSKFSTIFRITQGAVVSTDLSM KDYSGLDPLPDHIANAKMTTGYHSDYDQGNPVTGSPTAHFRHPRRESEVSS PEX2_032780 MMSIPLSDDNPFQSLIAEANNDSATLQSRYENHRVARNSQQSAK ILAESFPGWSLDEILKRLDGPGKEDGFVDPRNCLVIWARPSPQVRDLICFIQNELKSI SPSLWLMPPENLHTTVLEAAHSLTEDQIEELVQTLLSCKDVTSADIAAYPRSRPTRLI KPMVSFDSAALALSFVPAAGECLEAESSGDTEPYTYHHLRRDVFDMVRQTKVPVASRY IVPSAHLTIARFISQDGFLVKGSDGAETVDHSRVKLLIDKIEEINQKLENEYWPKEGA IREGGEWIVGQEGLVIRRGRLWYGGGERGLHDSGYTYVLVLVLNQTFPSASRSNACQV MDASNVGIITWCMGDKYIDVKYV PEX2_032790 MMSLENITTISPATNEPVVARTGVSSEDLKQLPVAAQAAFRSFS QSTTLEQRQKIVERALDILEKKQDELAREVTEQMGRPIAYTGVEVATAIKRSRYLIRI SNSVLGEQGIEPGEEEKGFRRYIKRSPVGVALIIFPWNYPYLTLVNSLIPAILAGNAV ILKPSPQTPTIVEQFASAFAAAGLPENVLQFFHCGSFTFIETLVRSPLVNHICFTGSE AGGLAVQKAASDRIVNVGLELGGKDPAYVRDDVDLAWAAEEIVDGAIFNSGQSCCAIE RVYVHEKVYDGFIAEVKKVLSNYRVGKPSDPKTQIGPIVSKRAKEAIIVHIEDAVQKG AKNETPANETFENFPPNGNYVKPTLLTGVNHDMAVMKEETFGPVIPVMKVSGDDEAVR LMNDSDFGLTASVWSKDVAAAEKLVERVEAGTVFVNRSDYPSPDLAWTGWKNSGRGVT LSRFGFEQFVKLKSHHIKDYPK PEX2_032800 MSPTLIRNVDTVEIVNIHQNDMEFSLVDDIYKNLDPPTGGQRAF PTLLLYDAKGLKLFEEITYLDEYYLTNTEIEILTKHAKRIVARIPENAQLVELGSGNL RKIEILLRECERIEKKVDYYALDLSLGELQRTFSEISPESFIHVGFHGLHGTYDDAVG WLKSPENRKRPTVVLSMGSSMGNFSPPGAAEFLGGFSKLLSPSDFLLIGLDACKNPEK VFRAYNDTKGITRKFYENGLLHANRVLGFEAFKADEWDILTEYDPREGRHQAFYVPKV DVIINGINIPKGEKLIFEEAWKYGRNERDHLWRHANLISQVEFGNLTDDYHLHLLSPA ALDVSMNPSKYAAQPIPSIGNFQSLWTAWDLATRTMVSHEELLSKPIKLRNALIFYFG HIPTFFDIHLTRALQEEPTEPSHYKLIFERGIDPDVEDPRQCHSHSEIPDEWPPLDEI LDYQDRVRNRALSILQEGYASQDRTLGEALWIGYEHEAMHLETFLYMLIQSDKTLPPT GVDRPNFQQISHQAKRNEKPNKWFRIPQQTIEIGLNDSNEEVMPNKSFGWDNEKPQRN VTVHAFEAQARAITNGEYAKYIREKGIKTYPASWVLKPGQENPVSKGTSSSGAQAGSS SSPAGFSLENVTVRTVFGPVALELAQDWPLVASYDEVASYAKCMQCRIPTFEETRSIY HYSDQLKGDRGINGHRNGVNGIANGSKSNSTDQTVFRDLTGCNVGFNNWHPVPVTPNG DQLAGQSEMGGVWEWTSTPLMPHDGFKPMDIYPGYTSDFFDGKHNIVLGGSWATLPRI AGRTTFVNWYQHNYRYTWAGARLVRDI PEX2_032810 MANIFRRPRQGIKSSRSARLTKLDQELTRAMNELYDFRIQLTVY ILMLERPTSSNPTLGIPPFKSDSQSISDIPRTFAPTAIRPTSIDDIWLCSHSSSLLVD AEERWRHDDPELAIELASRVIS PEX2_032820 MPDNIPHASNLASNHASSWQTTPSLSSQEEQALILEARTLREEK LRALSSIVTNMASELTDREIVHMYYRVEVGSYLLPAFNFIANENPSEAEVMVNLARES AEKSKNLLLVAKCEFWMGRVEFLRGNMRKAHEHFVKANLCAMDPKEGVECQDLNFFLD VTRHGISDHTRAVRLRAHEEAIAAHVEFDKTANNSVSTEGKRKRPLRTWKGALVKLQL PLVKQRPLTKIGKPRYKVPIRRKVDEKHLQQGIDNETSSKNEVLGKVLAEELGYGSWS GSGDDTNTDTEDESDDESDDDDSADPADGTVGNTDENAQKSPGAPDKPQQSEPSGDAT TAPAKVPTDQAIYRSARARYRQECFQMGLTSALNAEPYKRPKEAFVFGVPHEPTKQTQ FRLGYFKIGLAKRCRPMTIFPKQDGEITISPEEWKSIEQDARQRIVTYDYLRREREEL IKVAEEM PEX2_032830 MYLHQYDYIFAIGTLFAMLDAFNNGANDVANSWATSVSSRSISY RQAMILGTIFEFVGAVAVGARTADTIKNGIIPNSAFKGNAGVQMLAFTCALAAASSWV MWCTKNSAHVSSTYSLISAVAGVGVATVGASQVQWGWNNGKGLGAIFAGLGMAPVISG CFAAIIFLLIKYVVHVRRNPIAWAVWSAPFFFLVAATICTLSIVYKGSPSLNLNKKPG WYVAAVTMGTGGGVCLLSAIFFVPFLHARVIKKDSSVKWWMVIQGPLLFNRPVPTDSE VAQVPNYAVVQDESEYHESHLPIDEKEAKTGVASIPGSTEEQSVDRLEANQLTYRELM IQSEERHNAKLLQSRGPIGWAMRLLRDNPMGPGEIYEFRNMRRMAKRLPAIITVGVLY GFHYDIHTAQSGNEGTPEGERMKRVYANAEKYPNEVEHTYSFIQVITACTASFAHGAN DIGNSVGPWAAIYSAWSTGTPAASKSPVPIWQLAVLAICISIGLCTYGYNIMKVMGNK ITYHSPSRGSSMEMGAALTVLVFSQFSLPVSTSMCITGATVGVGLCNGTYKAVNFQRV GLLLFAWIMTIPIAGTLGGCLMGLVLNAPHFLTV PEX2_032840 MTPTSGTNGSPMFFWSRIKNPRSNRATEQSTQSTCSAFSIDPET LSDLVQSKDVQEFYALGGIIGLEEGLRTDVHSGLSLDETYLSVPANVTGTTTSTTPTE KNAIAGLPIPTGISYDAFVDRKKFFGDNRLPIKPSPSFLSLMWAAYNDHVLFLLTGAA IISLALGLYQTFGTKHTANNPPVEWVEGVAILVAIVVITLAGAANDYQREYKFRKLNK KQQDRNVWVLRSARVYDIPISEVVVGDIVHISPGDIVPADGVLIRGHQIKCDESSATG ESDPVDKSAIDTTLPDGSHDIDPFILSHTKIVEGVGAYLVLATGTKSSYGRILLSLDT DPGFTPLQVRLSNLAKNIARFGALAALVLFVILFIKFCVSLRNSTKSASEKGQSFLNV FILALTVVVIAVPEGLPLAVTLALSFATTRMMRDNNLVRQLRACETMGQATDICSDKT GTLTQNEMTVVSGFFGATSQFTDRASSPDILDEEKYSSVAKCMSRLSGQSRSLLRQSI AINSTAIESQYDGGRQFLGSQTEAALLRFSQDYLELGQLDFDRASVEVVDLLPFDASR KYMITVVKLASGLYRSYVKGAPEILLKKCVATIAQPMQGLSTAPIRDDGIEQIRQTIS QYASRSLRTIAICFRDVEFLPFRGEEETIDFEELVKELTFQGILGLRDPLRADAWGAV ETSHKAGLTVRMVTGDNLLTARAIAEECGIISSPDDLVMEGDKFRALDESQQKELVPR LKVLARSRPDDKRVLVQRLKDLGRVVAVTGDGTNDAPALAAADIGFSMGISGTEIARE ASSIVLMDDTFSSIVKAIMWGRAVGDAVKKFLQFQITITFTSVGLAFVSAVANSSQES VLTPVQLMWVNLFQDTLAALALATDPPPRRILDRKPEPISTPLITPTMWKMIIGQSVY QMVVTLVLYFAGSSIFSYHSALQTSQLQTAVFNTYVWMQIFNMYNNRQIEQSFNLIEG IHHNWLFIAITCVMMGAQILIMFVGGRAFSITKLTGYQWAYSIVLGAISIPIGFSLQA IPTVVVEKPMAGMGRLWDRLRGR PEX2_032850 MDKHPPSLESLQREVSDLTASYHGRGWTTKATDLEFAMTTAGNR ILAADTNSDATTITLEELAACVKAWMQAKSWIVAEDLAIRVLDACKNLKGEQDFMTMA AMHNLASAYLCRGQLDQAADLSARVTKLRQEILGETHPQTLASMTNLASTYRAQGLWV DAQKLDARIVELKKNTIGPRDPSTLVSMSNLAISYAHLGRYEEGESISRKLVDIGERE LLPTNASLLNWKLTLASTYRDQGRLDSAEKLEREVVAVSRDIFGTNHPFTLTSMSNLA STYREQARWSDAERLEKEVVASSEAVLGETHPQTLISISNLASTYRNQGRLEEAKDLG GKATAVLKEVLGERHPNTLIAMVDLAVTYQMMSQSPDAEILAAQSLHLMEETIGKDHP YTLRAMANLGLIYQSQSKWEVAGGIAEKVHSRRETAFGTDHPDTVAALEDLRRVAWVE AADQNAQRTLN PEX2_032860 MPSQTGLKELNVNHGWPMMVLLPLAGLAKIFHWNPTIVLVVNIV AIIFLSEAISISSDELAAHLGELQGALLSATFGNTVELTAGILALVHGEILFAQSVMV GSILSDILLVFGCCLVTASYNKEVLEFNSALAKTLSSLMMITAVTMLLPTALYSTFPV SEIDDRVLSFSRGTSLVMLALYGGYLYFYLRTHKHLFLSNESETSDEENYGDSSSAAN QASLASSIIRLTTAVAATVFCTELLLESVGDMTKTFGVSEVFIAIAFIPIASNSTEGV TVVTASRTGDTDSAIRVIIDSLLQIGLFVIPLLVIIGWCIAEPMTLFFDSFQTVAMFL AILVVNHLLRDGQYAYIHGVMLLALYSSLVVAFYAR PEX2_032870 MMVVFILLALPTIMDVFPSAKATPEDQILLTQRVTAIILVILLL TFLVFRLGTHAAMFASTPFSQRDHTHRGHQSRQSQIIEGRIPQPYIGKDAAAIILAAA SGSALACAYYIVSSLSGVATITGMNQSFLAVTLVPLLGNSVKYRSIVVGSRSYNQIEL GIRAVINNVLRVTMLIAPLLVLVGWAFDQPRILRFDGFEATTLLLSVVVITYLISDGR SNYFEGLMLIGT PEX2_032880 MNDTAHPRLRKSTRIDPEIMASSLESNTTAYEQDALLRDETERE INGEELRDTNYPDSKRALFLGNSVLHVIKTTLGCSYSNLLLPFVFLGIIAGGQGWGDS ISFVFNFLAILPLAALLSFATEELAKSVGQTVGGLINATFGNAVEMIVGITAVRQGEI SIVQSSMVGSILSGNLLILGVSLFCGGYGKDVVKFNVDVSGILSSLMVVSSATLIIPS VLYSTIPSKSHDVETSVLSLSRAASVVLLTFYLVYLYFQLKSHSELFVDDQQEEEEEQ VLGPWPASIILILATLGVTVCSDRLVDSVDGFVDKWHVSRAFIGLIVVPIVGNAGEFN TVVNSSIKGNMDLAIGVVVGSTLQIALFVSPFLVMCGLVIGQPMSLRYSPFETVVFFI SVIVMDCLIRGGRSNYYEGSLLVGTYLIIAIAFYVHPDAVDAPFV PEX2_032890 MTKMNHSLWQDEAGVPGVIRESPIPSQIADEQIVVQVHAWAMNP ADAIVQDTALPFIKYPLILGEDIAGIVESVGSVVATKFQPGDRILGLALGAGVAKPEL GAFQDYVILDYSMACKIPESMSFTNASVFPLCIATAAYGLFSKNHLGLPFPTTSTTPN STGKSILIWGGSSGVGSNAIQLCKAAGFEVLTTCSAHNSEYVKDLGADKVFHYTDADV IDQIVTELDKGKCVGIFQAAGEPGPSCQVAHKSKQILRVAASNPVPEDAVPEGVEAKM IFASEGVVIYHETSLATFTGYLPEALAQGTYQVAPSPEIVSTIGLEGIQEALDLLKKG VSAKKLVVAAK PEX2_032900 MKPYIGIPLVVGLVYRAWSRKSLTPLGLVVAGCSASAHALHPWS APVALLAVFYFGGTKVTKVKHEVKSRLTLSATGAEGGEGPRNHIQVLANSVVATILSI AHAIILAKTAGPESCFSLGQNAADILIVGIVANYAAVAADTFSSELGILSKSKPRLIT SLNLRHVPPGTNGGVTATGLGAGLLGSFIVAATSTAVLPFCANAGLKDRALWTIAMTL WGALGSVLDSVLGGLLQASVVDKRSGKVVEGSGGRKVLIHPSTGKPVLPAGSTAKTTG SIHNAALRGIQVAHIPEESQESRRLETGHDWLDNNGVNLLMAATMSVGAMGIAQWFWG LDVFELLPWHNLDQQSTPDKMATEEERGMHVRVTKPSVSFLPETETSKTITYDLHHSD LLPVYDYISQEYLMTGIAVANSYCTRLLLRRPADPSRFSGLVIAEPSHLWGGTTIWRL INRWVMRNVKGHAWLEVDSQAPAAIDQIKNVDPERYKDMHFIPCRTLEEFSSNIPGFA NTEELWDNYRIFKERWWAATLQSPEILVAASHALRLGELNIKAERVILTGLSQTADLI RKFIVESTHLRLPDGGAPFEGFMPCQCDGGNPLPDLRDAKIIEILGEFELIYIKALYG GSEMPPHRRADSRSFRLYEVAGMSHRETRYLSMADKKRLSFVDLEGAQWSTFANSFVY HALFDTMNKWITTGVAPPRGTTIDIDAFGDIERDEYGNALAGVRTVHTDVPTAKIIDV TPQPRPHWHTGTEVPFKDVKLWAIYKTVANYRRQAGEAIQRQIEVGFLLPEDAEVLRR DTIEQVSF PEX2_032910 MTQSQTPGLDTLAEGSQYALEQLQLAREANAADIPTDPSNTKTS QHSHHILYGEQNSSNSGLKDPELRDSLAEARSAIRKNSSATPARRRISRACDQCNQLR TKCDGHNPCAHCVEFGLSCEYARERKKRGKASKKDIAATGIADSDMGGNENITHVKGQ PSNGQSLHESNEHYDRAFDAARTLTEGAQSRSHNADVASLSAMRQNQAPVQAPSQQQM NSNMAGMPFNNYAALQESHRPSMSVSDMRSIQMMQNSNGNPRSPGSMLQHQAFAGYND GAYPLMNAQDANTSSMNHFRIGNSTENPSASFMGFSPPAQSPSWLPLPSPSPANFPSF SMPPFSSSLRYPVLQPVLPHIMSIIPQSLACDLLDVYFTSSSSSHLSPSSPYVVGYVF RKQSFLHPTKPRSCTPGLLASMLWVAAQTSEAAFLTSPPSARGRVCQKLLELTIGLLR PLIHGPATGEASPNYPANMVINGVALGGFGVSMDQLGAQSSATGAVDDVATYVHLATV VSASEYKAASMRWWTAAWSLARELKLGRELPPNATTRQDGEMDGEGDIDVNGNKRQPS SLLGHGPGNSVINLTEEEREERRRIWWLLYATDRHLALCYNRPLTLLDKECEGLLQPM NDDIWQAGDFSSINYRRAGPASECTGHSMFGYFLPLMSILGEIVDLQHARNHPRFGLH FRNSGEWESQAMEISRQLDVYAQSLKEFEARYTSSIALGTGEPDTAMEGSNINHVSPS GRSSSTVGSHVSESIVHTRMVVAYGTHIMHVLHILLAGKWDPINLLDDNDLWISSESF ITAMGHAVSSAEAASDILEYDPDLSFMPFFFGIYLLQGSFLLLLTADKLQGDASPSVV RACETIVRAHEACVVTLNTEYQRTFRKVMRSALAQVRGRVPEDYGEQQSRRREVLALY RWSGDGSGLAL PEX2_032920 MSMPFDSFDTSSLIILDSTPQSQSKIFRRRHGVGGDETEMKANL ELSLKIGEFERASALINRLGHYHPPGSDEYLVIHNRFMRDMVAYMILHREQDMVLPLQ KWFEVDMPSGGVTPDAISFAIMIRMALRMLHGSKRDRTVRRYWELAQNAGLEEELVGI EVLEDSDVGELSKICSSDMLDLVSKYMEFPETTEVPSAAVDNTPQVQAADQKGLGLAS LKQSLSLFSGNIDVRLPENFTGTEEEGKQLLSDLRQKRLEMDSLDSALWRWREDNAER QKSGLNVASDEKRLNGIMSQWHTDLVARIKKELVLVKEALGARIVNIEQKERCEYGVF LQALDPDRLAALTLLSVMSTFSRQGMDKGLKLSILASVVGKELQDELIADTYLKRNKS MDPSRLKALKQTLANRKDKQGRLRWRSLVEKINAEHESVVWGSRSQVKIGAVLMSMLV EVSKAPVWTEDPATKKRILTMQPAFDHSYQINFGKRSGHIHMNTKLVEIVAREPPAEL LARHLPMVCKPRPWTGARSGGYKIYESALVRTTPGELLQPAYLKAVLKDDGLKEIRAG LDVLGATGWKINEQVFNVMLEAWNGGKAVGKLAPLNPDLQMPKQPNPEADYATQKEWH SKVREIENLRSGFHSVRCFQNFQLEVARAFRKEVFYLPHNMDFRGRAYPLPPYMNQMG ADNSRGLLLFDKGKALGVSGMRWLKIQIANLFGFDKASMSEREQFTMDNLDDVLDSAN AGLHGRRWWLQAEDPWQLLAACCELRNALQLSEPTEYISHLPIHQDGSCNGLQHYAAL GGDRVGAQQVNLEPSDRPSDVYTGVCEFVKEAIAQEAADGNELAQRLDGRVTRKIVKQ TVMTNVYGVTFLGATRQVKRQLIDYLPDLSNTERNSAAFYIATKIFGALGSMFNGAHE IQYWLGDCAQRITQSVTPEQIEELTQAALVPKDERDNDFATSTDPEKSFTSTVIWTTP LGLPVVQPYRTRKTRRVQTSLQDISLVDPGSDDVVSKRKQLQAFPPNFIHSLDATHMI MSANACHEAGLAFSAVHDSFWTHAADIDQMNLILRDAFVRMHSDDVVGRLGAEFKVRY GKNIFLARISRLSPLGREISNYRLRTRVSKLQELINEHRRQTLLNSDDPEDQAEGRAM VTPCSLFESLGGTDSDLTTYKTMGTHAIGHIPEAIPDQERMPIGCSIDTNDPAIESLI GDLEMFESKQVSRGETSKVEDDIHGEPSDQTEQPQSSARPDKSKPRNLTTWLWMPLNF RPVPKKGDWDLTRIRESEYFFS PEX2_032930 MTFSDDDPVVASYDVLLTDSDVSRYVFQYVDREHDRPYNDQENQ RPIQLRLKPRTGLIEVEVPISTRENYDVNKGMRYGDAVKKSRSARDGGAYGMAGGFTA GSGTAAAGGRVKMEANGDVEILDNKRAVDSASLMRVQSLGGRIKPSEEGDPVYMLATF TDKNLHLSPVTSVVQFHPQLHHLDALDEMPKGKGSRAKKDDDDRPTESEARAIDIKVK AAEDGEAAANAGNLDLLKQMQDEKWKTFGWVDAEAEDSWYTYESYMIHKDTENLPSLE SNIESEDYLDKMSAPRIDPARPDMTGWAMKENRRRQRDAQAGNEAQ PEX2_032940 MAPIPVMIREAACFEHQYGVYWTYYGAYTKIATTDTHTSYSKLA NITHELQERPFLPVVMASVLFAIIVVGIVALFCFWERHRYPRQTASPEDKMKTEDAEY LDTVEEPRSDGWMCFILACHAAITLGGV PEX2_032950 MMNVNSILSAPFAPLLYLRPRFRQDQDWSYRQALANTFMKVFLR IFVAFRTKPPLSLKPGLDGDRFVVIEPGAPEFYTSITVDDEIQPVAVGGTWFPSLYQY NTTTTQDKHVVLHFHGGSYILGDGRTSSCKFLANSLLQHTPISHVFSLQYRLACNPNG RFPAQLQDAISAYSYLLHTLHIPASRIVFSGDSAGGHLSLALLRYITDYDDETLLPPP TCSWLFSPWCDIPGSRNKHLWDNIPNVRTDYIPPSFPAWGAKHLIGKLQITSEFEPYL APIWHPFVLPSPVLIVSGGREVMCQEHDRLSRHLSKMQQNEGRVEYFVQDHLPHDILM VAWILNFRKEADQCAVKAGVFLTRMQAQSEEDGTDVPSVAFESR PEX2_032960 MASISSAAEAVARIAYLTSDTVLSVQPALQNDSLFSESLKALKA NKTSNLSSKVTEVQAVRYNEDPLLSTFTPLQNGENTSVVTSSSVLITAVPHLYRLANS PVVIHVALEPSPFPDYSVISSIRQSGFTFLHSETVQEAQDIAITAHALARKSGKGVIH FFDPSNSAKDPSIREEDVEVVKKVLSAGGNTATSAGTQTLYADSGRVATVTEETVGTS PTGQPEATNLTVPSQPQTPFNASVDNSSVGSSRRDSSAGSEAASSTATTVDSATARPV NAGDIFEWTAQIWNTLFEEVGRRYNAIEYTGVSDAKSAIFVFGSTGVFVDALANAGAN PELENIGLITARLYRPWVGGQISNSIPSSVEKIAVLEQVRKTTRWGPSFMDLLSSLTP SGTRAQAPQIVGYRLGYIEPSTAVQALRGVLQNLNSASPIQNLDIGSSQVPTVQHALE QPHIENAYLKILNQLFGERLHIANQLGSNNAGVSSTIAASPEFGFGSLLARQEHRQRF IREVEEATKSNAFATDAPKTWLSRWALNVKEAAKANKLAPDVISRLSNDGSALSRELL QSKNFFYEESDWLIGSDAWAYDFGNSGVHHVLASGANVNMLIIDSQPFSERAASDATR RKKDIGLYAMNRGNAYVASVAVYSSYTQVLQAMSEAQQFKGPSVVVAYLPYNKENDSA LTVLQETKKAIDLGYWPLYRWNPDNEEKGEPKFSLDSERIKRDLEEFLRRDNQLTQLM NRSPKYAPVLSESYGTEVRALQKRNAKDSYEKLLDGLFGAPLTILFASDGGNAQNIAK RLGNRGRARGLKTMVIAMDEFPLEDLPTEENVVFITSTAGQGEFPVNGRALWEHVKNT GDLDLSTINYSVFGLGDSHYWPRKEDKIYYNKPGKELDDRVAFLGGRKLTDIGLGDDQ DPDAYQTGYSEWEPRLWKSLGVDKVEGLPEEPAPITNEDIKVGSNFLRGTIAEALLDE STGSIPASDQQLTKFHGTYMQDDRDLRDERKAQGLEPAYSFMIRCRLAGGVATPKQWL QMDAISSSHGNETMKLTTRQTFQFHGVIKRNLRGAMRAINNSMMDTLAACGDVNRNVM CSSLPELSSFHRETWAISAKISQHLLPSTSAYHEIWLKDDNDKKVQVAGDAIVDHEPL YGPTYLPRKFKITIAIPPHNDTDVYAHDIGLIAIKGADGHLEGFNVLAGGGMGTTHNN TKTYPQTGRMFGYIPADKVHLACEKIMLVQRDNGDRKNRKHARMKYTIDDMGVETFRG EVEKLLPDGLKFGEPRPFEFKSNVDTFGWIKDDTGLNHFTFFIENGRIEDSADFKMRT GLRELAEMGKGEFRLTGNQHLMISRIADEDLPAIKEHMAKYKLDNTAFSGLRLSSSAC VAFPTCGLAMAESERYLPVLISKLESTLEECGLARDSIVMRMTGCPNGCARPWLAEVA FVGKAFGAYNMYLGGGYHGQRLNKRYRSSIKEDEILDIMKGLLKRYSLERDTDGETPE RFGDWCIRAGVIKATTDGQNFHDGVAEDEEEEE PEX2_032970 MYIWSLVALGLAALLFPHIRKQSPLQCLALTYLYVFDSLINAAY TAAFGVTWFLVVSQHYDSGKAAGPGGDTIAQTAGFTSPKYDAAYVEIQNTKDGNNFVA HPPRATEDLSNVVLQPESLPSIIFICLLWVIRIYFVLVMLAFARQALRLWVAIPRHTQ LPTHSRNVSVASVADIDREPFSPYSPEGQGWQGKLGRVMISIGHSYWLGEEEDGNWLS GINHKFRNRSNNTELPGALERERRRRSGTGPPAPSPSIVRSNVLQQPIPEHAPGGMNV KMQDWNAPR PEX2_032980 MTTSSSQETRTHRLVKWAADLKYNDIPDDVVQRTKDFFLDTLGC AIAGRSHPAVSAMVRFAAQMGPPSGKSELIDGSQTLTTSPAFASLINAAASHVVEQDD LHNRSIMHPATVIFPAALAVSQDIGASGEDFITACVVGYEVGCRVGEYLGKSHYEKFH TTATGGVIGVAAAVAHLLKLDANETLSAVGTAGTQAAGLWQFLMDATHSKQVHTAKAC FDGIFAAYSARDRLLGPGDILEGPRAMGAALVPGKTNPEAIDENLGKDFAVVRSSFKW HASCRHTHPSVDALLNLMAKKKVSFDDIDSVLVPTYQAAINVLGLSGNGDTVHQSKFS MGFVLAIAAKKGQAMITDFTEADLTDASLRGFQNRVKMEYNAEIDSQFPERWQGTVIV TCKSGKTFTESVTFAKGDPELPLTRSEIEAKTHALAKYGGITDTSKVDSLIKRAWNLE RETDVKGFVF PEX2_032990 MASTSGVGSYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNT YQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAK SFQNTRKWIDDVRGERGNDVIIVLVGNKTDLNDKREVTTAQGEEEAKKNGLMFIETSA KVGHNVKQLFRRIAQALPGMEGEAKQGESTMIDVNINPKETTSNDGCAC PEX2_033000 MAYLYPAGVSTIALIVVGLYLLFHGEGEAFNVGRFLEEVSPYAW ANFGIASCIGFSVVGAGWGIFLTGSSIVGGGVRAPRIRTKNLISIIFCEVVAIYGVIM AIVFSSKLSQVPEGGIHTSSNYYTGYAVFWGGITVGICNLICGISVGINGSGAALADA ADPSLFVKILVVEIFSSVLGLFGLIVGLLVAQKASVLEAA PEX2_033010 METKLKAAILIVSDTASKDPASDKVVDVLTPILAAEGKWETPAF RIVPDSVTEIQQSIYDWADGPNWYNLILLSGGTGFAIKDNTPEAVSPLIQRHAPGLVH GMIAASLKVTPFAMMARPVAGVRNKTLIITLPGSPKGAMENLDAVVKLLPHACLQSAG ANSRDLHAGGVKKLESEAGVADQQHHHHNHHHHCHNHGHGHKAPKAHTSPSERPQSND PSAGPNRRYRSSPYPMLSVDEALQRINEQTPEPEVVEVPVTTSLIGSVIAEDVYAGEA VPAYRASVVDGYAVIAPDSSATGQSTKGIFPVASITHANVGGNLEPLQPGTIARITTG APLPPNANAVVMVEDTILDSCTPDGKEEATVEILTDDIEPGENVREPGSDVALGSKIV ARGDLISPVGGEIGLLASTGTKTVKVFRKPRVGVLSTGDELVEHNDPRALTGGQIRDS NRPSLLSCLNSWGFETVDLGIARDTPASELEHALRDSLRGVGRASASVDVIITTGGVS MGELDLLKPTIERSLGGTIHFGRVAMKPGKPTTFATVPFKPTGDATSSQQERQSKLIF SLPGNPASALVTLNLFVLPSLHKLTGLGHSSQALSSKPWLAPQLGLPRVAVVLTHHFP LDPKRTEYHRAVVTASRSDGRLYATSTGVEGAGQRSSKVGSLAKANALVVLRVGRGVG IKGEIVEALLMGDVHGSDTRVIC PEX2_033020 MAGPIHTYGPSCLLVDEEQDLTRFQLLPSPPEIRSQFFYISSLP IDDPLAPLPPPASQGTGNERLPPTPFSARDNIALEEAWHDLREARKAKFAGNHKSRPN TSTGPPGIAVPGHESVSNVESPKAANQEDPSLVGSRGSTLSNPPLFPDDLPSRSHKSH LTFATGSVGIRTRELGKENRLDSPVGDRFKNDTPREIAIDRKRARSSSINESSATKRR SNSPLEAGNVEEGDEDSGSLRADRSHDASMSGSPFIRAPISQPQTPLGRSLESSSSRE GGEWQAELRTSTTSRSAPKPSGLRTTVSLDQLTQDSQRERTEEPNTQSKIPVGVSRLH LVELPNLKMKPIYWSPLQDISSVVRATWFYKNTMLPVETELANKLEEGYVYLKPWSDT WQDELNSCVENGADAELKVVHPLWTKQYSRPTTAQAPHGSDLPVDEAEDPLTFDENRA AGGTSVQSESIKAYLTSSAIYVDATNAQVLRPSLLPSVSRGRRPLGAIRKGRQIGIPV IRGFSRKLWEQLHPSKPNPIDVRHYIRKTQLKSPSARGEQICYACKMEESRPPPTDLV LVIHGIGQKLSERMESFHFTHAINAFRREVNMELNNEPVWPHVRQDHGGIMVLPVNWR TNLSLDDPDVESGIEDPASNNFSLADITPQTLPAIRSLISDVMLDIPYYLSHHKPKMV KAVIREANRVYRLWCKNNPGFQDHGRVHLIAHSLGSVMAVDILSNQPTHVPRFDFSNT ALHSDIFEFDTRHLFLCGSPVGFFLLLNKASLLPRKGREKPGSEGDDLLRGVAGEAGQ YGCLAVDNLYNIMHTTDPIAYRVNATVDSDLSNSLKMGSIPSSTSTFWQSFGSVFRWS STPSTLLSSTAPTRPAAVSKLPSNVELETHDFTREEIAEKRMLLLNDNGQIDYYLSGG GGPLNIQYLNMLSAHSSYWTLGDFVRFLVVEIARKQGREGTLLAFRSEKKKGWKYSKG PEX2_033030 MVPSREWKSQTFSISGTFADCLPASSTALATACESNVLQYDNGG DNTCISTASCITMTIAETSPLGTPIASRYMCGEYWFYDTIYRVLPTSTTATVTSSEAT TTSISKSTPSIDPPARAPSTASGSSSLSGGAIAGIVIGGIAAVALLAAFFLRKKIAAY FGDRKEEIYEAPYAPAPTKPGGLYEIGSSGRQTVPPNNEVYEIGSSGPKEHDGLNEAD SAK PEX2_033040 MSPYPQVSPWAILSTIDRDDESGILYEDERQQWLRQHPHAVPRT AKRSGNKSQRAFADRGSSMAEGLRGIAERGAARRKGIAVNKNDVFTKPLPPIPQQESP QPATTVAQDGVLQGSGREINKMSIYYVLDRSNMEGHHDKSPFPQPENSQPSTEVGQKA VVDGPVHSSEKMKPNMLLRECKLQGYDDETPSIQPRAFEPAMPSFSHHYYNAQASYTN DSSGSHRLDHAAPAFEAPYAQVNPSFHRNGHGPTSWYEAAPIGHEYSPDIQAAVAPNA TPDPEIKQEYQFTEVGVNIDEMLALMNQEKENEHLANENHNPASSYSAYHFVPPNPAS VDIYHADQRSGPGTQAPDSLIINAESSLLVGSRTIRQVTPATPVWSPTPVPLSAYRFT PDQRSAPDAESSLFVSPGPIHEDTASPISSPYPNGYCYWSSDESEPETTPPPEPRFER GRSGSDVPMQESPVLPSSPPQVISLQRVFTWRSDNPNGMDTDFVVPLEHMFARDYTTP DSPLLDSPLSPAPAPPSPERRPAPREFSYADAPRVGIYPSYIPHDPRLTQITFRPDYV SSPTSYSSPLREERANMENVEQALVPQKLNVTKNKTAFTMDVPIHDVSQHQTAIHVSE SSNGGHSRAASFVGNEPNVNNNTPINATPSRISKSPDVCSSEGSEDSRGIKRKRFTKN LFGKKGYLEDNEEPRDKRFRFLKEAIEKGHSTIGSIKGIFWDENRALIGSSKPSIVTE NTAPITLNTDVQSILYAEIENMITHAANEFLMKEYYEGHLSTSSLHKVKRRWEKKHMP GVPQFRFDQKTQYRIISSNRNHVRFGKTSNGLGPHTILSNWKKIYKNMNIRTFVTPDS VIKKHIHDILDLLELLNADECHIELIMALNAHVRGELKKHEVMQHYRDTQNSGNSRS PEX2_033050 MVQQENYDIVIVGAGPVGLLLSLCMSRWGYKVKHIDNRPVPTAT GRADGIQPRSTEILRNLGLKRSIMAYEPAKVYDVAFWDPKSDGSGIGRTGSWPSCPRF IDTRYPFTTLVHQGKIERVFLDEIKKAGTTVERPWTIVGFKNDGVDADYPVEVNLKCL DTNVIENVRARYLFSGEGARSFVRDRLDIKIHHKDPIAYVWGVMDGVVRTNFPDIETK CTIHSDAGSIMVIPREDNMVRLYVQIASSTDADWNPRKTATAEEVQQTAKNILKPYWI EWDRVEWYSVYPIGQGIAEKYTLDERVFMGGDACHTHSPKAGQGMNTAFHDALNMAWK IHAVESGFANRSILSTYESERKDIAETLLNFDSKYAALFSKRRPSAGEVGSASHTTVG AGGEEDEFVKTFKSSCEFTSGYGVAYQPNIFTWDASHPAKSALFKIPGVHLTAGRAFT PTTVTRLADSNFVELEQEVPANGAFRIFIFAGNQSKTKKAIADLAANLEKERSFLSVY RRSDITDVSFFERHNPHSKLFTLSVIYAGSKNTIDVDSIPQVLRDYHHHLYADDIPDV RVPQAQFAAHEKLGFDAEKGGVVVTRPDSHVACTVQLVEGSGTVDALNEFFNAFSTKP LGQESQQSRL PEX2_033060 MLTLSVEAQLEGVTALLPTDTEENPYFYTFRVQCTSCHETHPNW VSFNRFEVHEIPGSRGEANFVWKCRLCTKTHTASITSGPKAYEAQEKQNSQKIIEMDC RGLEFIEFKPDGEWEAKAIESTTTFSGIDLSEGEWYDYDEKKGEEVSIKEITWTVGR PEX2_033070 MSFQPLNPRPFLQARVGTEVLIRLKWGQTEYKGTLESIDSYMNV LLRDTQEFIDGKPTGALGLVLIRCNNILYMGSADAIEMTDMEFK PEX2_033080 MRDTPSKFIEMLDPKDSSLRMSDSDVRLEDVLADHEATINNRAR SSTQSSTKPDKSLARRNSTSPTPRWKRLSNILAQPRRNS PEX2_033090 MFRGRTWFFTAFIFGGIFEIIGYIGRVVSSNEYPNYTTPPLAIQ AIFVLVAPSLLAASIYMELGRIMIITGGEHLSPIRRSWLTKIFVVGDVVSFLVQAGGA GMLVKASTAAKGGNVIKVGLIIQIIFFGIFIITSIIFHVKLEKNGSRVLQQQVVPWKK HQTVLYIGSLLIFVRSIFRYIEYNQGSSGALLQHEFWSYVFDAALMLLVMAAFNVVHP AEISRLMEERKGGHGMELIG PEX2_033100 MAWLQQTPGSGGRTASPTVFEQQREELVREIAVGMEQVLQNMNR LNRNLESVIAVGNEFGSVEALWSQFENFMGRPEEQGEEAGNGNGKRKVSGESDASKSG VKRENDEGDSTVMQ PEX2_033110 MSATYEDQYHAASRRRSLATPPPSLTPRHSRARSDSIRVSHGTA STNTSVSSGRMSEATNITQPPSFSKKFVVVGDGGCGKTCLLISYSQGYFPEKYVPTVF ENYITQTTHRASGKTVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAIDCPASLENV VDKWYPEVLHFCPTTPIILVGLKSDLRNKRTCIELLKTQGLTPITPEQGENVAQRMGA TYAECSSKEMRGVDEVFAKAVDSAISIEEQGWTAQQPAGRNSRNNRASAIPSGGGGPR PGKKIKKRTCKIL PEX2_033120 MGNKKDQPDAGLKSLNHYSNRLPLWRYWPRQKLIPLIRYETPYL AWVQEKVRTPTLDSYFAFTANLGTHTFFMVFLPFLFWCGSPSMGRGLVHILASGVFWS GFLKDLLCLPRPLSPPLQRITMSGSAALEYGFPSTHSTNAVSVAVYALALLGSPDSTL SAQVTLLLQAITYIYVVSIVLGRLYCGMHGMLDCTVGCAMGAAIGLVQFHYGPAFEEF ILSASLMEISLLGLVIIFLVRVHPEPADDCPCFDDSVAFAGVMLGVDVSSWHFADIWI GYPSGSIPYDLETVGWIKTVVRLVVGVLCVFAWRTVTKPALLRILPPIFRTLEKLGLL LPRRFFTTASEYTTIPYNLKDHDVLPNFSDIPDIITTMRHPRRRAISIGPQSEADAYE TLAYREKRRRESQSGSNRPSPVAEDQLKPNGTPVRSRKTASLDDYEGMMGRGSPGSSA VDVNFDIPTTPFPSLDFEAGEREEKEVFSQIKKPRVRYDVEVVTKLVVYAGIPWVVIE VAPLLFDLIGLGTR PEX2_033130 MGFFRWPRCKRRASPPKPTDSFNVPNPFEASKPPFDAHDALDTS QLPIPGAFPSTPPESPTLIVSQGPTIEEEEVPPKGWEYMDIDVRPTPALVTDLGIHLH PRLPPYTPPPPSAKRIPSPRPTKTRKPVEHDLMDIDDPWDQQAQQDARLPHGPISAVQ LFYPNRRLIPANRLASWYEAEFEKREKERLARERDLQRPTRVIPTGYPVRLISPEWLS KLQRAVQSGQGHSVAKSLAGDDLYQRDIITCIRPEAWLNDEIINAYLGLLVHYLRQAH GNLGPSDRPLFHAFNTFFYSTLRDKGYQGVQRWAKRAKIGGEGLLNVDTVFIPVHESS HWTLMVVRPADRTIEYFDSLGSRGPRQVKNVKQWLRGELGSKYNDAQWTVLPSVSSQQ DNGSDCGVFLLTNAKAITVGVEPTAIGPSHITLLRRKIVAELMNGGLHGEFSPHDKAT GAVLL PEX2_033140 MADSDPLLSDLCSICHANPPKYRCPRCSTRTCSLPCTRRHKLWS QCSGIRDPAAYLKRNELNTESAFDRDFNFITGIERTLERAEREVDNRGIDLAGGAQTD DGNSEGFQHSAAGRKRKHPNQGLAKGEAAFLRGTETARVKVLRAPKGMSRNKQNGSRL HPKHKRLAWTIEWIAADGAKTIRDSVIDTCSVAEAYNRCCPRPRDQETAIEPVKDEKK EDLDTPNTTLAAPSDPVTTVVEEADTKQPTSPTEDSAKEPAHDSTEKTDKAPNQTIAP HRGLYFYLHRPRTTTKKPVLTPLLQTSTLNTVLRNRTVLEFPTIYALPESAETLFADK DNSQFILEEDYLRTAGPYEISQSSTTSDDDDTAGNEVVPGSSVNLQDVDENLVLEVLK KDLFEPVPETGPADHLGNFKSSRAVTATMRINVLSFGIAVIYLGTVASALSVARAPPL LPVKKRPHGCDCYTISGPDPGYFQHYKLWDFRAVDLKKHANLNLSEPIDYGDEDWDDD DEGDDQDPQNGHLPPVVHDEKDPDPRSLVFYKTSFERDWSSQNWERRGTPIAPVLMVN SKHNVFLTRDPEENDPHATYLVLRTTRFSEYTSTAEIETRIRNIYRCSVRVRLRLLPA GSIISEPPQHKEWPPRDPKQHPTMSLNKTMPPRDGRPPDGACAGIFTYHDQTCESDIE ILTKDPPHRVHYANQPDYDFAADHEIPGASTIADIPVPWTTWSTHRLDWLSDMSRWYV NNQIQDAKSYRVPDLDSMIILNLWSDGGLWTGDMRIGDSIYMGIEYIELIYNRSSDAF RGPYVSLSQNHGGDQSAPLGNDSLGNGNPLEPDKNHEKCKPGRQGRECRRKKWRNRPL HESCRRPCKIDEL PEX2_033150 MASKALAIIAGVGPGTGASIARRFAKSYSVVVLARNPDNYDPVV QEINSSGGQAIGISTDVSDSKSVNAAFDKIKAEYPSSKVAAAVFNPGGGFVVKPFLEL KEEDYSYALKSQGQGAFNFAQRAIPLLLEARESSEHPPTLIFTGATASLKGSAKFAAF ASGKFALRALAQSLAREFGPQGVHVSHTVIDGVIDIPRTKAWVFEHEDAKLSPDAIAD SYWYLHTQPRTTFAFELDLRPYIEKW PEX2_033160 MSSDDASPQAGQKYNNSPSNDSSRPLPGHNPAIAKYSKVQLRPE SIVKPDLFTLYFGFFGTAAWMRRVSSTVNERVENHYVLTARSPTQEEFDAIVEHGTRC LYYARTGIPVSSFLGTAFIYNQARKSPMFPRNPTPASLFNAVRTMWGDAAMKGKIGSG AFKMLFVITLGSMASSAYAVSNDAMHMLTDPRLKGFIEDVRKAKPDDVRKRKIQAASE RARSMRSGEKDVGSQMQQAIGTSGGYVGDDGYQQEQASDSSPQPSSYSEYVNLNDTQG DSQSASYDSSTPGLNAGAIWARGRSTQPESRSALDFMDEDDASPTAAEYRNTNIDGSS STGSAWDRIRRQNAGARSQPRQQPGMSQPSLNPYSNSAEHGQSDQERYDSGQKTERDQ AQAEFDRMIEAERHAGSEGSSQNRGWGS PEX2_033170 MAPAPPAVQPRFEACASTASLFLYAQGSTILCLHHDTLAIERRF QSHQENIDFISVDNISERGAGRLVVSYDVGKTAIFWDIFTGTEIARFASFDHLRIASW MRNGNVAFGNGKGEVILFEPSTSEHISARTIFDPITALAPATDCRTYAIGYQNGSILI ATLLPQFTILHTLTTSREPSPIISLAWHASSSKQKSDMLATQSSNGDLRVWSVAKPPG KESPRVIRVLKRSDSNSSDPKWMGWSKNGRLVQYLEGETWSWDVRTKHVTYDPIPTID GVRGIANHGPTATLFTIGPQHSVQQYDLENPAMVKNVQHLPIMPRPGTAEGSRSQTMS PRRLQDAPDIREPPGATRRTPFDANSIESLRQRADLTSPASSRSRTESVSSKASSGKY NMRPFSPPSRSGQSATTFSMTSGGRDTPQASASFAYPSSVSMSSVRSSRAASRLRNEV HLSPADKNIVDLFPFTRARLIDVPYKQQPPIDETNLTSDDLRLQMLSVVFGWDGDIQD LITDELSRHAVGSQSAILLTQWLGENDTDEMASMIGSGQVTTSDWMILALSQMSGQAQ ANKVGQAFVQKLLEIGDIHTSAAILLGLGDSNDAIEVYVSRNHFMEAILMTCLLFPSD WQRQSYLVRRWGEHVVTHSQQQLAIRCFMCTGAEPSEPWASPSAQQTSSFAEMIRAQS PLASPEPSQANMIPPPIRPRSTSNSKPAVKTPALKLITSFDAQPGRFRFPGLKSDDRT PTNAPGITPIAESAVPESAMSPGGFGSYKLNNIQSLNHAMTSRTTTPSFNRRRLPSIG ETPVDVHPPSFSRSNSYSQNEYSSTSENEMSGHEQSQDEKESNSGLLTLSATKYNPSL DSLKPSPQTAVQGTDKFAAIKGLPSPAAGVFEAFTNDDHRNGSRDRKPDGLQIETLEG ERNPQDRSDLIPSAKSTASTANSFASARSPSVSGRSIDQYISSLDEANYHARKFRPHT PGRGRRNPDDTASQSSRVNHPRDTSRDARGMSERRYIQPAKRSPSSPVPMSPDELARY HTGESEKPLETRKSKSRTRSSSKVRGPGSRNRQRSSSRHTASRIGTDKRDASIRGRSN DRQGSSVRSPSSPLPMAYPTEESSQDVDNPLRLVEGNQKRLRSRHRSASRRRAEKGTT SKRDSSPETRHKTSQSLPVIQIGPTLDPWAQQASVPEQLSSKVFGQEDTSNRYPNGQP SSTANDFQDIVSPRTPFVTLAERKRREIAAAELEARRLSLARNPSAPNIPFPGELQSA RSPLEASPPFSGISSYFQRAPSRNKVSQNKVSLEYPSSSDSNSSRSGMPNGLPATPRA MRHPKYGGTGQDEDRPPSVPIIPTDNSMFLSNARYQGDAERIGRSMSVPVPEGQYNAP AMPNDLPMHPRFNPTLPRSRSSSRSRAKGHNRTSSGSYVSGTSPHLQVSIEETIDHAM QRDTSAQYETIPPPPPPPILPELQHLNTPPPPPPFPFSAAPVSPRESSATIDIAIDNN EDLGRLVPRAMTAAPALNVGGNGMDARQSGGQRMSFDHRRNRSSNESFTNKLRSLTRM RNNSRSVEPWTQAHEAEMAPYDSLNSHVSGSNSNYVLPSQSYVAPGPNYV PEX2_033180 MSKETIVVIGAGVIGLSTALYIQQHLTPTQSILLVARDFPSETS VNYASPWAGAHYRPVPGSSPQALKEADQAQRTYQFLKRTAAAEPGAGIKFVEGVEHLE APPPEYLDQQSVRNVYSHLDGFQALGKEEVPSGVAWGVKYGTYVINSPVYCAHLLRKF ILKGGETRQYTLANLKEAYSMAESVKTVVNCSGSGFEDPKSFIIRGQTCLVRNPVSET ITRQNTDGSWSFCIPRPLEGGTIIGGTKEPNNWDPNPSLETRQRLLANATKWFPFTPE SGGQFDVIRDIVGRRPAREGGLRIEAEKLADDRYVVHGYGAGGRGFELSRGVAEDIVA VMLEKRLLQAKASL PEX2_033190 MPPKKVDQPKKKKATVEDKSFGMKNKKGGAAKKQIQQLQAQAAS NKNLDEKKKAAEKEKREAEKAAAERAKRENLELFKPVQVQKVAFGVDPKTVLCIFFKQ GHCEKGRKCKFSHDPNVERKAAKKDLYTDTRDEKVDEEAKKEKDTMDDWDEEKLRSVV MSKHGNPRTTTDKVCKFFIEAVENQKYGWFWTCPNGGNKCMYKHSLPPGFILKTREQR AAEKALRDKSPLNTLTLEDWLDSERHKLTGTLTPVNEETFAKWKKERMDKKAAEAQAQ QAKEATGRTLFESGNWAEEDSEAEEGEDEDGTWNLSVMRRETERLREQKEEERLLKLA GGVLPTSIPTSIPEAVTPEAVG PEX2_033200 MSQTLTPEVTWAQRSSDSDPERNYLYVNIKVAEVPKADATLSIT EKNVSFKGTSRKGVTYNVSLDLFAEIDPENSKVNHTDRDVELVLRKKELKEEFWPRLL ESKQKMHFLKTNFDKWVDEDEQDEAGEDDYANNFGGFGGEGGGDPSAGAGGLGNIDFS KLGGMPGMGGMGGMPDLSALAGGMGGMPGMGGLPGGEDAEEDDDDLPELEEAEGQKST KIQEVS PEX2_033210 MESLKAVFFGPDPQAQMRKCNALIRTNTRQLDRDLARLKVTDSQ TRQHIVNASKRAQRNPSQAKQANNDTKVFARELVRIRKQTTRLNTSRAQLQSVGMQVN EAFSARKIQGSLQKSTGIMKDVNTLVRLPQLSATMHQLSSELVRAGIIEEMVDDATMD PSVFEDEEDEAESEIEKILQEVLQGKLAQAQPVTAPPVAKISEPESPQAEEEFEDQEA TLAQMRGRLEALKS PEX2_033220 MSANLDKSLDDLVGSRRQTARHTARRRGGSRRAATKPPAVGGVK KATKAAPKAAHPTPVAQTGSSKILVSGLPSDVSEANVKEYFNKSAGPVRRVMLTYNQN GTSRGIASIQFNRADTAAKATKELNGLLVDGRPMKIEVVYDASHVPAVPAAKPLTERI AHKARPKSAAAPKTKENKTTATDKGSRRGKGPARPRGRNAGRGKPKTVEELDAEMIDY FNTDAPPAEGTAPVNGTVPQASASQDIGMADEIS PEX2_033230 MPPKKRGGAAGSSAPAAPKRGRASKLAKENNITAEEENEIKEVF HLFSNNNTDFPDEKEGVIPREDVRKALVALGLPPTDSTELAEILSALDPTLSGYVPYS PFVSIAAAKLRSRDDDAMAAEVDDAYQLFTRGTDGPITLSHLRRIARDLKEDSVGDDL LKDMILEGNGGAGLSAGVTLEQFHDVMTRAGVF PEX2_033240 MDVSLYVYDLSKGLARMYSLALTGTQMDAIYHTSIVLNGVEYYF GQGIQTAAPGSTHHGQPMEVVKLGTTELPNDVIEEYLGSLATIYTPESYDLFLHNCNN FTQDFSMFLVGKSIPEHIINLPRTFLETPFGQMMKPQIEMALKGVTQGTGTGPAPGPR AQPTTARAVPTAPQPVAYPGAGTVRMVSNLSQLQSELSGASHSCAVIFFTSSTCPPCK AVYPTYDQLAAEAGERGTLIKVDIGVARDVGMKYSVSSTPTFMTFLKGQKLDQWSGAT PAKLVGNVRMLLEMAHPAHPHRQLRLPSLQREITTFVMYKKVPPIEKLLQKLPVAFKE GHGVAQVIEFVKLRHSTTGPVADIRVPDLHTFATTLTSTYSTLPSGSHFAVVDLVRLL LLDPRASGYFAEEAQHATLLTLLAPLSQDDLSSCPYNLRIVALQLACNLFSTPLYPEQ LTSSSSPLRETCLRLATNSLLDSQTSLRVVAASFAYNLASFNHNARFDGKLDPLSEED QVELTASLLEAVSREDESVESLHGLLFALGLLVYEAPMDGSLIDLCRAMGVAETISGK MKVEAVQKEPLLNEIGGELFSKGL PEX2_033250 MTDTESSRDGSRDNAEMSPMKGSGEHVRENFKVVLLDFEQAPYV TLDVFTSQRFKGNPVAIVKLNDVKLAQEQKQQIAKEFNFSETVFLHPATGDGNPQVDI FTPVNEMEFAGHPIIGAGHFLFRQVLANTPNRSGTLTIMTKAGPVPISYDPANEVVSA EAPHNIHIHQQGATANHILPVQASLSAVSDLHGVAEKSPVISVVKGVTYVLVDLTERP DIFANIVPGGSPELDLDAGWSPSFTGIMYHRHLGSRTEGDLVIWDLRVRMIAIGLEDP ACGSGGCSLGAYLALSNGQKGRNHWFYIDQGIEMGRDSHIIVDVLLDEDRKKVSTIRL AGHAAFVAEGNIFFD PEX2_033260 MIMATENIIHHIVAIEALHCPIPEFDLPGPHTRAVHRWTDPVDL PSRVKDATIIVTTTTRLTAETLSAEVTPKLRLIAIMATGTDCVDVAAASARGITVCNC PGSNIDSVSEHAIGLYFATRRKFIELHNTTVAVPADLSLDTEWKTNGTLLSRVRAPDG KAPLLCSDETVCIVGYGSLGKRIETLAKGLGMNVIIAERKGVSPRAGRVVFEDGLKKS TVVVLCLPRSAETLNMISTAELQIMSPHAVLVNVARGGIVDEHALVEALKRGVISGAA TDVYATEPAGRGDSPLLSPEAAGLNLVLTPHLAWYSERTLQNLQAALKSTIEKWCVGE IINQVR PEX2_033270 MANSTTSKLRVAIIGAGPAGLGAAIEFAKLPFVDLRIYEQAREL REVGTGISLQRNTWRLLDVFGVLENINPSDFFRSADGHSVQHRNGRTGDLLLSNGQKD TPPRHKHARALRTVLQRGLLDGVDASLLRLSSRLIEIIELPNGALSLRFEDGRTDEVD LLVGADGVRSVVRSFAFPDHHIGYTGRAAYRALVDADKILRIPNFPDAVTFWHGPKDW VYTCNLNNNIYEVTTMAEVSGEIAKVSWGEDATLEEFRKPYREFAPIVQAVLDEVKEV KRFALFAGPRLSTVISRGSIALIGDASHPLSGAFGAGAGFALEDVFVLTQSVKWAYER DLPVGQGLQLFDNVRSPHYEQLYGILDEFAKSDATIGVPITFDDAVARTVSDKWSEDH HWLYHYDEVWKEAYKAEDARIQQEDSKKSESLVYQAQNMSSHLQRIRLHPWYSVAIVA WTAFCHPGMFGALNGLGAAGEETASLSNVVNAVTFGALTVGGFFTGIICNKIGTRWTL ALGTLGYAPYADPSVQERGRAVATKFTLQNFASSLGGMISLGLNIRQSQAGRVSDSTF FVFISIMALGLPAAVTIPRPNQVIRGDGSRVSAHRFQSWKEELAGLRRTLGLKSFHIL FPFLIYWQWDLSYMWSWNAQYHSVRTRALLSTLFYLVGPTFIGPIQGYLLDNAKWSRK TRARVAVVSFTILTLLTWIYGLVVQYQYDKQTTIIDIKDPVFIKSCLLFILYGLIENS AMVTGYWIIGSLGLDPGSVATFVGLAVPGLLYTGFKLVTEDAVIDAATTQVDWIDTAE TNEAISSREKGNVHSVTEASLSTE PEX2_033280 MTVTKASDLLPDSIPVLIVGAGPIGLSLAIELRLHGIEAAVIEK DLEIVDGHPKGRSNDLRTVEHYRRWGVSDKLRKFSWQPANPKQRLVITESLIQKPLGS FPLLYGRDVEESNDLAAEPSFSVPQPVTMKFLQARALELGASILRGWKVLSVRQDEDR VIAEIQSPSGEVHSITSAYAIGCDGPGSLVRKSAGIQQKGVEPIGQTLSFVVRAEGYR ISDLINSPAHDALGMLFVLSPRVSSIISIPGKDDWGYSITVPDGKTLTEEEILSIGRE ILGVKTNLKIVSRSSYKVFTRVSESYQNGRLLIAGDASHLCPPTGGHNMNAGIGDAVN LGWKLAAVLKGWGGQKLLESYDLERRPVGELVSDAAMKNSYDLKKVAEIVEGLPSLED ASEGERLQRGQLAYDLTYPEWNIVGVALDQRYDRSPVIVRDSQPSEPYDGTKIWSHAT PGHRAPHVWLPDGSPLLDHLGEEFTLIDVEAVEKNVQEILAAADRVGLPLKRLQLSAA VARAKYAAEITIIRPDQYISWQGSQSDNPALLVDIIRGV PEX2_033290 MSETTKSPEIDTAIATNHDSDGSIDLRAELINLSEIDAVLAKKM ALVNAAIDEIGMTPFQWKLFFLNGFGYAVDSLLVVCQNIAQTAVNQEYRSPNERLQGI SLASQVGLLVGAAVWGFSADVVGRKLAFNTSLFISAIFVLIAGAMPTYVSFSAMVAIY SARAGGNYILDATNFLEFLPARKTWLVTALAGWWAVGYTITGLFAWGFMSNYSCDPDA TMETCHRAQNMGWRYLHFTSGALVIVMSVIRVFVVRMVHTPKWLISQNRDEEVYQILL ELSEKYDRPLNLSLEQLKAQGQVINTEKSVWSKVRLVSHFSGLFSTRKMGYSTVMIIL NWLLIGTVSPLYGLFLPFYLKLKGSETGDDSNYTTWRNYAITQAAGLIGPLIAGFLVE MRWFGRRGTLAVGAALTTALQLGYTQVKTPAQNLGVSAAIGAASNIYYATIYAYTPEI LPAAHRATGYAVCVVMNRIGGIVGVLVGSYADVNTVAPLWVCAGLFGGLIITSLLLPF ESRGKRTV PEX2_033300 MPSTLNIAQLNNNPSPHRIIIVGGGIVGAALAFHLSKGKSENHI ILIDKSLETLLGSTGHAPGFVGQLNESPVLTRLAKDTVQDYLTIPGGFETVGGLELSS TASGLGMLEHRQKTAQGAGLPAELLTPEAAASLAPDFIDADSIKHCLHFPSDGTANAG VITSYYVDQARARGVDFLEAAVTGFATKKDNQTSKIATIKTASGDINSEGSTVILATG IWTSSLIRSDNIPITQLPIPIVPVAHPYTFTPPRARRVGTPYPFVRWLDHHAYARDHG ERDGLGSYDHPPMQLDPIESAIGAWPPSFDQVLLDASSNLKNGAEFQVQGQNTNSEEP WVAEKPFNGIFSVTPDNLPLAGRVPDVANLWLAAAIWVTTAAGTAKLLAREILGDDEN STNLEDKVLLDALNPARFQGVEADLLLRQSLSKYNDIYNQET PEX2_033310 MVFLSLKYALSGLAATAAAVPHANRNTCDNSSLNTTATTYTTTS EDTIFTVARKFDRGPCDIARYNRMIDAEHIFANFTLRIPPQVCNPDSTTCFLTRQNAT ATCLKGGPHDYRTIAGDTIEKIALYKLNMTVESVYENAKMGVSSIHEELPVNTFLKIP QCVPSVCHVTPFHFTYGVYKDIAEMFDTTVGQIMAFNGGYNYSESASDADAAWITVPT GCTNLALNVTEEI PEX2_033320 MSRYLLLLWLKFLGEDDLRPCISDDREYLAVMMQAFMPRFVRSI SPMASEYLPGDAHNLCIDLANRMEAIEDDCNFQTFIAMYRERYVRKPLPQRAVVELCL LHVLKMPFELNSSIKNSLIRY PEX2_033330 MHVVSEPFAPSIRTAVPGPATHGIKEELDTVIDARTVQMVIDYD QSIGNYITDVDGNTYLDVYTQIASIPVGYNNPTLIKAATSPEIVSALVNRPAVGNFPS HQWLALLREGLMRAAPPGCTQVFPAQSGSEANDLAFKAAFMGYRRQQRAGSPWTEYEQ ATAMKNQAPGSPDLAILSFRNSFHGRSFGSLSTTRSKPIHKVDIPAFKWPQAAFPQLK YPLEAHIEENAQEEEICLQEVRSIISSWHCPVAGIIVEPIQSEGGDNHASPAFFQKLQ AITKTHGITLIVDEVQTGFGATGKFWAHEHWALPFPVDIVTFSKKAQTAGYFFSDEKL RPDKAYRQFNTWMGDAARIIICNAVIDEILNKKLVEKTAQVGAVLYNSLATLAAKYPH QIQSLRGKNKGTYIAFDTPDSSTLLKKMRELGINIGSCGVQTVRLRPMLIFQESHIAP LLAAFETVFSQLE PEX2_033340 MEEFTLSRGSIDEEMGDFKWIKNFVAECTCDGITVANALAQYIH RKGIRSGL PEX2_033350 MVHTFYDGTIVVAEHILGSLSHFLQQAEQRPNATDLLNARLCED MYPVTDQVRIATQFSEYLVARLTGREPVTTEGKPTTYAECYERIEKVLKALKEADKDV VNQHADKLKSTQMGPDQAAEISGAIYAHTIVLPNIYFHLTTTYGILRKEGVPLGKLDY YVGFSSRRLA PEX2_033360 MASASPTHSNRSKSVKKSMRQKQGRRKSSLMKKASEYSKVCDAD VCVGIRVRETGQVFILSADASGFWAFLGSQLVCCQLCL PEX2_033370 MTHIVPQLKDKTLFIGKCHVNAEWVGAQSGKTFEVTDPATEKVI GTCPEFSAQDTERAIKAASDAFPAFRKTPVRERSRLLRRWNDLILQNVDDLATLITWE NGKPFSEAQGEVKYAAAFIEWFSEEAPRLYADTIPTSVPGNRVFTIKEPLGVCGLITP WNFPAAMITRKIGPALAAGCTVVAKSPGETPFTANALMELAFRAGIPKGVINVVTALE NTSEVGHTLTTHPDIKKVSFTGSTRVGKLLMSQASSSVKRVSWELGGNAPFIVFDDVE DIDLAVTGALTSKFRGTGQTCVCANRIYVHRSKYEAFAELLVKRIKNFKVGAGFAEGV THGPLIHLAAAQKVADHVADAKSKGARILLGGSPINDLGRNFFQPTVLANMTHDMRIA SEETFGPIAALFPFDTEEEVIQLANRCEVGLAAYIFSDNIRRVFRVAESLEVGMVGVN TGSVSDVAAPFGGVKESGFGREGSKYGIEEFVNVKSITLGGIF PEX2_033380 MTHLSDYRVLSFDVYGTLVDWEGGILAAFQPTLDKTAAQFSRKH LLTVYHELEREQQSKTPDMPYHQLLTTVHPEFAKRLGLEIPSEEESNRFGESVGNWPA FPDTVDALRRLSKHFKLVVLSNVDRASFAKTNAGSLEGFPFDLIITAQDVGSYKPDLQ NFKYMLSTVKSTFDIEPSQVLQTAQSQFHDHHPASKIGIKSAWIERPGATMGNLSDTI YDWRFDTMGDMAKAYEAGQ PEX2_033390 MEEAFLEGGFPPLRLLGHAEKYSTTRSHLGIYLNVGLTALYKRP SGVPVKPALFYALSMLIARHPILSAVPFAVDTPNPYFVRLPKIKLSEVVIFMEEEANS PSLDWREILDKVLEQQHNCPFEIQPKKSFPFWRLCVVERRSCPRNFVLVFVFHHSLMD TKSALSFHDELEGYMAQYDGLEQSDTVYSPSDALIPPIEDLYTLHVSQEFLQSQEKDS EPSPDSWTAAPQFTPVKTRFSSLWLSEVDTKVLIALSKKEQTSVTATLQVLIATCIFS VLPSTYHTLQGDCAVSLRGFLPEPITATTLGCYVGSLSVTYRRKPSFDWNETRRTKVA IDYAMAQKGGDMPVGYLPLIQNQHHWMLQKLGRNRKSAFELSNIGASSTLRGGSNFEI KSMLFSQSSSACSAAIKVSAVTGRDGRLLLGFTWQEGAIEAGMIQEVQRALNGEIGRL AVSG PEX2_033400 MKRIITHLLGDVSFETSNLQKIADTLDQGQGQEQSDSDSVDSNE STPTNDYSIDPLSTSTMHYSGELSHWNFSRMLGRRLQSLGEKREQSKNLDQTEGFFRA TALQSSGSCVALAKTYLPPKHIAEFLTTAFLKFSQTNYFYFHEATFREKLDFYYATEH PLSINDAGWICTLLMTFAIGTQFAHMQTKSIPGSSVSTTETSPDDQIGLELYRFSCRL IPDLITVASVETVQAFLLLGVYTLPIDTAGLAYIYYGLAIKMAIQNGMHRKLFEGNVA PNMVEVRNRLWWSAYSLESRIGILHGRPVSVSPIEIDTPMPVDLPALRPTDQLTNLPN FTATIVLTNYLAKAAEAMRSLRRCQRARKKRYLRQLATTRDRLSSWWEGLPTETHCRH LAPAGAYFRCNVHLEIYYRTTLIYMGRPFIISQATSGSFNLDSDSTVHEVPDIVNTLR QDSLHAALRVIELCQLLQDSVGLARVSYTEFNGCRVALLALIAHSINEPTTRISSVLT QGMGLIRQICPGLESARSEVAVIEALERARQRLYSHTVAEQSSVTDHTTGYDQFKEWA KLWRGDPLDNSDVPVSTNLDMPSEQRSPNSVPSFDGFFSSFPDELVEFAAIPGLDYDL ALAQDWLGDPQPEGSDWVINQPL PEX2_033410 MIPIQSRLHEMSLPKAAAPIASTDPAQPTFAWSGSASSSMSSPF APPPTPSDSLLDISPRKSSFSSEMGAAYAFPSWPNRPSLSSNNSADSCASAFLSDDDL LWMPENSAAEQAVDEAINQDAGTICSVTMEQQLQRMRAIAEQEDRANFLAKVEAHARA TQALRTAKQSIVAERETSKPKKRRVAPTPKRRAHSASKVLSQ PEX2_033420 MIRTSVRLQESLFFKPSKFKISDPSQRLRNPLLEDILWAQFFLK QQQSSESKVTEVSRFPIGEPERRKLKFYLRKDASWKRMLLQQPPTSSIGVLEIIKKSD SEFTKLSVSPNEFLRMGHILTLLERGSTLVPTRNKWILWSGRSRVMPLNFECWTPKWV YEPSTLRPVQEWIPENIDWDSLRLEVASMYLNDFDCGVVIVSERRQMLFQGENRVHRE SKLDRRLEKAFGECRVEVGRKHINHSWIPIKGVGANRRWILRPCSATPLVPTLSDSSE ASDSSSMSSFSLSL PEX2_033430 MNTRDGRSEIFSNRPDQYASRNSHYETALTTLQNNQALAYLFVA LISIPIFLGYLGLVPISLFQLLWDLIVYCTPSRIVIALDRQTSASDPKSLAPLPFQDR SDAMKRILGLDNHPFFSQSRSLSIFGNALLGNTKDVTPPGLGNWNNSCFQNSIIQGLA SLKYLSEFLGHNVDNLSGKASVSTHRALLDLIDRLNSASKSGTKLWISGPLKSMSSWQ QQDAQEYFSKLLNQIDHEAELCSRGETLNMGLKIAGPDENIFRDSDCEDPVTPWEPES LPSTDKLYLDRVSSCNPLEGLLAQRVGCMDCGWTEGLSLIPFNCLTVPLGKRASYDVR ECLDQYMTLEPIEGVECSKCTLLHRQEQLINLIKGFEADESRSDSSDEPQPFDAVKLS AYSRLEAVKTALDGNDFSENTLANKCHINSKNRISTTKSRQAVIARAPRCLAIHINRS VFDEYTGALEKNLAAVSFPQMIDLNNWCLGTQAPNQSADNSEHWETNPSRSMLPQPGE SIEVPSRQYQLRAIITHYGRHENGHYICYRKYPTSEFTAPAPDEILQAEGDKDKPERW WRLSDDDVQMVSEGHVMTQGGAFMLFYEVVDDSVSPCSTRSATPENDLFDRCAESTQF ESEDSCNLAMRKNISTPSTVTDFESTTSRETSISLPMDDFLPADEPLKIPNVIGTDVE RNDNLVSASAIIA PEX2_033440 MAKSKNSQRSRAARRAASPSLDLDKSVTSLPRAESPTTTRPSVL ADRATSGIQKKQKKNDKVSRAQRLRQQKGMDRAEAVMDQLEIKKAKSLARGKTVNSRR ADWEDTNRKTLAFSALQQDDDDEDDEDDEAMGEDSAPTTIAVAKNVFQIAIEDSNPAI DDSTTVDEADEIT PEX2_033450 MPPNPLANWEKVGDSFYRKIAVYDAIFEDDVELENYIVAGAPYG GAIALYRDESKPFRLRDGQGSRSTIDVYSCSGQRINRINWEQATIRGLGWSDKEELLV VSEDGTVRRYFGLDGEFTSFSLGNGAEEYGVRACQFWSSGLVALLSNNQLIAVSKYDE PRPRLLAPCPEGEVSSWALIPPAHTLSRSVEVLLAVDKTVFLIDSTEAEDKILQDGPF KHISVSPTGRFVALFTAEGKLWIVSNDFQNKFSEYDSKSRVPPNTVNWCGDDAVILGW EDEIHLVGPNGVASKYYYDGRVHIIPEFDGVRLMTNDTCEFVHKVASVTEEIFRLGSS SPASVLLDSVDQLEKKSPKADENIQRIRSSLPSAVDTCIKAAGHEFDAYWQKRLLKAA SFGKSVLELYNSDEFVEMTEKLRVLKALRDYKIGLPLSYEQYLRLTPEGLVERLISRH EYLLAIRISEYLQIPADKIYVHWASQKVKVSTVDDEAVCKLIVQRLDGKPGISFEVIA QAAYDEGRSHLATQLLNHEPRAGKQVPLLLNMEEDELALDKAIESGDDDLVNYVLLHL KSKLPLASFFRMINTRPMASALVETAARGEDIELLKDLFYQDDRPIDGANVLLSEALR DSDLTRQTEKLHLASRLLSDSKEPTVVLNQKLVSEASQLLKAQDALDKDLADHSEFLG LSLNETVYRLVRGGYGKRAHKIQSEFKMPEKTFWWLRLRALVAKRDWGELEEIAKLKK SPIGWEPFYNEILGAGNTKLASGFVPKCTHLPPAERIEMWVKCGMIVKAGEEAQKTKD LDTLELLRTKASGPAATEIERMINQLRPRK PEX2_033460 MDYTDLADIARQLSDLEVAILLCLVAREHCLIETTSHCINDLAK ELALIGSTTFNYTYCILDCSSATSIEDILNDVLTPDARANYRPSRPWLNTESSSKRSS YKSLGDYSKLPTPFSPLSSNVVNIVVAKNFNFVSDDIQMHMLQLMRSRELVTESGTLS APQDFLFIPLVERDSDQLQPPLKPHMNDNLFISHFHSPGDGYTYLEENDWLSDGEISA SSVIHKSKGKQTKNATISPLVMAQLRETSASVSTNAEVVRYIQDIVVFLRLSRAVAGG VSANANIQFSRFAQLLAPLHGIDYLTPSIVALAARKVFRHRIVVTPPGEDRSLQYGSN LHAVSDVLADVTPDSILDGVLALEPPL PEX2_033470 MRLNFSEPPTPTLSRQNTTIHGHHQRRGTHPHRLSSRVKPRRWP LVFRFIKGAIHGAILVPVFLHAVFTALIVYLDNYVFETVGLPSTIIPSLSIVVGLMLV FRNQTSYNRFWDGRNGMNTINTCVRNLVRTIATNSYNSKRGPPTPTEREDIERTVRIL MAIPYAVKNHLRAEWGAAWVFGNAVDEDLNIHPSTLYNPEYANLLPAGLEGHEDEGLG LPFQLTFFIDGFIKRGEERGWYPAPGASQMQAQLNTLTDAYGRMETIKLTPIPVAHLI HQKQVLALFGCVLPFAMVDEMGWWAIPIVSLVIFTLYGIEGIGSQLEDPFGYDRNDIK MDAIVGDSKTEIDVVLSEWRTHSKAMETLREQPAGAVHGNLCLTPDRNESGNGSVDGK YTPPDLFLRLRPNTGR PEX2_033480 MHEILVITCPSGRQSSHLIPLLYNRSRFKLRLAAHTSESASRLQ TTYPEAEVQICDISSLTECRKLLSNVTSVYHVGPSLHSRETEIGVNMIDAAVAESQKP DTNFKHFVYSSVLGTQHRNLMQHDHKSRVEERLLLSPLNFTILQPTNFMDVYPVAELA KIESPSIEYIWNMYNPHIVNSLVALKDLAEAGARVLDEREAHYFAQYPLCSTFPVSNA DFIQVIGKHIGKKIEVPTPTFEEGVSKVLKLLYPGESGVYSGTHVHSDLRWPASQGEL RPDITRDEAERLILFYNRRGLKGNPSVLRWLIGREPTTVDEWVKLQLRG PEX2_033490 MASLLDLPAEIRLMIYTCLLKPNEYVKSYRKLKDPESSAKGGPL CAIPRPYVKRYTPSILLLNKKIATEALHYLYQIPLNLYGTPSTYFAMRQMDITEFISE HYLQRIHHGVLRLNHANKHFVLSILDIWGAENRLERLDVYRPKTQLDNQHWKVVESRL STFSSVVPVVFHEVDNPLKVEATRAT PEX2_033500 MSTSVTASTSLPDCPRPSRLRRFSLRTYTQNQASLPSGQHSYRH SLSSRIPWFSNPGSISPTASSPAPCPESDHPILSRYTSAPAPSYGFGTELNSQVSSTD SAASTPSNDPIQTPNSMARLRSTSAAHRPPPRTLERATDNSQESTPSPEPGAVQGNAT EPTSAPADGQGTPSKSETKATIRFFPYQDALQSSRPSLPFVPVARTLPSESCVIRVGR YSERDGVPLPNPTEPSDAPVGFKSKVVSRKHCEFLYVNGQWHIKDVGSSSGTFLNHMR LSQPNMVSRLYTIKDGDIVQLGIDFRGGEEMIFRCVRIRIECNRSWQQQPNEFNKNTE SLIKNLGKGETADYSGCRECSICLGSVLRPYQCLFMAACAHVWHYKCISRLLHSPDYP MFQCPNCRAFTDLSAEVDDSNDVEEKREKEPTEDRASNDSAGRLETEAPSAIETSSME GPLDQLGDLPEEENLVNDVENLHLVDDQQTDDTRSSASPAPNSSSDDLARSATINIPG WQPTQPLNVPSGRPSQLRSETPTSDDNPLTPRNDSGPLAFDGRAGMP PEX2_033510 MSGIVTVCLSCFSAVDRWCHITACLGPIGARSRDGIYETTLADN EREAVSDLLGYLENRAETDFFHGEPLRALSTLVYSENVDLQRSASLTFAEITERDVRE VDRDTLEPILFLLQSSDIEVQRAASAALGNLAVNAENKVLIVTLGGLSPLIRQMMSPN VEVQCNAVGCITNLATHEENKAKIARSGALGPLIRLAKSKDMRVQRNATGALLNMTHS DDNRQQLVNAGAIPVLVHLLSSPDVDVQYYCTTALSNIAVDSTNRKRLAQTESRLVQS LVHLMDSSTPKVQCQAALALRNLASDEKYQLEIVRAKGLSPLLRLLQSSYLPLILSAV ACIRNISIHPLNESPIIEAGFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKEL VLQAGAVQKCKDLVLRVPLTVQSEMTAAIAVLALSEELKPHLLNLGVFDVLIPLTNSE SIEVQGNSAAALGNLSSKVGDYSMFVRDWADANGGIHGYLHRFLASGDPTFQHIAIWT LLQLLESEDKKLIGYISRSEDIVHLVKIISDKNIESDEEDVDDGEGEVITLARRCLEL VGAGPKQTLVEA PEX2_033520 MASLPPIAIPGQRLGPLSTYSAGPGTHVQQSFIYASIAGPVVAD SAHPKSQTRPTLRVSRVISPKDQAGSSPAVPAALSTMPKPRYNTLPAVDSIVLARVTR VQKRQATVSILVVLDESASQSQDQSRTASDNDNVASILTSAANPENHSSTDELRFQAL IRKEDVRAVEKDRVVMDEMFRVGDIVRGSVISLGDQSFYYITTARNDLGVVMARSEAG NMMFPVSWKEMRDPVTGTSEQRKVARPF PEX2_033530 MPVVNVDQLVRLQRQPEDIRNICILAHVDHGKTSLTDSLIATNG IISPKLAGKIRYLDSRPDEQLRGITMESSAISLFFSMLRRPSPDAEPVPKEYLINLID SPGHIDFSSEVSTASRLCDGAVVLVDAVEGVCSQTVTVLRQTWVEQLKPILVINKMDR LVTELKMSPAEAFSHLSRLLEQVNAVIGSFYQGERMEEDLQWRERMEDRLNAAAAREK DNKKSSADDESAQSITEGTEFEERDDEDLYFAPEKNNVIFCSAVDGWAFTIRQFAAIY EKKLGIKRTVLEKVLWGDYYLDPKTKRVLGVKHLKGRALKPMFVQLVLDSIWAAYQAT TGGDKGKGDPVLLEKITKSLNINIPVYILRGRDPRNIMNTLFSQWLPLSTALLVSVIE YLPSPPAAQAARLPEMIKTSPGAAFIADDIKTAMVDFKTGPEQPVVAYVSKMVAIPES ELLSNKRRSEGAMTAAEALEGARRKREEIAKMQAEARSNGQEDDFERMTSVFETTSLI TETTEEPEEPVEKDDPDHLIGFARLYSGTLSVGDEIYVLPPKFSPAHPHASPEPKKVK VTDLYLMMGRALEPLKSVPAGVVFGIGGLAGHVLKTGTLCSRLDGSINLAGVSLSMPP IVRVALEPVNPADLSKLVTGLRLLEQSDPCAQYEVLPSGEHVILTAGELHLERCVTDL RDRFARCEIQTGEAIVPYRETIVHGPEMAAPKNPELGRGAVLTVSASKQMTLRLRVVP MPEAVTEFLTKQVGTIKQLQLEKRSETEGKTETDNAAEAVVDGTGEAPEGQILSKQEF REGLDKLFNEEVKEDKELWKNVVNRITAFGPRRVGPNILVDATAVNTCEKFLVDDTKQ HIDGDNHQALLVRDFNDKIAYAFQLATGQGPLCQEPMQGVAVFLEDLSVQSSAGDELD MGRLTGESIRLVREGISAGFLDWSPRIMLAMYSCEIQATTEVLGRVYAVITRRRGRIL SEIMKEGTPFFTILALLPVAESFGFAEEIRKRTSGAAQPQLIFAGFEALDEDPFWVPA TEEELEDLGELADKQNVAKRYMDAVRSRKGLVVQGRKLIDAEKQKTLKK PEX2_033540 MPKNPKFEYDAKQPAFLQKLRGQYGDNTGRLERPALRPTRLKVN NDDDDDEPTYLDEESNEVISKEEYKALVGESGPKEEGEARDSAKDNSTGDQDKSQTEL STSKQNNLTEVGGQRKRKQAKVVGEDKAEPEEAQPKAALKKSKKPKKIKLSFDEE PEX2_033550 MLCAISGEAPQVPVVSTKSGSVFEKRLIEAYIAEHGKDPVNGEE LTTEDLIDLKSQRVVRPRPPTLTSIPSLLGVFQEEWDALALETFTLQQNLAQTRRELS SALYQHDAAVRVIARLTQERDEAREALSNVSIGATRAGGDAMQVDSTGLPQAVSERIE NTQAALQKTRRKRTVPEGWASSETISTFKPTETSEPLYPGGRALSINSTGDLALVGGV DGVVGVYSLSQKSVVQTLKTDGPVTDATWAGNKAVVGSSTGSVKVFENGAEVASFTSH AGEVTAVTVHATGDIVASVGVDKSYVLYDLTTNTVVSQIFCDAALLSVNFHPDGHLIA AGGADGQVKIFDVKTGAAAADYAMSGPVKCLFFSENGTYLAAVAAQSTTVSIWDLRSS KETKVLDTGSQVDSIFWDYTGQFLLTGGPSGVTVQQYSKASKAWSEPLRSAVPATSVA WGSAAQSIVTLNEAGVVTVLAAQS PEX2_033560 MASENDGSGDAAWEQHFHPRRSDHARSESTRLPPRRHRDGLDYR RPAMLSPGDNVVIDLTDDPDSPPPGNVRTFPGPLHTPHPHRPRLRFPRDLMNHTTPVA DHPTVIDLEAETTGDSVDLSLDNGDVQIVGSSSVRPRPIEPRYLDNNGIPMHFRPLIQ PSSVLESGRPRRGMPARSYFRSEEDLLSFMSDTLHYGVSRFDYSPHAGPAPQPRRSSY KGLSPAPEGFTRILAEDDVPLCPNCEDELGTGEGLKQQIHIAKPCGHVYCGECAGNRS ISKSKKKASKTKPFSKCRVPGCNKPVSSPTAMYHLYL PEX2_033570 MATFKCIAEQDDVPWSQAHTHLANMGGFVLKFSETTPLVPHHRV WKFAGTGIPPTNVLNPRSKISQAATELTVNSANPHRNSLPARIIKKLNSPKGPDYLRA TSMTAMSRKIGTIDWHKEKLNSVAVDKAIETVTLDDFQGSYEKKSFLSQYDEWFCNLR TFRGNIWVLDSNQVLLARQLGIIKRLPNVSEDEISDRNKGDLFVKIIALCQILWFSFQ FGVRVRLNLSTSLLEVLTLASTVSTFFIYLLLLKKPKDVECSIGILATRYASPKEMIR LALVGPKPYNYRSSPWIPNSARHWSRNTTAHPLMGATFGAVVFGGLHYVAWYWHSSFP SEFDKWAWNIASAVAMAAVPTVYLVQALITSITRLCSYKTNILLRSGFERGARYSFSY LIWAVFFLSRLCVLVLAFRSLAFLNPETFQKTLSDNIPHMH PEX2_033580 MPLRGIRTSTAARNGAGAFILQCKRLDFHYCNWAGSSKGMVAFL EKTLPAFARENPQIEIRVSPRPQKHPLIKGLYINGREKPVCVRNLEPHEILKKANLLK EASGEKLKRVKKPVTSLNESVRGIWSPYHGDLRGV PEX2_033590 MTDKLPPPLLALFQPRPPLRYLPPSDRAPDDCQKSTISGVAQFL ADAKTFTDEVPYNATESWVQRKLREKTEKKEQLEKQIAEGLQNFNPDKDPQARGDPFR TLFVGRLNYEAKEADLEREFGRFGPIERITIVKDTVSDKKKKPHRGYAFVVFEREKDM RAAYKETESLRIKGRLAVVDVERGRTTKGWKPRRLGGGLGGRGYTKLSAAPRGGPGGF NAPSGPGGYGGFRGGYGGRGGGGGFRGGGFRGGGDRFGGPRGGIGYQGNRGGFGGQAP PNAPSGPGGGRSGGFRGGSFGGDRGGDRGGGAGGAGGRFDRGATGSNSEPVRPRDSYA DRDRRDYDRDDRYRDRDRDRMGDRGMGDRNGDRFRDRDRERGDRYGGGGRDGGRDAGR DAGRDGGREDYGRKRTREDEPGHDDPRSRRRY PEX2_033600 MPRSKRARVVHESKTAKKDHKEQTRRLFANIRECIEEYDHLFVF AVDNMRNTYLKDVRTEFGDSRVFFGKTKVMGKALGTDVENEAAPNVRKLTPFLAGAVG LLFTSRTPESVIEYFENFRPQDFARAGTEATRSFTIPNGLVTARGGEIPTEQDEPVSH TIEPALRKLGVPTRLVKGKVMLELTEGQEGYPVCKEGETLDSRQTTLLKMFGITSSEF KVDLKAYWTRSTEEVKILETEGGMDVDA PEX2_033610 MLDFMDYIQLSFAEATHWNRDNSYSSLNTTAQSILDFSTPERLR VHLSSLSTPHFATSYTLGTVGLLDGSVSYLFSTVPFNNLPSRSASIPLRKICPGYRQV QAPAAPIQTWGWDSLLDGVSIPGLKEASRSEPPNPKDGENIRGNEAKRKATLLHASLH LPPPSTLYALFLRRISTNMQLSLAVCSTQGPPQSKSAPQASILTQLSHDTGKYSNEYL FSTDNALFGWRGLWNFGPDPRYTRDVPPPLSLLSAGAEAYYSPISSLIGMSTGLRFTT LPAATEIQQSSSPSNTRTPISTFPYTLTLTLAPIVGSLSTTYSLRASPNLAFSSRFGF NVYSWESEMVAGCELWRQTKKSSSSSDDDLEWARRKMRMHDFGVSLPGSPASPLLEDP ARPEGPVGSSAHNEAETSDSVIKLRIDQSWNVRLLWEGRVKELLVSAGVGLGPGSFSL SPSVSSASGSGSAQSGGGSPGMSYWRGVGVSVLYSS PEX2_033620 MPRQFFVGGNFKMNGVAQSITDIVKNLNTSKLDDSTEVVISPPA IYLALTRELADPKIGVAAQNVYDKPNGAFTGEISVEQLRDTKINWAVIGHSERRVILK ETDEFIARKTKAAIEGGVSVIFCIGETLEEREADKTIEVVTRQLNAAAKELSAEQWEK VVIAYEPVWAIGTGKVASTEQAQDVHAAIRKWLGESISAEAQENVRIIYGGSVSEKNC RELATQPDVDGFLVGGASLKPAFVDIINARI PEX2_033630 MAPLGDNTIDRSQVDDLNDQAILIWTEFQVFKGLELDTEWAGHF QPLLRAPGHEGSGWFDALTCSYVELFWIYFLTPVTDAQKTQISDLIGIREPAVGIGGV RHGVKRLKTDLPLKIWAIGTELVHGQEAQLMLWPHFWTDEKSAEYRHVGKYSTRGYLM YDARTLLEKFGDKLESVGALEWKEEFCDFKPITLA PEX2_033640 MAVNILQWFPHTTAPFIANAPMFGFADAGLATAVTKAGGFGFIG GGFDFRSESTQLLSLDTQLVNARSLLGLVDGQPLPLGVGFITFQPEGFIENAIPILQK HRVAAVWLSFPRADADHLSIIQAVREVRDGSHWDIKIFVQVGNIKSAEEALRQRADVL VVQGTDAGGHQWAQGASLISLLPEVRDLLSKAQNTTTAILAAGGIVDGRGCVAALGLG ADGIVMGTRFVATSECAAPSAIKRTIVLGSDGGVSTVKSIRHDVFQSTDVFPRQYDGR AIIGVSYEDSRVGVSDEEIIRRYNEAKEAGEHQRRTVWAGASIGSIHAVVSVEQVIQS TQQGVKVILERLKAGL PEX2_033650 MAKVFDATEVAKHNTPESCWVVLYGKVYDVTDFLSSHPGGAKII LKLAGQDATEEYDPIHPPGILEEELKPEAFLGTIDVSTLPKEQASSEPQEEIEGPPPM ENLLNLNEIEEVATKQVSKKAWAYYYSASDDQFSKNFNNEVYRSILLRPRVFVDCTKC ELDTTVLGHKLKTPIYVAPAAMARLGHPSGEAGIAEACRSFGAMQIISNNASMTPEQI VKDAAPDQIFGWQLYVQIDRKKSETMLARIQKLKAIKFIVLTLDAPVPGKREDDERTG MTGRTAAVPSGVKAAERSSDDTPNPTQGSGGVGQQLFAGTDPSLTWTDTLAWLATQTD LPIVLKGLQTHEDAYLASLHAPQVKGIILSNHGGRAMDTAPPAVHTLLEIRKYCPEVF DKIEVYVDGGIRRGTDAVKALCLGAKAVGLGRPALWGLAAGGVDGVRRTLQILDDEIK TCMRLLGVERVDQLGLQHINTRVTEQQIYDGPTALEPLQRAFRARL PEX2_033660 MHRARSAVLTSDEMVEVRAAQRTFEGAYVRTALSQFSFALVVLK IFTAEFYSIGALFAIYGTGVLIIGLFRRSQGNRQFFSEVGEDGIHRHKFRTSGNAVLV LTALSIAAYACLIGLTLNLSN PEX2_033670 MTSAISPETGDQRNNIKPNGTSNGSGSGKEGALMPPKTVVNRAL GNDLHSDSHKSSLPPKGGVGMTLTDTPVSTAPPSPQISGLYYPPGTPGRVRATTLDIP GLTKSKVSPDGRIAQRDVGAKLVIVMVGLPARGKSYITKKLARYLNWLQHDTEIFNVG QRRRVAAGKSPSPVPLDRGQERRPSTFHKDLVDSVRRLSVSVGTTLKSSDVSPPENEA PLPPPVVPTKILVNGEDPDQSSQNGSTVVPSIDAGPAQSRENEEAVNEASPEPMDQTA SFFDPQNQRAVKMREQVALDTLDELLDYILDEGGSIGILDATNSTMERRKAIVDHIRN RAGPELNILFLESSCMDQDLLEANMRLKLSGPDYKGQDPTEALEDFKKRVALYEKSYV PLGEYEEKHRMAFIQMIDVGRKVVAHQTHGFLASQVVYYLLNFNLSPRQIWITRHGES LDDAAGRIGGDSDLSENGRRYAKALARFVDHQRQQWEAYQRQKDLLKHFPPRAGDITP PNPSYLPQEGPRNFCVWSSMMQRSVQTAEYFNEDDYDIKQMRMLDEIHSGKMEGMTYK EIQEQYPEEYAHRKRDKLFYRYPGPGGESYLDIINRSRTVIVEVERTTDHVLLVGHRS VARVLLAYFRGLKRDEVADLDVPMGVLYMLEPKPYGVEFKAYRYNPETDWFDYLPDYE LHQVKAQTTH PEX2_033680 MRFGKTLRAAVYPPWKGKYIDYNKLKTLLRENDVTRDGEDASDS DDDQWTEQDEEAFVQELLNVELDKVNSFQAETSQQLRERTTACEVKLRPLASTPEQEP PVLDEQKKRAIASEVLQELDNITKEVSALEKYSRINFTGFLKAAKKHDRKRGARYRVK PLLQVRLSQLPFNSEDYSPLVHRLSVMYSFVRETLSHDIVQPREAEHGFGRETYSSYK FWVHSDNVLEVKTHILRRLPVLIYRPGTSKDLDTITEDPTITSLYFDSPQFDLYNQKV ARAPEAGSLRLRWTGSLKDKPTIQLEKKIVTDDDESRQVKVQLKEKHIKEFLDGEYRF DKKLHRMEDSNNGESAAAEALKKDVDELQSFIKDNDLQPMLRANYTRTAFQIPGNDRI RISLDTNLALIREDTLDSERPCRDPAEWHRTDLDGADMTYPFNAVRTGEITRFPHALL EIQLRGKAHNTEWVKDLMVSHLVKDAPRFSKFVHGVASLFEDYVNSFPFWLGELESDI RRDPETAFHEEQERLARRAEENIAVGSFMGDARSPGVRPQVGSPYHQYSNTGSPSAIR RSSAVIEPAARPSRPSIPEPQHRDTEPALEPEEEPEPPTRLESIFHSLGLSPQRWLGE SVSLPPGVRHPGVWIKDAGPVRVESKVYLANQRTFIKWLHISILLSSLSLGLYNAAGK HNKVAQALAVVYTFFAIFAAVWGWFIYERRARLIRQRSGKDLDNMFGPIVVCIGLAVA LVLNFVFKYSSALSQGRNHPLPSVPVHSNSSAVFDSSSGATYPADTFSLHWKAFYLNP ASAEYPGVNKAEMYARKFGPERAQAIFARLAAVGKGEGIQFSFGGNTGLTRDSHRLLW FAGQREAEEVGKKEGADGVIGGLQTRVAEKLFQAYFEDEKNITDLKVLLEAGVGAGLD RETVKKLLDEDVGAQEVDLEAKTAARRLVSGVPYISVQGKYHVEGADEPEVFLDIFEK VKAEQKE PEX2_033690 MEAKSAQTPGLSHHLHGVLAELHGHPYPYVPNPPTCKKRASVAL IIRVRPTYDHWPSSNPTKDASQPVQQRLDEFFSQPWVQHGEPEVLFIKRASRVGDRWT GHVALPGGKRDPEDADDKAAAIREASEEIGLDLTTEDTISVGNLPERVVTTSWGSEPL MVLCPFVFLTTRSDSPTLRLQPTEVASTHWIPLRALLSPSLRTVEYVDMSQRFARQGG FLTRLAVRSLMGWMQFSAVRLLPSETNQCTTTPGFVPEENTPKPSLAQRFKEWCLGGQ ADSSDTARPLLLWGLTLGILADFLDMLPPHQAVQLWKYPTFTVPDLRLIVSIVTYNLR KRNALNVRSGARPINTAADNETAALPVTQETNVEHDHNEVGIGGLGVGRYYGPTDQAT DGTSYAVGIMLRGYYDQLRMAIWVFLAWRVAIGSIAGVSAWRILRRLR PEX2_033700 MAAAQKLYPRGTVKRIVKAHSNRSVSKNADILIFLDYMLFMQEL MREASIQSRKSGEKNISANTVRKVTEVRLKSIYELPQKTRENQQLTKSAPKPVGHTDQ ERVPDPHVDSSFITPIFASTLSRLILHSTPVQAFRKYFNICVTHIPIMPSASATQKLK EEKGSRASSVSKDPESPSSDLQESSYLKELQKTLRNAVKKLNSFAKVDAIIAENPNKS LDELIEEKKINNDQKAQVLKKPTIQAIIAQAEEQIGHYKQFAAQYEDRLVAQKAELVK AHEAELEAVRNNAIADATEASKKALHQQFYTVTKFLCAAAILRRDGDAVTTESRAFEG VLFEVYAGNQSAVNSLLKIAEGADEKVNAVEGTTLDFTYGDVKQASDKFAPPEETTEV ASEATPTTDPTTDPTVANAGLTELQDTSFGTQAEPAASQVDQLAPPAQTLVSDAGNSI AEQTWAPTSDESSEWVKLPRNPDETDTGLQATPASADAGLNNGSVGADISTQGENGAK TGGRRRHGQRGGRGRNDAKRAGEGRGGEGRGEGRAGEGRAGEGRGGEGRAGEGRAGEG RVGEGRGRGGRRGGRGGRGRGSASGPASGSAEAPASSE PEX2_033710 MAIAGAQRKQVLKVLILSLLLDLISFTFILPLFPSLLSFYRAQD PSPDSLLNRIFHYLNAYKNSFARPIDSRYDIVLLGGALGSLFSLLQAFAAPVIGRLSD RHGRRRALLTSMLGNLLSVALWVSATDFRMFLASRIVGGLSEANVQMANAIVADITDE ERRGSSMALIGACFSVAFTFGPALGAALSSINMVAENPFIVAAIVSFVLIFIETVYLW TCLPETHPRLTTLQMEGESMSAEKSEASNKKTEEKSSAPVKRTHINSPVLLNALHFLF LLPFSGLEFSLPFLTTTLYAGSATTASPAALNGRLLSLMGLIASLLQGTLVRRLPPLI TLRAGVVACTISFFCLARVSSPSGLYAAGALLAVTTATVVTSLNSLGSFEAQDADRGA VMGRLRGWGQAGRATGPIVFCSLFWWAGREAAYTAGGFAMCVVTVAAFGLLKSPVVHK KME PEX2_033720 MLKLVSLRTSLPNQPKSPVFGRLFASVSRQRLAQLRHGLLNEPW LPPAPALATPLPTTYFSPAWVRREQWEGPRKTDHKPPDERTLKLGKTLRTLSPLLPTI LYNTLPTEILAPSVNLHLFPSTHPHLPTVKGRTLYRAALWTVPVAWSSVPLVGNVKLQ IISERIVRAGTLLDPTHHGAHHDCGDERLLVRWKTEPRTDSRPFHGPASSNTNSTTSK ITPSSQNSHLSASTNSTNKGLSVLLGGEEPIFKLSKEEQFTGIFIFSFDEEGRILTHT IEHADEADGWDRTAKFVTLTDWLIGKARGSLDPAVNAGLAMATGQNHALVSGNGRRS PEX2_033730 MERYETLSLVQAIREKYFGVNWSTVFAIVVFVCITTRIISGLQS RRERDPSKPQTVRLAPYWFPWIGHGPAFLWNHVTFFTRTRKSMNEPVFGIYIRGVKHN VVASPSMMKTALSVKSATTHSQVLDQALHNVFGDRGLIRHLDMDRHQGVSDKAPTILN EEAFVAEASSAITRLVQREMPNLVSFCRSIVDQYPWERGISGVELPDEGDQTVCEANL FALVSNFIGHVTSTFLMGEAFVENFPNLSEDLGRLDDCFVTLFLGTPRWAPHPAASAG HAASDRLCHIFSVFHRAFTAWDDGIDPGIELRDLDDVSELFKDRMRTFRKLELSPGAS AAGHLSLYYDLIEHTTKITFWTITHLYAEPSLLDQVRKEIAPYVVASRPTREETGFPF DEPPRLSLDIEKVLTSCPLFKACYYETVRLHSAGISFNNLASDVTLSESAEEAAYGLT EPRTYKIAKGEDIIVPHGAYYHDARYFSNPEQYDPLRFLVTDPATGKQRADSNILAPF ADGLYGSTNNGFTERAILTFTAGIVVLWDIEPTNSKFLSVPGHKTSWGAFRPTKELRV KMKLRNPNRDYDLTTPIISTTGLRQGLTSYGDAHFSLFLRKVFIKALGYSEDALSRPI VGIINTFSGFNPCHANVPQLIEAAKRGVQLNGGLAIEFPTISVAESFSHPTSMFLRNL MSMDTEEMIRAQPLDACIMIGGCDKTVPAQLMGGISANKPILPLITGPMMPGSHRGQR IGACTDCRNNWAAFRAGEIDVEEISAINEELAPTMGTCGVMGTASTMACVTAALGMMP LRGATAPAVSSARLRIAEETGANAVLIAKSKRKPQEILTKESFWNAITVLQAIGGSTN AVVHLLAIANRHPELQGVITLDTIEEIGRKTPLLIDLKPSGDNYMNDFHNAGGMMALL HVLRPLLHLSALTITGQTLGEVLDASQSKRLSFAQQIIRPMSDPLFPASSLAVLRGNL APDGAVLKASASKYRHLLSHTGPAVVFENSADLAQRIDDPNLVVTKDSVLVLKNIGPV GNPGMPEAGLIPIPKKLAEAGVKDMLRLSDGRMSGTAGGTIILHISPEAALPESPFGV VETGDLIICDIETRKLHLEVSEAVLQTRIEKRRQSLVSFAMSFDPLRPRGRPAHTPGT TILAYTPDGRRIITGGSNSAIRIYTVGEDGEPKTIDEGVDAHFGIGATNRSFIMGAED GTVWKYDIISGKMQNLLVRCALPVRDIAVTRDGEWVAVASDELTVKIVKVDDMTQVKY LREQSKGTKHVTFDPSGRYATVSGTDGILYIYSMHEEEPELVRKLDGVIRRLEPDAEA TSRAVWHPDGTAFASAEATRDISIYSTSEWKKEKTFTGGHTGDITALSWAPNGSLLAS AAADGYIVLWEAKTQKILQRYDFGNVMNLSWHPTKNSLSFTTSDGELFIYDNFVPKDH ESLLQKPLQAAPILPGPLGEISNNVGRTTLAERSKEAIQRAARRGTPDSLDDILGGDD QMMDFVEDDDGAGYADEELNLYGKRPNDHLDDLGGTSNKRLYSGFEKPKAHPPLQPGS TPWAGSRRYLCLNLTGAVWTVDQETHHTVTVEFYDREAHRDFHFTDPYMYDKACLNDN GTLFANNPSDGSPATIFYRPHETWTARADWRTELPEGEQIRALALSDSYIVVVTSKDY VRVYTLFGTPFKVYRQKSQAVTCAAWRNYIMSIGNGPIGIDGHATLRYTVENVKRDEI CQNEDTVALPEGASLQSVFFSDNGDPCIYDSTGVLLVLQHWRTPGQARWVPLLDTKQM ARLAGGRKEETYWPVAVAQDKFHCIILKGGDKNPYFPRPLLSEFDFQIPVASAPPKDS SESEDTTAEGARFEESFVRGNVLLSLFRDLLSSTNATASQRSDLARRELDLDKNLLQM LAIECREGEERGMKALELVALMTDRNGKMLEAAAKVAQRYGRGVLEDKIRDLAERRVM GMGDDDELA PEX2_033740 MIRCTSSIQSGHISVGKNEGIIYVNNIFPHRLQWLLRGPLSHIQ PLERFLTRVNNPELAAAEPTRIVQRAFPRDLDLEIKEVVTRYKEGGAFVKYALKSDIS TETIQNAIKEHLKTNPIKPWFNPILKVDADLVRGTPWIEDLYRIPSQTLKVEFLPTSP DNSAVELTQESLYSMFRPYGKLLDIQTQPSDSKVEPRYAILRFERPRFAVMARNCMHG FIVSEEAGGGKSGTRFKINYERRIKLSMIKDWILNHPRLVIPAIAALIATITVIIFDP IRTFFITLKIKSSFQSEENAVLQWVRRQASKASMFSFGKRKSDPRGLAAIWEDRQAEI SQLRAWLTETAETFIVIHGPRGSGKRELVFEQALKDHKYKVIIDCKQIQDARGDTSKI SRAAAQVGYRPIFSWMNSISSFIDLAAQGMIGTKAGFSETLDAQLSKIWQNTGVAMKR VALEGRRKEDKDFNMSEDEYLEAHPEVRPVVVIDNFLYDADDSVVLDKLTEWAAGLTS ANIAHVIFLTTDSSFAKPLSKALPNQVFRTIALGDCSLEVGRRFVLSHLDAEAGDGDD EQNARRKETLRGLDYCIKVLGGRITDLEFMAHRIEAGETPEAAVDRIIEQSASEILKI FILGTESIAPQWTREQAWHLIKSIAHSKDGSLLYNKVLLDDIFKDNGEATLRALEQAE LISIGTDKGFPRYVKAGKPVYRAAFQRLVANKTLQGRLDLLILSQLIGKENSSITKYE EELQVLGSLPKHPWELTSRIEWLLRKVHGSQSKILKYEGESAALSKGLQKEN PEX2_033750 MAWLWGSSPKDVSKEIPKDISSTIPMEPPKQNAPSKTLTPDEQA DLEFNQILADLRAEDASLSKRTGLTSDGNPSPESQTPSSPISPESLYQDTMSCRNAFD YAFFCQSFGGQFVNVYRYGELRSCSDHWDNLWLCMKTRTWPEDLRRREIRDHNRKKAI KYKTGPSSEDVWDVRLDPAKNSFKGDFATMWQEMKAEDEVAKQQAEQAAS PEX2_033760 MSNEANKTTEAASDLNPNGFITDRELDGERRSIRHYNDGRISRR GSSVEASLRTKMHRHSTGTEGRAWLPTSHPGDLSGRSTPVGGASGPSDIADITAAMAK LTVDHPTSPKVKRLSKKLNLDADPGIETVTLRKVTPSSTASMTSSTCSTHSKSSVNAR IAEIEDRVRKHIKTRLQFIEEEDEQ PEX2_033770 MGLNLEELYGKDISEEQAPHEYSQYQPKKGYGWANALPERQGLY DPEYEKDACGVGFAAHIKGKPSHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTSI PHKFFIKNFAREVGVDLPPLGQYAVGNLFFKPDEEALKQSIAGFEELATTLGLRVLGW REVPRDSTILGPAALSREPIIMQPFVVLKSAYGEGNKPEITDTEKFDERTFELQLFVL RKRATHVIGLGNWFYLCSLSNRNIVYKGQLSPVQVYTYYHDLVNVDYEGHFALVHSRF STNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVLQSEVFGEELDKLYPIVED GGSDSAAFDNVLELLMINGVLSLPEAVMIMIPEAWQDNPAMDPAKSAFYEWAACQMEP WDGPALFTFSDGRYCGANLDRNGLRPCRFYVMDDDRIVCASEVGAIDIDPERVVQKGR LQPGKMLLVDTVAGRIIDDAELKQTVARRQDFAGWLDKGLLKLPAINQTLLDSNVDLS FALDNNTIQNDPRLRVFGYSFEQVSLILGPMAADSKEALGSMGNDAPLACIAQQPRLL YEYFRQLFAQVTNPPIDPIREAVVMSLECYVGPQGNLLEMDPSQCHRLRLPSPILSIP EFNALKNVNLVHKDWTVRTIDITFEKSKGTAGYIEALDSICDSATEAIQNGDKVIILS DRATSADRVPVSTLLATGLVHHHLVRNKWRSLAALAVETAEAREVHHHCVLLGYGADA INPYLALECILKMNREKLIRKDIPDEKVVQNYQSSCDGGILKVMSKMGISTLQSYKGA QIFEALGIDDSVIDRCFSGTASRIRGITFDLIAQDAFAFHERGYPSRPIVEVPGLPES GEYHWRDGGEAHINDPTSIANVQDAVRTKNDKSYEAYAKSAHEQIKNCTLRGMLDFDF DQRTPIPIDQVEPWTEIVRRFVTGAMSYGSISMESHSTIAIAMNRLGGKSNTGEGGED AERSKRMENGDTMRSAIKQIASGRFGVTSNYLADADELQIKMAQGAKPGEGGELPGHK VVGPIARTRFSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSEVGV GIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGR VVVQTDGQIRTGRDLAIACLLGAEEYGFATTPLIALGCIMMRKCHLNTCPVGIATQDP ELRQKFKGTPEHVINFFYYVANEMRAIMARLGVRSVNEMVGRAELLKVRDDIRNVKQE RIDLSLILTPAHSLRPGVATYNVRKQDHRLHTRLDNKLIAESELALEKGLPCRIECDV VNTDRALGATLSYQISRRYGEAGLPQDTIHANIKGSAGQSFGAFLAPGVTLELEGDAN DYVGKGLSGGRLIVYPPRGAAFKAEENVIVGNTCLYGATRGTCYFRGMAAERFAVRNS GATVVVEGVGDHGCEYMTGGRVLNLGPTGRNFAAGMSGGIAYVLDKNQDFHSKVNLEM VEISSIEDPSEIAFVRGLVEDHHHYTGSELAARILLDFTRALPHFVKVLPTDYKRVME EEAAKAEAAKKAEFTLPQLPSTPVKAEKAKVDDSKKTDLLDIEDSISDSKTEKKRSAL ILDKTRGFMKYSRRSEKYRSATTRTRDWGEISHRLSEDELKYQAARCMDCGVPFCQSD TGCPISNIIPKWNELVFAAQWQDALNRLLMTNNFPEFTGRVCPAPCEGSCVLGINEDP VGIKSIECAIIDRGFEMGWMVPRPPKTRSGKTIAIIGSGPAGLAAADQLNRAGHSVTV YERADRMGGLLMYGIPNMKLDKKVVQRRIDFMAAEGIKFVASTAVGPDCEVSLQSLRA TNDAVIVATGATVARDLKVTGRELDGVHFAMQFLHKNTKSLLDSGLSDGEYISAKDKH VVVIGGGDTGNDCIGTSVRHGAKSVTNFELLPQPPPERARDNPWPQWPRIYRVDYGHT EVKTHMGKDPREYCVMSTEFVDDGNNCVKGINTVRVEWTKSATGGWDMKTVEGSEQFF PADLVLLSMGFLGPEDRVFGEDIELDPRKNIKTPPGHYSTNIPGVYAAGDCRRGQSLI VWGINEGRQCAREVDTFLSGTSSQLPVTGGIVRRPAIDSVPQPTEVAA PEX2_033780 MLTLSSITPRDSHELWFGSSQPYRSASDQQTGDNSAANHLARRN ASGTPSNHRSLLPSRSSANTIASLAAEERSLRARKLNIATFGYSWIRPAGCAKTMLGM KEEEAEREEALQAAAAEMEAAEGAGIMDDDMGMQGGEVEEDEGMERDLDDDIPNAEEE EEEEEASGLIEEGEEGLEEDDIGDEGEYMERDLDDDIPEGFPDDDYEGSGLYDDDDDD DDEDDFNNQPDLDADIPADDGESVSEGMTRDLDDDIPDAAEQGSEQEGEWQHTDSEEE LSDEEEENEPSIIQTRFAENFRTSTPNSRGGLPPPPTLRREPETEAQRRFLNRWSGGG DAFDSSSMLYSDEDLRASITSQGSRRSGFARRFPRHIGGPRDSLN PEX2_033790 MAGVCIYIAQRIHSPRTPHSAKAKIPMLKILISHVRDSIHMRSS KRASRWVLREDEKLKMIKMRKAGVVDMV PEX2_033800 MADQATISNSNEEAFAKGKGKADPTQDMSMDEDSESESENEIAD NDEEDDTQDNLNDPIDQSNIIQGGRRTRGKVIDYSKISTDEMEDDEDDDEEYEGREDN QTNDDDEMRD PEX2_033810 MFAALKRKGPKDQPTEVKKEDDTMGSEGSEVFSKNPKVVETGVD EENLHLKGETAAIEQETHDNPEIAALPLSVRHLINLTDDPNLPTITFRYFVLSIIFVI PGAFLSQMSHFRTTKAPYSIFFVQIACHYAGHFMARVLPDWKIGLPGTRFSFSLNPAP WSIKEHVLVTLTAASGATYNLGFAPIVLAELWYGTRINPAVAIFFMLSIVWIGYSFAA LARQILLPDPEYIWPQALMQTTLFETFRKTDNSSAVARRQMKVFFFALVGMTMWQFLP EYVFPFTSSLAFLCWVAPRNPVANFIGSGIGGMGFLNLSLDWSNVNWNGSSIMTTPYW TQVILFLAFAFNCWVLLPAAKWGNLGSFKHGLMSNSLLLGNGTTYPTLKVLTPDYHLN VTAYEEYGPMYMGLQNVWATFFDYAKLPAAVTWILTFGYAQISGNIQRIWSSRTAEKS PKNESIHHQYHDRLNVIQRQYKEIPWWWYATLFSVAFVILIIFLALGHLFIPIWTLFV ALASAAVLVIPFAWLYAISNYQLETGSFNELMYGYMVHTKAGEAHQHPCGPSVYGSIA GDAWYRAQYMLQDQKIGHYMHIPPRAVFFSQIFGTCMGVPINYAVMRWIMDTKGDYLT GKKTDPLNQWTGQSLRTSNTMGVQYAVLGPKRLFAQTEMAVLPWSFLIGAVLPPILFC FHRFFPRLRIDLWNVSIFFGGLAMFYGNISTGYTSAIIGGYVVMYWAYRRHFEVWKRY NYLLAAAFDAGFNLNMLLVFFFFGAGKQISMPKWWGNNATSVERCFALDS PEX2_033820 MAPAVGIDLGTTYSCVGVFRDDRIEIIANDQGNRTTPSFVGFTD TERLIGDAAKNQVAMNPHNTVFDAKRLIGRRFQDAEVQADMKHWPFKIIEKATKPVIE IEFKGEAKQFTPEEISAMILVKMRETAEAYLGGTVNNAVITVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKVEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLTTNARALRRLRTACERAKRTLSSAA QTSIEIDSLFEGVDFYTSITRARFEELCQDLFRGTMEPVERVLRDAKIDKSSVHEIVL VGGSTRIPKIQKLVSDFFNKDANKSINPDEAVAYGAAVQAAILSGDQSSKSTNEILLL DVAPLSLGIETAGGVMTPLIKRNTTIPTKKSETFSTYSDNQPGVLIQVFEGERARTKD NNLLGKFELTGIPPAPRGVPQVEVTFDVDANGIMNVSALEKGTGKSNKITITNDKGRL SKEEIERMLSEAEKYKEEDELESARIQAKNGLESYAYSLKNTLSEGKLQISEDDKKKV EDKINEVIGWLDSNQTAEKDEYESQQKELESVANPIISAAYGGQAPPGAGAPEGGARS ADEVEEKPEELD PEX2_033830 MPVYLISRIADPLFALTMGVSAALTRIRRDQREQHPERASEITY GSIVQTSGHRLQRWWNGDFQDV PEX2_033840 MHFSLSPLLLLGISSLVSASSLTITIPVSSPLPNPHVLPATSHA TLTTLPSGGKDHILSASLTRSATFVFHDLPSSGLDAQPESYLLDIRSAGEYVFAPYRV DVAADGSILGIWETFRGNPWDNRGAEKYIVDVAGKKQVDVVVEAKVLGRKVFYEQRAQ FSPLSLVKNPMVLLAVVALGLMFVMPKLMDNMDPEMRAEFEQHSRSSPITGATTNAMT GGGFDLASWMAGTGAPNPAANDAPQAGSTGRDTSGSTRRRG PEX2_033850 MSFAPPSGPPPPSVPEGWKAQYDDRYHAWFYVDLATGKSQWDRP EAPPPRGDGNLPTNSLPPSYSNAGPGDPAAIASAGDQKQHMGSNNPYNPSTNTGPGNA SPHMIDEDARLAAKLQAEEDARAGIRGPGAGLGDRGASSDYYNDASRPQSTGPGGYAA GPASHSPMPEQEQKRSKGGFLSKLMGKSSSSRPARPQQQYASYVQQGPPPGAYYGGGG YPPQQGPQPGYGGGYGGYPQQGGYYQQQPPRRQGGGGMGTAGAAALGVGGGLLGGMLI ADAIDDHHDNDDYGGGGGGGGDDYGGDDFGGGDF PEX2_033860 MSHGFSSLNGQGLVPTFPGLSARSIPENPDIQRETLRLLVQYSR VSSFPDDPSTPATSGHTRDEVRDAWQTPRTEVQPSEASPLQLSHRLTRSSSGSHATQP SPSRSVIPSFGSRIRVSPRTPSRSPSRTPSRLASPQSVSVSISSSHGISPTHRERQRT LRESVAISNASRNDEIVAPVSKQHHSYPPETMSSASRSTHLRSISPTAEQSSIRRSSR NRVQPTNYYANPWVNVPLDSEEPDTNIEAVPDTVAPSPQEPCRILPRRANVQKLLYSR ELGGGNHRPIVTDVVSDLRPWKSWQGASGDLLVLAWSPDGTRFAAGAAAKSDEHNMQY NKANNLLLGDLQSNRLKEIPDHWIPRPTSSTVDDPRLFMSVNNMQWIGNRLYTASFDK TVKIWDVESTRNVSCTHTLQHESRVAVMSVSKFDPNVLATGTESILVWDTRDPENLTS TILPLDRDARYKPNFEFSSTALAWGHAPSTEEFLAGGLAEPGEDLIYKGHLGLWRARE SAFETIRMSSNSQNVFDIKWHSSLPIFATASPEDPHNARIKGIGITTKSIVRVFSLNC DLQKRVPSVMEFSCPALDVNEISFCPMDSNGTYVTASCTDGKTYVWDNRKGDRILHEL RHMAPLNPIDHQRSRETADVGVRVALWGSSMDQFYTGASDGVLKRWDIRRSPEDVLVE DVVSFDEEIMCAAFTEDQSHLLVGGSGGGVHILSSGPCSDPNIRSFEFEHAPEPEKAS DSGIVAGNQLVSSGQVVRHGTFGMGQGPSYTGPFASWARNIKQDVSSDRIGQLPLLQK YQSQQLDGPPPEDRHELDSNQRSEVERQIQLAEIRNRGFHKRKCQEHDDSSTFIFQGP VSSLGSSRPGKKRKKKAEKIEVKREENQEENRDEQEDRGENGEGNKEVKAKKTSRTKR KARKLSRRIISNTEDVDLTLLDSDTEMGMLNRQRFDLEELLEVLEEDNWFPASGEIDP NIQDEIV PEX2_033870 MSRPGADQSKAASAAVQQSDNIAHALAGAGGGVLSMVLTYPLIT LSTRAQVESKRAHSTTLDAVRRIVQREGISGLYSGLESALFGISVTNFVYYYWYEFTR SAFEKAAIQGGRASKKLTTVESMIAGAIAGSATVLITNPIWVINTRMTARKSEAEETL PGAKKTKASTLSTLMDLLRQEGPKALFAGVLPALILVINPILQYTIFEQLKNMVERRR RMTPKDAFYLGALGKILATSLTYPYITIKSRAHVASRDGPKESLNGSLKRIIQEEGWK GLYKGIGPKVTQSAITAAFLFAFKDVLYDMMVSARRKARIAK PEX2_033880 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMEDKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGTATVNNKPTVQVGQGQGVQSGSAGGCC PEX2_033890 MASQERETLPDVVKPINYHVSLFDLQFGGSWGYKGALKIDLKVI RATNEIVLNSKEIEVQNAEILGKDGSQLAKASGITYDKQSERVSLAFSQEIAPADVVL SINFTGIMNNAMAGFYRSKYKPTVGEPSPDTPKEDDFYYMLSTQFESCDARRAFPCFD EPNLKSTFDFEIEVPKGQTALSNMPVQSERDGNKPGLKFITFEKTPVMSTYLLAWAVG DFEYVEAMTERKYQGKSIPVRVYTTRGLQDQARFALECAHRTVDYFSEVFEIEYPLPK ADLLAVHEFAMGAMENWGLVTYRTTAVLFDEGKSDNRYKNRIAYVVAHELAHQWFGNL VTMDWWNELWLNEGFATWVGWLAVDHFYPEWNVWSQFVAEGVQQAFHLDSLRASHPIE VPVRNALEVDQIFDHISYLKGSSVIRMLSVHLGRETFLRGVADYLKSHAYGNATTNDL WTALSKASGQDVHSFMDPWIRKIGFPVVTVTEEPGQVTVSQNRFLSTGDAKPEEDETK WWIPLGIKSGPELATVDTRALTLKTDTVGGIGKDSFYKINKDLSGFYRTNYPPTHLAK LGQSLDLLSTEDKIGLLGDAAALAVSGEGTTPALLTLLEGFKEEQNYLVWSQVSASLA NIRSVFSQNEKVAEGLKQFTLKLASPAAERIGWEFKPDEDYLIVQLRKLLIAMACNAG HEGFVTEAKRRFDLWATEKDTSAIHTNLRSVIFSVNVSEGGRKEYDAVKEEYIRTDSV DGKEICLSALGRTKDAALVEDYLNFVFSDKVAIQDIHSGAVSLAANSKVRHLLWQYIK DNWSAVETRLSFNNVVFERFVRMGLSKFADHQISDDIAAFFKGKETGAYDRALVIVSD NIRTNASYKEREEALVLEWLQAHGYA PEX2_033900 MADSDEEYVGEVTEDEDDLQVTRGDNPRAKRKQRGGAEWELSRT WETLVEGADGTINSTVEGLLEAGKRKRLLKDTTPLQRGIIRHLILILDLSQSMSEKDL RPTRYLLTLRYAQEFVREFFEQNPISQLGVLGLRDGLALRVSDMSGNPTEHLTAIQAL KTQDPKGLPSLQNGLEMARGALFRLLRERICKLIADDGVPFSYSGVQSLALRMPFKAL TRRLSLLTMQQQSV PEX2_033910 MAQPSEAQVFERLQSYSFTSDPEFANGLSIILGHPNTPATEVEM NRDDDLVLQAKCFFFSRKENLTPAIDFAAFKSWLAGRATEPQGLNNTALQISEASDPG TSGPESSTNPEPAYPSSFAHIVELITTGQPIPGIQDIPDTVLSGHDISSEKPRRRKPW EKDEVVTTFDETASAAP PEX2_033920 MPTLSGPSTLGRMGNGGRFTSNDAIDVRNLSFGHESSSPQTSIT HYSSSDAAEISRHAKLQALSGEGAEHSASKKQKLDHIKVEPLNGQTSDLDRILARISP KTIPLPRSETDRSSGSSQITPATTVPALPLTETTKPYPQEDRTVELLRLKQELLAANS KIALQEQELAQTRVIKHTLDQALGPSSEADFGSREITEQTINHLQNAFNASSSAFAQF QDAWGAQDDSQSDVSDPLSTGAYNRSRALWAHNEPNTDREYGESLSGTSSSTSQDPNR FWGVSNVYPPFAGPPSLQSQRPHSGSSASPCGFYSRPIGEQMLYPQGPITGARRSITQ SSRGGSLFPIQSTPWCEFASGSSSDQTTKSPASPKRPPSAFQQVGLYSVPSYPPRPIG SPLSPTATEFTGTNANETTWNNSMSGNSIQTYVSPLEPLNYRRLLDKNVSCDWKYIVD KIICNNDQQASIFLQQKLKVGTTEQKYEIIESIVHQAYPLMVNRFGNFLIQRCFEHGT PEQVVAIANAIRGNTLNLSMDAFGCHVVQKAFDCVSEEHKAIMVHELLRRIPETVVHR YACHVWQKLFELRWSGEPPQVMAKVNDALHGMWHEVALGETGSLVVQNIFENCVEEEK RPAIEEVLAKIDVLAHGQFGNWCIQHICEHGAPHDKSRAVEHVHRWAVDYSMDQFASK IVEKCLKIGGTEFMDHYLSRVCTGRSDRPRMPLIDIAGDQYGNYLIQWILMNAAPHQR ELVASHIRKHMVSLRGSKFGSRVAMLCCNPSHATRPGPGAGMQIGRFNNFNEDKFTTT SQSGGGRFGRGHQWGPHYAPLR PEX2_033930 MATTTVIGHGRRASMRQAELQIPTKPSVPQSTSSPIDKFPPYED TLDAVAGSTRPNRSASVKYPPNELWEPRKANLYSREYGNGSLRNPKLRPRKSISEAIT TIRTRNGSMSANAHELAEALRAPVSYRLTALCVVWYLTSALTNTSSKSILNALPMPIT LTMIQFAFVSFWCLLLVYLSTVIPRLRQSVPILQHGIRYPSRDVISTALPLAVFQLAG HILSSMATAQIPVSLVHTIKGLSPLFTVLAYRILFRIRYARATYLSLIPLTLGVMLAC STGVSTNFFGIFCAFGAALVFVSQNIFSKKLFNEVDRAESDLQNPGRRKLDKLNLLCY CSGLAFFLTLPIWFVTEGYPLVSDFIHDGVISLSGKQGSLDHGALSIEFVFNGVSHFA QNILAFVLLSMVSPVSYSVASLVKRVFVIVVAIIWFGSSTTSIQAFGIGLTFVGLYLY DRNSHDDVADQRANTDHFRAEQSILPMNVRHSSKPWDSNGYAFPAGRPFEGAPNNSHA AANNSKKEDDRPGHVRPRGASVSRTWLPGTKQESTWQVSDSQAAA PEX2_033940 MMRPQLFRAAARSLRVPKVIPRTFATTAPRAAEVELTIDGKKVS VEAGSALIQACEKAGATVPRYCYHEKLLVAGNCRMCLVEVERAPKPVASCAWPVQPGM VVKTNSPLVHKAREGVMEFLLANHPLDCPVCDQGGECDLQDQSMRYGADRGRFHETGG KRAVEDKNIGPLVKTSMNRCIHCTRCVRFMNDVAGAPELGTAGRGNDMQIGTYLEQNL NSELSGNVIDLCPVGALTSKPYAFRARPWELKHTESIDVLDALGSNIRIDSRGLEVMR VVPRLNDDINEEWINDKSRFACDGLKTQRLTTPLIRREGKFVPATWEQALTEISSAHQ KLQPQANEFKAVAGHLVDTESLVAMKDLANKLGSDNLALDQPGGGAPIAHGIDVRSNY LFNSKIYGIEEADAILLVATNPRHEAAVLNARIRKQYIRSDLEVALVGEEFESTFDFN HLGADVSSLKSALSGEFGKKLAGAKRPMIIVGSAAAEHPDAKAIFEAVGGFVEKNASN FITPEWQGYNVLQRAASRAGAYEVGFTAPSSQVAQTTPKMVWLLGADEIAQSEIPKDA FVVYQGHHGDRGAQLADVVLPGAAYTEKSGTYINTEGRVQVTRAATSLPGAARDDWKI IRATSEFLGAPLPYDDIEALRDRMEEISPVMRRYDVVEPTSVGSLSKVQLVDQNKGSQ ATGAPFKKVIEDFFFTDAISRSSPTMARASAAKATGNPETNFMAAGEMSPQALYG PEX2_033950 MSSPVSTDPRILRFPRSDETESFVLVHVSCTGPAPLDLSLTATE GESPYVGLVKQTRLKDLQTKNYQGSNDEWIKTVSLILGQCSAPPDEPDWATGLEASAS ISGSDEDNKEIVITIRKRVQNITQRLGAFTLKQDDEQAVELFEWTGVTAARARTLEQQ VSSLTSRYCLAEDTIHRLNQQLEELMHAKTEHENRLVANFVQVLNEKKLKIRNQQRLL ASSTVDSTKVSEIQASIPEDSHGISEKSHSAKRSARKMSDTDDSDGFEQMDIDPMKTD RDAPNNQDIDDEEPSTPQPLDDEQNNSTTDDDSSQDETAQLKRVSPARNTAPPQRDLP FAKRTGGPIQRAAPTQSSEDAEETGGETDDDEL PEX2_033960 MESLPIHLRPSTDEPIECWDDDDDLQFTEDIHFRTASSAGSITN SSFRPSGHRDSISSRRSARSDIDSNAGDEDWQVPLYDNDEFAKEDAIASAKTAGIPIP PDIPKSALIGGTIKRLSTRKTKQTFVDDWSEDVELPGPETMLQLKTPQETMFPDSLRH LSSAATSPVKSMASPHWDEEFSTRLQSTLGLFDTFQDDHDSLETRDIPTLKSPTPRSP KKLTIGNVSSSFNIEQEADDDFNQDFELPANHQPLELSNRKANLYVSSPTLEDFDLEW SEGSIGVRVGGTARDGRSVPSSSISIASPSVSSCLTAESEDDGLDGIIIPDGPLDFSA SLQKRQTAQAQNVEAGESQVDHIFSDADDFFSGIDIDNEKAFSFGKPALNPNIKCKTE RPSSPARRSATTLTFTSATGSPRTRIPRLSGHDRTHSTHLETVSESGAPLSKFRTSQS RLGHSSQSSTSSLPAPIANPTSPTPTLSGRRLLGSRNSRDISQGSEGNLSVRRLKTKR SLPSIRGLGSTPSMTASHRPLVDRPVRLPSARPKTPVDHPATDARSLGRRPQVPFMPA GVSESQSHHASVKGYRSSRRTNSDSSGGLLSPQETVSRLSRPTRSEPFRTGVGDTIAD SLGSSTKRTITRPAKRRNFGDGTELESFDDLSTSVLAESKFVKTPTGRGAPRSVRAKL SQSRIDPPPDESPTQSLTSPSTSKLRSPTPRFARDTNASRNAREQRIASMAINSKARE PNPLSTFTSNWKSQPISRIPPASATIRSRKGKSNANSTSKPHLIKPMGSGVQDAKSVR GMRYNPTNFRWEGNENLVQEFDATPKSPKPAPALITNVGAMQNVQTVGGMVFDPHRMC WLRASLGAADEDDVFAGLDDLDDKITSSTIHGRNSGAIDQFPVVGDDASAGESSDEGP MTEEFDVGPEFIRRQRVEEDKWRRKVDKWVGFDRGDHDHENHWRWIIRDLVAFDHHRG SA PEX2_033970 MSSFARRNEGNDAYLMNHQMAVPGSYGQPESHEFKQESHDFNTG SSSYHFPQHSFNPYGSQFGQPYGPQSSLSPGLQHLQYTSEHSIPSMTTPDDPRLHHPP QFMSQSRYLQGPRYLPRDPLGETEIENQESHNETTLLSEAVVPALSGFPDVKEFDQLM QNYVEDLSIKKQDKALIYAKRARNIRTVLIDPKDTAVESAQFRFWVKKMFKLQAVGSD GAQGTDIPLRQDLSDLPGAPWWITPDTTQFAKKFPQTGDGLSIPQTAVAANLTTRVDV CCGWLDSHQNVQGRSNLGNGVRSFQGPMGNLSHPIAGTLDPFSTDLSIPPSQLSYTTG YVPTHGAAPQREF PEX2_033980 MNLFRLLADFSHLASIFMLLQKMKTSSSCSGLSFKSQVLYLLVF VTRYLDLFWTFTDSLYLTTFKLLFIGSSAYVIYLMLNDFKPTHDPNIDTFKVQYLLGI SAVLAILFPRDYRISEILWTFSIWLESVAILPQLFMLQRTGEADTITTHYLFALGLYR ALYIPNWVFRYFTEASFQRSFQPVSIIAGIIQTLLYSDFFYIYYNKVLKGKKFSLPV PEX2_033990 MRTEYRLEIIRLFRFQREHIWNLRQAGPGLVATFPLNRKTTVQA HFRCRHQDLIRGRGPHLTSTAVPRMFLYYQRRLVLAADLAHVKLGRGLRRSSRFFFIP RTRWQLPTFDYPATQHTICRSLPSLLDPAVEKRLAQLDVDKEKLLEQIAETQRSKRAE LRDWDRLDRESSICALKSELAEGHLQRMADESIGGGNLF PEX2_034000 MNEEVEKAIAQPKETVVPLLGGMKFVTGVHHHEPEALLDHEPTL GYRHQIEDMGAYEVDHHCYTGAAHVLLRGETSVHPSMKDDDLHREGSRPEEMKGSDHR PRNGAQNRPTVMADHATHPVLATVQDGYAIQLLAAKSSDPRDETALPS PEX2_034010 MKLSKNQLRRAKKKAQKAETTSLPVDNTTSRELSPPPVATAQDA PADTNTDLFIEPTDPLWEMYKGVAGKFDETVDENSLTKETDKPEVFFDDGDEIPDEEQ ESEPKISKKKRKEMNKLSVAELKAMVRKPEIVEWTDTSAPDPRLLVHIKAHRNVVPVP SHWSLKREYLSSKRGVEKAPFALPKFIQETGISEMRDAALEKQEQSSLKQKQRERVQP KMGKLDIDYQKLYEAFFRFQTKPELTRYGEIYYEGKEYETNLKHLRPGELSDELRDAL GMAPGAPPPWLVNQQRYGPPSSYPALKVPGLNAPPPPGASWGYHPGGYGKPPVDEHNR PLFGGDIFGVLQPQQHAQQGEPIEKDLWGELHESEESEEESEAEEEEDEHEEESDEDG VGASAHSPSGMETPSGIDSAVPSEFVGAENVSGEFDVRKHHRGIDTEESVHPRSAYQV IPERQTNVEGFFGGDRAYDLSNSTGKPPVLGADDQTRKRKKPGDVEVSVNLDAIQSGD GLSKESLQSMYESQRQQQNPPNWGFQEDLSDMIAQEHRKRFRKEEERRGKH PEX2_034020 MASNRILDLVKTQCRIFSLNFNPQRLRLGNKVLRQRLRGPALAA WYPKQTVSFQDLQNTYKPLGLTTFDEAEDDREEAIQIAKLRGKGRPKKKRTAAGKFLY T PEX2_034030 MAKSSNNSRLLLLQHLVREISTDSDLTGADSEVMDAILRLDDTF RGTKDQLPSRDSSMAGEVPKSHHSDHSSKLVGGSCDPRLPPIMDDKLELAVFTHPALS NNNNTTYDRLEILGDAYIELIATKLVWEKFPDLPAGRISQIREILVKNETLAGFAESF GLDSRVSVPPNYNTPSKRWTKTKGDVFEAYVAAVILSDTVCGYEVAEHWLAGLWMPIL KNLGHQKGELRSKEALAKKIMGKNVKLEYIEERPSIQQKGGTQTFFVALYLTGWGWGR RFLGSGQGLSKAAAGDEAAKKALLNTPLILEIMSAKESWESNS PEX2_034040 MLTAPLGCRICTQRGVKCRGYGVRLLWPSGVAGISKQQKTARRR LSRASSTPESPEVLLPGAISALALPAEDSFFMQHYLQNIARIALAIDYNGNGYRSLLP MAVKEPAVLNAALAVAASHHSRWQRKPDTVSRKYLRAASKALRERFLCPNSIHDPVTL TSMLLLVSFEVFSGSSRWKGHYDAIRDWIRSRGDCSDIEPFLKTWVCLIDTQTALNLG EPAMPELESWLDITTETAGQGEFVDAFFGCSAKLPKLMWAASRLYAASKENQTTMDEL QNQVEALQAQIRSTAIVLESSPLVNFSCRSTAQPFATVGMGQEELRRRMVATAEIFRH SSHIYVHRILHGPEEPLTEEMQTSLDTAQELLTMVPDALGPGANLGWCLVVIGAEMDG IHERDYVQSRLDGLHLLGIHNTKNGQKILDEVWARRDLVTSGHAMPERWQDTMQRIGQ SQILV PEX2_034050 MVYDEEGLLPDPLVLTDKALHTRMKKNAYNAYSMGSMLQLEPLV DAVTDRFFKILDEVVETPTRTCDLGKWLRYYATDVIFAVTFGEDLQFMEKGDPIGMMP MLEYIAGDYVSIVGQFPWVHKFLLGNSFVSKLVLGSSAPDGATLDLAQKQVVKFREKM AAQLVTGPCSFVQRLLEHQVAHPDSITDRELNTHAFGNITAGADTTAIAMRTVMFNVV KHPEIYDTLCREIREARLTLPITYTAASTLPYLDAVIKEALRIHPPTGIMYGRTVPLG GATVCGKFMPAGTEVGISPWVLHYDPELFPDPQKFQPERWLSTDVDLLAQRKRSIFAF SAGSHTCLGKHISLMEITKLVASLLMQYDIALVDPNAKLSFKCRWFTPQKGLMVKLAK RRL PEX2_034060 MVKSTSKDLRVVIVGGGFAGLTAAIECKLRGMHPILVEAYSGAS SHGDLLDFVRNAGRVFESWDNGNVGRRLMAAGVNAAKYLEIYNAQNVLLRKDPWPQAE DKDCVYAGHRGEMHRIIYEYAIEVGVDMQFSKRVERYLDSDTERGVLIEGGEKILGDV VLACDGPKSLARTQLLQLPESTVNSGYAIFRAFFNITDEMRQLPEFSEMIKPGEDSVR FWVGRDMHGFIYTWKNGRDCAWVLTHLDDANIAETWSFPGKKEDVSKYLKDAGFPEIW HQVLGVTPDDRIIDYKLVWRDAIPTWLSSSKKCAVMGDAAHCHLPTSAQGACQAVEDA VTAAICLQKCNGDVAAGLQVFERIRFNRSHVIHQASILTRNIYHKNDWTPDLVREYPD SLVLPFYPWVTDFDVFANAEKHFDHLLEDVKSGKPGTIEQLALPAGGNYDAMLLEKRI PEEKLDDLAPSNVVRVQS PEX2_034070 MNETTLKVERPQSDDEARLAALSKEQVMGRHFNVWSLIFLAFCT SVTWEALTSTMAQSLRAGGSSSLVWGFAAAAIGAMAIALSLAEFSSMVPTAGGQYHYV AALSPLKYTRLFSWIAGWATIWSWLLSALTGIFSNAMQLQAYIILFAPTYSYQRWHTS LIVIAMTTCFFGINILGTRWLHRLTFLGIVLHVAGYFTIIIYLLVKVHPKNTASYVFA DLTNMSGWKSDGVSWSVGLMSSAVAFINWDSATHMAEEMQNASRDLPRVLYGCVALSG ILTFPWVIALAFCITDVQGLINGPVGRICPLVQLVYNVSGGSQTMTIGITWFFLFLGF FIGGPGCMAASSRVIWSFSREGGLPECFSRVHGRLEVPVNALLLSWVGISALALIYIG NETAFYGIASGVTVVMVFSYALPIFLRIIYGFEHTNLPPGPFTLGVWSLPINVFAACW CIYLIIFLCFPTIVPVTAQNMNYAPLIFGASMLVAAGTWWVYGSRLYLGVLSEEVSSI HQQETIVVQQIEDEKKRGDISSDI PEX2_034080 MVFEWLDEGKFHRVPKLEPGPPNVYFGDVFSSASQDAPNLLAGS MFLLEQIDNPEPAPLYDYDETGVVLKGELHLEDEKGNTAKLFPGDTFFIHRGSSIIFS TPRYAVAYKVGARPKL PEX2_034090 MSEIELIGFEGRPVRINTGLFINNEFQLATGGSQLEVENPTTGS LLASVSAAQREDVDAAVSAAKAAFQGPWATLTPARRGQLLNRLADLIERDADDLASLE ALDAGVLFGESKGLHIPQATETLRYFAGWADKIAGQLLSIPQGYAYTRREPLGVCAAV VPWNAPLMITIWKLAPAIAAGNALIIKTPELAPLYAQKLASLVKEAGFPPSVIAILCG HGAVAGQALAEHPGVKKIAFTGSAPVGRGILRAAAGSNLKKVTLELGGKSPSIVFSDA ELGNALFWTTLGFTANNGQVCAAGSRIYVHENIYDKFLLAFAEKLAHTTPGDPLSGQT TKGPVISQRQREKITEYIRHAKESGIRLLAGGDEIPGKGNFVPNAAFADVPDDARIMQ EEIFGPVASIAKFHTEAEVIEKANATEFGLSAAVFTNDVNRAQRVSEALECGQVTINC WGMLHANTPFGGVKQSGFGRDMGEEALEAWMSTKTVKYFTLPTADDK PEX2_034100 MTRTYEAAIASLNSLQTNYAIVEAFKKSKTRKEINELSLPETVD WLQRIGYKPSDLNRLNAIHVAGTKGKGSTSAFISTILSQYPRKDSDQPGSNLKIGLYT SPHLRFARERIKINNAPLSEEQFARYFFEVWDRLEQSAKLAGQDPTGPGTKPQYFRYL TVMAFHTYLSEGVDAAVIECGIGGQYDCTNVIPQPKVTAISSLGIDHVDLLGHTIEEI AWHKGGILKRGVNGFAAPQPLGAEQVLAKRAEEAGTKLEIVPLHSELRPESSKITLGL AGDFQYTNASVAVAAAADFLRQVGIDVPDNIKEGPLPAKFKTGLEETRLGGRCETRFE KNVSWYIDGGHTLESIRLAGQWFASRIKADSSSEDLASKKTRILIFNQQTRNSTALAR ALHETLASALGNGSPFTHAIFCTNVTYKQAGFRPDLVSMNTNSDDLELLRVQKSLAVE WNSIDPHAQTHVFGTIEEAVDFAREVAGQERKVLQKDEAPVMTFVTGSIHLVGGFLDV VETKPPTDV PEX2_034110 MSDSSDKKGKAPKRNENESGAPSDSGNDAGKKLPAKFASLLLEQ NPALKNELAAMDKDQAAALLHNMDLSQMLTGLAVGGKNQKDMASYKFWQTQPVPAFEE AGKKQIAQGPIKIIDPEKVSKTPDALIEGFEWCTLDLTNEEELKELYELLNKHYVEDD NAMFRFNYSESFLHWALMSPGWKKEWHVGVRATKSRKLVASICGVPTELRVRGERIKV TEINFLCIHKKLRSKRLTPVLIKEITRRCYLRGIYQAIYTGGIILPTPVSSCRYYHRS LDWLKLYDVGFSPLPHGSTKARQVTKNHLPSETATPGLRPMESKDIDAVYDLLERYMQ RFDMNQAFTREEIDHWLVHKNQPGKGQVVWSYVAEDPETHKITDFVSFYNLESTVIDN PKHDAVHAAYLYYYATETAFSDDKKAFKERLQLLMNDALICAKNARFDVFNALTSHDN PLFLEDLKFGAGDGQLHFYLYNYRAAPIGGGINEKNLPDESKMGGVGIVML PEX2_034120 MLWSPLDEKFQQSATQGPALDPPSYHANTITAAYYHHSAALPRE LLQPLVYNALSRVIVQIPSMVTILPHAVQIIQLRDGRDEEVDVLVEEQRNMRFPELYE KVPCWRLVIAYTSGRSTVSDMVACFVVGEPASDQLCGMDFHRSFSAALLLVNKAKLSK QPSEYPSAKHSKRYSTSRQEKQTNHTQRHSQFKSVTLGTTDTARLLVDCFANKTNITC AMQAVLAASLFSNLSSEFTTLRSAGRISPSGTIEHTIAIPCSEYITTHNRAQGWHMTS IWNEAQRIHTAYNAEPSGKVRSNSLMGFLHRERGYTLCDTKDISETSGVSVGVSSMGS FQNSRSSQSEKQQEWQTGRMMSSSDSNEINAALSVTLVVGGDGCLTIGFSWLDGDIED FWLDKVVVNMRRLIGELLNTNGATKTETVPWGGSVSRLVCMVRDLMLE PEX2_034130 MRSRKYNLCLFATLLTDDIFHFQLRVLKGLFTQFLGSRLSPRQI DANGVLIDDKSGGIPLLFSYLVTTHQNAFMKCDYNMHKSNSTFFSDLDINRAQLLLSL FPRLPRWAPIPDSATKSSETDADKSKKREKTVSIALGGTSCVFKREIKPLQRYEVWSR VLSWDAKWLVLVSYFVKAGTHKSVMRSLQKGNQNKEGSDAQKFILAVAVTRYVFKDGR RTAPPEEVLQCVGLYPSTFAEADEQDIYDNLRKPGSDALGFFGSLDSLASNFGDASSS ILGNYSDL PEX2_034140 MRFPISGLFFVAMSAIVARASPVQTAVPQPGVFPRDVSPTTTAT NSHTTTTATTTTATEFELSLTLNLPSDTCTPTIKPDKYGWVPATECDALYLYYPSFKA AIAAAVIFGILFMAHFVQATMYKAGFAWVILMGVSWETVAYGVRAFSTHNQQNDTVVT VAQMFILLAPLWVNAFDYMVLARMIHFFVPERRIGMFKPSLLAKSFVLLDFASFIVQM IGGFMATGTDQQQMNGIHIYMGGIGIQQFFIVCFLVLAVQFQRSMLQLERAGRLFGEK QKWRRLLYALYGSLVAITVRIIYRLIEFSSGYGESNPIPYHEWYMYVFDGIPMALAIL IWNFAPPGAVLQGPDAKMPSSGIGRWLCCYGCACCCRSCRKGAKGKKNMQRLPDNDIY DDNVPLHTREPSPYRDSNYNTRR PEX2_034150 MRLDIGIARDSTALTLMSVDVERISSGLQYVHEVWASPIDIGLA LWLLERQLGLAVVAFASIFIVCTLLRLGVATTMGERQQRWLQAIQNRLQSTSEMLKNM KEVRLGGLQELMAEKLRGLREKEVSQSTDPIQASVDSDCHLFLLAKRNGSPTLKYDKA YTALSLFALLQSPMALILDAIARLASTLGAVARIGEYLSKPTGTCGESSRLCSPTALS SALLDEKAQPTMLRAQGFSAGWDSERSMVLNDLNFEIRPSTINFVVGPVACGKSTLLL AILWEVGHHDGRLEVPVPRIGYCSQTPWITNATIRRNILGTSVYNQPWYDKVIGMCLL QDDIDGFPHGDQEWVGNSGMTLSGGQRARLAIARAVYAQENLLLLDDVSSGLDGKTEE YLFHNLFGPDGLLKESGTTVIIATHAVQRLAYADQIIILDSKGHIADEGASSKVIAIA KEMVFSASKKDQSAEPSNSNGLDISRILSAEEGPTGEDRRTGDTAVYNYYIQTVHPFS ASIFFVACTVFAVGLTLPQFMVKWWLERGDQYTVTHMGTYLGAYGGLSAMAILSLPVA VWHLTERMLPRASMRFHASLLTTVLQAPLQFFSTTDVGTTLNRFAQDLQLSDMELPLA LFNTTVELLLCTANLIIIAITSKWIGIALPALLAVFYMIQKFYLRTARQLRLLDIEAK APLFSTFLELISGLTTIRAFEWHADFDHRNRKVFDRSQKPFYLLYLAAVAIGVRTQGQ VDAGFTGIALVNIVQLSISIKAFLSNWTNLEISIGAVSRIRAFTLDAPLSNSDETGTV LSAGWPKKGRIEFQNVTAQYEGSLHQVIRNLTLSIAPGEKIALCGATGSGKSSLATSL FRLLSPSEGRITIDDVDVSTVARDTLYKRLICVTKSPHLIAGSIRENVNPFGATADDK AIELALKEVNHWDTVASRGGIHAQLSEGLFSVGQQQLLCLARAMICPGSVVVLDEVTA SVDQETDRAMQEIIRRHFASRTVITIAHCISTILDADRVAVVSDGAIVELGPPAELLA RDPPSQFRGLYEATTVSNQSSKTSQEII PEX2_034160 MFISLSRVPLTINAKADRRQLRDLATSRSQEDNLAFSLANSVKQ EPSTPTEMQLRALWAKVFQVEEHVLGKNDHFLRCGGDFIIAMKLTSHARSAGFSLTVQ DIFQTPVLEDMAALISQRSLQDLSPQSTVSWIHGLLHWIVHVWYAGSYTLMKHQYVHM NGVLAPRYRLVDLLFPYIVDPLVGHTWNSYYYHHVKMHYVEGNGPLDLNSTMWYDRDS IADFARYVGRFFFLVSLELPLYFLRKGQYSTSLKTAFWEFSNYLAIALLYCYGHARAT ICVFILPLMTLRAGLMAGNWGQHAFVDPRDPSSDFRSSITLIDVASNRFCFNDGYHTS HHLHPRRHWREHPVALLRDQKRYSDERALVFQNVDYLMLTVNLLRKNYIHLARCMVPI GAEQRAMSLEERADLLRSRTRRFPELHLERKSASKRR PEX2_034170 MQPSLYVPTNWVPTTSAHKLDRKTLRNAVAKLSDGQLKGYSLRE DGRRVPDTVSEKKVQELWHRILKISKEEIRSEDNFFQMGGDSISAMKMAATLRMKTFP SPSWIFSRFGNGYDRLSLKPFELLDNTLEISGIVGEISRQYPIPSEFIEDVYSTSPLQ EGMMALSMLNPKSYILRRVLRLGPNLDVARFKSAWELAAEKNPILRTRFVPTPDAGFV QVVVKGSIDWRRAADLKQYLERDQAEGMVYGAAPVRYGLTEDGYFIWTAHHAVYDGWS LPLIISQVQSAYEHGKCPDSTPFNTFVKHLQTTDNQSSQSFWAEQLSGPRPSAFPQLP SLSYRPSVKGKLQHEIPVPHLADSTILRTTILQAAWGLVLSRYADSDHIVFGMTLSGS NGPVSGIDTMIGPTITTVPMRMKFPPALTVSEFLAQVQQQSTKMTPHEHFGVQNIASI SSDCARGIEFQNLFVIQPVSDATEIGDLFPGVEEVELPLEDFESYPLVVECFVADDKI TIETRHDENALSTWQVQTIMHHFEHILKQVTQTNAQEAQVASVDLVGAYDLEQIMQWN KQYPETVDSTVPAIFAEQILQQPEALAVDAWDGRLTYAELDHLSTTLARHLVYVPEAL VPLCFDKSRWAVVAQMAVMKAGGACVNLDPAHPQSRLETIVKDAKATAVVVTEDFILS LKGLTHELPTISPQNVVYVLFTSGSTGKPKGIVIEHGSLCSSSKAHRTRWGICPGTRL LQFAAYTFDCNWAFLTPTVAALLPADNLPSLKTLVLGGEASTRNTIAKWHSVLDLIVC YGPAECSVYCSGAPPAIATSNPADLGAAIGSLYWIAHPQDSNRLTPLGCIGELLLQGL TVARGYLYDAEKTSQAFVSNPIWAPLSGSRFYRTGDLVRYNEDGTIRFVGRKDTQVKV RGQRVELGEIEHAIRLAMPTLAHTTVGAVQDPSRPRQIVVAFLHYSNRSGPIEVKDMS DKLQEELVTLQQSLG PEX2_034180 MMMNDDTERKLARTLLMELMVYQFASPVRWIETQDVIVSRTETE RIIVVGPSATLLGMLRKTIDTTHPTKECTLPAPRQLLHSEKDMDDIYYTGEPNQLNPV ETQTQKETQMLPPNPEAALLAPTVTMEVTTTALPPKVHIKVIDIEDQSIQAQDILFAI VARALKRSAAEIDGSKSIKALAEGKYFDIPVEFGLLPDRAEDLPLAQVGPEIQSSHKG GLGKVTTAMINSLFTAKMPSNFTVATAREQLHTQWGLQSGSQDACLLCAVTMQPTSRI AAESDARDFISRAVDVYAKREGLTLLPPEASHVSGAAIGVTMDPEAVRALTESQDALS KRLLEIYAAHLGVDLEADRQALDSLRDEIATGLQADLNLWHAEHGSDYAQGIRPRFDA KMIRSYDSAWNWARQSLLELFDLTSTVADTSMLDRAEVVKRCYHIANASDKTILPVLD EMISQLQDRSQLRPIFQTLKDNCTDSLLSGPAIKGAPRQMARVTTMDDQGSLQYQEQP RTEVSRFADLAMPRSGPAGNPLVATEPYLHLKKRSASRWEYSAELTRHLYQALHEVET QGDSLSAQTVLITGAGIGSIGAAMIMHFLQGGARVLVTTSSMSPQVAQKYQALHGTFG GDGLYSESKLALETLFNSWYSENWQDYLSICGAVIGWTRGTGLMNQNDLVAEGIEKAG MRTFSQEMAYALVCLCVGPMYELCQEAPASCDKNLKDRSEIQAALFQEDKIEAEWSSP VAQAAAVSELEHRAHVRQDFPRVQEYDRDIAPLSADMQGMVDLHRTVVVMGFSELGPH GNARTRWEMEAQGKFSLEGAIEMAWMMGFITHFSGKMFNGRFTGQEVQSDILQETFVN TISAWVNMLLLSASGPIRTPVGACATAVESLELGYDTIVTGKAKFCLVGGCDDFTSET SYEFANMKATTNSLDEIARGRAPSEMSRPTTTTRNGFIESQGCGLQVLTTADLALKMG LPIRGIVAFVSTSSDKAGRSVPAPGQGVLVNARRTTSASNIPSPLLKMSNRHRLNFRR KQISEARAVALDQLDFEVNVVLEQDPGIDVVTYRQERHEQILGDFLQEERDAQYSLGN DFWRHDSSIAPLTGALATWGLTIDDLRVASFHGTSTMMNDKNESSVLQQQLTALGRRN RNADNIDSALQQYDLIAYLNRPVHVPDLKAVSITSFGFGQKGAQAICVHPRLKFSSNE QS PEX2_034190 MGVAQSNEHGASSPEELSLVLAERFATKCFTPLELTHFKDNFYS RALEQGGLRYWNEKVLSDFLGIPDGIYSASHNQHLDAGPVIFRMVSYLGAFPFQNTLA PSVLTFEAMVKVVVLLTERYGKALKRGHKDRIKLLFGSLADVGRKTPADSNGESIPKD SEASTGHSHALGFSIDESANDEYDEDEDDDLALAALESLDAIEVFKHDHRVDKTVYET RISINTFRRLLMLLLVIAPLKPLESTKVYTSGLDGQHMELVKKEADSIIAAFSPEESE DGISYKAFARTISLSLPYLFDPLTALFEHMLFSKNLDLSQRRHKDQAAETEQEESKEN EEPPSPPAALTLPGSFESAILNPTLTSHLSFFLPSTSADINILRSSVKLHPVFSTSAH GSSLTYFSHHVLTWQTATLLLLQGSLADSSSEELITLGAYIPQTWKTSTSTSSSSSNN SDLLPCLFQLSPKHLLLPGNPSSSAKKPNTPIAYFSPNTGIAIGCQIPAPSRTHQTYP APHGAASLIIDANLETAEFHAAPFGHDGVFLPAANTSPEDPSTKIKLDLYTLEIWGII PDPDSSFSVDPSLSPVEIQRAKWEFEAREAERRRNVNIKAGAGDPARESARWLLEAAG IIGDEARYSGGRG PEX2_034200 MAEKRKLPARDRRESAAKRRVSEATPQSHKKKAPTPRAPSPELV DAPLPTKVKDGDPLPVVRIRQPLSLSDNEYQSIAESAVLLASLERSKKKWLSDGILVR YYTKPKKTKREQIEKNNPSKDTMTKIGPCDVTIGPHFFDAMIYIVKDPSAPPALQYAP QQRPMVHYGHPNNFQQYRPYPTPSNQQRPAQYPPAPPGRPGYTGSHPSPQQARGLNQG NAPSPQGGQKPPQGQKGQPAKPSPDPVIQMLATRAAADPELKALMRVVASSQASQEQL RAFQAHIDELNAIIKSREQQEQRQQPAAGQPITQQSTPTPQAQTQTQKQQGGGTKSVS LEKSPQTSQTPSKGSKQPPVKTEAQPQTPAQAPLSQAPKPPQSATGPGTIKEEQSGTE HNGSQPAPSGAGHPVVPNQSTTPGATSTPTPASTSQQQNPSVKPTPPASAQQPGARPG PPYTPHQQPAYGSQPPLQSRPPQYGSPVPFPRPPAIPYVSPLGSPPVNYKSVVFEFTS PLTPYGSSTSGHAGSGDRYLFPEYTILEWLPAENTVIASFLVVRKVAPNTPFPLETAT EAANSKSKGKGASKAKSETKPKTEKGKLPTDSPVPIPQPGTPAIGTPQKQESADQPGL LTPGLPGTPVNEANLKEYWQPVTFRIHAPNAKILEPLARVVKPADEVRKYMNDIMDRA ERAPDGYLAMRLPREAASESFEKDGTPASSGNVGSGTRSRLSRVQLAGEESEPENSAF KFEEDEEDLKDFYDAPSGLPPLKL PEX2_034210 MVELKPIFKKAYWSLAAGGLLYVLCLLSLIFPEVQRFVLYANKI NPSLWQDVNQVEQFGFLKTQVQPFHLVTPDNETIYGWHLMPLHLCLEHEKELMENPST GPAEDYTKTVAHKLLANEPNARVVVSFHGNAAHLGSAQRPATYNSMLSLSTPSNPVHV FAIDYRGFGVSTGAPTEEGLITDGVSLINFLTAGPLKVPTSRIVIVGQSLGTAVTTAV AERFAFGSSDPAAIQPAIKNAEPFAGVVLLASFSSLANLIESYSFKGLTPPMLSPIMG YPRAQKWLLSHIVDHWDTAGRLARLTGVNTTSLNRVDMEYTDKSLDLTIVHALDDAEI PWYEGRRVWEAATGKDIKSAPGAMTYHKAEDGNPSEVKIWKNEISNEDGTEIVKKVRW ERIRYGGHNRVASGSVAGLAVMRAFEQ PEX2_034220 MLRYSGLFSLVCNSPVQGQVVTRVSLFLHFTSGGLKSLSNMNNA TKVSATRLQITSN PEX2_034230 MSDLKGKGGKLSPLGLFKEIFNWYPSSYPAEERKLLFKLDLSIL IFACLCFFVKYLDQTNISNAYVSGLKEDFGLYGNELNYFNVCYFTSYVLFQIPGLLLM SRPKLARWLLPTLEVLWGICTFAQSRVTNVHQLYALRFLVGMFEAPVFAGTHFILGSW YTGPELFKRAGTWFICNPLGSMVSGYLQAAAYTNLSGVGGMPGWRWLFVIDGIFTIPV ALLGFFIFPGIPGSPRPFYMTERDVALAKERTVRAKIRQPGKMSLDVFKRSFKRWHIW VFITCYACMIICSYPSSYMSLWLKQEGYSIESVVLTDLLKDSLPVRDDAEERALIMGS MMTFGYSFNIWVPLLAYPTAGPDGAPRWRKGWPISFVFFFLLWAGFVASVYIWRREQK KEALESPQESSDEETDTVIVDGTPALKN PEX2_034240 MPSKYIEKYDQVPVTKEDLDWAELITLDLSQYEQPGGKEDLVKQ LDHAVRNVGFFYVKNFNISQEEIDNQFALGREFYALPLEEKLKFHSASDLERGEYNSY RPAGHRILGNGVKDNVQVYNLPKFDGHHVRPQPSVLADNIKEIETFSRKCHTEVVEKL LRLFAILLELPDENQLVRDHQYDVKGEDHLRYMHYAARSAEDNKKVGELYSPGHTDLG TVTLLFRQPVAALQILNAEGQWKWVKPQDGTITINTCDALTALTGGLIKSSIHRVHAP PADQAHIDRLGVLYFARPNNHVVLDPIQNSPLLQRLGLTTNAFTELGQHLTTEQWVTV RQTQQQRRHRDVKVSSKGKYTYKPKDLEIIPGLQAAIYN PEX2_034250 MSFPESEKYSHSKRAKHFTMSPNASNNSLYLDSNVALEQRMQSE SEVEVNNAALHHDDGCDRQEGVLAGIKQQFDSMAPGGSISEFGSSVTSAAQETVVAAK DTLMEGALPAAQGALQTMQYHIHNISGGAKEVEETVSEGIFFVSSGFPATQDLVVDEG VMFRDFPGGTRSHR PEX2_034260 MSGSKITCYFDIVSPFAYIAFHVLQNSPAFAKCEITYIPILLGG LMNACGNNPPINIKNKKDYLGQQRVRWAKYFSVPIIEGFPKGFPFRTLPVQRALCAIS QQAPEKLAPVIGAIFHAVWVEGNTAVGEPDGFVPVIESVLGKQETQEIMSAMNDADTK ALLTANTTRSFDSGAFGLPWFECTNSKGETEGFWGVDHLGQVADFLGLDRTLDRGFRA AL PEX2_034270 MAPIPITIVTGFLGSGKTTILLNLIPQLPKTYKLALLKNEFGDV AVDSQLASTQSISGVREMLNGCICCNLVGQLSDALDQLRTEIKPDRIVIETSGSAFPA TLAMEVNRLAREEGGHYVLDGVISVIDVENWEGYEDTSYTAKIQAKYTDLIVFNKWED SPERFDVCLDRVGDLEVDTPWVKSNKGRVDMDVMLGIDGALFKESEDGAKEDHGHGHE HEHQHDHQSEVEVLSVVLKSDVEGKTVDVAALERFLSLAPREEVYRIKGIVRCSNQNL PVETSDNLSARQTPETSGVQYYILNWAFGRWTCTPSAVVAETADPAVIARITLILARY ESAKWKKKLEAGGLVQAGEGEGVELSVERLV PEX2_034280 MVMAPTACVSTAEVCTFRKDYSNSLDHLGLLSSHGDNNLLQSSL TAKELVLDVLKKRAAEVDVDNCDPGEEDAFYVADMGEVYRQHMRWKMNLGRIKPFYAV KCNPDPEVLRLMAQLGNGFDCASKSEIEMALQTGIDPSRIIYAQPCKTKSYLRYAAEV GVKQMTFDNADELYKIKATFPEAELYLRILTDDSTSLCRLSMKFGASLDQARPLLELA YKLDLKVVGVSFHVGSGAEDPRGFLKAVQDARMVFDHAGEIGHELHTLDVGGGFCQDT FEKFAGILSEAVDTYFPPNIRVIAEPGRYYVATAYTLAANVIARRDIPDPDNPSRDAF MLYLNDGVYGNFSNIIFDHQHPIAQILTCASDGSQPGSPNSATSEDITYSIWGPTCDG IDVISERIALPGLLNTGDWLYFEEMGAYTKCSATRFNGFSDNHEVIYISSEPGASALL NY PEX2_034290 MVYYVRFLKTPRTQQQKGSIYVSALICITTDLGDSFLAEDVDLM LIAENSSGVIFQKTTQWNASNRELAITLGPLPSKLAQQSMVLTVKVPHPPGYSIPQYP PIPLVVDATSAPFGPQSMPAEKLVQRQIQYNGQEAFSIKIWEETGNSIARHIWDIPRD AGLTTVTYLHMICENIRKKNKSIEPKIPALNRVLSNANNHPLQVVELGAGCGIAGIAL ASMLSNCSVLLTDLPEVEDIITRNINAAQLATMSSLHYQNLDWENPPDDLCPRPIELI LVSDCTYNADSLPALVSALDQLVRTSPEAIILVALKRRHDSETVFFDFMGSAGFTAVQ DSVEIPSQHDEVDEIEFYCYSRQTGKL PEX2_034300 MMRPRDPRIRQRINQISHNLETANETAQEGLYTFSHNYISPCFA SIGNCVTACTAPCFPSREDHLRRRRRGQTEANFDFYDDWANEEEDDGLIGWGTGELDR LLAGSGLARGAADQPRGPRRMSYGTRNTRRRSTAHIPDNRDDPTVIPSSSLLGFLERF PWRFGARGVKYRPSAADLQEHPGARRYAHEDEPLMEAVDDDGNDGDQLSPTLNRRNRS TTQSSRGTDNSLSSRGDLFPSDEEEDAVPLDDEFALAFGRRGTGLESDDQSGAKSEII QSASASSLGAASSKKAKRKKKRSPKRSPSYNGAAISQSVHTSSMEDLKTEEQRAALEE EADIARRRLAAYELALNRGLDQTGGDKV PEX2_034310 MSNNYTCVPAIKWLIRNLRNYDAEDDGIAAWNAILNLRFPSTQG FLVRPRIHTAVRGCPGWFELQVSHLEDSRQNPVLMLYYQRADRQNDNQWEVGQRELQL YLAFRYRHVAEDSSPIYGILAIGAKLKIFEYDNPSRSIERFVPPWDLERHDEQVWDML KKINRSHTDGSVCEAGGIKFELGGQGNEALKNAFLREIREV PEX2_034320 MPSWTHLVRFIAVEDSQVHLGQLVDTTRDVGRDSVDGVEIAVKV IEGTIFDGRVTDEILHVKQLLAPVTQDQCSYIRCLGLNYIDHAQEANLPLPKAPILFT KPRTALAGPYPATINIPKCAQDGTSDYEAELCLVIGKTGRDIPEEEALDYVLGYTASN DVSARNLQLITAQWSFSKGLDGSCPIGPVLVASSVINDPQALSIKAIHNGTTVQDGQT KDMIFHIKKQISYLSQGTTLEAGTLFLTGTPAGIGYFRDPRVVLQDGDEISVKIDQIG TLVNKVRYESR PEX2_034330 MTIQANHGASIADIIAIGPESESVPAWRARCEITTESQIRLVKL AHMCYQHPDLDEITIFLEDFGMTIAKKTDDEIWYRGYGVDPYVYYAKKGTKKFLGGAF EVESYQDLEKATKLPTAGEIQELTDAPGGGYMVTLTDPDGFAMNLFCGQTPVTPSTYP EKLVVNYETEKPRVRQFQRFQPGPAAVHQLGHYGVCTKNFEGLVEFYTKNFNIVPTDF LYVEVEGKKKNVSLFAHIDRGDKHVDHHSFFVSSNPTSHVHHCSFEVHDFDTQKLGHQ WLAKKNYKSVWGVGRHILGSQIFDYWWDTTGNMIEHYADGDLVNDQTPIGYGPAGDES LAVWGPEVPSWFLQ PEX2_034340 MHDTDYETTDVVICGCGPTGAMLSAYLGQMSIPHIVIEKEPEIT TDPRGIALDEDGIRSLQGIGIYDQIYSEIGTCMRKFKFIGGTDKVLDKKAFLEMEYGT TEGGTGHVGFICHKQPILEKYLRQAMASSSFCQLKTNSSMVNIREEGDHVYSQYRDSQ GKTQSLKSRFLVGADGKTGFTRKSFLEPLGIRMEQAHQAFYDETWVALNWEISPPTEK THPEFPLWKLGYTPEQVYDLFFPESFRFICNPDRPAFVVLPGEDGEQMSNHENIQKVV FPYITHPGSRYGLNQDILFPEDCIRVLRSRPFRFSARSCNKWSLGRVILCGDAAHVFP PFGGQGIASGFRDAVSLAWRLVLLCRRQPSKKPSNHEEVLSGWYIERKQQLERSLAST IENGNFVTEGNPLKIFIRDWSLYFMQFVPSWRRSLRLGRRKEGLVQYKYSPGLPFDPT HTGGILLPQVYCKAVGRSVEVFFSDDIIFRKEKRGIFQLLVYLRDVDELTAVHETVSW IEEISKGEVYCSEVTYLIEKFDVESHAVTKDASSVYCLATGDEFAGSPLCRGRPEPKY YDPLYLSKVLGGRKFVLVRPDRFIYAACDDFPQLEKVVSEAVAYLHG PEX2_034350 MYSNATHLRSEFHSLANPTQQSTVIGSNVEELAQSNFDGGVDGI LTPGSQSHITSLEPSLQDSSQDVPWVFGNSNTQIPAWFADDDFDIGALNSEILISTGN WLTPGNSDQYQNEPVGDSSRQLVEDIIPSREELVQTHWYTFMGASQTGHSTPEIGPEP TQLDEAYRANLAVKLQPHVPFLPLPSTDFLWERYFSRQGPETTAMIQASLIGQTFGVL SGRKKDLLIAQTFHGALVVWSRRTTTSKLKRASDYISLSEVFRTPEKAWKKWIQAEEQ NRLLAGIHIHDVEISELFLTDPYLRHSPEKLPLLSDDDLWAATKVEDWSRKITNRLSG SNMHENHLRPPETMADQSPLPTFTPRSNGFHAHLELEGLGTSIIEAKNTNSWTYRQHC EDNLMIFHESYIANREHTPDPYCLPVLWHSIFFSLYADTNRLELVIGKEGFTEAQNHV DYARSWASSPDGQRCALHAALILREAEKKNIGTEPPIHVPRIIFRAALIWFCYTCFGS DTANSDQNPEFPELQKICIDYHRLLFEANGFRSFRPTASESSTFCRLVDILPRVGHWG ISQLFTSILGLLLPDVKDDERYAR PEX2_034360 MLAARVVNGIATATSETLLVQVIADMLFLHERGLWTGVYFMGYF LGLFIGPVISGNIAQKYGWRSFFWLSLALTCFNLITLLICFPETRFRRDSVLEGSELR ATSSPSQNIKQTSQEVESEQLENIERANTVSSGRPSRSQFKLWQAPEAEWKAILLRDI ISPFRLFFFPIILWAALNVAGPANLLLFWNLTESSVLSAPPYNFSPSSVGYANFAFVV GGLVGLATAGPFSDWVAVRATKRNNGIREAEMRLPALIPYFMFTVVGIVIGGVGYDRL WDWPVVLVVGYGFSGLCVTTVPTIAIAYAVDCYKPISGEIMVVATVIKNTCGFAMSYW VMPMAARRGFLAPAMVEFALTIGPMVLGLPIYFFGKRLRRLTRHSSVHSYAD PEX2_034370 MVTIQMNDTPESAPSTPIQRIVVGTEGNSWIWSPDSWDLTHPAD PRANLLENGVRLDCEIMNVKIDPTKTALVIIDMQNIGMNKALNPPSAPPMYKAEDAII QYAIPAARELGLQIIWLNWGLTEDDLASIPPAEVRVFALEPNTEKVDYGLGDRLGDPD DPANFLKFGERPNLSKMPGTEIGEVVLEDGSRVNAGRVMMRGTWNAALHGPLAKAYED GTTAPRPDVWIHQNRNSGLWNESTALSQYLKTEGIRTLLFSGVNTDQCVGSTLQDAHA QGYDTIFLKDGCRTDSPPYAKASYEFNCARSWGFLTSCRALAKAAGLKY PEX2_034380 MAKAESDLRSILDGGFDPQEFPWYEPELIEVPEPAKTLLEKYSK IPPGHEVEHVKKVRDRAFAVFPYPCIGSFRFLDLSIPQSPLYPEILDRLKSGQKLLDV GCAVGQELRQLVFDGVPSENLYASDLRQDFYDIGYDLFNDHDHLKAQFIVADIFDDNS NLVKKLTHKIDIVNAASFFHLFNWDQQVLVAKRLVGLLHDKPGSLLIGRQIGLVNPPP PEDKEAAGKHYRHDPATWRKFWEQVGAETGTKWEVETRIEKWAGTDKTMKDYHEGMDT FKLRFSVRRL PEX2_034390 MGMQQEQAFGSPPVIPDHQAILNRLARIEAALGITEDPQDPEDP EDEVSLSHGASPEEEIDAVPLDGVWTALAHLRVITRPPPNDSVWSRSTVQQLWSSFLK NLPLLHFLTDHSAFASPTPVLLASVLYISALHHPFAVLGSLDSGYFTAMYSAIAELVT PPLHPISLQLLEQKDEEQNAFPQAKREKAFHDILGLIMASLSCEAYIGATGSWIAMAY RIWLDHCPTEMNSTTKDWRGLFSGLQVIDIEHASMHMSYPLLPRHAANPTIQRLDSHQ ENAFQGLAEMMHFGLSHFVGRGLPSIWSSLNADDADIVPTARSPFTESDLQVIRHWAR KLDDWLVRYNGSSQPTPSDRQGILILLQYHLHKLYVLSIYHPARGFDLSPANISSFER HELLVSARAVLRLRQDDASIWSNWDLVMITWAAVLLLQGVEDGMTHQDDLLLIQAHLQ SLERRNRSAANIHTVLFYRLESSMQAMHTPPDTSVALAFPVANTDDSWTIFDQEIMSL ANPPWLFDESTQLPQIQKTSAVHQLQSGLPPFQYDSTILASTSQHFAPHT PEX2_034400 MRLGTARVPNSHPLIGRSLKSTQTILPRNTWRAASTVTNLDNFP KVGEKLHGFTLQEKKHVPELHLTAVWLKHDQTDADYMHVARDDKNNVFGIGFKTNPPD ATGVPHILEHTTLCGSEKFPIRDPFFKMLPRSLSNFMNAFTASDHTTYPFATTNQQDF KNLLSVYLDATLHPLLKESDFRQEGWRLGPEDPRAGESVPGQPEKQLSLEDIVFKGVV YNEMKGQISDANYLYYIRFKESIFPSLNNSGGDPEYITDLTHKQLSEFSKRNYHPSNS KILTYGDMPLADHLQQIGAVLDGFQKGQADTDIKLPLDLTKGPLNVTVPGPVDTFASE DKQHKTSTSWYMGDTTDIVETFSVGIVSSLLLDGYGSPMYRALVESGLGSSFTPNTGL DPSSRTPIFSVGLNGVTEAEAPNIKNVIQNVFRDSVSAGFSEEKVRGFLHQLELSLRH KTANFGIGVMEKTISTWLNGSNPMKELAWNDVIDEFKRRYAKPGYLESLVEKYLINDQ CMTFTMVGTPTFNKELDEKEVVRKDKKLAQLIEQHGSVEKAVTKLGEEELELLKIQED AHNADLSCLPSLRVKDISREKERKPVRESKVEGADVVLREAPTNGLTYFQALNEFVDL PDELRLLMPLFNDCVMRLGTANRSMEQWEDLIKLKTGGISTSSFLVSSPTHLDQFKEG MQFSGFAIDKNIPEMLEMLSVLVTETDFTSPAAPAMIQELLRTTTNGALDAIAGTGHR FAVNAAAASISRSFWIQEQQSGLEQLQATANLLRDAESSPERLQELIEKLRLIQSFAI SSSNLRVRMVCEKESAGKNESILQKWISGLPQTQSPSANLTTSSFKSTDKAFYDMPYK VYYSGQATQTVPFVDPSSAPLSILSQLLTHNYLHPEIREKGGAYGAGASNGPIKGLFT FMSYRDPNPLNSLKVFKNSGIFARDRAWSEREIEEAKLGIFQGLDAPMSVDDEGARYF MSGVTHEMDQRWREQVLDVTAKDVNAVAEKFLVNGSRRTTCVLGEKKDWADSEWELRK LSMDAAA PEX2_034410 MSSTSMAKKNKGKKAADPNETSKLLAAKISQLEQDAAGEKDQEA EIEREVKKATRDLNQLLSNIESPMTKLETVHKKYTELLADMKKLDRDYSKSKKRADQL QKDQDKGKSDLNKTVTMKDKLEKLCRELTKENKKVKDENKKLEDTEKKARLIVNERLD SLLYDIQDVMAAKGNPRSEKVDVDLDEALRAKLKTISEQFDTRDLHYRGLLRGKDSEI QSLASKFEEQRRAGEVEANRSRALTSQVSTFSHTEAELRSQLNIYVEKFKQVQKGSHK PSHYPVADEDHQVEDTLNNSNDLFLTFRKEMEEMSKKTKRLEKENHTLNRKHEQTNRN ILEMAEERTRNHEELERWRRKCHHLEALCRRMQAQGRGEGLALAEGDDHLEGDDEGTE SEYDDDYEDDEEDISDEEDLEPPPTRVEQPSEKPVFGPTPPPNLLEARANGNAAGVNG LVF PEX2_034420 MSLQTPLCSLLKIQHPVLLAGMARASGAPLAAAVSNAGGLGTIG GLGYTPTQLSEMLTELKSLLRDPSLPFGVDLALPQVGGSARATNHDYTHGQLDELIEV TISHGAKLFVSAVGIPPAKTIKRLHEAGILIMNMIGAPKHAHKAFKAGVDIVCAQGGE GGGHTGEVPFSVLVPAIVDVARQYKSPLTGEPALVVAAGGINDGRSLAASLMFGAAGV WVGTRFVASEESGASRLHKEAVVGAQFGETKRTLVISGRPLRMLPNEYIKEWESRPAD IAALTSKGIVPMVDDLENEKDVDMPFLMGDVSASVKNIKPAGVIVKEMVRQAVDVLKV GGSYITSTGPASKL PEX2_034430 MAPEAEDYTFLCPPSKEEQEQDLVYRTPSYYNPRLTFHLPAGAG RHYQQQYDDMYFLRLAKLKPIVEQVAVDAWDGLIIAGEKVRRVDRVLDVRQGELCWVA GTVYMHLPMKPNILEDLSKENFTSAPPPRRTYTDPSNPSLTEIMMEDESGRLRLTGNF LQSTQLATGAIIAALGTENANGDFEVIDIKLPDLAPQLQRWEGNAPENGIEIAGKEHG KIAFVSGLGITGTSSDTLTLELLTDYLLGYTGFSGNDDNSPSLGPSKISRLVIAGNSL GASVIGDVASTNTGDADSKKAQPKKYGYDASAYNAAPITQLDNFLAEILPSIPITLMP GEKDPANFSLPQQGIHRTMFPQARAYSAPPVRGDEKPEVGWFDSVTNPWDGDVEGWRL WGCSGQNVDDVLRYISIEGGDSSPDKEKDSDARMRIMEAMLRWRCGVPTAPDTIWSYP YQSDDPYVIESCPHIFFAGNQPQFKTATIESDVPLRLDGDTEMVGAADGSDAARVRLL ALPKFNETGELILVDTETLEVEVVRFGVFKGQEEQK PEX2_034440 MQRSWRQDADKLTFIVCRAITTGTEDGVPSQTELQTESDSPATM VGDINLFLRIDDGEEGDSPPQIVGEVELMIAEKVNQRRGFGRAALLMFMRYIVQNQGA ILDEFVGGGDVDVETVRKLRTGVKTAGSSEGLTFECLSVKIGQANLRSLALFEGLGFE RVSAEANFFGEFELRKTDLSLGGVDAALEGAKVRGFIALPYERTE PEX2_034450 MTFSKLSLLLASASFVAGHGYVSSIVANGKNYTGYIVDKYAYMS DPPDSVGWATTATDLGFEDGTEYQDPNIICHRGGINAALSAPVTAGSSIDIQWTTWPD SHHGPLVTYLASCNGDCSTVDKTTLKFFKIEEDGLIDGTTPPGTWATDDLIAAGGQWT VRIPSTIAAGNYVMRHEIIALHSAGQSNGAQNYPQCFNLQVTGGGSDVPDGTLGEKLY TNTDPGILFNLYSTLTSYIIPGPALYA PEX2_034460 MYHQKPLVPAMGNLSMFPPEIIFNILDELLGSSPRLTHENFHAI NQFMKTNKTIERYIKLGWMGSNASNSFKQHVNAVQWYPNIDIANTALILQGVNPARIM PIEGARGLGPDLITGIIFDDCTHCFEWFSDILPPTHMSCCNEGGWSFLSLALHAKAEK LLDSFFLSGFPYEPKDFITGSGNAMGTGPSILGLSASSRDHRSFAILFKKLKEVLNGN GFKRTLRDKLTGEERAAIRSVAPQYLQKMLYEAGLVVMHPSLRYSPYYSGKRTQMY PEX2_034470 MPAEVSDIKQFIEICRRKDASSARIKKNGKNQQTKFKVRCHRNL YTLVLKDSDKADKLKQSLPPALKVVDVTKGTKKTN PEX2_034480 MATAGEDTLTKVSTEAATEFIKTFYPALGSNRESLSSYYSLEPT TILFNGNRVADGAAVQEIFTNQMSPTYYEVQSYDCQIINKAYPTILPGGGLKPQSDLG VKDMSFLIVVSGFVRYGEGRDQPQRGFSETFVLVPNPSAERARGRKDWLIESQNFRLV V PEX2_034490 MAETCGEAWTTRDVRNNMQRSSYRWHSPNGYSKDATSLVIQGVS ASEIEASMELSNKPYYVTTPIFYVNAAPHVGHLYTMVIADIMKRWQVLLGNTDAQLLT GTDEHGMKIQQAAMQAGMDTQAFCDMNCRTFEALANAANIDNDHFIRTTDPAHRDAVQ YFWEMLQHRGYIYTSKHEGWYSVSDETFYPQTQVQNSLDPSTGRKRMVSIETGKEVEW SSETNYHFRLSAFKDRLLDLYKREDPFISPTSYTAAVVNGVESGLQDLSISRPTERLT WGIPVPGDDTQTIYVWLDALVNYLTKAGYPFPPGQENKSAWPADLHVVGKDIVRFHCV YWPAFLMALDLPLPRNVLVHGHWTMNREKMSKSTGNVVNPFFAIERFGVDGMRFFLAY RGGLADDADFDNSFIIRDYKKLLQGGLGNLALRTIGCARGNLRSYIMDAGSDKLPAAT PEDLQHQKMLEQTPPQVAELMENLNPRAALQETMSIIEKTNKYFHAAEPWKTPDSQRV IYNVAESLRIAGILLQPFMPGKSHDLLELLGVNTSDPSKRAFAATAYGSDQDYGEGVK KGILFPPLLTEE PEX2_034500 MGSIDVSACAQLQLKTPWIETPLVESASLSRAAGCRIFLKLENV QPSGSFKSRAMGNQILSHLRNPANVGRRVHFYASSGGNAGLAAVCAARSLGYPCTVVV PMGTKPLMLDKIRAAGAADVIRHGETFSEAGEYMRETIMKTSSGDDQDVIKIALHPFD NQPIWEGNGTIIDELETQLPPATSPEDKKAYNDRALPLDAILCSVGGGGLLNGLVMGI EKRRQKKQTTSSSSPNPNPIHLLAIETAGTDSLAAAIANKSLISLPKITSQAISLGAV RVSETTFQYAVSPPPGIKVHSTVLSDADAARGVLRLANDERLLVELACGVCIEAAIGD AATAVPASVTASTGAQSIKKRKRGLVETDVTYRDEGYGDDRSTSTDNETDQELEPQVG EKLNSKLKQLVPDLNSQSRVVIIVCGGSYVTIDMACEWRKMLDEGWA PEX2_034510 MEGKYSTDPEWASVTPIDLDDGSSSGAMPLATIAYPTEYLEATS YLRAVMAANEMSERALTLTKDVISMNPAHYTVWIYRAKILFSLEKDLNEELSWLNDVS LKYLKNYQIWHHRQVLLSSKAHFPTFPPKEANFLMEMFAQDSKNYHVWTYRHWLVRHF GLWDQPRELEDVEFLLKADVRNNSAWNHRYMLRFGPRDTSVPDAGMVNAGDPSTAPSE KGMLPVVDEDMIDGELKFAQEAILRAPENRSPWWYARGVLRAAGRGLGEWEKFAGGFV SEGAVKSSHAVEWLADVFAERIGKEEEAVKMLTMLKEQFDPIRKNYWDYRIRKLDQAV A PEX2_034520 MIIARQSFIRPFIFSLLVVSVTSSKVLHLLQHASSLPGGQFALY FPTFFILETLLCVAAWELVFKFTGVKSLLGTTVTVAITSLSFILASSQIGFYFVTGSE IRWDAATAVGNDPEGRKLMLSGLRSFLGAACTLLAISWLLKYCIYVLIGHWMSALFSA QTEKADEEGIVISPQRKSRAARLTQFWTVCAAAIIGLLRFTRPQVPYNHMSETIPFSF FRALGSRPTELHQAGDQPFPLASLIDEPYWEGPHDHFKGWTPGKPDSSAKKNIPAWAS ENLPPGFERWSEGEFDGDEEESDGLDGNATSKKNIYSPMIDPLRITNLDHEMLEPVAQ ALKNHKVPITHVVLVLMESARKDIFPFKAGSHLHEEILSSYNTQDPELLQKVNAKLSN LTPNAEKLTGETSGLLTNSSISRPFSGGWNDTTEPGMGGINVNGILTGSSLSFKSAVM NYCGAGPLPVNFMDEINSQNYQPCIMQIFELFNQLKESSTKKATINHKPETGIEHIHD RNWSSVFLQSITGLYDDQNKLNKQMGFQKSIYSEEISHQSAKYYHENMEEINYFGYPE YEIYPYLRDVVNNTIENNERLFLSHFTSTTHHPWGTPAEYQEEQYFSGDGLISKHEEI NKYLNAVRYVDTWLGEMMKVLDEAGIANETLVVFVGDHGQAFPEDAPVSGTYENGHIS NFRIPLVFRHPLLPALQITANATSMSVVPTILDLLVNSGSLDEMDSNAALDLMNEYEG QSLVRPHRATHNGRQAWNFGIINPGGTMLSVGSAAVPYRLILPLAEDFEYVFSDLDTD PNELSPLRGWSLEELISRVQRQHGDKAGKWLVDAEKVGKWWIEEQKRLWNYQ PEX2_034530 MGSSSELKQILTSTLLDDVHKLWFDHLSCEDALILPGRSEMGKW FSHDEAFDKACVARFRPALETIVGSGASASDILSAVSPSSPMDWLSLIILLDQIPRNC YRGAESKLVFGRFDPLAEEIALRAIKEEIPTQSTYFKYRMAYRTWFYMPLMHSENLAV HEQALKVHEDIARDFNGLLARDASTLTEEERKCRGILSEKEEALQALLSMTFDFEKRH KVIIEQFGRYPHRNQALGRVSTPEEIEYLNNGGETFT PEX2_034540 MRRVKKSRNGCARCKSKRVKCGEEKPHCTRCTRLGVHCPGYAQS LRWVTEYEQSSPAVPGSISEVRTPSPAASTAPSQLANQIDDALPDESNFESAHEPGPS NFVLDGRTALCDNLWEHPGHGSLPELTDLCSPSPMAAASSSFSHQRDDFHDFEQSDDL GVDLSHFLSLIGPTPNEEEVDNVYRDFSPSAIVRSYPPASHRPVPHNFMSMSRSLNNP SWTLIEYYFKEVAALFSSYDSQMNPFRTTVSRLWGSSLAMCRTMQSMAAATLVTEFPQ FGPMGRRMRDEAVEIITREAVIDDKSLLALLMLGQTASWHDPKDLGISFFNLLRKQLN TLASSSHNPSSSFPQNDSNNYRFFEEALIYWEMLLSFVADNDTMVLSNNLRTASSMGE SFVLQRVPHPWTGIARDTQFTVHEVGQLIRRERKRIYSRRFTSQDDITRAQLAIEKAR ELEKRLLGLAHPSEAEIVSPGDDDTPVWHLLTMAEAYRCVGLMQLYRVFPDLLHKRLP SATSTSPQYPSNNDPTSRDPFFPVDLDSMNLSAGFGDPSPATGKTTNSQHNVPSPSTK SSPHNPYQHSPHNLPSPPSQDTQQPPTPETLYSNWLTDFAVTTLSRLKTIPLESRTRC LQPFLLVASCSELRLARLATNVGTQSRSGEDFAEGANDSNNPNQTPTISMEAIEVSRT RKFILGRLTSFLHVLPPKPIHVCLKLVNEVWKRLDAGDDDTYWVDVMIEKGWETTMG PEX2_034550 MSDDNTRRHWHGIPGDRHEHLNVWMNGGAKSPSGRAAWAMPETD RRDSNTSTSSTGNKQTEGTAPNLPSLGERRRSSGGSANGLFSHLQSQKRESTDANMAT RRASWNEQAAKGGMFSKWWEGYTRGSGSK PEX2_034560 METIRRTHLKSLAHRGTIQGVTISTLPSPTTQAKDLCHYFGGVR YGLAPVERWRRAQPLPASFTYGSEEVPGRCDGGAGLCPQPGFLNISPENPDGGWLQFG TPNSFNAAGLIGEADFNCVVVMPAYRVNLFGFLYSAELEKDAATIGETVGNHGFWDQR LALEWTKENIQLFGGNPEAITIAGYSAGANSVFHQLAYDLRQPDENSLVRQACIWSNS PAVQPKQPTETQTQFNQLLTALDIPLTLSHSEKLTRLRSIPAKTLLEEAKTIPLHQFR PTTDNSFISESLFTTLDTGAFARSLLARNVRIIIGECRDERFLYSTWFPPTEDTLSAL RIRLIADYPEHIVDAVIPLYYPDGRLPTNCKNWDQDAWGRIYADMQVHQMQRGLAYAM TENEDGVDASALLFRYRIEWRAKCVEAVLPIEWGVTHSSDYPIWFFGNGGLLGEGEKG IVKDGFVGPLGRFVSGEGEFGWGTSGARGVRTLRGDGSVEIVDDGDWEEGVKVWKELR NADGKS PEX2_034570 MASSTTVLFIPGAWHNPRCFDQVIKSLQADGYNTDVVHLPSVGP VIPHSNFSEDVCQIRRQIEMAANAGQRVVVVVHSYGGIPGCEAIRDLDWGTRQSQGQP GGVVHLFFCCSFIVPEGKSLISSFGGDDLPWFQVSDDRLIVTPATPRETFYNDMSEPD AKRAVEALKPHSYQTFHSNITFEAWRHVSSTYLYCLKDEAIPLSVQKMMVEEVSKGVK IHTDVLNASHSPFYTVPDHMATAIKKAASRRT PEX2_034580 MSDPCLFHATLFGASASIDMLQGQQITTRTLYHQTWAIRLLNER LAQTEPVLNYGTLGAVIPLLYYNMVTLDRDSAVAHQKGLVKMLLATPQSFRADIGPLI AIVKVAMLSFACIYNMLPIWDCLSSELVRPNTILRNVVSRATLSNDESYLEKETTDAI LDVYEAMSRLDHLVHADRCSISAEVERALSFATTSTWTDRAEYNHHLTPPERLNKCCQ ICCQLFWKMLRRQTYSQQTPNTTGNHEAKQLLKHLSKIEPLYWIRNAPEVFTWAAFTG AAVSEHRDARATFISKAGTILTAIDDEKLTLIRQGWRYFRLLKRLGGDDNPLADSGTD WYGSTGK PEX2_034590 MSNNLHDFIPDLAGKVAIVTGGHTGLDEFLISSIPHSGFGTSIE LAKHGARVYIASRSAAKFKDAEQDILSEYPKADVRFLMLDLADLKTVREAAERFVQLL SSSGTAYSFQVMCVPYEETGNGFEMQIGVNYIGHFVFTKLLIPILQKTAETTEKGSVR VVNVSSDGHAKLAPKEGIIFSDMNMKNDFSVWARYGHSKLANVLHSRALAKRYPNILM LSLHPGTVKTNLSAGPISSTPLYRLIKPLVELGAPGPRKGAANILFAAVSPRLRLECD NGAYLLPIGKVSSPSKAGSDPKMAEDLWEWTTKALRSRGYE PEX2_034600 MASRSFENNSGLQIGINHGSINAVFSPPREAFHIGWICALPIEA AAAQEMLDERFGRLDDQDMTDLNTYILGRIGKHNVVIACLPGGTYGTTSAATVAINMV QTFSNSLRIGLIIGTGGGLPSAAHDIRLGDIVISYPQGICGGVLQYDMGRAGVGSHFH QTASLNTPPTVLLKAVDMMRASELTDDLRYPEYMESAIKRTSRTHKTFARPSAYHDRL FKANYNHPATMSNCDECLTDWEEIRNKRESNVPEPHYGIIASGNTIIKDGRIREQLRL ESDALCFETEAAGLMTDFPCIFIRGISDYADSHKNRQWQGYAALAAASYAKELLGYIP EGHFLQENLAGDVFTRLLLNDDQLMSLYKTAISKVGFERFQRNFSRMLKRYGSGLSRE ACNEIQREAAHFVRLSARQTAVEVRIDLMENNPGLSLEKNSKAAPSELTRVNEWIESH TGGRTDRDADGDESSVGSDLSDTESTTIASLERVKEFLVSTQAFSDLKLEFQRWLESK RRAGHGVQSEEKITHYPGSYNDIQMVNSDSLSCVDVMRSFGLDASIQGRLQYAADHGI REEPFSPDWNDKIRRDVLRRNDAALQWHSPPWWFRFLAIYSPPAAGYQRIPYLCGCGK FTYLDVRELSAGGIDRFRQKLLASSRAVQAQEQTGSIHNHLETPAQVHLSNIGETFSR RSTRYIPIDPYLSQSTQRGNSLVTSASDPDSKFLLLCINTKDSTVLSHVEVASLTNDQ HLFEQILIEYKKARENSEFRVTSIIPQLLSNFANTISTRIPRLPYLSKFFSLSVILRH VHQMRLYRIESGDFVQFQLVPIGMRCLPMWFQTKRMPPKVEVEEGRYLYDPVPLDDVE MAYIPLNHLLKPGPHSDNFWITRFPKKIRDPLSRLPGSGGMKVTGWGIHVNECLNWTV VLLSMFITLLIIGLSVTIYALTTSDSSSAFGLGSFLVALFTVYFTYQYFAWKENV PEX2_034610 MAAPHRQPEDAIDESDFIEDHNEHHQDSVHRRLRANSTIMHFQK ILVANRGEIPIRIFRTAHELSLQTVAVFSHEDRLSMHRQKADEAYMIGHRGQYTPVGA YLAGDEIIKIAIEHGVHLIHPGYGFLSENADFARKVEKAGIVFVGPTPETIDALGDKV SARRAAIKCNVPVVPGTPGPVERFEEVKSFTDEFGFPIIIKAAFGGGGRGMRVVRNQA ELRDSFERATSEARTAFGNGTVFVERFLDQPKHIEVQLLGDNQGNVVHLFERDCSVQR RHQKVVEIAPAKDLPVDVRDKILSDAVKLAKSVRYRNAGTAEFLVCGNEHYFIEINPR IQVEHTITEEITGIDIVAAQIQIAAGATLEQLGLTQDRISTRGFAIQCRITTEDPAKG FSPDTGKIEVYRSAGGNGIRLDGGNGFAGAIITPHYDSMLVKCTCRGSTYEIVRRKML RALVEFRIRGVKTNIPFLASLLSHPVFIDGTCWTTFIDDTPELFALVGSQNRAQKLLA YLGDVAVNGSSIKGQIGEPKFKGEIIRPVLNDAAGKPIDISTPCTKGWKQILDSQGPE AFARAVRANKGCLIMDTTWRDAHQSLLATRVRTIDMLNIATETSHALSNAYSLECWGG ATFDVAMRFLYEDPWDRLRKMRKAVPNIPFQMLLRGANGVAYSSLPDNAIYHFCKQAK KYGVDIFRVFDALNDLDQLEVGVKAVHEAGGVVEATMCYSGDMLNPKKKYSLEYYLGL VDKIVEMRPHVLGIKDMAGVLKPQAARLLIGSIRKRYPDLPIHVHTHDSAGTGVASMI ACAEAGADAVDAATDSLSGMTSQPSIGALLSSLQGTEHDPKLDLGHVRALDSYWAQLR LLYSPFEAGLTGPDPEVYEHEIPGGQLTNLIFQASQLGLGQQWAETKKAYEVANELLG DIVKVTPSSKVCGDFASWIVSNKLSAQDVLDRADQLDFPGSVLEFFEGLMGQPYGGFP EPLRTKALRGRRKLDKRPGLYLEPMDLIKIKNDIREKFGTATECDVASYAMYPKVFED YRKFVAKFGDLSVLPTRYFLARPEIGEEFHVELEKGKVLILKLLAIGPLSEQTGQREV FYEVNGEVRQVSVDDQKASIENLARAKADPTDSSQVGAPMSGVVVEIRIHDGHDVKKG DPIAVLSAMKMEMVISAPHSGKVSGLQVKEGDSVDGQDLICRISKA PEX2_034620 MAPLPIKFTELVNLTSVGIAPASIGFTSCTLESDHYVCVRQKLD EDSKPEVIIIDLKNNNEVLRRPINADSAIMHWNKNIIALKAQGRTIQIFDLGARQKLK SANMNEDIVYWKWFSERSLGLVTDSAVYHWDVYDATQQNPVKVFDRLPNLAGCQIINY RVNAEEKWMVVVGISQQQGRVVGSMQLYSKERGISQFIEGHAASFANITVEGSPLPHS LFTFAVRTQTGAKLQIAEIDHQEPNPRFQKKAVEVYFPQEAVNDFPVAMQVSSKYDVV YLVTKYGFIHLYDLETGTCIFMNRISSETIFTTTPDSEGAGLVGVNRKGQVLAVSVDE TNIIQYLMENPAMSGLAIKLASKAGLPGADHLYQQQFDSLMASQDYAEAAKIAANSPR GFLRTLETINRFKSAPQTGQMSVILQYFGMLLDKGSLNKYESVELVRPVLQQNRKHLL EKWMQEKKLEGSEELGDIIRPYDMSLALTVYLQANVPHKVVAGFAETGQFDKILAYSK QAGYQPDYTQLLQHIVRVNPEKGAEFATQLANEESGALVDLDRVVDVFLSQNMIQQAT SFLLDALKDNKPEHGHLQTRLLEMNLVNAPQVADAILGNEIFTHFDRPRIAQLCENAG LIQRALENSDDPAVIKRNIVRTDKLSPEWLMSYFGRLSVEQTLDCMDTMLESNIRQNL QSVVQIATKFSDLLGANRLIDLFEKYRTAEGLYYYLGSIVNLSEDPEVHFKYIEAATA MNQVTEVERICRESNYYNPEKVKNFLKEARLTEQLPLIIVCDRFNFIHDLVLYLYQNQ QFKSIEVYVQRVNPGRAPSVVGGLLDVDCEESIIKNLLSTVDPSVIPIDELVTEVESR NRLKLLLPFLEATLATGNQQQAVYNALAKIYIDSNNDPEKFLKENDQYDTLIVGKYCE KRDPNLAYIAYSKGQNDLELISITNENSMFRAQARYLVERADPEIWTFVLSENNEGRR SLVDQVIATAVPESTEPEKVSVAVKSFLEADLPGELIELLEKIILEPSPFSDNTSLQN LLMLTAAKADKSRLMDYIHQLNEFSADEIAEMCISVGLYEEAFEIYKKVNNYLAAVNV LVENIVSIDRAQEFAERVELSEVWSKVAKAQLDGLRVTDSIESYIRAEDPSNYHEVIE TATHAGKDEDLVKFLRMARKTLREPAIDTSLAFCFARLDQLAELEDFLRTTNVADIEA SGDKAYAEGFHHAAKIFFTNISNWAKLATTLVHLEDYQAAVECARKANSVKVWKQVNE ACVEKKEFRLAQICGLNLIVHAEELQSLVRQYERNGYFDELIAVLEAGLGLERAHMGM FTELGIALSKYHPDRVMEHLKLFWSRINIPKMIRASEEANLWPELVFLYCHYDEWDNA ALAMMERAADAWEHHSFKDIVVKVANLEIYYRALNFYLQEQPLLLTDLLQVLTARIDV NRVVRIFQSSDNIPLIKPFLLNVQSQNKRAVNDAINELLIEEEDHKLLKDSVDQNDNF DAVALAQRLEKHDLIFFRQIAANIYRNNKRWDKSIALSKQDKLYKDAIETAAISAKPE IVEDLLRYFVDIGSRECYVGMLYACYDLIRPDVIMEISWRKGLHDFTMPFMINFLCEQ TRSIEMLKKDNEERKNREKTTRTEEDNTPILQGSRLMLTQGPQSNGAGLTPQATGYRG F PEX2_034630 MASSNERADPIPDGMFATARQSIGDLFIWKQRVEVTNEHGESYA EWQSPERIKNPFSLIAQLSARDWLFFIVGLTAWTADAFDFHALSIQTVKLAKYYDRSK TDITTAITLTLLLRSVGAAFFGLAGDKYGRKWPMVLNMIILGILQIATIYSKTFQQFL AVRSLFGLFMGGVYGNAISMALEHCPVNARGLMSGILQQGYSMGYVFAACANLGVGGG TETWKTVFWIAAGISITVGLIRICFPESQSFVEAKKAGKRTMNAGEFWRETISMLGKE WRMCVYCVILMTWFNFYSHTSQDSYTTFMLTAKELDNDGASRASILMKTGACVGGTII GYLSQFFGRRRAIIVAAFVSGVLIPAWILPEGEGSLSATGFFMQFFIQGAWGVIPVHL AELSPPAFRSSFTGLTYQIGNMISSPSSQIINAISESTFITSASGHRVEAYGPVMGVA TAIIALGIMVTTAVGPEKRGRRFESVVAGVDEAANAKAMDLETGEDDHKPSDQTIEMA DRKM PEX2_034640 MSAQVAKAENPCRSLRLSKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRTFGIRRNEKISVHVTIRGAKAEEILERGLKVKEYELRKRNFSET GNFGFGISEHIDLGIKYDPGIGIYGMDFFVCMDRPGARVAKRRRCQSKIGVNHRITTT ETMKWYKTKYDGIIR PEX2_034650 MASHKMQNLINYRMRVTLNDGRQMTGSMLAFDKHMNLVLADTEE FRRIKRKSKPTAGPTNAPLVEAEEKRSLGLTIVRGTQVVSCSVEGPPPADPSARLGTA GPGAAATLAAGPGISKPAGRGLPIGLGGPAAGVGGPPPPGGFGFPPGGFPGAPPPGFA GRGGPPGGPPGFAPPPGFAPQGGPPAGFQPPPGFQPPGQGRGYPPPGFGGR PEX2_034660 MRLISQFFVFTFCLKYAVSSILDAVHTCPANLPHISYPPSTLAV PAYKDYM PEX2_034670 MAAVIKAINAKIRSNSVLDYVCSTHFWGPVSNFGIPIAAVMDTQ KDAEIISGPMTGALVVYAATFMRYSLAITPKNYLLFACHLTNFGAQTTQGFRYLNYWK WGGREKQLAEQAAKGGA PEX2_034680 MADNGEGSSLNPTRSVPIVSSSVRSRSPAPEFGNRQASMARLAS PVPSPSFGTSLSSRQGIAASRPVTNISTEQEFSRSIANPSSIPGPGHSILASQLQESL GRSPPRFGTPSRHNGSPAVHSTLDNRPLASQYGSFDTKNGADSPGMAPYEDPEVIKRH LVTEHTDTQSEIATSLGGEDNFSSLQLQGGDITRQVYRWAEDAEAEAAGRFLRSKSFS VSRPRPEADTEDIDTIRVPGGFRRDYLRRAAGSPHRGSANGSTTGAPHGYGAPQLPTT SFLEFLTLYGHFAGEELEEDDEVLGPDEYFSSDAWDEPEEGRESGEDAALLPAETPGR KKRKHKQRSPAGTTTATGAVLLLLKSFVGTGILFLPRAFLNGGMLFSSMVLLGVSILS YYAFILLVNTRMKIEGSFGDIGGILYGKHMRRIILGSIVLSQLGFVAAYIVFVSQNLQ AFVLAVSKCVTFIDIKYMVLLQLIIFLPLSLIRDISKLGFTALIADVFILLGLLYIYY YDISTLVDQGGIADVVSFNPATWSMFIGTAIFTYEGIGLIIPIQESMKQPNRFPGVLA GVMVVITFIFLSAGALSYAAYGSATKTVILLNLPQDDKFVNVVQFLYSLAILLSTPLQ LFPAIRIMENELFTRSGKYNPYIKWKKNGFRFFLVMVCAVIAWCGANDLDKFVSLVGS FACVPLIYVYPPLLHLRACARSKRQAIADITLAVFGAICCVYTTYLTLMSWMGPEVPQ SPGYCDSL PEX2_034690 MAKRVGESSDSLSRRRPTFAARTAQEDVSRLDPGDSAHNISDER TRLLPWPPTRWARPYEPVNSSEPTDDQRHASPIHYFRGLSQWWNNRGDHDQEQQNGGD TTSQNMFLSADPFRDARADKKDKSGHRSRSVDEPKKLGTFSGVFVPTTLNVLSILMFL RFGFILGQAGVLGILGLLLVSYTINLVTTMSLSAIATNGTVRGGGAYYLISRSLGPEF GGSIGIVFYLGYVFNTGMNAVGLVDCFTQNFGTQSGDWANFLEEGFWWQYLWGTIILV FCTGICLAGSSIFARASNGLLVILLVATFSIPLSAVFMKPFPIPRQGVEFTGLRLKTL MGNLKPNLTKGAAGSQIKGRENFQDLFGILFPATGGIFAGASMSGDLKNPSKAIPKGT LSGLALTFVAYGLVILAMAASVTRESFYNNVNVIQIVNASDSVILLGEFATSFFSALM GVIGSAKLLQAIARDSLFPGIGIFAQGAQKTDDPVYAIIITFVFAQVTMLFDINRIAS FVTMTYLMTFLVMNLACFLLKIGSAPNFRPSFHYFNWQTAAAGTLVSGISMFFVDGIY ATGCVGILVVLFLLIHYTSPPKPWGDVSQSLIYHQVRKYLLRLRQEHVKFWRPQILLF VSDLDKQYKMVSFCNSLKKGSLFVLAHVLVTDDFSAAVPEARRQQTAWTKLVEYSKIK AFVNISVSPAAEWGMRNIVLNSGLGGMRPNIVVIDQFRSDQSLVETLSLNSGRRDSRT RRHSVHPSSRNEESSESGSANPPMSGQSYVTILEDLLFKLRINVAVAKGFEDLELPDP RGRHTKKYIDLWPIQMSAELGADSESKQNVLTTNFDTYTLILQLGCILNTVPSWKKTY KIRVAVFVEYETDVEDERGRVEALLDKLRIEAEVLVFWLACGDLQVYQTIVNDDASVT ADAREMVNSALQGEDWWQGVLRARALDQEPQDKTSDSLHLDKSYTWQGPSSQDSGAKP LHHRVTGLKKLIQSTRRRRSVSSFRALGGVNLGMQTHRLLDAFVDYSSDSPSESEDSD LEAYASDPEPEDGGEDILSARNEAIPVSGSSRLLGRSKTDDCSPTADSPGTPVRVSSQ VEETPSNQIIPSIIETGDDASPKSKPLGLRPSMSRSASSNRFSSAPIPEAKVDTEAEE GNGPSIMFAAQNSPPRFTNKLDSIYARRPSAVSPSSPSAHGNTHATGYPGVASVPLSF NDLPSRAQHLILNELMVQQSGDTAVIFTTLPSPVEGTSLSAEDSASYLSDLDVLWNGL PPCLLVHSNSMTVTMNL PEX2_034700 MTENATRPLNGMGTNPARRTVDFHPESTVKVLPIHPKGVNGNGA LDDSDSASVSSETHEAIATGKPKRPIMARKASSPMAPTFMVSAPGKVIVFGEHAVVHG KSAMAAAISLRSYLLVTTLSKSQRTITLNFRDLGLSHTWDIDTLPWDKFHEPSKKKFY YSLVTELDPELVDAIEPHLQGVSKGLPEEQRNIHIRSASSFLYLFLSLGSPQSPGAIY TLRSTIPTGAGLGSSASVCVCLSSALLLQIRTLAGPHPDQPPEEAETQIERINRWAFV GELCIHGNPSGVDNTVAAGGKAVIFRRDDYSKPPTVTSLPNFPELPLLLVNTQQARST KTEVDKVGALRDAHPIVTESILNGIDQVTCSAQRLIQDPSLKDPGFKGISEATLAHIG TLIRINHGFLVSLGVSHPRLERIRELVDYADIGWTKLTGAGGGGCAITLLRPNANAEV KQDLEQKFDQEGFTTYEVTLGGDGVGVLYPAVLRNGSDEEGGEEIDQQKFENAEGPEG IERLVGVGVEERREGWKFWKRAIN PEX2_034710 MKSESVTCAGLATSIVLLATCLIGALAEGDNSTKGNSSTVPISL IVAREGGNKSSPLLYGVMFEEMDHSGDGGIHGQLLQNNGFQGTSLGLTSYAPVGDVTI FQDTSKPVSKAITSSLNVEVQEGVTEYVGFANTGYNGIPITGATYNCSFWMMGNYSGT INLQLVGSHSGSIYADHNLTVKSTDSKFTEFKTMFNTTYTPKGDNEWHLTFDGSKVAG SSLNFGLIQLFPPTFKERENGLRDHVAMFLDEVNPAFLRFPGGNNLEGLEVDSRWKWN TTIGPVVDRPGRESDWFYPNTDALGLDEYLWWCEDMNMAPLLAVWSGKSYGDILSGPD LEPFVEDIMNEMEYLFGDSSTHYGKLRAQNGRKEPWKVDLIEIGNEDDLTGGCDTYPD RFNQIYKAIHDKYPHITFVASHGNYSCLPSPLPENVIIDLHLYRAPDDFVKLFDQFDN QPRNQSVMIGEFGCRNTTEETGTYWPYVQGSCSEAVYMIGMERNSDIVKMVAYAPLMQ HFDFVSWSPTLYGFNSAPDSVTPSVSYFVQKMFASNKGDTILPVHSSAAFGPVYWVAS KTGSQYYLKLANYGPEHQTVKVSIPGTKSGKLEMLAGPKYQGNTPFNVKIQTATTSVF NGQGNYSINMDPWAVAVLAVS PEX2_034720 MSANSSENSRPVRVANCSGFHGDPAYEMYRQATLGDVDFITGDY LAEVNMANNAQAYQRGEHPGYEQTAWEGIQQSIDVIAEKGIKVVLNGGALNPKGLALK VHELINKKGLAMRVAYLSGDDLYTKLGPNMPQSAEGLQHLDAGNTSVKPSPLTYAFTN TSKPVPMVSAHAYLGARGIVDGLRRGADIIICGRVADASPVIAAAWYWHSWSESDYDR LAGSLVAGHLIECSAYVTGGNFSGFDRHPFDTFIEPGFPIAEIDVDGSCVVAKHPGTG GMIDVDTVRCQLLYELQGNVYLNSDVSAMLDDVVVEQAGKDRVRVHGIRGYAPPPTTK LAVFYPGGFEAQILLNATGYGTDEKWDLIERQIRHFIPKESIDRIYTLEFQRIGIPAP NPSSQRQSTTYLRVFVAAVEADAVLAVGKAMKDISLKHFSGFHSSLDMRTAVPRPFLA YYPAIVKQDDLNEEINFIDGPDSIISFPTGHPSAYKALEPRASYNHNSSSDEVALLQS PTRSIRLGDIALARSGDKGSNLNFGIFVPDATHWSWLRSYMSIERMREMLADDNDWDE SFLVERVEFPHIHAVHFVVYGILGRGVSSSSRLDGFGKGFADYVRDKVVEVPVEILD PEX2_034730 MHETIPGNEVYGPGTYIDKTALPVPEDSRRVFELLASRTPGFTK DTALWDTVSFEGRPDPMVPGPMKSPVVTAALHAMCGLVANELLELRDGKLAKEASVTV NTDHAGIWLASTFTAFVNGKDISTLARARELPNIFDRDFEKGFGVGPLAGRATALYPT KDSGVWYQLHGSLDASKTLCSMGINMDVPLKSFQEGYDYIREHVQKWSPDELEMHNVR HGLCGSICYSPEGWRKTEMGKRLAEYPLVNYTRESYAQPTPSVPLTQLPDRRPLAGIK VVEMVRIIAGPTVGVILASFGADVIRVNCSRLADLNVLQLTLNAGKRTIDLDIGKEEE MARLKELVGDADVFVQGFRYGSLDRKGLGLQNMLDVAARRNKGIVYVDENCYGPSGPF AERPGWQQIGDAASGASYVMGRSLGFDEGTSVLPPLPISDMTTGVVGALATMLAIRDR AQKGGSYHVVSSLVAGDAILVDPEVGLYPVEVVEKTLDTFKFARSSPDQFVSEIMIQV IDGWKRVFPEYLAQDSPFMMRFEDSPWGRMDMLRPVARLDDKDASPIWKNAPVPNCHH DQSITWHDHIPERLSGLSY PEX2_034740 MDGNASNVYEDTVRRKKKHDRGGNIHELEQRIQSLQEELNEAHA SKRRRLPSDEDTGPEHVQPSEASDSELPSPKNDTTQASFEAEADGDGGSYTLKTPKGA MRFFGASSHFSIVSPEGAGWLESKTGNNVWRHAVQRNSSRWRLADWYPRALQDDFQSR CSQPLPSKAVILDLVHEYFDTFNKALPLFGPESFMGMVSRHFSWNPNESPSWWAAFNI VLAFAYMRRAESSSASSDDWQKCLGHVKNVMNVVTELFMRTCDLLAVQALLGLAIFFQ GTPNPQPLFMFAAAAVRLSQSIGLHKSNSFGLPESQIEERKRVFWIAFILDADICQRT GRPAAQDTRDYNTLLPHENPPDGLGVMEIGNTKINFFSALARFALIQRKVCDELYSTE AFNKTKEQLMKDVRDCFGDLETWERSIPPNLRPRRNFSIGHHAFLPHILRLHFAYHCC YLNIHRVCLLPRCWPASPQNEGLNPPGLSNDREISMQQSIEAARAAIELISQVRDRYG QSFEWSIVYFPGAALVALFSQILIDPSRPDTESDIAMIHGVVSFLSKVASQEQDTYLD YVLALCSDFESAARKELHRARNPEPESVGDNQPVAIRPQGQTWAQNDYLPPNSFPAVF NPTQPTENDGSNIMSTDQGFYTTGPLSLPAPLSWNWQDMLAGVPPAYDFGAYDLTENT GEL PEX2_034750 MTVIAVAGGTGGVGKTIVEKLIDSKFDIVVLSRSVKQDFASQNI QIVQINYDDIPSMARVLEQHNIHTIISAIGLVSDETSQSQLNLIEAAEASASTKRFIP SEYSFVQTPELLPIDPSIQYWLDAADRLKASGLKYTRVIPGFFMDYWGMPHVQTHLQP FTFGIDISSGTAAIPGDGNNVICMTYTYDMAIYLVKVLSLDEWPEFSVIVGDEVTYNQ VLGMAEEFTGKKFKVTYDSLEQIKTGDVTVPPQPEGIEYSSDELKEVTAPRTLDSNTD LSARNQVRAPRVDIVVPAQKLAHGELILVPRDDVPAGVSITDSIELGA PEX2_034760 MCMKATCSTCNKVTWWGCGSHIPSVMDSIPEGEWCSCAPQVDKD GKKYPPKAAKAG PEX2_034770 MLLLQPKLSGSLLPCHKVSLLFFKMPPRRSTKRTYSEPPVDPDE STKKRKPTNGRRAGSPSPSSDFSSDELENDLPLEPEDHEYVDYSMMSQDGQVEGYSRP PKQQRWRHDGDQPITDPALVPEGWNADELDLDVNDIDAQVERCMERISDGILPNFFKF RLSQYEQRRAARDKMIHSEPAGLSWDIVRRLDHLSIIETHLKTEGDPDNQLPNVTALI KAYREGKLGWSRGWVSYWSKGVQLNTPQKFDPNLHKKMADEYDTTKSWWVEGLQPAGG GSLGGFHSFAPYTNLHSIEFPVHISADSQRPGADGLTLYVCHDTGADIMAIPQCYIDQ LESLGIPVPVHGYKIMDIPGSTSCHKVVEVDVRVTDGNNQPISHWMRVQACVLQSQSE DHFGMILSGPFLRFALYTATCPDGQGILYVCDHKKELRQLPALPDDFVPRGPPFVATT PAAPGGGKPPQFLLEQTKFISPATAQPPPIPLPAAPAKTPAKRGKKKGGKKGTP PEX2_034780 MASRFFYGSGSDSDSSSDEEEEVYRSGSGSEEDSDQDDSDSGSS TDSDEEGDEGRTGASKFLHDDSESEESEEEEKVTVVKSAKDKRIEGIESTIRLIDNAE KINDWAVIATEFDNLNRQMLKVTGPTPKVYIQAVAELEDFTNETASKQKASNKKMNAS NSKGFNAVKQKIKKNNKEYATLVEKYRADKDAFMADKVEEKPAPAPAPKPTKIEKIEN FAAAAAAEDDGFATVGRGGKTLQYTPESILKHLRVIVESRGKKNTDRADQIRTMQKLI EVATTPYQRIRTYMTLISTRFDLTATSAATYMSSEQWQAANEEYSALLSVLEENRQFL VSEVADEWEDDEKQPTVAEGETFFIPGSVVSLVEHLDDSLTKGLREIDPHTSEYIERL TDEQALYSSLVRSQLYIESLKGADKNGQDSMNRLVIRRLEHIYFKPANVIAIVEQAKD KAVPTNIESAVTATYKSGDIQSLVASICNYMFEHSDGIPRARAMLCQIYFLALHDQYY KSRDLMLMSHLTENIATFDVSTQILFNRTLVQIGLCAFRAGLIYEAQNTLGEICGSGR QKELLAQGIIMQRYSTVSPEQERLERQRQLPFHMHINLELLECVYLTSSALLEVPLMA QTSSTPELRRRMISKTFRRMLDYNERQVFTGPPENTRDGVIAGAKALAAGDWKLSAST FAAIKIWDLMPQPELIKAMLSRQTQEEGLRTYLFTYAPYYDSLSISSLATMFELEPKK IISIISRMISHEELAAALDQVNDAIIFRKGVELSRLQSQIVTLADKSTSLLESNEKTL EQRTQGMANAFQREHGPGARGGRGGRGRGGGRGGARIPQGDRRPGGQQFGGGALGAAI KA PEX2_034790 MDPGWQPYQDPLMGHPAQFNTALASHPQQLASKYGQSSQSQPPV GYTYEAFQTPGTTSKAPSAGSNSKTVSMASSPTATPRTRDYVTDADTTMEDADPYNRA KYPSRPNHNRASSQFMNQAEESSAARRYSPGNVLSPPMSYPTSPGKSQGSYGFPSAPQ SASRRSPAKPEYASPPQGFQSPPSNRAPRLPPLQAGDLSPDQYYPPSASSHMSPGFGP GSRSPRSGSLPSQAPLVPGRGPVPKFQKIQSVQELKPRMNIQPAYRRANPEGGFISPL QSLTTHLPATYRICNPGFNYESSRNPRRVLTKPSKGVKNDGYDNEDSDYILYVNDILG SEEAGHKYAPDLPWIFDPWADNHFSFRSRNRYLILDVLGQGTFGQVVKCQNLKTGEVV AVKVIKNKTAYFNQSMMEVSVLDLLNSKYDKNDDHHLLRLKDTFIHRQHLCLAFELLS VNLYELIKQNQFRGLSTTLVRVFAQQLLNALSLLNKAHLIHCDLKPENILLKNLESPI IKVIDFGSACDERQTVYTYIQSRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPL FPGSSEYNQVCRIVEMLGIPPTWMLEMGKQSGEFFEKTQDEFGRKTYRLKSLEQYSRE HNTKEQPSKKYFSASTLEEIIRSYPMPRKNMKQAEVDRELNNRIAFIDFVRGLLSINP LERWSPQQAKLHPFITQQKFTGPFMPPMNLKYSTANKPAPGVQQQQQAEAASKQRAAQ AAHAQNAYAVQMNQFHTPPQPQPQPQAPPMYNGMYQQAAPPPPYPTQQPPGYGHQMGM MPGNQVPPQSLYAQATTRAGRQRASTMDPNGGIPTTIQRVASHLDPNAPIRLQPSPAY YPPPPDGYVDANASQRRRGSRAGNGNGNGNQRNRDFIRTLEDGVLSEGYMGQNQWH PEX2_034800 MSTNEEASRLRDLQADVRDQDDLERDITRQADKALADKAEENDI KRLEKALVDRERVDSQVRQAQHRLTQPVGAATRHRVENELKRLQVRKADLGKDLKDIQ QRIDERRESQGNSTVAHGSGRQPNESRRDYLLRTGKITPFALMQEGSRDGPLANLHDA LVDTEDQQYEEEEREQAKHQPTASHRNLARPDFDFDEISEIKSKRRKVDRRSSPGADS EASYVASEADATSEGEEFMPDTLPETKPSRKRKQVKATTELEDLSGVDDGNETVYQSR VQEWISRRSSARQHADSDSVEDGLNEEEEWLKPHPTEPAMELDNGLRVPGDISRFLFP YQKIGVQWLWELHQQTVGGIIGDEMGLGKTIQAISYLAALHHSKKLTKPAIVVCPATL MKQWVNEFHRWWPPFRVSILHSSGSGMINLGKESSRENALSSEMMGSRNSRHLSAGQK AAKKIIKRVTEEGHVLVTTYSGLQSYADALVDVEWGCAILDEGHKIRNPDAGITFSCK ELRTPHRIILSGTPMQNSLVDLWSLFDFVFPMRLGNLVTFKNQFEIPIRQGGYASASN LQVQTAAKCAETLKDAISPYLLQRFKADVTSDLPMKSEQVIFCKLTQLQRTIYKRFLG SDDMKSIVRGKRNSLYGIDILRKISNHPDLADHTLRSREADYGDAERSGKMKVLKGLL EVWRDTGHKTLLFTQGRLMLDIIEKFLGVLGGFNYRRMDGTTPIKERQNLVDEFNNNP DIHVFLLTTRVGGIGVNLTGADRVIIYDPDWNPSTDLQARERAWRLGQKRDVTIFRLM TKGTIEEKIYHRQIFKQFLTNKITRDPHQREGFQLSDLYDLFTLTDENDDELETTKLF KNAEVTYQEEAKDVPSSRSIKPAPVPKEEDDITDINGIANVENFQNAAEEEKNAKTSE DRIMHGIFARSGVHSAVQHDQIVNGKRVLRADPKMIEAEARRVANEAAEELRKAEETA RALPIGLPTWTGRFGMGGREDPRAGGSSARPAGVGPSSSDLLARLNPAAAAAAGQRAS DSDSPSARMPRGKDFMPLIRDYLASQRGPTLSQSIVHHFNHYCSNPQRVAEFQESLKK VATLQHGRDRRGRWTLKPEFARNATNRGR PEX2_034810 MKFFATVALLATAVAAAPSPKLFNLKTSGASNSSHNDLYLSVGH GLVSDPLNNEAIFSGAPASRAAVFSFVNGTIVLDTETKAPWALDLINVGGVRKERAQI SINPTRGSKGFSVGRHGVEGPSETWDGWLWCPADMEAGQLFPNLHFLSKTVQEPAVPA GCDRIQLNAVPKSSA PEX2_034820 MLTYDPDTTDTTTAETSESTTDASTASRTSTGTNAGTTTGTNTG TNTGTSTGTNTDTATGTGTNSGTTGTSTGTKTTGKSKTTTSSISIDPAAAAGGVSMIT PASSSTTYYKIGQDVTFVWNYTSLSVTPSAVNVVASCSMNSMTYTLSSNMTVKQTGSL VWDTGKYQSSATIPLLTASYTLIVYDASKEISDTASAGYLSSQNGGYVFGMYTPQAYT PLNEFKCATCSGALSETSRLALKFTVGMAVITFASFTWFAGSAGVLTI PEX2_034830 MPSQLGWIWPKDPRPGNPKHWPRRWRIFDVLTSKGPDIYVGRID QPKNKTRNSPSRPQSGTTRQEWSRWEINPNDPESGYNPIPWARRPAGERYDFRTRKYH VPDHGTWSAVEYCNGRRARRGEWTGKEEVHCVPRRYWDRNGVEYPAEFWHDSIYGKHG D PEX2_034840 MSTAPAMATTNQNSIQGPVTGNSDASGLDSEIKLVSSLAKLQEL ERKIHELRGFMPQGLLEPLVPISNPDKVTLGNPIAETPRILRASLDEAARARVADVQQ FQSLWRDPELTSVWAHVESRIKEANGQLLQPTGKWERDYDVLLEELAQKEKSKVDEHQ REDEEAERIKAQSTEGEWKNVLERFIQRDMPGVRVITGQDETSLAIALVRAGVVFQVK GVSLPGCPVSDWEISSKIAGRSPTKLEDAILECVRSRQRKWDLAFLLDMISSYADIKQ TPCVKCNRLTDNGAQLPTLRRPQANQQSVNGPARVYIFDALHFGCV PEX2_034850 MTVPNISDSFLRGPAPNAALHKLDFERTSPPIPEYKGHFAAIVD NFMTEAECKELVRLAEESTRSQLPDSTLSPPVWEHAMVNAGGGRQVMSIDTRKSGRII LDSPDLATRILDRMMPFMRECELDRVQRKPLVTGLGPAKRGEVLCLSRLNERLRFLRY EGGDYFRHHCDGCFVTPDGLEKSLYTIHLYLNGEGEQDMEELLPHIERAENTNLLFAK NWEINLAEVESEEAEVDDGSCTSATESLEKNEALLGGATSFMAGLNWRESLRVFPKTG SVLIFQQRNLFHGGDDVFRGVKYTLRTDVMYTTE PEX2_034860 MNVTCNELKLDITYGRKDLNSFISPRLSSSFSSTYLKTKQSNQL LNLSITIMQLTQLLLATGLLASSAFAAPADTSAKSMMANSPQWTLQNTKRVCNAADTS CTWTFGIYPGAGSATPCTYVVGGSPASRANGGPATCGGYTVTSGWSGQFGEGNGFTTL SVVDNGSRQIIWPAYTDKQLAGGVVVKPDQSYAPAALP PEX2_034870 MNMTDKTSEEFALPQESIEKPAGGPSPPPNGGTLAWLNVLGSFF LYFNTWGISNTFGAYQTYYESGALFHSSSSEISWVGSIAAFLLLFVGLFVGPIYDRGY LRTLLIVGSLMVVFGHMMLSLCSEFWQVVLAQGFVVGIGTGCLFVPCVAIIPQYFTTK MGAAMGAAASGSALGGIIYPIVLYRLIDQIGFPWAVRVIGFIAMGTLLVPISIMRLRV QPPKVRAMIDPTAFKDPAYLAFVFTSLIAYMGLFVILFYLSFFAEATRITDSSLAFYL VPIFNAASVFGRTIPNKLADRFGPFNLLVPAALSSGLLMLCMMAVHSKAAVIVMAVLS GFMSGALIGLPPMCLAILTADKSKLGTRVGMGYAIIALGVLASGPSSGAILRNGGASL DWHGLWVFGGVPTCVSGLGYAAIRVFKYGPKLNIKA PEX2_034880 MTEFETDDSKAPSYTSIDPVELENAEKQEQKHQVPLLDLELQKP QAKDIIRTLAAALNDIADEKKCTKCTVENISTLLTGHVRDLRAAKRSGMWSKEDKKAL KTEIKGLLKPVKKDVKSLWKAN PEX2_034890 MFRSLLAITGRRPKYIFPEVDPKVDGDDCRTDCNDCTVKCPSNV KIDTTLPMYGFIKEFHAHILVATGKSDWIRKVEQEDGSLMEAFKSDSKSKHGRLMISA SNLTPPGGDLPNSSKTTVLLLPSFTFVDDVSYSDAQHVVETFIDVPSGIPTQSISSPR LSSRPCPHDYVVLLCSHKRRDARCGITAPLIKKEMERHLRGRDLYRDMDDERPGGVGV YFVSHVGGHKFSANVLIYRKKEQQMIWLARVKPEHCEGIVNYTILEGKVVHPDSQLRG GFDRAKGLTSW PEX2_034900 MFRTLVATTLLTHIAVASSLDVKQWKDPNTNLTWIYKDPSLPRV MQDGTILSGSRYGRLDTVAYGGGTGGLTPETLIDSVHEVLDIAQIAVRNISGTGSANA DSDRFLNISMDAHERLCSSDSDVVGAVMIHGTNTLAETAFGVDLTLNCSKPFVATGSM RPNSAMSPDGPRNFYDAVRTAIHPESRDRGALIAFNDHLVSAFYGTKTNGNTVSTFFA MDQGYIAQVIAGQPYFFYGASLPKARHYFNPFNLTYPLPKVVVLYGHQGFDANLLYAA AADGAKGIVIMGVGPGGLSTTAMQAAEDLLGKGVITVASLRPSFGAVVPSPQPGNTIS SGFLHGEQSRIQLQLALASGFDFNETRRIFEGDIRKAVFNSATTYYNATSI PEX2_034910 MASRITLSCVVSNFLISRLQCHFLGDRGIVDHTLVKAKRRTDIL EELLELFTGVFENSNSEHGQCWAILPVDAHLGFYKY PEX2_034920 MSSTNNIAFTAPINPPGATPVVTPEQIWNGLLLKIRSAETFVPG AIQSTKVVSDSVDPSTGNTVTVRDVLFRETQKTVQETVTAFKPTRVEFEQPGGSKISN VISQGAGGELYMTYIFEWRHPGVSEVELAALLEKEKKMSQMAVEGTIKVLRQLVEEKK L PEX2_034930 MPMIRIRPGPDPHPWNRDGHQCANCHTYRWKQPQVPIFQSPARS QWAQPHKNAYLCDNCYWDLNGGVEGIPCMRSLPCLCGRRDNLAILLASKRFWNDAAPI FWAENWFAFEHPCLLTGFLTAIRPQVRSWLRRISFMPIHAYYHDEHASIFPQWRDPIW NGWDDIKSCWNLLRLCEGLTELELDVLSLTRKEWAQAIRLIDVKKRVAFFCHLDLDDI EDAPKDSAEFIWESHALRKRFDSPTTDLLASSMTGKRAIRRKALITHYSENTLQQRCG DYGVEFTEKMIEGRIWNS PEX2_034940 MTHLKFVTLDVFTTTPYSGNQLAVVFLPEDDSAALTQSQKQTIA REFNLSESIFVHPAREKSKRAIDIFTPDCEIPFAGHPTIGAASWFLSHSTDPADGDGV TSLTTKSGDIPISIQDRETKYVAAQIAHNTHIHASRFSLKELVRLHPTLAPFFTRPDV TFPLFSIVNGMSQLFVELPSLEALAAVTPATGGELVSADYLDEGWRSGLICVYFIVRD VEDSVSKKKVIRSRMILGTLEDAATGSAASGLAAYLTLTEGKAGQNHQYHVVQGVEMG RRGDIGAGVTLDGDSKIEQVVLTGTAVSVSEGKVLVPQA PEX2_034950 MDEEVVPLGHDVQVVDPDVRNYVYGLVTALGGFNGENADQYVLG DDALACLRDIKRWLKLYDEKNNRMDVARCLGEANLINGDLLPILSLWWASGQNSKHMT RISLACLELLVPLTWPVEFHSQMTVNHHRHTPYIQQTQVQYKRGILRSGGLNLLRAVI RISLPSMATPRSERGTRDEGILKLMLYLLRNIAIISPNTRLAAEGDEEETSRSATINA FHEQDAFALLLTMCSNVGEDFNLQDIPLMETIFHIVKGVNVEKLFMDDEQRTAKRTDE LSDLLKKESLVRREYAKNAPTRHGRFGTMIWVKRDDAKVSTVSGQGVLRDDQTTLQKM DESKKWNKPRPRRRQEDVVQNNNFNMPAHLNSEATKNLRTFVEEFLDSGFNPLFTHVR KAIEREAERVVPINYRQFFYTVGWFLEAERVRRTRQLRHRTAQNGGRTKDIEPDSYGL VAGVLNQEMFISLNRAMQSSLDNKEWEDLNAQMRCFTQILLTVQEMAVSPLEEDQEIA ENIQNRIFYEETTHDRILTILRGYKDQGFGYLDACTELAHVFLRMLERYSKDNADMQI RSRRKAKKRQKKATQAAEQEGADDDDERDSEDEDMEDAAQVTKERSFDFKRFAAKFCN QNCVDTFIAFTGFYRELNSEQLKRAHRYFYRIAFKQEMTVLLFRVDIISLFYRMIKGP GSMDSSKSVFKEWEELVRQVIRRLVKKIDQRPALITELLFSKINSTIYYLEYGHEKQT MFSYKRPPPELVITSTEATTKEAKLHIVIGALVLDGRADLVTWIKDVLSSAASEREDW EAQEEVRRAENSETISVPNPMITVKGKNEFVQNAMFTNAKLRLLMTVIGFERLGVEDV LGTSWVVPASFKSDDLRETISEILKALQGPFSADGNDDPRKQIQPKNKGIGRNNMIQG TLDVNFGSESEGEDIPDGPLFPANPRSKSSALDDLKKKRKNKQKATTEREPLDDETLE ARRDARLSNALSRQAKIKSDLFIHASDEESDEEADKEFFRLEEERRVKQAKEIKKALL TGTVEPPSKGKGKKSAGRKRTSDTGTAAAKSKRQRRNSGSAASDPESDADGDILMAEL DAQSSHSQQEISTSHGAGEDEDTPLTSGEDDLAFDDDFAFTRDPPSKPQATEPEALDD DEDDAPVAPARRHALPLKVHICHCSVCRYTHGTPCIFHAPLPAGVIPQFIDPSSIDKL TPYNHSESQGTRNFCSTCGCHIGDMSHDDGSWVISTAIFTKPNQGLWEIRSHAFTNSS LDGGLSAMFSHIGGRQLNVFDPETSLDASKTEDSTRTDAVEIGAEKLRAECHCGGVSF SIARPRKEFLARPASEGWILSRDTSKWLALLDLCDDCRLVDGSNVIAWMFVPVDHISP SPPGDLIIGSSKSYKSSEEVLRTFCGTCGATVFYSCTDRPGIVDVAIGILRAPEGVMI ENWALWRRRISSGDDGLKYDPDFSRALIEGLKVWGSRRGMPDDFVLPRV PEX2_034960 MLTSTIRFVSLRSGPAVARNFSSTSAVRAAEVKSLGVIGAGQMG LGIALVAAQKAKVPVTLVDTSQASLDKGLKFADKLLEKDVAKQRLTREAADEARGLIS TSLTLEGLSAVDFVIEAVPEIPDLKAKIFASLAQIAPKHAILATNTSSISITKIAAAT STDPTDLQASSRVISTHFMNPVPIQKGVEIIRGLQTSQETMDTAIAFVERMGKVASVS ADTPGFLANRILMPYINEAVICLETGVGRREDIDNIMKTGTNVPMGPLVLADFIGLDT CLAIMNVLHQETGDSKYRPAGLLRRMVDAGWLGKKSGKGFYDY PEX2_034970 MHVLGKTLALIGISATFVVNAADANVPHVVAKMRTWIQMGILML MRLLLQWSKQNRQVNLVGWRVRLREWRRAGNQYLFSASRDLD PEX2_034980 MGSSGTRKKIVFAHPWVNVQSLYGLKDEAHTALLHKRQAWTLRY GHALCPSFKGPVPTPKVATKPRQNGQEPIVHSTPLRLLGASIRIQESYQERRPVSKAR IQSKYNEKQYINSSQMHRVLPKDPNKQTVNCSL PEX2_034990 MGTAKARAPPRTPATPTQPVETSRHLPDKTFIIDNGAYMIKAGY ASHAPASDESVSSCSSIPNALVKTRDNKIVIGAQLATVNDWNEAMFRRPVEKGYVVNW EAEREIWDQSFFDGKATARNRNYHIADPEDTTLVLTEAPNALPILQRHADEMVMEEWG FGGYARCLGPTLNAWNEIHSLFGDPLSNTSDASILPADCLLVVDSGYSHTTVTPVYKG QALQRGIRRLDLGGKHLTNYLKEIVSMRQYNMVDESYIMNGVKEAVCYVSNDFAGDLE RAWKNGRKRQSGPEEGIVLDYVLPDPNANKKGFIRPHDPLLHAKKKKGAASGLSTEVL SEDVLVLGNERFAVPELLFTPGDIGMKSAGIPDMILQSLSVLPTGLHSAFLANVLVVG GNALISGFMERLETELRQIASAECVVRVRRAKDPIHSTWLGGTRLAANREELSKVSIT RQEYQEYGSGWAVRRFAGLV PEX2_035000 MAALQTIEVPHLGGIKAGYAFSKNHYDPSKPTCVLINSMCMTVS LYHDQFNNEVLTDAMNLLAIEPLGHGSTSSPSEHFTYWDSAHMALQVMDHFGIQKAFV LGTSQGGWIVTRMALLAPDRILGLMPLGTSMDYESLDSRSKGCWDPAANLTVFYEKWT SPSATPDFVVDDVWCGLVGGIGFGAAATETRSAFWTQTLKEVYKGDEGRKKVRMALNC LLERDGLLLRIGDIKCPVYWLQGTEDTPFGTIVPAEHIKRFTSSVEAKLVMIEGGAHY LNATNPKEVDEALLEMVTKYN PEX2_035010 MIHKGMGLHAGTLPTENVIMIAKYLVVAEVLYVFNLVWTKLSIL LMYYRIFRFPYFKTWAYIIGVFVILWVICITFLFIFICVPVQKLWYPQIPGRCINQVA TWVANAVSTIATDVVILVLPIPQVWKLQLRMSEKIAVLVAFSLGFFVVFASAYRFSVL FSYTPLDSSYTLARTVGWTAIEMSAGIVSANLPTIRPALRFIARMLGVHGTVIGLFRS TNRTNTKNSDAVTQPSATGESTATIMQHNKHPNRHSFYHLPDDPSSVGEQARIDASFR PEYDNAKTYTNVLGPRVGDRSDGDEIPLTEIRVDKEFTQTTR PEX2_035020 MSNQLFTILDTTLTLIGQFQIALTAPPAAATEAANDAETLPLLT ASSSALKGHVTKLSLLAVTSPFTHSAVSTVLRELNESILPSLVTASLLVTPAQYTKAF HSEVLVLVKTALTELSGLVREVKSVGEKKKQEKKDTGKESGVTKSEKDAVMLATGRTW DACDAATDVANKGVVGFVMRRVEQWRDLVRDAVEEIEDWDPEEEDDGFFDDILGDEGK NEDDDEDDDEDDEEETAALQEHKKSTLRFLKPIAQAYPAIINNRLKNAGNAPLASSLG VKKLESLMLNLQAIPDNVDEAAGALYDANFETSAEYLRKTKKCATQAVELVASPWGAA DVKDDAPADKFAAWSKTWLKVIDEVSKSIEEN PEX2_035030 MEGQPTTSTRPASGIPRPASGIPRLTSRLPLPTTTASKSIKPSP SRDRLRADPGLDERRLRRPSYNTLVKKPSSHHLSPPKPQGDPIPALKQDNIQGGEDDK HVPEEASSNAGDDDTASIASAQEARGRRGIRPSLSERTLETLAQIPPSPASVRRQSSF FNGGSPVRSPSRAPSNVSNVSRSPSQASSCAPPTNELYIQPVSKLRLPSKAPMSTAGS LSPVRGSEDSVSPSRMKRPSLRQSLAPGDGVSTDISSPLRKNIPERPIGNKDTSKPSL IRPSPGKAGTKPDKSNMGPPERPLQVKKTRKPQTGQASTLRSPSMGSRYVSASSNMAD DLSPEQQAELEARKVSKSSSTLRETIAKAKAARKAIAAVDKKESPQKQVYQAPPIDAP LDSWAGGDDEDSFGQLPKGSNSLVMRKRVQTARATGQLNIAAFSLKEIPKEVLTMYDY DPENSANWFENVDLVKFNAADNELEHISDDTFPDINPEEFDPDSDDRGLQFGGLETLD LHGNILKSLPMGLRRLQNLRTLNLSNNSLDMANIETIAEIKSLTDLKLANNQLQGELT AAIGRLPNLEFLDLRGNALTKLPDELVDLSSLRTLDVSENKFTSLPFEILCKLPLKTL NAQKNRLEGTLIPASVTKLETLQSLNIANNAVVVFSANDALELSDLHTLLIGVNRITH LPCVASWQSLLTLSAEENKIAELPQGFAELKNLKKADFTGNSLTHLDEKIGLMENLAS LRVSNNPLRERRLLRMDTDDIKRDLRSRCEPDPQDTDDEGSVATQFTLAPESPALDGS WEIKSGGTLDKSYAEMTDLKVEQLEMIPSLDIRCLYLQHNELSCFPAAAIGVLAQSLV ELDLSHNPLNGADFLSSPLELPKLQSLTLNADGLTSWEPLLSNLIAPSLTFLDVSHNR LKGPLPHLRQIYPELKTLVASDNQIASLDFEAVKGLQVLELSNNDLDSLPPKIGLLAA GRSPQNWGNGSALRRFEVAGNRFRVPRWQVVAKGTDAILEFLRERIPISDLPEWEQEH AAPEEEF PEX2_035040 MSQNAYSQSSLGAPTEIVPSTPARRATLSPSPELSAMAKRPSSE SDKKFLSPPPLSSSDMTPPPSSQIPGAPLRSRSHSRSLSPSLVKSTDLDKSLCDAYGA SENLPTMEEIDLASETQLRTIAKELLSVARESRMSALHFKLQNSLVSFASNEAVKRAE VEHQLAKREVEILQSAEYRSRSHPMDPVTPKQPQSTFNSDYLSAVQRSQELEELNTVL DRRLRKAKRAIDEATSRSMDLEEQNLMLKRRIGDNRKHFSQIFNYGSMSPGTQNEIHN LCHGEHADGLAALLYADKLTNHTHAYGTHSATSVPVTPNHSKSQYLTPIGHPREDHYD SDSTVSLSASEAAEEALGSPQHMRSTVPKSSTLLQTKLFGQVQKPGVERSRPGKRKAG GDANVAAAKKSRATNGIGLGINA PEX2_035050 MGTSFSAVKEDLTSNPRRPPPGSIPIRHHDFHKDWQRRVRVHFD QPGRKHRRREARIAKAAAVAPRPVDKLRPVVRCPTIKYNRRVRAGRGFTLAELKEAGI PKKLAPTVGISVDHRRTNYSKESLVANVARLQDYKARLILFPRKSGQFKKLDSSAEEV KAVKATVAEGKRDGIATRVEATFPITNPTAAEAVTEVKRDSLPKGEEAAYRRLRDARA EARYKGMREKRAKTKADDEAAAKK PEX2_035060 MDSPAKKRKTSETTDISVVPDLPQNDPHRSRRPSFQSPTRASLA RSHPDLVERAISRSPARRPANKDSRQQDPRKFGLRDRKALRPSLNASASPLTRPRASE APESSPNRRSSGVQAFSKPPRRISRRILPGDLVFGSPMPQPKNLESNTPERQLALELG SATRELDMDMGPESSFVDEDILEPDLPPTPTQLGLEKAPDRSRGKLSSSPSRRQEKRA KRRTANSLHESPLKAVNFQSPPPEDLETALDLGGVSAAVREKRNWREKSAADVRRLRN EVKELETWAKKIESNPDLKGDTRGLDTFLSLLTSEEVNRTSLPAPRTASKSISSLLAT LLPFSANIPHPKRELSSLPTNPFALQEASQSLPYLTAFAPLNLKTRTTRSSHREELSE THTLTFSPPSPFPASLYNVTVVYETNPETQSLTSLSVPTGSDSKKRKVPEALRRWIDT RLENPLLNLDVATLCWGINRYWESAITRAHLWAHIDHKYGPPASQGRKDTASESTYGV ITLSELRRLVPHLDRSAMVIKPKSSDSSPRVLLSNNLVLDEWTGEPQLRSEISVSIPG VDKKIDQETKKLFHALLRENGVFVTQGVEGGIHVDAIVRATEGALGALFGGL PEX2_035070 MNGHGQSDQPDAYNTPASLEEAADSPQAHPLSGYYSLILKSSSP YGGGASTSRPTPARPEAKPTPASTVIPQSPQEKMAIVFGTRLAGPGRSSRYNPGEASP ESTWKTINGVPIPPQPEEPDNCCMSGCVHCVWDDFRDEMEDWASRIATAKAKGGPEKG TKDMRSAPRAEVRSASGSMDDDGGGSEANWTPPSEDNDLFANVPVGIREFMKTEKRLK VKHQKEATL PEX2_035080 MMLLKRFVEQRKSIGASVPKVILMGSFTHVDDLCSYFGTKTTDG TLLPAPYVTLPTRFHVEKHYLEEVMGNIAHSLTPEILWPLLHDDKATRQFLDTHFKTF EKSETVTSNKSWEEVPCGLISATILSLLSTTNTGSIFVFIPGSSYTGRVINQIKAFGP KLGFDFADQDRFRIDQFHGNTAKNEKHKVHFDVPPGCRRIIISTNERNFIPPDVRYIV DSGKSTYLRGTHGQAASWITQTVALQRAKCGGRVQAGEYYFLGAKKCFDSLPVTRSLT GAAHLDLQQACLHVKRATSGTSSIAELFAQTYAPPQESSVRAAVDDLKELQVLDEREE LTDLGHILVDLDMDPCFGKMVALGVIFQCLDPMLILASLGWDPRLFSQLLSSPDAGVD GPFSRSINESGYHVAITNTFGAIREMLHKEGKLPAFENAVSKYHISNLYRIATSEIER IIRRLIAAQIIPAGKLPSDGASFSSIGLNVNSKNEALIKTLLLQCLPSNLAVKGFGYS TIKFKSGEIVERDPNYSIYKSYIMAYNFGSVRTSTPNGMKQKTQVNPLAACLLGNKIK QEEDSIILDSWVKIKLQTVESNEVAKNLVQTHRVLNETLRTAFRILPRQTKASFDSQR EWVSYRRARHHFFKTIQKTLQDILHANDPPLPPAGAGN PEX2_035090 MQDFPNTALTLLKLSLTLIPLYLIGLLVYNAYFHPLAKYPGPKS MAATRLPYIRMIISGRFPHKMKALHQQYGKVVRIAPDELSFIDGEAWKAIYGTRVGHG QKSKDYRFYGPTAGGVPSIIVSNDADHSRFRRLLSHAFSDSSLRGQEPIIKGYVDLLM QRLHENIKGGTNTVDMVAWYNFTTFDIIGDLAFGEPFDCLKNSDYHQWVSIIFSAVKY AAYTNVARRVPGWTYIMPYITPKHVISHRSTHLALTNEKVQSRITKSNDRPDFFGNIL KHQNTEKGFSIPEMITNGSTLIIAGSETTATLLSGVTYLLARNPRVLAKLQDEIRSTF TKEEEITLESCNKLDYCLAVLTEALRVYPPVAVGLPRIVDSQGDMIAGNWVPGGTIVS VSNLAASHSSANFTDAEQFAPERHLGDSRFANDSKSAMQPFSFGPRNCIGRNLAYVEM RIILARMVFNFDMELDQPEEDWADQECFLLWEKPKLMMKLKPRRLYISFISIYRWLLT ASAISAKKKGIADPKRKDRLESAKQLNSEYKETNMSLSKSESDVILNRANVALSRSQR LVASWLPQQTTEELANPKTDEELQREEDEIFTAVPETLGVGAPLPQKAADGSWNRTEL DSNDKLRKQLLGKNYDRVMKAAAEQKAAAAASTAAAAAASANVVKAEVEDEYDEEDGR SAMLARQKNKRKGGAGAGRGVHPAAAVAAAAAAAAEAGDKGGEEGEGEVAPPPVRSKG RKKATSYLDELLAERAKKRKKR PEX2_035100 MSRLADPPSTRISFAGVPDTPGRTRNIPVLSSSGQHSMDITPPP TGAHGTDDRMTGITNGTEAGNNSLNAPNPAIGAAAAAQQPKVVQTAFIHKLYNMLEDP SIQHLISWSGTNDSFVMSPTSEFSKVLASYFKHTNISSFVRQLNMYGFHKVSDVFHTG SPDSALWEFKHGNGNFKRGDLAGLREIKRRASRHALIHRDSFPSHKAPASQPGTPAEP AADATEARILHLEQNYYELHARMARAEEGNMTLNSRCHAMTDSLTKCYQWTHSISRFL QAIVPDRESPLHRDVSSMQREVEKHIEIMRAIETPHETLMPPRQQYFANMTEAGPPLS PRQMPQDDGRRQSMMDHTPRPTNMIRPPVPPHLSVSPRRYGSIGGANSGSAYARPQPP VAPPPQQPMPHPLSVSTSPGPNLARRHTSADIRQHGWSPSAGSPYPPGNPPNGPWPSS PGHRTPVSSDQQVRDVLAQYEMGAPRRLQEQSRHATPPTAEPSPSMLGVDNGWTLGGS KFPHGSSLPATRRSSMASNVHSLLNPADTAERPDEDQQAMTEDRKRKRLE PEX2_035110 MDSTTQPILAGFMALRDSSSRRAALNEILDSLTSHEIREVKSRL ETMKFQCDFLDKLPLELVNMLVRNLDLADLVLLRRVSKRWRALLSSTIVITAAIKYHM GKSVIKPGSTPAAFDALIKKRLRAERGMPAVMATIPCYLSRDIDDALNRDSISYCNGV CAWIDEFTDRTTIFTVDLPSGKNRALTTANREEFSHVQVSDTLVSATSVRGYCHVWNR SNEEHKFFRIPSLQFVHYISIGSKVMLSYGDSIVHFCFDSGIARSIKIGPSILLLSVS AEEDGLSVVCVRRKDGINIQLREDDSLLWEEHHLQIQKFSVHDNRFICTWEQYRELPF RHYKLWGVQCEPKVTAPPYRGCMRPGQSSTLLELCTTGANRNSYLTNDLPLREYGSLS LSLEADDRITVHLHPVGLNLRNPHADLDYSARGLGLIYCFEDYHLSTKTTLHIGCEFS EPSHAHDAKACQFKSLHTVVFPDERSCTSVLGDGDFVIFPVDKAIWVWCFDETWIPSG ILI PEX2_035120 MFKLARGRPVAAAFKAATQPSIQSRLALQKRNLSIHEYLSARLL KSYGVGVPKGEVANNAEEAEAIAKSIGGGDLVIKAQVLAGGRGKGQFDNGLKGGVRVI YSPTEAKMFAGQMIGHKLVTKQTGAAGRICNSVYICERKFARREFYLAVLLDRTTRGP VIVSSSQGGMDIEAVAKESPDAITTTPIDIHVGVTDEIARTIATDLGFSEQCIEEAKS TIQNLYKCFMERDATQLEINPLSETSDHQVMAMDAKLGFDDNAEFRQKEAFSWRDVSQ EDADEVKAAEHGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGTPANFLDVGGGATP AAIKSAFELITSDPKVSAVFVNIFGGIVRCDAIAQGLINVVQEMNLRTPIIARLQGTN MEQAHKLINESGLKIFSIEDLQNAAEKSVQFSKVVKMAREIDVGVEFTLGI PEX2_035130 MSEATEIRRSVLITGCSPGGIGNSLAREFNRHGLRVLATARDAA TISDLADLGIETLSLTVDDPESVKSCFADVEKRLGDKGLDYLVNNAGRNYTVPAMEVE LAEARQTFETNLFAVITMCQTFLPLLIKAKGTIVQIGSVAGIIPYVFGSVYNASKAAL HSFSDTLRVELAPFGVHVTTIVTGGVQSRIARTDRSLQPGSLYSPIEAEYLTRVKHSQ HNAMPNDAYARSVVSQVLYGSAPWRWLWPWARGRKAWIWEGRASWLIWMLSGGWAWSG LFGRLMTKMFKLWKIKNASGK PEX2_035140 MRSPGEDGYRTPTIEDAERALSILGAVEETPGGGAREAHSSALE AALQRASSRATVKTNPDKEAGACREYNGLGLFGLKHTVSGELSWKKRIRHITWAYFTL TMATGGLANVLYQVPFRFKGLDTIGVVVFLVNIALYLIIWALLLIRFYHYPYTFKASF KHPTESLFVPASIVSFGTILINVSQYGPENTGPWLMRAVCILFWIDATLAVMFSAGVY LLLWSTQTFTIAQMTPIWIFPAYPMLIIGPHAGILSAKLEPSQSLPVIIGGMTIQGVG FLVSLMVYSAFIYRLMTQKLPKENIRPGMFVSVGPSGFTVAGLVNMAAGAKRSFPADF MGDGALAANVLKIVANFSCLWLWGLAIFFFIIASAAHWSAIGHGRMVFSMTWFSFVFP NTALITATFAIGKAFSCKPIEIVGCAAILPLLLMYFFVCYMMVRAILTRQILWPQKGE DRDEGGFEIIRVRPVAAAEVLGHT PEX2_035150 MEYKLPSTQEDPPSGDNGLKNNIDEAHNELINSLNRLTLAKETP LPSSPRLSPVPSYLPPKSVQVTRPVPAIPLQPDQPVRAVSLQSNQPMQSVSQTAGSDT VRDERRKSIAQLQKRKSAASLRSVSQPLKSSSPTPNPSRRTSFASAGSPTTATSPAMH PARLVFSPAPEAPIPTPSSVAAEHFSKELELHRSDDIKTKTAVIIHDDCYGHRYSQLE APKRTIERIVERPERIRACAVGISAAYVLLGSRYAEDLALKPSSSWNKIDVPFQIIKT DRKVHLSNTAVTDVHGTQWMSELKWMGDLAESRLIMDGNELARKRTGEDDGLGTSGPA LNTGDLYLCSESVNAFQGALGGVCEGVDLVFKSGPIQRAFVCIRPPGHHCSANFPSGF CWINNVHVGISHAATTHGLTHAAILDFDLHHGDGSQDIAYDHNSRLLNKTQKADLARS DATKFKEYQDAPPYTKAAIGYYSLHDVNSFPCENGERDKVMGASLCVEAHNQSIWNVH LENWADLDGFWKLYETKYNALLTKARSFLRERTAELNQERQENPNAPLPKGAIFISAG FDASEWEGNGMQRHSVKVPTEFYAKFTADVIRMSQEEGLGVEGRVISVLEGGYSDRAL SSGVLSHLAGLSENSEFKSEWWSQNNLRELDAIMAPTPSKGRKKSAATYLTATKSFAA KVVAPGRDRKSTGDFDPNIVVGPLPEVSWAVATGELSKLIIPDGRQTLSCRPAELNRQ RRELSAQDGGLDLLIPVEKGRQLRSRKPKESTPVPTTPRPATPRRQARKGLKTPKTTI AATTLPAASREASPSVGASRRKSTSLTRAGTPHRGVSPLNLPPIPQIPTTVGGVRGGP RIILNMPAREPGRGRKLSGGAVDHHRRGRSSKSPKKENKRGSNSGGSSTASAGSSPVI VTKDAVMEDA PEX2_035160 MCRQYFTLYEWCQCEEDAGNNVCAAHRRNGCPGISVETVHMHCF CNSHATRGFKSEKETRKKENKVRRRSEDSFSEKDSFGRRWYQWYQWRGLRSR PEX2_035170 MTPATPARASALLSNLTAVRARVSTAVLQSPIPSKPIRLVAVSK LKPASDALALHQAPGSQLHFGENYLQELLEKSRLLPATIKWHFIGGLQSNKCVTLARD VRGLWAVESVDSEKKAKLLDKGWGERGPEMAATNHDEDGRLRIYVQINTSGEENKAGV EPAGAAALCRYVREQCPRLKLQGFMTIGAIARSQATTVENENEDFVCLRETRERVVKE LGLVGEEAELELSMGMSSDFEGAIALGSDQVRVGTTIFGDRPPKAEASVV PEX2_035180 MSSMRGLVQFIADLRNARARELEEKRVNKELANIRQKFKSGNLN GYQKKKYVCKLLYVYIQGYDVDFGHLEAVNLISSPKYSEKQIGYLAVTLFLHEEHELL HLVVNSIRKDLLDHNELNNCLALHAVANVGGKELGEALGSEVHRLLISPTSKAFVKKK AALTLLRLYRKYPGIVRNEWAERIISIMDDPDMGVTLSVTSLVMALAQDLPEEYKGCY VKAAQRLKRIVVDNDIAPDYLYYRVPCPWIQVKFLRLLQYYPPSQDSHVREIIRESLS QMMLAAMETPKNVQQNNAQNAILFEAINLLIHLDSEHNLMMQISTRLGKYIQSRETNV RYLGLDALTHFAARAETLDPIKKHQNIILGSLRDRDISVRRKGLDLLYSMCDTSNAGP IVNELLRYLQTADYAIREEMVLKVAILTEKYAADAQWYIDMTLKLLSLAGEHVNDEVW QRVIQIVTNNEELQAYAAHTLLGYMKSDCHESLVKIGCYVLGEYGHLIAENAGSSPIE QFLALQAKMFSSSDNARAMILSSFVKFVNLFPEIKPQLLQIFRLYSHSPDSELQQRAF EYLSLATLPTDDLLRTVCDEMPPFSERTSILLSRLHQKTAGASEKKTWVIGGKDANAD KQEMLLAQNTGLKRTFTTIVHGTRTGSGSAPATPTSASNALSASGDLAGLDLSGPSAP APNMASAAHLTPEWDIGYNRLFFSREGVLFEDAQIQVGLRSEYRGPMGVVKLYISNKS TYPIGSLTTTVDNPASPQLKIDTKSLPEPTIPAAGQTQQTLFCTAHGPFTDAPTIRIS YLAGALQAYTLQLPVLMHRYMESSSLSAEEFFKRWRQIGGGPLESQKTFGLLGKNKTV NERFTRKIVEGFAFKILDGVDPNSQNIVGCAVYQFEGGKTGCLLRLEPNYEKKMYRVT VRATQEEVPQSLVRQMEVKLAQGMRADEAFD PEX2_035190 MVHPTTTCCKTTKDGSCVCAAQAKCSCGQQSALHCSCNKASSEN AVSGPRCSCRSRPAGQCTCERSVTENQTITGETCACGSRPQASCTCEKAAAVDSTLEV DFTGTA PEX2_035200 MSVGGPCLWLPSLSSPVPSPLSTVSSHLSARNSERLSLGPRTIS PRLSVQKKEEPGVPVPVHVPARPVEMQPTDYKAENALNNPVAQPVSMGSAPYLERDVA RDTINHRLEAAKQDMLPRVKLEIPSLNQRGFSTVQPLVGHGDHSEETGVSSFSGPHDP RDDHDRESTISPDDDKDMMGSDDNRSPSMEKKKMKRFRLTHNQTRFLMSEFTRQAHPD AAHRERLSREIPGLTPRQVQVWFQNRRAKLKRLTTNDRERMLKSRALPDDFDTTKVLR TPFEGKQSGVTPVASPQGYGVPNPDFGALRTLRTDCYARPNEDEYLVSPLSSASTAGT YMSSTGGRNDSMSQSNVMFRPAASASMSDLHRTIRNDYPITRSSSLSDASAHPSPYAG YPMHNRFAGPQPNQPGLPYMRRPMEYAMPRHPGMGPYDQHQSFEGSVSPTDTQGSQIT YDMNNLGPQQPNYSLGMGSQLPTQGRPMATMQSLPVSAAQDYRPYPYDTTAGPMGTPI PYTQANTSTLSLPTSEPPYNYPNYIQQ PEX2_035210 MANEDVAGVQVYKRLVDSLLDRAAQVKPDKQIEPPMTETHLGES IWQMPSEDDKATKHLSAQTKLAAVEIAFREKFYRVLASTSIDDPAFIQIWNLLDIVSI FSDNEQCEPGLIFWLIEELLDSQTINGCRKVFDYLESRRERNTKKHFKQKSLVILRSC NELLRRLSRAEDTVFCGRVFIYLFQSFPLGDKSSVNLRGEFHSENVTTFDEIAKESTE KKEQDTTMTEGAEPTAPGDAVAGLAETSKVPKVVVSTGDEKKSDEVDLNTLYPLFWSL QAYFSAPSKMFDAERFTSFKTGMEATLAAFKSINTEMENESSARASEDARKSNKRKRI SDGTEVATSFNPKYLTSRDLFDLEISDTAFRRHVLVQALILLDFVLSLTSKSKSRLAN TTNKSVLYGFTLNEEDTKWATSMRKSIEGYLQQGPGGKFYYRMVDTVLSRDKNWVRWK AEGCPLIERPAISAAEYTTSREHATKSYANKRLRPSPMGSLDLKFLADTEALSNIERL KESDRYSVPSADSFLKGIMDDEMDIDMAMTDEDKAIAEGAKASKSWRILRLAAKGKLA AFDKIGEGNLQVLFETATATEDNNELGSSGDTKDISQSLDGAQDLPVETKEPAESEKP VEGKVEETSSRSESAQDLPDVPQGIDEQEMQVENKDVISEEQDPAKDDHNEQALAAAS ASKEDSAT PEX2_035220 MAQSLESRPTVVDFREESPWVQLAKAHWLNTKVRKAKPDVIKKQ LWDPLEAEAFNSRSLLILENLNILEKFLWPTYTEDASNHHVLLIALIVSVKHREHLPI WDIFTDRADDFSNLFHRILSMSIDHSLPTRSRLSIISFIISAFQSLENVLIRKECAPL VSISVWHNLASEEARDRIITKAPTLKKAWRASGKRYEAGDEAAKAKMRFERSWLYTML LDFLHRLNGPEKDQAENLLYCERFLELLVDLESQLPTRRYVNTLLKDLNLLSVIRLSQ LYRVPENALLRDFHSLLKHFVEFAIDDYSGEALSPQGVYDLHCQELAQLQRTSMKLFK DKLMILALSNMGSIAQRADLEGQLSSLDESELQSLCSHLGFRTSYPKSSHVTPDRQFY LEVLASSYERKVPFQEAVEKLSPLPTEETLYDPALLRNETYDGSRPLAIPKLNLQYLS LGDFLWRSFLLYRSEAFFQIRKDMESLVKRMQPKATRDGSTNFEGFSRMAIPISKPAI IDVAPPKVGATNPAFVRAEIAIEVGRLADNVRREWDSLRPDDVVYLLAVQSSSSQSEQ GASEAPRMTHLRTAEIVQVLDEQGRALRQYPGQANGSQSRARSRRLIVNLDAAAFKAD KDQQAHGKPDIYPLINVVARRKGRENNFKSILQTMQKLIVSDMTLPSWLQDIFLGYGD PASAQYTQLPNRLSTVDFRDTLLDWSHLVESFPGIEPSGAENASFGPPYVLEYVNQEP AAESHAPKKRRREQATETGLAPSSMRVSTYKPPNPGPYPVDTPKLNKIRFTPAQVEAI ASGTQPGLTVIVGPPGTGKTDVTTQIINNIYHNFPNERTLLIAHSNQALNQLFQKIIA LDIDERHLLRLGHGEEELDTDSNYSKYGRVESFLDNRNHYLSEVMRLAASIGVEGAHG NSCETAGYFNTVYVQPTWAKFWDRANSEGTSNEEIIASFPFQSYFANAPQPLFEFEAA KEAIIDTAAGCERHIARIFSELEDIRPFEILRQPRDKANYLLVKEARIIAMTSTHAAM RRQEIADLGFHYDNVVMEEAAQITEVESFIPNALQNMKNGELPLKRVVLCGDHHQNSP IIQNMAFRQYAHFEQSLFLRLARLGVPVINLDKQGRARPSIAELFRWRYKELGDLPVV ETAPEYQQANAGFQFDYQFINVPDYQGSGEREPTPHFIQNLGEAEYAVAMYQYMRLLG YPASKISILATYAGQTALIRDVLSHRCGKNPMFGMPKIVTTVDRYQGEQNDYVILSLT RTRSVGYLRDVRRLTVALSRARLGLYILGRREVFESCYELKPAFDLLLQRPDKLMLAP GEMFPSSRGVNAAIEGTPMESVEHLGQYVFEMTQAKVKAMGDGDITIEDAAPAGEDDY LDEDEAMGADDEEENIV PEX2_035230 MASLKFVRSVITKVFIIWLSLITNAYRYLQVWESFRATSGLEPR LLNNLRVTAARPGVVNFELDIQKEHTNRLNILHGGTIASMVDLGGSLAVASRGLFATG VSTDLNVTYLNSGGKVGDRIMAEVTCDKFGKTLAFTNIKFTNPDGHVVARGSHTKYVA QAWKDPNNIVEEMNKHKGQ PEX2_035240 MASATSRPLEYLEGLPGTTFYKLYQQPSTALAIFRRMLPDLAKC FVMALLYLKDPLPTADLEVWVNSESKKERDNALSILGRLHILSTTLTGENVRAYMITN PFAASLRQALMGAEDSHSFGVFSQAPEHTLTSIADLDEYARRQWEGVMGYMVGTSALS GQRDTVNLSKGVKQLLQAGHLVEIRGNRVDITKDGFGFVLQDVNTQVWHILILYVESA EAIGMDSVEVLSFLFLLSSLELGSSYDKSHMTPNQLRTLADLADFGIVYQEDADATRF YPTRLATTLTSDSSALSNPVTGSLTGPMGPSGGSGSGFIIIETNYRLYAYTSSPLQIS LISLFTNLKYRFPNLVTGKITRQSVRRAIEMGITADQIISYLLSHAHPQLRKHSAAQP NGKGVPASVLPPTVTDQIRLWQLERDRLRATAGFLFKDFTSLTEYQAPCQYAAEIGVL VWKSDRKRMFFVTRHEQVAAFLRSQKVGR PEX2_035250 MKVPLVHKKAKTGCKTCKIRRVKCDEGRPACRRCVSTSRVCDGY GIWGGGGSPYGQPQSNRALSTYCTPVPVGNLSYEEQLCFDWMIKRTARKFAGLFPSEF WETLIFQSSAQEPAVRHAVIALASTHRVQQRYAPARDKYDEECFTLQQYNKAIQHLRT NTTHSSYSLRVALITCMLFITLEYMRGQYQMGSAHLQYGMKLLFGISAPRHPSSMVPI VLSPEEDFAYNALVDAYVRLGIQSAIFGHVPSHVYLVTKDPQITSPPHTFTSLLEARR SLDDLLNRVHCLKSHYHNHHASQTPTNTQEMVNTQSVILADLSLWHKTYTLSLARLET TKISGKDQTGYLLLRVHHEMATIMASVCLSPEETESELIFDTYTENFINIMSGFLDLW KLWAAVTFSEKDLSKLATAPDDLKAIFQNFKTMSDMDVILEASLLELLEKAPIVNHYL QSPDCGKNGFTVEMGYIPPIYYTALKCRVPRIRRQAVRALRTAPHREGVWNGSILADV LEEIIRIEEGDFYASDVCINSSVGHILPGDEDLLVPKVPAEARVSDVRVLLPDEVKGH TCVSYRRRVGSKWVTFKQKIGT PEX2_035260 MSLPTRSLGRNGPQVPAVGVGLMSLGSIYGFAGSLEDKVAFLEH AHSIGARFWDTADLYFDSEDAVGEWVKKSGNRNDIFLATKFAIQYDVATGTQTIRSDP EYVKASCEKSLKKLAVETIDLYYCHRVDGVTPIEKTIEAMVELKNQGKIKHLGLSECS AATLRRAHAVHPIAAYQVEYSPFALDIESSATDILTTCRELGIAVIAYSPVGRGILTG QIQSFTDIPENDFRRVLPKYAQENFPKILELVQGLKDVANNHGSTPAQVAIAWLLAQG SDIIPIPGTKSIKYLDENTKSVSLDLTDAEVQDIRALIERTKIPGSRYHESMMDSLLA DTPPL PEX2_035270 MSEYSHPINTLDALYKKETLTGNAYSSTSDIEKPSPHLPTTADL APLKIEITYPEGGRDAWLVVLGAWCGLTSSLGIYNTSGMFEVVISKVILPEASSSTLG WIFSVYAFVNWVCGVQIGPTFDAMGPRA PEX2_035280 MQIQPSRSISSDQFESSHARWRALTHRTPSSHSSFLYGVKSTKI YCRPTCPARLARRANVVFYDTEDQARRDGHRPCKRCQPDNASFIGEREEVVTRVLALL RTKRDDHDLTVKRGLKELAQEVGVTPSYLCRVFKRAMGLTLGAYMIEFEREVSEGKIE RESQLPSTVGSGMVDVAMGLWTPATMAESPIAPVEGPNEELAEQQVGNVAEALDLNFD FDEWFWTGGFTQEDFFLNDNWNADFLNDSAYRYDI PEX2_035290 MSSLSNSSPGNWITGSYTGDSIGVRITIATFVGVAWYNVIELVV LIFLTFKRYEGPYFWSMLIASVGILPYSVGYLIKFFGLTSATWVPVTLLTIGWWTMVT GQSFVLYSRLHLVIQNLRILRMVRTMIVTNIFLLHIPTTVLTYAANFSSSAASVAGYD IMEKLQLTGFCVQEVIISGLYMWETNRMLRLNQDHVSRKTILQLLAVNVSCILMDIAL MIIEFRNYYIYQTTLKATLYSIKLKLEIAVLGKLVNIAHQHMWRSSSFTTGGAQYPSF VDPSHALHDFSHADSLATSSGSKGRVSGTEAIDSDVR PEX2_035300 MTQQIQEQAMPFAIPHTKSRMAELLAADVVVAAGSATLITPAVM IFDRLVVEKSFYNQPLFPAFRRHLWFSLTQPATFLTSRPSLLVWSLYTATFATANASE TILSKWYPKIDHAIAGMTTFASTFIVNSSVGIWKDVKFAQLFGHSNTSVPVANITTTP SPTPTPTSTSTSTSTSTSTSNTTSPPKTARSIGRTRIPVATYSAFLVRDALTIFGSFS LPAMVSASIPDSIASQEYLKILIAQLAIPASIQLISTPIHLLGLDLYNRPQVMPTKER ISRVSRDWIGASLLRMCRIIPAFGIGGFVNTEGRLYLHDQVGGQRNGS PEX2_035310 MPPITVSKGRSPSSDPNETFTQMADTDLESLGRHCQYEYCGQLD FLPFRCESCHSTYCLDHRTETAHQCPREGEWARRRNGTNNTSQENRTAPEKPSIYNTD QCAHTQCKTLINTLKDPAVRCPQCNHQYCLKHRLREEHECAKITPLGARQHNAASPND TIKSMFARVRIWGRDKQNAAAKGTLLPALPKLKPKPNSPAARAVAVNGLKRSAKGDAS VPADKRLYLHTVGTAETQAAEPPAGDFFFDSRWKVGRVLDDAAKKLRVQNLNNRVNGE DSRLRIFHVESGDFLEFSETIGAGKVKQGDTIVLLRGAGAVIGSA PEX2_035320 MTQDQVAAAPVASSPPHDPSHEEHQYLNLIRTILSEGEHRPDRT GTGTQSIFAPPQLRFSLSKPNPEGGEPIPVLPLLTTKRVFLRAVVAELLWFISGCTSS LPLSEAGIKIWDGNGSREFLDKSGLGHREEGDLGPVYGFQWRHFGAEYVDAKTDYTGQ GVDQLKDVVHKLIHNPFDRRIIMSAWNPADLKKMALPPCHMFAQFYVSFPAGMKLDEK TGRPSEKGTLSCVLYQRSCDMGLGVPFNIASYALLTHILAHAADLHPGTLIHTMGDAH VYLDHIDALNEQLVREPTDFPELRIKRDDRGSAVVDGWKEDEFEVIGYKPHKIIKMKM SV PEX2_035330 MSTTAAPARKSMPSALTFDRHAKCSTTKARASTLHLPHGSVPLP IFMPVATQASLKGLTYDQLRETGCMLCLNNTYHLGLKPGQAVLDAVGGAHKLQGWDRN LLTDSGGFQMVSLLQLATVTEEGVRFLSPHDGSPMLLTPEHSISLQNSIGSDIIMQLD DVIATTSPDHARIKEAMDRSVRWLDRCIEEHKYPERQNLFCIIQGGLDLELRKQCCEE MVARDTPGIAIGGLSGGEAKEEFCKVVDTCTEILPEHKPRYVMGVGYPEDIVVAVALG ADMFDCVWPTRTARFGDAIVSSGTLKLSHKSFADDFGPVEEGCTCTCCRPTDQGGLGL TRAYMHHITAKETVGAHLLTIHNVHYMLTLMGKIRQAIIEDQYPAFLRKFFSDIYRGD KSKFPEWAIGALRGVGVDLLADS PEX2_035340 MATEKYMQDVEQASELASPDVTDDTLKTREKALVWKQDLRIVPL CAAIYLLCYLDRSNIGNAKILNSNTHNDLLSETKMTSYQYTIALMVFLIAYALFEVPS NYFLKKLRPSRWIAFLMLSWGATTMGLGGVHNYAQVTGLRFLLGVMEAGLFPGFVYYL TFWYRNSERSIRVALILASATLAGAFGGAIAFAVGHMNGAHGLSAWRWLFIIEGAPSC ASAVLVWFVLPDYPETANWLTAEEKELAAQRLILEGSKGSAQAMSWEDAKATLTDWRL YAHYAKVYFGISTPFSSLSLFTPAITAGLGYSDLRAQLMTVPPYAVAYVVTIAVAWSA DYFNSRGLHSAIFSFIGAIGFLSSAVLPASAYLSRYGCLIVAASGAFACIPPLLGWLS SNISSTAGAGLAIALNISFGAPGQIVGVWIYKSDEAKIGYPTGHWTNAALLFFVTAGC ILLRLYYGWRNHRGDGARDGKSFAY PEX2_035350 MSYTTSPQGNSIGSRPSWQDQLQDHCTRTKLSAPVFNIVSDRRG GRTAWSSTVTVQGQNIAARYWYDGQFINNAKEDAAEVALKTLNQQPRAATVYQGQLFP QATSSGYGRGAGGF PEX2_035360 MGSYKSCQAGSYSSTDSSCSKSTAATIHSDRIAPKPHKSDWVTN PANQHHTRSEAEVEVEYECREPYPRSSALTYASTTYSTAELDPEPEPIEPASPHEGRY CVNERQEFYPLDAIPSTPSSFAPLFPSSRRLLIRHDDATIDGNMNLRVDTPVHLRDGS QRDVILFHLRMYDLFSRKFSFRRYCRDSGREVCHSARREVVPAHERRPVLHTSWSNVF AGFRPGSVGGHSPPNGELKRQESRPGAGYGHGHFAGEEVDKGSVEEDELEKEKEGQTL PILGETILLEFSNYAHVELRRKGPGSTKKYEFEYWSTKYQWRREVRKEGDLHEVSYFL VDTRTSKTIAHLVPDTLAPLEVVEEESKGGWVPPSSLWISDPEVYKTMPDVAE PEX2_035370 MAIHPRLRPEGSQGHLSPQQALAISAWTEQHAAASLQDLSLTDS APAASTPSTPTPTRSGLRGATVSLSIPLDDEAIPPRRVKVESRPPTVSFRRREPLRRD GLKTREALLKGKDGSRRRQRWENDRLLHNPWAEPPSSKDWDVQPTYPRHDPMPYYLAP LWDVHYSHVADHQSAAKAAERANEKHHIPKELRSKLKHARAAHGMLRDLEDEVRTFIQ KWNERELLLEKEGLQDAPDNSASDDSEDEIVFVGRNGQMHDSPERKERLRLLREEISS HSERDGEKMVLETLADDRAAGFGRWLVHSIASYYGLHTWSVTVEDRREAYVGFRPPVP GSHAGLVSHPACHSEALIKPGEELPRPLYAQV PEX2_035380 MKFLTLALSATATAMVMVNPEQQPLAPSIVQNPQSKSLIELAPY QTRWVTEEEKWSLKLDGVNFIDVTNEYQSGSYGTLHTTRVVHYPGTMEHSHEILPLSE TLDKSNMRSNLEHFTSFHTRYYKSQTGIQSATWLFDQVTAAVHESGASDHGATVKRFD HPWGQFSIIARIPGQTDNTVVLGSHQDSINLFLPSILAAPGADDDGSGTVTILEALRA LLRSETIAHGKARNTIEFHWYSAEEGGLLGSQAVYANYKKNQRNVKAMLQQDMTGYVQ GTLDAGEKESVGVIIDYVDPGLTAFIKEVITTYCDVPYVETKCGYACSDHASASRYGY PSAFVIESQFENSDKRIHTTEDKIEYLSFDHMLQHAKMSLAFAYELAFAPF PEX2_035390 MHPMHPSVPLMGADQHGNIVPLTVIKGAGHEFIPLPEGENAVTA DFHSIRTKTESPAYFTSGFYKIEAGPERPASYTFEETKYVLSGQIDILDEATGVTHHL VPGDFAFFHVGSKVKFSTKSQGLAFYAVTRPVRNPHPNLKGREEDKARL PEX2_035400 MTTSTPYAKELRLASLAVQRAAILTKELLSAVDKGALDKSDSSP VTIADFAAQASIIAAIHNVFPDDDIVGEEDATALRSNPDLLARTWDLATSIHLNDPES EALLHTPRTTSELLDLIDLGAKGACTPTSRTWTLDPVDGTATFIRGEQYAVCLSLVEN GIQKIGVLGCPNLLESGPVAEQRVDRHGLGQMISAVAGQGATIRPMGPGALLPARPLE NVPQVGASGVRFIDTRAAKTQDLEAHARVAADLGCPWPNPVDLWSAQMRYVAIAVQGG CNAFVKVPLSADYRSKIWDHAGGMLIVQELGCVVTDLEGKPVDCSLGRTLAGCHGMVV GPASVHGKILEAVKEARGL PEX2_035410 MASFNPFARRETHSANSLNTYRVLVPLTWALVVVVGIYHSLHSP DDTKKGKKLWKQANKHNTPFSQNTTVTGAFWIVLLLSQLSYVWHLFSKNDVLVTAAAN VATHFILNNLFLTAWILLWTRNHFWGAEIILIAHLVNQTVTYWRHRGLPAFVHLPAVA GPYAWTLTALFWNGAVAVHSHNLPGRIVANIFIWVILAIGLFDIVLREDYILGYCLSW LTLSLALRQVAIKIIALQWIFAFTVFAVFLVVSLYISTTKYTGRDSLFRRVAHPESVD REREPLLNEGVRSLGHTLRIGLGEGPRRRDNENESMEIGEICNAGFVLA PEX2_035420 MNRHPPSNYGDAPPAYLNHDTVSYLSDPESPTNASRLNGGTMRL LPSGDGADDLASSYRAPSLSHYDPDYDHTPTGQDQRYDNYYQVPSASSPSRPPSSLAS GPSIPLPTASLADTSVYPSIPPRTGSPIRPWSPSHIRPPSVSSVGYERADLNGSPRPG TPSSRYGGSPRRPLPPAPLFSGPKSTVDTSIDIGDAHGEDPFGGDGRTFHHTSRGSVR SFMSESTMLGDEKDAMSKVDLDDEDDDESHVLDPNLHYGPAPDKQGRRGVRSKQVAKK EVQLVNGELILECKIPTILHSFLPRRDEREFTHMRYTAVTSDPDDYGERGYKLRQQIG TTTRETELFICITMYNEDEIGFTRTMHGVMRNISHFCSRTKSRTWGRDGWKKIVVCIV ADGRKKVHPRTLNALAALGVYQEGIAKNIVNQKEVQAHVYEYTTQVSLDDDLKFKGAE KGIVPCQVIFCLKEHNKKKLNSHRWFFNAFGRALQPNICILLDVGTRPEPMALYHLWK AFDQDSNVAGAAGEIKAAKGKNMLGLLNPLVASQNFEYKMSNILDKPLESVFGYITVL PGALSAYRFFALQNDADGHGPLNQYFKGETLHGQDADVFTANMYLAEDRILCWELVAK REERWVLKFVKNAVGETDVPDTVPEFISQRRRWLNGAFFAAVYSIFNAKQIWKTDHTL ARKILLHVEFFYQFLNLLFTYFGLANFYLAFYFIAGSLTDEKLDPFGHNMGKYIFIVL RYACILVMCLQFIISLGNRPQGAKNLYLSGIIIYAIIMFYTVFCALYLVVKELLARAG IGSSNMDVGDGLMLNIVISMLSTVGLYFYTSFLYLDPWHMFTSSIQYFLLMPSYICIL QVYAFCNTHDVTWGTKGDNVINTDLGAAKTINGSTVVIEMPSEQLDIDSGYDAALRNL RDRLEVPEPPPSDSQLQEDYYRAVRTYMVSIWMVANVILGMVVSEIYGIDSGGTNIYL AIILWSVAVLAAIRAIGSTTYAILNVVQMIVEGKTKFDAGNMANFAPSSFGGSSAGAT SESGAASARPVRYGGGASLKDKFAEARWSAGRAVGKAMFWRK PEX2_035430 MIRLRAILHILILQAVFLTIVQSRQCYLTNGKEADSSFQPCFPD QDNSPCCSLEKSNTTPNDICLSGGVCYIQDPNFRGLLRQGACTDKTWKSGQCPELCHT ASDATLYVIPCPAQGRGFWCCSVNGTNCCDNAVRLDMGQMINVSVTSLTSEVSSSSGS SDSSLSSSVLSSSSSTKASSSSPSPSSTNASTTDSETNNNTNTEDKTCTSGSSVSATC PASKTTVISAGLGAGLGGCLLIAIVTMLVQRRIYKKNLRQKEAMIDEIASTSSAQHLV PEX2_035440 MFSEKGKTAPSDLEATDLAHITEDEESSEIPSTFAVKSKVHGCL QVLGAFFIFFNVWGLNLAFGTFQSFYALTYIPSSTSSDIAWIGTIQSWLLIVGGLLSG PLFDLGYNQTMIIVGSILGVIGMMMLSLAHEYYAIFLSQGVCVGLGFGLLYVPAIALV SRSFTTRRAVALGVSSSGAPVGGIIYTTMFNQLIPKVGFPWTVRLVAFVMLALFIAAA IMLLWPERHSVRVKSTQRRSLIDLRAFKDLPFWSFAIGNFFLYLGYITPFYYIPTYAE TKLGTSSSMASNVLMISQASSVVGRVVLTLFAHYYGSMVAWIVCGVLSGVLCICWISA DTLVRFILFAAFYGGISGALIPLPPSVFTHVCPDPKSLGTWLGMAQSLSSFATLVGPP IAGALASIGADGSADLNYLGIQLFSGTVMCFVRRTNLSHPHETLMSRDLGIRYKSHWR RTILLEKLSPKHADDLFTLTGGLEPLREALWDYMFDGPYSDAETFKTSIASKSTSTDP FFYAIIDKRNSLSTFGKAIGFLSLMRITPDHLGIEIGNVMYSAALQRTTGATEAIYLL AQHAFHELGYRRLEWKCHSLNEPSRRAALRLGFSFEGIFRQHMIIKGRNRDTAWYSIL KDEWDTSLKGSMEKWLNAENFGEDGGQVRSLQDLRAESG PEX2_035450 MSTSRMTSNKTPTAREYGFNANQGVNWSDYLTFRPIYPPSFFEK VFTYHSQKSHSTWSLAQDIGAGCGIVSSSIAPHFNKVIVSDPNDGYTALAHRVLVEES RLPESKFRFLQESAERSSVESDSVDLIMACECIHWTKPEIAIAEFARELRAGGTLVIT HYNYPRIVGNERAQRAWTAVCTFYAGEVHDPMLDHALRILNSGTEALGFPVEDWEGVK RLYVNARGSIEAFRINDRIGDSQVRDGEEIVWEEEDADWMDEQDCDWFKGYASTWTTP NVSESDINPLWDEMERNFEGNKVKTATPLAMVFATKRYS PEX2_035460 MTRNWESQAQKGKDILNNSIPKQWLLPVDRLPPPSQKSVVDFPK QSGLLNERELNITETSATELVTEMGNGKLSAEEVVVAFLKRAVLGHQLLNFATEFMAD EAITRAKEHDEYYRRTGKLVGPLHGIPISVKEHISMKNRTCNTGYVAWVDEVAKEDAL LLQLLSKAGAIFHVRTNQPQSLMHLCCSNNITGTTLNPLNRTLSPGGSSGGEGASMGF RCAPLGIGSDIGGSIRCPAAFCGVYGFRPSTLRNPGTGIKVAASGQETIRGVVGPLSS CSIEDLELFQRAVLEQEPWDIETSLMPVPWKTIAPTRDMTVAIMWDDGWVRPHPPITR ALRHAKEKLVAAGVKVVDWEPYKHQHGWEVISALYYPDAASKQREVLAISGEPARPLT DWAFTYSRSTPLSHPEAWALHKQRDAYRDEYHALLNRRGVDFILSPTYPAAAAVMGES QYWNYTAIWNLVDLPSVVFPSGITVDPKVDVLTEQDREYVPRDEVDEREWRKYQDPER YEGASVGLQISGKRSKDEETLAAAKVVEEIVREKKQLKV PEX2_035470 MVLHLSRSTRLSVVIGISTCFFLAEISRRLPFLGFVGFYTHSIS LIADAFHYLNDLVGFIIALVALKKSERTDSPKELTFGWQRAQLLGAFFNGVLLFGLGI SIFLQSIERFIALQNVHNPKMMFIIGCIGLGLNIISAVFLHEHGHGHEHEHSHDHSPS PTIETPVLHLGEEYHDSSTKHHDHKHLHFEKHHCADSGGHSHGHGGHNHGDLGMMGVM IHVIGDAINNLGVMAAGLIIWLAAPHAGRHYADPGVSMFIAILIILSSFPLMRRAGMI LLESVPTGVDMCDVKHDLEKIKGVNSVHELHIWRLNQQKTLASVHVVVSEDSVEDFMK TARVINECFHAYGIHSITLQPELEEVDGVHESSRCQVICGTLTSLA PEX2_035480 MAANPNSKAADGKHADLQKDTVDVHAKQHMTTDHGVKISNPDQW LRVVDEKKTGPSLLEDQIAREKIMRFDHERIPERVVHARGTGAFGKFKLYESAADVTT AGVLTDTSRETPLFLRFSTVQGSRGSADTVRDVRGFAIKMYTAEGNWDIVGNNIPVFF IQDSIKFPDVIHSVKPEPHNEVPQGQSAHNNFWDFQYMHSEVTHMNQWIMSDRAIPRS FRMMQGFGVNTYSLINKAGKRHFVKFHFTPELGVHSLVWDEALKINGQDPDFHRKDLM EAIEAGHFPRWKFGLQLIPEEKADNFEFDPLDATKVWPEELVPIRYIGEMELNRNIDE FFPQTEQVAFCTSHIVPGIDFSDDPLLQGRNFSYFDTQISRLGPNWEELPINRPVCPY MSLVNRDGQGRHRITKGTVNYWPNRFEANPPATAEQGGFVSYPQKHQGPKKRALSDKF KEHFNQAQVFYNSLSPIEKMHVAKAFSFELDHCDDPIVYKRMTERLSAIDLDLAKTVA KNVGGDTPVKSLKENKGIKAKGLSQLEFMPEKPIIATRRIAILLADGFDFNQYTTMKE VLSERGAFVFTIGAQRQGVTAESGQKVIPDHFFSGVRSTLFDAVYVPGGKHIQALLKN GVFKHWISESFAHLKAIAGANEAVPFIEKQIGLPEVEVAQSGSPLKESYGVVTGHGDA VSLLKVGIVGPDSKGLAEQFIWHISRHRNWARELDGLSDQIAA PEX2_035490 MSRPSAKLCAECLPSALRAPRSLRPTTLRGATRRSYATEQRALN ILRRPSRSPLLCVSSERIRPQLAVQSPKGRDLATVSDGKKTVTAPPQDGPMREYDVRV QEGRLRDDEYQRGIIQNLQDLFEALKDYNPPKVVHPKPESLDPQQKSSFFGSLFGGGA KKKEKSAIPDNLPKGLYMYGDVGCGKTMLMDLFFDTLPPNIKDKTRIHFHNFMQDVHK RMHVVKMKYGNDFDALPMVAADIAELAGVLCFDEFQCTDVADAMILRRLLEILMSHGV VLVTTSNRHPDDLYKNGIQRESFIPCINLLKTDLSVINLNSPTDYRKIPRPPAAVYHY PLGEDAQQHAQKWFEFLGDPINDPPHTESQVVWGREIKVPRASGKATQFTFQELIGTA TGAADYLELVRHYDAFIVTDVPSMNHTQRDLARRFITFIDAVYESRAKLVLTTEVPLT NLFISESDVKKTLKGDGNDHSDLSDSMRMLMDDLGMSVQMLKNTSIFSGDEERFAFAR ALSRLSEMGSKEWVERGLGLGKSPAQTKEERDAWQKTRSHWSEDNM PEX2_035500 MRQIRQLVADRISQVIKALYDYQPEPGTSQELAFSKGDFFHVIS REDDSDWYEACNPLIPSARGLVPVSFFEVIGKNERDSGGSGDLSKDHDSGFSDRGLSQ DSPITKNGAFRMSALKGQGAMVYGIVQYDFQAERPDELDAKAGEAIIVIAQSNPEWFV AKPIGRLGGPGLIPVSFIELRDMQSGQAVTDPLDAVRRAGVPKVEEWKKMTAEYKNSS ITLGKIEAGHGGGNMMAVTSGMENMSMGHQSQMSQNGNVYVGEISDSHLRFHLLTDDG LEQEHQRNASKGTLSQVPMAQQGYQLLIPVSAYIPRYCFDNDKYWYIIEAKMEDGRCW ELSRYYHDFYDFQIALLTQFEDEAGNRGRGRTLPFMPGPVTHVTDAISNGRRQNLDEY IKKLLSMPPHISRCQLVCELFSPREGDFEIDPNAFGEDARFSGGSQHSGGMDTQQTVS RQSSQQQINASTERISQQRAPAPTPYANGGPPPMNRQPSSITQASGASANSAMKVKVF FQDDLIAIRIPSGVSMQHLKDKLCDRLKIQDDIVVQYRDESSGSYIDLYTDQDLENAM QRNTKLTLFVNVA PEX2_035510 MSSAFPPAAAPAYTPVQARRSLAHHTKPTNGTAPLQPTQSASPD TEARARMEFPPPVRSYVQRCFAPENQVASVSAPEMQEKLKHVITEAAQNDKLGLIDWE RLPLPQTMVQNERNKILANPSSSNWASSHPASPGDATRKRKSTDGHHSETGSPPWRKA NRNRFEDRVTHPTIEKKPRITLDQSSKSKANLEMRRKRFEGVGGSGNSPSSPAGSPTR AADADQGPVVGRCQTLEKNYFRLTSAPNPDSVRPLPVLQKALDLLKKKWKHDGNYGYI CDQFKSLRQDLTVQRIRNEFTVVVYEIHARIALEKGDLGEYNQCQTQLRVLYAQQLGG HPTEFKAYRILYFIYTRNWTAMNDALADVTAEDKKDLAVKHALDVRSALALGNYHRFF QLYLDTPNMGAYLMDMFVERERLSALATMCRAYKPDVNIRFITEELGFESDEQTARFV LDNSSEELLEERNGAVRLLTGKAGSLFDQAKAAAHRVVDIKGQI PEX2_035520 MFFKSLDLTTALRPLLLPDEILLFVQDAVGLYEGKYKIQNYQNG HAYLTSHRVCYVAAEDPRKYSVAIDLKEIDRVESQTHVFKAGFLKSSPKLTIYPKPQK KKTDKSRSATASPAVAQQSALHPTQSLSHLPQINLPHNSSSPKLINATWICPICSFSN PVPSNFDPATASAATNLPPCLACGIKPPFTTVLKAAISAVTSRESPLLGSVGSPAIAQ DTNQPISNASLSPLGPRETVSCPRCTFVNHPSLVECEICGAPLSAGSSANTNRVDSPA PLFEQSHIANTEVSESIKLSFRAGGDKTFHERLKGALVQRKWLLQNAPPMPSPSQGVS SPGFPSPAASVESPLPSVPRPSGVGIAGLERRGLEARRKNELVIGNAFEDLEALMASA KQIVALAETLARESGMTTNEGSPETSAVLSESAAALGMVTTKDMLSSGSESLYLSELA RDLAEYLTDDRKGILQREGGIMSLIDLWAVFNRTRNGVELASPSDFQKAAELWEKLKL PVRLRRFKSGLLVVQRYDWSDEKTIEKLQAWMEELRRIPPDDPVPWDWSLFGRAVTAQ EAAHRFKWSVGVAAEELEMAEDRGVLCREEGIEGLRFWRNYILSREYGDLSSLAI PEX2_035530 MSPSQDLPEDHEIRDVIIIGAGPCGLAVAARLSEDTPSAVFTDE EHQRYHWIKKHSGKMSLVQGRHGKIKGVRAAKWDSERGCPCEEDRQRRTSTDSASSVP SLSSASSTSSTSSISTLVLDGSGDKWMQRWNNAFRTLEIKQLRSPMFFHVDPGDRDGM LAYTQESGRDADLWEIPGCVGKEMSKHKKKKRRQGGKTQTIGGEIDERDRKDYFSPST DLFSDYCSSVIQRYGLDEPGKILQREATDLSYNYLSDTSESKVFTVTTSTGEKFYSRS VVLAIGPGGAGVSKIYPWKPSTEQEGAAACCHSTEIKSFPSLNVQNKIQRRQVTNVVV VGGGLSSAQIVDMAVRKGVTKVWFLMRSGLKVKHFDISLPWMGKYKNWEKAAFWSADT DEERLEMIKTARNGGSITPRYTKIVKQHAAQNRASIHPCTEIKTHDFNPDTRTWTLTT DPPIPELPEIDYIYFATGVRADVREMPLLREMNDQYPIEVKSGLPCLTNDLSWRDDVP LFMTGRMASLRLGPGAPNLEGARVGAERVAWGMEELLHKGKEVGGQCEGFCGLGNRYA SLLGECEE PEX2_035540 MSTVDSARFRPTTPPRLSLEEYIGSGHSSHASNSSVYSSESSPW STMTGNTSPTTSPTRHHHGPKLLPKIRPQDVVIEPVSAGGPLRHRRVQSNTRNPPPGF IAYPPVRPSISRRTRDLADHLTLASPISPAPMTAPGSFSALSSPVTITPSYKRKTGGG HSRSVSASVIDAATLTRFGYPTYRELPKYVPQMHAQTTPTTPVTPITPSIMSYPSYSQ RLGGQHLSASTQTPLTVPTPRYNYRQASAAQHSPGLLSPHEDLAPRSTTLLSYLTLPI QPISLVRNVSVVPTRGLHDYFWWDIRNLRSWSSFSLATFDSLDSRLIQLLKTEIPAEL TPRVAVPPANLAPESEHDLVSLIRDLYAPRINAALAVSQGKDHLQLYAAPDVRNTGHK NHGHPHFLANYLSDTEQTSAGLPRGRLVGIVKSFDRWNTGMRNEAPHRRVEYLNGLAH LQRCMREHSCRYGFIITEIELVCVRAGCDTGDDVPYFGYLEIAASIPLKTAAARGAHP RDAPLATPVSARSFSSSSSSSLPSRQDSSDPEPAEGALPAMTAGLGLYFLLMLSKSVP LPHQPSAHLNVGGPGAMTRQRILAEAKDKWIPEPQIGEKRDAKRVRGWVWPLDPWHRR EGGASKAKAETKTKKWHK PEX2_035550 MGHFSVSRSNHPITRYNAGARRVLQTHPIHSFLPRLDPRTTHFM SPMAIFGPSAFLEPLTADPASPEAGTVTNKLTVLGNLKNDIEEDAKYSSILTRDSPMK PHYAGLEPRGGAVSRALLFNMPVFRHNVGSFAFHRVDVPLIGDQDSKKRFSSSVGVTM GLFSMFHLF PEX2_035560 MAARPQNIGIKAIEVYFPTQCVDQVELEKYDGVSAGKYTIGLGQ TKMSFCDDREDIYSMTLTVLSSLMNKYNIDPKSVGRLEVGTETLLDKSKSVKSVLMQL FAPHGNTNIEGVDNVNACYGGTNAVFNSINWLESSAWDGRDAVVVCGDIALYAAGAAR PTGGAGCVAMVIGPDAPIVFEPGLRASYLTHAYDFYKPDLTSEYPVVDGHYSLRCYTE AVDACYKAYDAREKTLKAQTNGANGANGATDESLSPLDRFDYILFHAPTCKLVQKSYA RMLYNDYLANPSHPAFAEVAPELRDLDYEKSLTDKAVEKTFMGLTKKRFAERVNPGLQ VATQCGNMYTATVYGGLASLLSNVAFDPKESKRIGLFSYGSGLASSMFSAKIVGDVSS MVEKLDLQNRLNARTILEPAAYDAMCKLREHAHLKKDFKPTGNTETIGSGVYYLTEVD DMFRRKYEIKA PEX2_035570 MGDEKFDYQAVPIPSYAEATGQPSSSRSDLGDGTDVERQGLLGR DHASTRGYHPPTVESARSSLDDLESLTSHSDRESVEELRREIDQMDVDDSASAPLTGR HAPLRDRFSKQLSSLSRTLSAIQRPFQRYIPSFRFTINLSDTRARLKDQGCIMLLRVF ALLLVVTLVYVFFIADVFHINSRMAMGQSYSAASVENFIQGHINETNIAENLRRVTNY THVAGTEGSFALSKLIEQEFQNAGFDEVSREEFQVYLNYPRKDGRRVAIIDPPSLAWE AKLEEDNAKDLVFHGHSKSGNVTGHLVYANFGSREDFKLLADTGIPIDGSIALVRYYG TESDRALKIKAAELAGAAGCIIYSDPSQDGFRKGPAFPEGRYMPSDGVQRGGVSMMSQ VVGDVLSPGWASTPGEKHRLSPEESTGLPKIPSLPLAWRDAQILLQGLKGHGSKVPKE WVGGVPDVQEWWTGDQGSPVVHLMNLQDEVERQPIYNIHGRITGMDERDKKIIVGNHR DSWCIGSVDPGSGTAAFLEVVRAFGELLTYGWRPLRTIEFISWDGEEYNLIGSTEHVE KEVDDLRANAFAYLNVDVGVAGPDFRVSASPVFERAVLQVLGRISDPYANATLKDLWD KKGSKITPLGAGSDYVAFQDIAGTSSIDFGFEGEPYPYHSCYETYDWMVKFADPDFQY HKILAQFWGLLLLDLSENPMLPFDLEVYGDSIGGWVKDLDKFAKSKKAEVDMQPMFKA ATEMKANAVTFQSWNKIWHDTVWGMGGYESNIMAVQRVSHNARMAAFDTNLLDLEEGG GIPNRTQFRHVIFGPELWSGYDASIFPAIRDSINTGNWTLTQYWIDRVANTIHHASDK LLH PEX2_035580 MDPRILSPNTPILRLSDLAVVSRLHSFVSTHGRNSARTAMSRLA ACEACRKAKLACDHQLPVCTRCSASKGICIYRTTPFKRKRVEKQSLGSPDESPSFNPR RNPYPNPGYLGSSSHAAIFKHITPDGDHGPGSHQAVPEDLHSDLFGDNHLVLQGADIL KHLLTTYKLSAMKDLVMFWLAKGANLALAEPFVEQCAQSVSQLFTYHDDNWHLVYARR LLQNSAQPLHFNDTSDLAGFSAQFLDHNSRWETLGIFFAAVSRATIDIAFFPSLYTTE QEQYTLRRLCTKLCDYALEISLSLDCLNDLQLIMQYENFIVHSYVDGDQSYHSWRKLG DAISSTFALGYHENIEIKAGIPPYLKELRKTAFARIYSADKNIAIFLGRPPRMNKRFC HFQIPSCPNAEEGSADWTPDAEPGYRADTRWSALCASLKEEIWELLQDKHDPSCAQRA SVIQCQAETQWQHLPAHFRLENSLKKCTQGPFERDFVAGVRLHHLHVLFLLRLLLLKS PTEPDLPIIKTAGQMLTLVVEIILLRDQLTNSGTGLIWKSTNLDANQVAYYGLPAAGI MLLAMLKQHMPRAHRTRSLQDLSVFVAEVQIGTIVKPGDPNYALLSKATQTIQRFLDS THSDPAQSLPELAGVEGNDDWAALLSQDLWDFEAGFWQSLADHPSLLAIEPSLPPVVP APGKREGYDEGFRLFPDDDTALKTEPTFVHFLQRFEDLLEGCVKDGKHLLEIYQRLLQ QAREALDLPTKSDPRHHNFVLTRRWMMVNPRRAKEFHGIKANAAGMMGSIYIWNHHRL DAWKEIGLMKVLAG PEX2_035590 MTQEIKLADYLFTRLRQLGVDSMFGVPGDYNLRLLDFVTPAGLH WVGNCNELNAAYAADGYGRIKGLSALITTYGVGELSAINGIAGAYAENTPVLHIVGTP PRPLQSARTFMHHTFSDGDYRRFANMSKHVTAAQVRLEDATTAPERIDYVIRQALIHN RPVYLEFPDDMPDVLVSATNLEMKICIPQPPSSVQEPQVLARILERVYSAKRPFIFVD GESTGLGIVDQLDALIKATNWPTWTTVYGKGLVNEQLPNVYGLYAAAFGDKPAQEYFE EADLVLTFGPHNSDTNTYFYTSIPKPAVAITFSGSTVQIENDTYRDLSAHKILSTLLQ NLDSTRLVKATGPPKQEITLANIQNTDPIAQNNFYRLVNPLFREGDIILTETGTAAHG GRNFKLPAKSRIFGAVTWLSIGFMLPATLGTALAQREHNKDTESKSQTILFIGDGSLQ MTAQEISVMIREKLNIIIFIINNDGYTIERVIHGRKQAYNDVPFWRHAQALNYFGADE EHAANNTFTARTCGELKDVLADERIQNGSGVRLVEVFMGREDVQGALLYLLNKQLDQE EAEQQEQI PEX2_035600 MSSPSPETNDDQKPELSRAASYTDLPSQAATESILRRTFSDHAV PTLAESPTKETVAAGKDILRRTSLRSKDKPKPASVSRFTLSSSEDLKDIDSNVPETRA PEPAVRPSKSRSMSGRIVSLARKPWGSSSRSPSPSAKRSKQQDSQSPTRFSSRKTEDD QTQPSRRRTILYKRPRRPMLAVVAKGSEDSPGSPSSPSSNPLRRRSSFERFAASLSVS TPVLPPMPKGAAETAAAYANSSTDQTRKKDELWGIFRGLEADFQKFQAKSISLKANVI RTSLLPFLGRHHLHESSKNLRPEDLDRRVNILNKWWIGMLDMLNGKHNQSISGTDRPV FLEAVVGIMARPEWRIPFPVAQNGSGPTESLKYASTSVSESSDGSGSSGSDFLVESIH HNIRNIFIQNLLSQMTFVVERMSMRHAPASLVAFCGKVCAYAFFFCPGVPEILVRLWS TPPNMFRRILLDPAGLRTGNMRAYTQELALCFPPALRPLAFHSQAPLLRYLRQKPELP LNTTSINWNRPWIGRWAGRDTDLFFVFVKYIHILYAEYLPPGTEKGKRILAPGLLMVH AQLLLVLEDTIYKQSAQQGSDNPHTAAAITFDDFIESPDASASAQHLRSGSNTHRSMA ENRLIILLRDLLSESSVEPNRARLLFAESFCGIIKAAAQKTSLFDHNACFLLCDLLEE VIPIITRYAQSIETELFDWGFWLSVCRQMMQSHNSLTEVRVFSFLFCIWGTWTATEER KASICLDFLLHEAVFYHYFNHWSPMVRAYFHRLLCWRVGRFNTEPSSLDSNVYEVLSD RLLRVWEYYTGFQSKAEEANTAPLSSAPCTPAPGRRIIIIRCENQMSPVNLFVSFDRV VPPVPSEQLTSHRRTGSSDSNGPDSQPSKKRWGILKAMFGSSSTTRSGDGVSSSSSDD SENGASDPTMTADSKCMDEHEPTPTSNMDEIIHPKAPHQPFFFKFSLEWMDRPQWPTK NKRLFTPCLPVASQLHVEHRRSPEKSDYDTASENPGQSDVENDEQEPNTLTADQDTPT QPTSDNWPRTPTTKNSPLPELPSQPSYDHLVSSKYAGRALAEWAHIVSECDSFFARRR DEGVPTDRMVETPTLGVESFRK PEX2_035610 MMSQSLRASQRTLFSRASRAQVSVARRTFLTSAVRQADPVQDLY LRELKAYKPIPIKAGDAEEHVQKFTAPKAPKSPEEANLASELSAYESQEVEVEGQAAA GEAAPVEESWFEEEEEAPAAH PEX2_035620 MATSALAKPEVAAPWKKNLSAHLVCPECKEDPPNLEFPDSHETV CGSCGLVLADREIDLHSEWRTFSNDDQNNDDPSRVGDASNPLLNGAQLETSIASGGSG RARDLYRAQNKQSGEKANKALLAAYKEIGALCDGFNIQKTVADTAKYLFKMVDDAKAF KGKSQEVIIAGCIFIACRQCKVPRTFTEIFAVTKVTRKEIGRIYKALEKFFTTQNVER HNAALENGETHDSAGDYNATTSTKPSDLCNRFCNLLDLPYQVTSVSVSLSDRVTAMGD LAGRSPLSIVAACIYMASFLMGHGKSAKEISQVAHVSDGTIRGAYKQLYAERERLVDP EWIKGGKGDMGKLPVS PEX2_035630 MTTFTRMKIPFQTRSSIAALSSSRTQTLRTSSLYRSYATKSSND PEQATTTTHQPDSPNRLIPSNKAKPTLSTEEQSPLSDQEGNPKKDFPEDVKKHNREME QRYDKPYNSTADDGTVKPAFKS PEX2_035640 MDMTEPRRGALDDPIDSNDYGDHSPASFREAKQELPDDLPKSLD DRRSVPVFQPETEMYDAWQGQSQYLTAPVAAKPLSFSLALDDHSHDNEHELRAHYGRD IEDSDARLMEMLAAQAAHREVDSLTADEETIAADEKLTDDEKRDILQKSLNMAASNGD VERVRKLVQGQARTYVDVNKPDEEGTVPLIYASCFGHQEVVAALIVAGALVDRQDRNQ WSALMWAMTNRHKTIAKILLDNGASPDIKSSSGGRAFDFAQPGSEISEYLHENGYSFG SGNIDHDFYDAGLAHGRFEEELAESEMKRRMMMEESAINLEVDLSSLGLDEKFEPSDE DELEEDQQEFVWDKCLNDQMFVFQDHELERILDIIITNMTPQRSPSQKPVPANLLFLS ARYAHYHASPELLTTLLSSAMDKINDVVERYQWDMTMQAFWLSNATLLLHYLKKDGGL VESTVEFQLHLAELINEIFVLVIRDAERRMNKVLDAAMLDHETIPGLEDIAFQNEWKL FRKHKSKNPEPDEKRFRPPSPRRRAQISPRNITSLLSSTLFVLDLYDVHSVITTQILS QLLYWLSAEIFNRIMSTKRYLARTKAMQIRMNVSSLEDWARTNNRVPEHYENGSTNST GESTMDSARRHLAPVIQLLQWLQCFSSLGDDHESLVTTLLQLQQLTPAQLLHAVKSYR PEVGEKGLTKQAMKFLLDMQNDPEVLYREQGQIQEEKAKAAAGPGPQAATDARPKTPP KDQLSAAPTSPSAGLSPDSAGASSRRSSMVAARSDERPGGGNSVFVDPTLFLPFSLPT STDMLVSYGAGFGGTNRERARKYIPTVPPEVLSRFDRGDI PEX2_035650 MEPFPPPTDPPEFKDAFLGPQVQINELSASNRMSSGGPQIPISN LRRANTVAQGSNVKRNLELKAARERTATGITAKDSHELLRQNKLQRSQTHRGPLEARS PGRKAGHFTVRSVGQNGKIFLRPIANPSQKSPQPASFSPIDTAKPSLLQPQSHAHTRE DPDPSRWSSSQLSELRPRQQPEDPESVLAVSPPPELSSQHRTRPISFSTISEQQSIAG AGTRGELRIVIDRSEDRPKSARENLTPALEVPIPRYRLGSPRFNAEGSAMIQSSIYTR TSMSDNFRNSTLLGGMADPLPGLHGPYPRDSFSRHRPSFAISMFSGTAAAIDINRASP APRNSMVYELKEPVEPSIYETLVYDMDDGSVVRYITGTKDISAATPARIVAQVSSESF MDYELVSDFFLTFRSYLSTTHLLALLLARLKWAINRLQDDGRIIRIRTFAALRHWILN YFVDDFVTNYELRTHFCETINKLYSEVRSRQNGGTSDRKILIDLKRCWNGKCSVYWSS PDLSRAYNDPEISIVPGDAQIELPKAEELQQNVPIYGMTPRADTTFRDSLPFPIQHDR NDSAATAQSIPFSDKSEQSLMALSCSLPPKSPRRFSMSVSKGKAPRPVVLGLTKSKSP SRAHEPPRSPASPMVSRHPYHSHSHKRSGSFSDSVRDDRLPMFAMEPETGPASQQMLD PVSLIRGEMYPPAESYMTMMAPESPPLPPPLKNPNPDRRSTPDVPKSSPNSGMKTIIG SIKRALHTRNGGQSVSARIANAHEAISLPSRGKTSAMPIGLAFGSEFYRERKMAAIPK YPARIDILCDEVLKQYRLAMNQEGTKDQNQPPPASDLHQETLEEPASNASNLLPTQTP NSDPKLRSGITMGSESIIIVDDTGFEMPFMSGAAQKPMSIANGNTHEAPELEVPDDAS TQSIPVEGEYLRPLCYNADESAAQSNVFYPLRPLTPQRSSSAERGSTPINKKKNSLSL RLRKYASFQSGISRQRFSMSSETAQSTVDLSAVYDQNTKQSGPVLRRRRGGNLRQMQT GDETEPPSCRDSFASWDDSIVEETAPSDGTASRPPSTLIPPIPRYSLIQPRTSQQIRR SFESVIAKFAQIPDDDDGGIESTLLKLEGKWDGPPSAVRDPSFAHAEGPHTHREHPFI PHGVETARPGWDVLSRRRQTDNSVYSTVGGRLAPPRPYSDSVTESEESYNSIPLLERG LTDESMKWQSPSQPIQYEPHGAPLTLVSSYETSGLASSHPSIQIVHETDSMRRIPRGA TTPASAFHNVGQITPRRFSALSSEMSVDLIDPHEAMGARFSTDTRSLGSSTVEIPPHP PAQPPSPPMTIQHPGSFTSSPSPLNTVLFQAQPLTPDTSPRHKEVGNSASRPLNIQQV SSDVLFNSENNHPDQHPIINLLPDHVPFILACESQVLAHQLTLIEMAALSEVDWRDLV EMKWSSACPLITNWVQFLTHKERKGIDLVVGRFNLMVKWILSEIVLTRDVNERARTII KYIHTAAHARRICNYATMLQIAIALSSSDCSRLQSTWQLISIEDKRLFKDMECLIQPV RNFNDLRVEMETANLQEGCIPFIGLYVHDLTYNAQKPAQVNSTNGGLLVNFERYRTAA RIVKSLLRLIDASTKYKFEPVQGIIERCLWIACLPEDEIQSRSKALE PEX2_035660 MANKILSIADLEEAASNSLSVSAREFFNSGATNQVTLHDNYAAY RKYRLLPRVLRDVSLVDTGISLFGRDIRFPLCVSPAGMQAMAHPEGELATSRACAKIG VNMGVSSYANHSVEEITVAGKEVGPIHHVMQLYAMNDKAKQERIVRRAEAAGCKAIFL TADSPVLGVRWNEWRNGFMPPVGLGYPMYERTSTEIQQQSHDDGFSSTNSDSHSWATE IPWLRKVTKMEIWIKGVLTPEDVETAIEYGCDGIIISNHGGRQLDETPATIDALPACA KAARGRIKIHIDGGIRSGVDIFKALALGAECCWVGRPALWGLAYDGQQGVELMLKILF DDFKRCMQLTGWQLFSVHSSVKLEKIEAYRANILTIDSSAEMQGTSGPSGMQDESSMN GSATIQLLSDHSKRDSNYSLHSMQSEKGPLLDINEVYDSEDNVFVSSHTHHNKLQRTR PCWARTVRKGLCVIVFVGFITYYLGFPHGSPFAEENPSPHPEAPPLCQSQECIHAASE ILYNLDPNYENIDPCTDFDQYVCGGWREHHDMRPDQGSIFAGTIMGENAQTKLRHILE RTEPPQSSDADNFKKLKSAYDACLDEATVSKRGSKPLTKILDELKTIYPAKAGLVKGA QDQLTSALLYLANAGVEALASSGVTPDDRDPDNVVIMISPPREIGLPAREYYNNTKTV ADYTSVLKQVVRGLAGDGFDKIAEDVVAFEKKLADVTPDTQTQEDVTKYYNPLTVKET EALIPEISFADIISSLAPHDYKGDRLIVGSPSYMKALSVLLKDTPRETILLFLQWKLI QAFADVIEDASIEPLRRFENELAGKEPQAKEERWRKCLGHLDEGLEWSLSRFYVLDAF SEDSKKLGDQIVSDIKERFIFTLDQTSWMSPDVRKLGIEKVGNIIQKIGFPTKSPNVL DPEDVNKFYRELELSRDTYFENEVAVARFQLRGEWSKLGKPTNRDEWGMSAPTVNAYY NPPGNEIVFPAGIMQPPAFYGPSAPLYLAYGAFGAVSGHELSHAFDSTGRHYDESGNY TNWWDDKTVEAFEERAQCFVDQYSNFTVLGPEDKVLHVNGRLTLGENIADAGGLTASY HAWKKHDEAKPDLHLPGLDAFTKEQLFFISYGNWWCGKTTKEAAEQAIYNDPHAPKSA RIIETMANSREFKNAFSCPDRKPACKLCNSLVPMFDTSLTLHMDAHDAREEAILLGQD AETLQLLISTAIAAQHMRESA PEX2_035670 MASISASPPEDGSVKKEDLEFIHLEEQELAHRSPGNGSSVYSID EAHQKRVIRRVDLRLLPILGIMYSISLIDRTNLGLAFVAGMEQDLGLDIGNRYTVVVM VFFVAYIFEVGKRLATFWLVSVVLNAFAAIFAYALTLLDGSYGLNGWRWIFIVEGAIT SGICLLGWFIIIDFPTQADTFLKPEEKEFIIARINNDSGDAEEDPITMERILHHLKDW KLCVWAFNLMASTLPGYAYSYFKTVILMGMGFSNTQSQLLSAPPYIIAAFFTYLGGWL TDKYQIRGPVIAVHQLLTAVGVGFLQFCIPGVLAFQANNITSHSKRGVASATCLIGGG LGGIIASVTFKSDESPHYTTGIWVTFGITMVSICLTLIMDFHFWKTNKKARETNGQIE GMSGWYYTL PEX2_035680 MSHIQKRALVVIFPGFNILDVSGPVSVLYNSDFSVSYAAKDELT TSQENATVKRDISLAEAKLNLPDYEILVVPGSRPNNIIPHVQPEQGQLSELVKFISCF ASDIDQDSAPQRTILAVCIGSFFLASGGVLDGLTATTHRLAVSGLRAVTQRYVDQTPG AKGTRVVPENSSGLVSYLDAGRNQFGVRIITTGAMVNGIDAALHFVSLGSSRSFVAEV AALIGHQWKDV PEX2_035690 MGCLVSKPEEADRDAFQRNARIDRTIKNDKKTLDRTIKILLLGA GESGKSTIIKQMRIIHSRGFPEEERHQTRAIIYSNIVIAFKVLLDIMNAEEIDFENEE KIRPFADLLDNTDPDVGSDEAFSDLEVRDAMRAMWKDEGVQKAVARGHEFALHDNLHY FYESLDRIFTQGWLPDNQDMLQARLRTTGITETLFELGQMNFRMMDVGGQRSERKKWI HCFEGVQCLLFMVALSGYDQCLVEDQNANQMHEAMMLFESLVNGEWFKRKPIILFLNK IDLFKGKLAISSISKHFPDYTGSDTDYDSSAQYFADRFRGINRIPDREIYIHYTNATD TTLLKATMDSVQDMIIQKNLHTLIL PEX2_035700 MLSTSLRRAAWTPMPLPGITRSGQNLTSSLLGATRPLHQRRYSS SSSKPPVPPSDGSRRLDTSAPAKGVNSSSEKSKANRRRGKDSSARNGSSKSSQYTAFS NLPSVPSTQHRQPHASFFSIHRPISVTTTVPPTSSTDAFEAIFSSKRPLKNEPEDVIF TLSSAVQSMETGSQGMSESEDQFRSFSEQDGELRMLDGPDAKFSVEEYTRRLRPFQPP PPPVPMDTSAAETAETAESTDSQIDNEYQTYSTVLTIREARHADGRKTYEAHTGPFVR NGEMEDPSDMRDEISIEAPSDSTAGMTYMERLRNNHTMHAISTMRRRKAKMKKHKFKK LMKRTRTLRRKLDK PEX2_035710 MRFKQMRVALNSGHRQLLSSCKAPGTLGRANRWYATQNPARDGR PLAYTWLTKTGEEITPDDILSSLPSGPVSPSAASASVPLLLVTPNFAHWLDSSSPFLS QFLGRLYGNSPENDTLYAVTAVVDALPNTTSKSTNLSESEGLSLLVVGQSGVQAKAAS PRLIRTSASEETNLLFSFQPKVIGQTSKGPAHEIGLRLANTIFVNGRETTIFGTRWLW DETSNGYTLNSSIDFTSCMITATPQAVNTALELPLHPVTERRRVMTSMGNILRQLAKS TDVTSTDTMPASSELERELPRYIAEHNIIDQRVSVWALVEKPDLEVTDPGSSTHDRLI QSLEQGGKLHRVMSGGGGWGKKQGLLSLDPEVGFSGTANQDDLIALSQVFDPDTIQLD RLPSIDKGITVDDLSLLSQVAAAGDYIQFFVSVEPTGAQGSVSRNNDLQEEPISYHFG MVADSMELETHATDEDSSKVNSLPRTFGALSEKAIAYSQPIKGEMLSESCTKLNIPGS RVVLETRGQHVCRRPPSLWTLHPPRPHPTELADLGCFYFFSKLKLLHENLVESSFIKM ATELCPVYAPFFGALGCTSAIVFTCFGAAYGTAKAGVGVCSMAVLRPDLIVKNIVPIV MAGIIGIYGLVVSVLVANDLNQTLPLYTGFIQLGAGLSVGLAGLAAGFAIGIVGDAGV RGTAQQPRLYVGMILILIFAEVLGLYGLIVALLMNSRSKGVC PEX2_035720 MRPHKRLGNYGHYVGLVSDRMPSGEGCIRLVSTGPPRNHADTDV QGIESHQPSLKAQGKSKDGCKIGRDASLSKGY PEX2_035730 MLLLPNHRILIAILLLLVLYSTAEDTSSDASNSALYDMVPACAK DCVDSFIKSEYTPMECTSPSNIKCLCRTKTSSSLTLGEAALSCVLSVCSQTAIEKSKA YHICDSVSEALPKTHQTITATVFYDTSTTQTSEAKTTTETMPTSSTSTTEHTSEASVT MSTATSASTPTSTSSSQTSKITFYSASSSSVSEVKPTSSTEQVSSDSSEDTNKKGDRG ITPAAVIGMSVASGVAGSFIIVVAVFFCRKRWRRKKREQAAPHSFEIGGAMSEPSDFS KPMPRLPTDGMGLGYLYSSTSDRETMFQRPGTLQSMASVQPISRYSPQSATNHHSGQS KEPKNRERIGFAISSDSDWEASPRTQSSQHSVARLLPDPTVGLYPKPLKWSHRPASGE TLFEEDESQQAAAAAAMMQNNTPRPGIQPKLAGLPSNPRAFKKGSPAGNFKRRSGAPS ALAPPFNPNPAFRTLPSDSSAAPNETTETSQPLYSSPNQNILLAAPINTTQTQSQTQS QTQSQNRNPSPGPQVLESYPALSSSTLPPDSEVVSRPRIVRGNDIKRVQIRNSPRPPS EVVVPYCPDDLWLERGRSIAPPKSREPSGELPYPSDMFSGAVHYPDSPKKKVGAVSNR VSPTSRNLTPSRRGDDLILRVE PEX2_035740 MATSTERTFVQRPTLLPIFPLELWDIIIEYLHDEESAQHLSRLA QTCPALYSRIDPQLYNNPVRLLNSESGARLALTIEKRPELAPLICEIRHKQDTGSEYW SNRHLQFYKTAVTLPNLENLSLRRNPRPFDSTRWASANARDDAMLEWMDKIASGSGSV KEYRELGYGPSGESSFSPPDRELSHTRDGEVETLFWHASLQHPPGLPALRVCHIGSDY DHNENNPKMDGRCLPIFNEALFCHPGLRKLCITGATFRLSRSSLSLTSPSPLEELTLL NCVINPSDLRTALEYPAALKRFTFRGPRSEDMLEGEAECYIHSTLSHENSLEYIDYDL YWGADEETDFGELVCLKDLTTTLATLAGRECMELDPTDDILPQNLESLTIRYDEVKAW LPSVIYEMVKDGKLPKLRRFTCEVPEIIEHLPSINPHKSNPPVGEICQEGNTWKSKFA ELNVEMSMVSVPYPLDLPKYDVCSCECLSFYHRMFFHPRKPLGLPWEENGFGEEDVFF DDWADIDDEMDLDILMDDLAENSGSDVSA PEX2_035750 MSVSILTTPTELLRLVFATLSRSDLYALCLTHRDLRAVTEKFLY ARVELTWTKSQTPPIAQFLQNIVQRPELASSVSVLILSGCSFDDYHYNYKLSSPKLPL SEVALDGLVNYIENTSVSFAREWIQELRAGTMDAFISLLLSQLRSLRFLQLSQNFARE SRLMGMMLRSALCEKNVYLSPFSHLQDVEALFPGVSLFVRKYTDARNTADVLPLFYLP FIERIRVLVDNPPTFIWPGKTLPNPSKLVSLDLTMLREGHLGQVLSTTPNLRKLKWDW YFRRDLQDEYVTDIIDLDQIAADLSHVRNSSTDLTITAGSSLSESAPEYPELRFRRPF KAFSELHMLRTLEVPIAFLLGFSPSTPNMAHLEESLPRNLQWLTVTDGLSFQQEWEWE WEWETTYLLEAIRPWLQEWKTFTPRLQGFCLSVSE PEX2_035760 MATDRLGTQRVRFDLDTAQTDLYDPNVIVSKHFMTSTSNHIFKS NMAKHDVSSEDNSPINTPMPHPPKVTSDDFALAFDIDGVLIKGGEPIPEAVDAMKYIN GENPYGVKVPYIFLTNGGGKTEKERCLDLSRQLDLEVDPGQFICGHTPMREMAERYHT VLVVGGEGEKCRDVAEGYGFKNVITPGDIIKTRHDTTPFRRLTDEEHDNSRLLDLDNV RIEAIFVFADSRDWAGDQQIILDCLMTKDGWLNTRSDTFTEGPRVFFSHTDVVWSTSH EHSRLGMGALRASLEAVYTVLTGKDLNTIAFGKPQLGTYEFATRLLQKWRKDSCGIDR SPSTVYFIGDTPESDIRGTNEFNETTENDWFSILVKTGVYQDGAVPRYPPRKICDNVF DAVKFAIEREHRKTSKGTVVSELDYETSEEVPK PEX2_035770 MDGKVFYKQLLSLNSVSNIFLSVKAALDNNNGTRSPALTRYAYA ITNAPTQVSRTEAVYLDDATLRTLTTEIICSQSIPSLSEEEKSLAKNVPVEDSAITMR QTIFYAQGGGQPSDTGAIGPVDQDPTFEVSLVRKTPDGRFLHFGKFLDANSSFVAGQP VVQKVDDSKRNYHSRLHTAGHIVGLAMQLLMPDKKKVKANHFPREASMEYEGLLYNEH KPVIQEKVDELVRLDLPILISWLEGVAQAGDGEGAEEDRTRIASIGGLDHNPCGGTHV ARTSLVGSVVIRKISRQKGISRVSYDVSPGIEA PEX2_035780 MESTSETMPDTSKADHLCVLVHGLWGNPSHLDYIASALIERYSD DDLYILRPKTNSGNYTYDGIELGGERIVHEIEETLESLTKKGQNITKISVIGYSLGGL LARYAIGLLNARGWLDKLEPMNFTTFATPHVGVRAPLKGYKDQIFNVLGSRTLSASGR QMWLIDSFRDTGRPLLGVLADPESIFIAGLKKFRQRSVYANIVNDRSVTFYTSGLSKV DPFRDLEDVNINYVKGYEDVIIDPDLHVLPPVEQEPGTAAGRFWKKLKSATRSIPLSL LLIALVPLASVLFLINAGIQTCRSSKRIRMHEVGENGKRFGRYRVPVLIQDAQHVVEQ AFENANAIQEPAYLSNSDTEVSDTAPEKFARSSVSKSVQNDADPESASARRSSTTEVS THYPKLALTHEQFGIIDSLDAVGIRKYPVHIQKHHHSHAAIIVRVEKDGFREGKIVMK HWLDNEFAL PEX2_035790 MGRLSPKSVAPKQSLNTKDTSKSQEIAQPTSSDGPPKPSGNEPA KRINTASAGYSSQLPPRNAPKETLNPRMLAHAPATHGSRTTILQKLHSAMTALNEKLR KDKNSSNRCFVLTPDEIITMALDEEEKFARDSPSVYGNVIKLRIVRVTKMGVDEWAKE VMSHLNARYYKINPIQKPPAIPRPINTGLTPAEEIAVVSQLVTPLTGLEEHGYVTKQP TNADIETAKRGVGESKGWEKCDRCGQRFQVFPGRREDGALASGGPCNYHPGRPVYPQR KKTDHVTGPSQPYFPCCSEALGTSTGCTRAETHVYKVSETKRLASILQFQTTPSQPGK GPLEPVSIDCEMGYTTLGLELIRLTAVSWPKGSDLLDVLVRPMGEVLDLNTRFSGVTP QHYASASPYGTPIPSNNSPSADEKKKTNPPLQLVQSLAEARGLLLKLLQPDTPLIGHA IDNDLNACRIIHPTVIDTVLLYPHPRGLPIRISLKALVQRHLGRDIQVGDNGHDSRED SIATGDLVRVKVSEKWKQLKKKGHKIEDGKLVRPDGQVATITSSWTTVQKSKSSR PEX2_035800 MAPAAARGRKAQKVTQKFVINASQPASDKIFDVSAFEKFLHDRI KVEGRVGNLGENVVISQSGDGKIEVVAHIPFSGRYLKYLTKKYLKKQQLRDWLRVVST SKGVYELRFYNVVNDEAEEDEE PEX2_035810 MGSIVLPHLQTAWHVDQAILSEEERLVVIRFGRDHDPDCIRQDE LLYKIAERVKNFAVIYLCDLDQVPDFNQMYELYDRMTIMFFYRNKHMMCDFGTGNNNK LNWVLEDKQELIDIIETIYKGAKKGRGLVVSPKAGFRRLLDQIQILSIASLAA PEX2_035820 MIDQRIFENLQTKIDEESTVRDELHEIVHTLARRGRSTQAILSR AHSTPADQLKPVLDDVTKEILAQKEEVARLKAVADQHPFYKYNGLWTRELQNLVASIE LCAWLGGLQEHKGPSSTSFMTIEDVGKFLDIPVNLKEQDAFHLTIEEYLLALIAMVEE LARLAVNSVTLGDYTRPMQIGNFVKEVFAGFQLLNLKNDILRKRSDGIKYSVKKVEDV VYDLSLRNLVPKAGSSA PEX2_035830 MSSATSFFDFEPVDKKGAPFPLSSLNGKVVLVVNTASKCGFTPQ FEGLEKLYQKLKTQYPEDFTVIGFPCNQFGSQDPGSDDDIQSFCQLNYGVTFPVLGKL DVNGANAAPVWTFLKEQQPGIMGLKRVKWNFEKFLVSADGKVINRWASLTKPEALEDT IVQEIEKAKKEGTLASLKKGETSAEQAKLS PEX2_035840 MKFTGLTISLALSGLGYSAALPVLGNVEGPLSSVGSAVGGVSGS AAKIVAPITSTTDGLTGSIKRDDTAALGETVQTIPSLANSAVRIAGSAVGTGESLVSG VAGTAENSVAGAGPAAKRQLNNLVGSTLGSAVPAIIQEAKAGGLAGGIKRDGPAALGE TVETIPALAHNGLAIAGSAVGTGESLVSGAAGTAENAVGHN PEX2_035850 MTTDFNHGGQMIEYIQELRAKTKHHPDRLYLASYDSAPSSRTPF PFHLDAPKSPSKRARAQPATPSKRRSPVYFTIDDTLLYNAFHADFGPLHIGHLYRFAV LFHEILGDPANSDRPVVFYSKTDARSRANAACLVACYMVMIQSWPPHLALAPIAQADP PYMPFRDAGYSQADFILNIQDVVYGVWKAKEQSLCGLRDFNLEEYEKFERVDMGDFNW VTPDFLAFASPQQQPVAPIPVNTPEYNALPASISEISSSKLPMPFKNVLAHFHQRNVG LVVRLNSELYCPSYFTAMGIAHVDMIFEDGTCPPLQLVRRFIKMAHEMITIKKKGIAV HCKAGLGRTGCLIGAYLIYKYGFTANEVIAFMRFMRPGMVVGPQQHWLHLNQGAFREW WFEDSMREKLAQSTPVTPRVSTKKRTSNGVVSTPPNNSHSKRAALGEIDHNEAVAYPD QDLPAPTPGQPRKSHRKDSRHHPYSRTASGSLAVENEQRSHRSHRKSNESSESEEEIQ LRRLAQRSSRSPVASPTSRSISYSATVTASYTLAEDNHKDQENWVDHSAPKTPVSRKS GAAPISVSKVRSSPRRATENNRTESRGVRKPSGRIGSNTISPARAIKTIH PEX2_035860 MAEKEATVYIVDMGRSMGERHHGRPMTDLEWAMQYVWDRITATV ATGRKTATVGVVGLRTDGTINDLEEENFSNISVLFGLGQVLMPDIRKLRETIKPSKTD RGDAISSIVIAMQMIIEYTKKNKYKRKIVLVTNGTGVMSDDNIEGIIEKMKEVNIELV IIGADFDDAEYGAKEEDKDSRKAENETLLRSLTEDCEGVYGTLEQAVSELDIPRIKVT KSMPSFKGNLMLGNPEEYDTAITIPVERYFRTYVAKPISASSFVPRSGTEAGSQAPVK GSSEDDTLASVRTSRTYQITDDSAPGGKIDVERDDLAKGYEYGRTAVPIEQTDENVAN LQTFAGMGLIGFVQKDQYDRYMHMSNTNIIIPQRANDNASLALSSLIHALYELESYAV ARLVTKESKPPMLVLLAPSVEADYECLIEVQLPFAEDVRSYRFPPLDKIITVSGKVVT EHRNLPSAALKDAMSDYVDSMDFVTTNDEGEPTEDLPIDESFSPLLHRIESAVRYRAV HPNDPVLDPSERLTEFAQPSEDMVKNSKSHLEKLMSIADVKKVPPKTKGRKRQRETEK PLSGLDVDALLSLEPKRTKISTENAIPEFKQSLSRAENIDAIHDAVQQMAKIIETQIT HSLGHSNYDRVIEGLGTMREELVDYEEPVVYNDFVRQLKGKMLRDELGGDRRELWWFV RKGKLGLIGKSEVDSSTVEEEEAQEFLAAN PEX2_035870 MDPRPYHVLSFGTLLGTQFYQSFVGGFVAFRALPRPQFASLQTA IFPIYFSLQTALPVVVALTASHGGQVLGLSGLAAPENRLNTLLPLATVTVTGLVNMFV LRPITTNVMRERKHQETRDGKRSYDPAPHSKEMLALNKKFGRVHGISSLVNLVSLVAT VWYGVVLSKRLE PEX2_035880 MHQETHHVSTSVEPNYDTDEASIYPDSLDNVSYTTSVTSSVLDY QMVADIIHTMKENTFYQMMNANKIALIYHHIYLMLLKGELFRAPIKNPERVLDLGTGT GLWAIEYADTYPNSQVIGIDLSAIQPSWVPPNCRFEIDDFEQPWSYSKPFDYIHGREL EGSIRDHEILFKQVFNNLNPNGWFEIASFDVNTYSDDGTHLGATNLLLSIEHMHESSR MFGKDMTSSLSWKDWMIKAGFVNVIEDVYKLPQSPWPKDPKLKELGRYHQLNMLEAIP IYTYALFSRVLGWTRAEIEGLLAGIRMELRDTSYHLYTKARVVYGQKPGDQ PEX2_035890 MHFEVEVENFTNKQHIMPEHIDLQESTPRMSKSQSSSVEDLNAT LEKPHPSPLERLKKQWKEIKKEWGPLMAAKEDMDDEYTFPPGRYSGQR PEX2_035900 MKSESIVIIGAGVIGLNVALVLAGKGYGRHATIIAEHLPGDTSI NYTSPWAGANFSAISASDPNALRWDKLGYMYLLNLAAKDGKNAFVKETPSVEYWDELP SREKINSMAAYLKDFKEIPTQDLPAGVAFGIEFTTITLNAPMHLRYLFQKLTQEYGVR VIRKKLPQVSSGYLSKDTKMVFNCTGNAAKKLPGVQDSKCFPTRGQILLARASHVQQN IMRHGKDYETYVIPRPYSNGNVILGGFMQKNVSTPDTFGEETESILTRTTDLLPALKS NETEILGAFAGLRPSREGGARVARETLQVGSAGRHVVVVHNYGAGGTGYQAGYGMAIE AVNTVTEEINALDIQSHL PEX2_035910 MLPTDFAYRPKGQLTGKLQRSTRGLIGRSAAMWDNSKHRYQQSK MEIWHKSADTLNDSKDRCHQSAKLMLYRSVSKWNQSADQLHRVARIEDITQHVTARDG QTQEQTTPQSRFYSRALHSKSTNSSTNPKTTSPSTKVKSIKSASVTGSLISPEEQIRG LYEETVLVNSMAKQTRQLRDNKSTVLGEIELEWVNSTITDAENAANDLAAFVKQFQHI SPQRRSSWKRRDYEVALKKESRMLLSHGKVETVLTHLGSLPSTLGRDKAFFSPSEVST VASELAYETSVVSVFELPCLSPVKAERPIPKIIVTQHDGEYDDNDTYSHTDKTPPPSY EASGMISTAEVR PEX2_035920 MRIPRVFAFAAAVAAVTIPRSKSSSPTSLTNVTIFSPPSDYIVP RTLYPRNEQLPNGDLLATWENYSPEPPAVYFPIYRSKDYGKTWKEISKVHDTANGYGL RYQPFLYSLPERIGSFKKGTLLLAGSSIPTDLSSTHIDLYASQDDGVNWKFVSHIAAG GEALPNNGLTPVWEPFLLAHKGKLICYYSDQRDNATYGQTMVHQVSTDLKTWGPVVED VTYPTYTDRPGMPVVTKLPNGQYFYIYEYGSFFGTSSYSFPIYYRLSSDPENIASAPG QRLVVSSGTRPTSSPYAVWTPYGGKNGTIIASSGTQSTLFINKALGEGEWTEIASPEE HGYTRSLRVLSEDGGRYLVVHSAGVLSGTNNRVSASVMDLKEVL PEX2_035930 MTEPEHVNSTNYAYGLIGAYAIVYLGIAISYAAYEHKTYRVISM IRGSLVTLIFNKTLRMSTTAISDTAAITLMSTDIERIGSGLREMHELYSNFTEVALAL WLLARLLNVAIIASTAVVVVCLAAGVPLAVACGKAQGIWLEAVEERVAVTSKVLGVMK NIKITGLTETISSSLRELRSAEIDASFLFRLYETLGITLGYASSSLAPVFGFGVYTIL AQANDTATLTNGLAFSALTLFSLLDQPMGSIVNGSEDLMAVVNCFQRIQKHLMEAERV DHRLKHDARGSQSAQSSSSAPLIETDPLAWEQYIEPCAIIRNVSVAWSIDTEPVLKDL NIDIQESKITMIVGPVGSGKSTFLKMLMGEIPEFSGSISTSFIHAAYCSQLPWITFGT IQENIVGGSPWDRPWYDQVTKSCALQADFLQLPAGDQTKVGVRGSRLSGGQQIRVALA RALYSKEPVLVLDDALTGLDRETEKIILENLFAEHSFIKHSRQTVIMATNSGLSHHLL YADNVIALDEYGRIIQHGSYRDLNSSGGYIRMLSDGVSTVNTSRAPGVVLDDETLREL NLDDQHTDLSRRTGDWTVYHFYFQNIGWPLLSVFLACCVLFILGLSFPRQFQQTYLNI RFHSLLIVYTEIWLQWWTRANEQHPNKHVNYWLGVYAALGFFSLLTTFIGTWILIMII QPRTARRFHEILLRTTVGFSQDLELIDDELPSALELTINAALSCVVEGFLVFVGSSYV TAAVIPVCALVIYYVAKFYVQTSRQMRLLDIEAKAPLFSQFLEVLGGLSSIRAYGWTE DYHRRNQYALDASQRPSYLLYCIQRWIGLVLDLIVACIAVIVIAVAISMKGSPSMNLL GIALFNIVNFSGTLQTLVTYWIGLETSIGAVSRIRSYAQQATTENLDSETEAVHEDWP QRGEVDIAGLSASYDASSGPVLVGIDLRIYPGEKVALCGRTGSGKSSLVSAILRILEL DSGTILIDGIDISKVSRAHVRSRINTIPQQPFFLHGTVRLNANPEENATDETIITSLQ AVNLWSYIQLKGGLDVDMSDDLLSHGQQQLFCLARALCKSSNIIIMDEATSSVDSETD ALMQTVIRTHFKNQTVIAIVHKLHTILDFDKVALMENGKIVEFDTPKALLSKEGSAFR TLLEGSHYSSKT PEX2_035940 MVMEKIESQLEHKALENRVRAKRKKFKNMPTIPITDLDPSEIPH HFNLTQCLPTEFELSPSKTEKVFLPPHLNSILVEYDVATGGSPTNEALIRSRIDVIIL TTLAKMKRELVAKPHISVASSASPQSVHLQFGRKIEFIWKSDNQRVRLSGIINYSLWY GMPDEHATNMAMIEAERPDLLKGGMLRCLAYMAMIHETRKRAKIPDTSVCGIATDSFE WVFIRIRPNGEWTKKAYHWVHSAQEIVSMLEKILAHAAGFDPQTGRQRWNHGPEMEFS TLLKQPKSKPKPKPKRENVIPCS PEX2_035950 MPEASTLYYTNITVQSHDAYSHSETPRLTVLLSSVVLAQHLIPV WMSIATLPTELVCDICRYLELHDWIAFRTTCQAVYTKSLDAFADRYCKSIGLILTSHS LRRLEELATNDSLRTRVQELWVVPSLFGGFYEMDVDSFRSCTASHKDRPPKTATQINA QHIAYQILVVDHLSIIESDTLNNVLKECMARFENLTAIRMQLKDSDFFWSSNPIIKDD VQFLGWRGVKRQLGFDPFGLNARKPIHNLWKSRAKDHAIAFTALLEASVASNRKLKKL DICNDDHCALPPSDVTLKLTHKSLLSCLGGLEYLHLCICVWEAQSDDSALRYLIDIPI TVAPSLKVLTFSQWDRNGAMSPRYFIDLSQRINFTQLVKLNLYWIEITYDTFKVFLRT AMPTLRILALQSLNLRGAAPMDTDSGHNLLKWDSPEINEESSAAWRQVWDFLGDGFSL RSLSLQHLGYRGHRLHLRDDLSSLSGSSEPNGPIIVAFDAERAGVSFKEWVTQLQTGP PRGPRIRYDSLPGKDYTAHMV PEX2_035960 MQAIRVHPAPPSETRYSPTNPAPTTALHNDKIPIPTPTAPNQLL IHIKATTVIRDMLTWPETYYQTYTIPGHDFSGTVASVSPGSTTTLQPGTAVFGMTSAD HGSTWASYALVTTDEVALKPAGLGWEEAAALPLSAQTAYEALFVHAGLPLPLRLSGGS AQSEPQRHQEKDHQHARQLGKRVLVTGAAGGVGIFLVQLAARAGMHVVAASGSVARNG AFLMELGADEVVEYADLEDGQEGRFDVVVDSVGGEVLERCWGFVKEGGSLISVDSASF DFVEAHAKRGLRRDGVKALFFIVEGSGEVLRALSELAARGELRSFVAAGFGIDRVKEA YDYANGRFDGRGKVVLTF PEX2_035970 MKVAWLEAAALTASVANANSLAYSPPHYPSPWMTGAGEWSEAYT RAVEFVSNLTLAEKVNLTTGTGWEQDRCVGETGGIPRLGMWGMCMQDSPLGVRFSDYN SGFPSGVNVAAAWDKRLAYQRGVAMGEEHRDKGVDVQLGPVAGPLGKYPDGGRNWEGF SPDPVLTGVMMAQTIKGMQDAGVIACAKHFIGNEQEHFRQSGEAQGYGYNISQSVSSN IDDKTMHELYLWPFVDSIRAGVGSVMCSYNQVNNSYGCANSYSLNKLLKGELGFQGFV MSDWGAHHSGVGSALAGLDMSMPGDVLLGSPYSYWGPNLTISVLNGTVPEWRIDDMAV RIMSAYYKVGRDRFRTPPNFSSWTRDEYSFTHAMVSEGWGKVNERVNVQRDHAQIIRK IGSDSTVLLKNKGGALPLTHSERYIGILGEDAGSNAYGANGCNDRGCDNGTLAMGWGS GTANFPYLITPEQAIQNEVLEYSNGQTNVFAVTDNWALTEMAALASQADVALVFVNAD SGEGYINVDGNEGDRKNLTLWKNGEEVIKTASQHCNNTIVVIHSTSAVLISDWYDNDN ITAIVWAGLPGQESGRSLVDVLYGRINPGGKTPFTWGKTRKDYGPSILTVPNNGVDAP QDNFDEGVFIDYRRFDKDNIEPIYEFGYGLSYTKFAFSDLKITPLALSQHHEYKATTG KTQKAPILGKAGKASDNLFPEGIKRVRQYLYPWLNSTDLRASSGDPDYGMDSKDYIPE GATDGSPQDLLPSSGNSGGNPALFEDLYQVTATITNTGSVTGDEVPQLYVSLGGDDEP SKVLRQFDRVTIAPGQALQWTTTLTRRDISNWDVASQNWVISGAQKKVYVGNSSRKLP LSADLPSV PEX2_035980 MDSAKDQKNVERLARVRENQRKSRARKQEYVNELEQRLAVCKEQ AQQKDIEHRLATQKFEAENRHLKALLGTLGFSSASVQQYLQEADTGANTNRKVAIPAI QRVEGDNSLSLSRRDIRRTNLSMTASRVYKAETETTELPTATAVNSTCDSTVVQPTDQ LPKETPQEEDPALCGCRSDRQNPETVSDEDVLNSTLCAIAEEMINQYNTKGIDVDEIR RRIWSGFRAGANGTGCRVQNHILFQVLDDISSDV PEX2_035990 MVSTRHHPREFTSPEAGKELTKLPPTSANSRKWTHTPTAALTIW LIVSVPLVIWDTGYVLLRPYSMPGGSLHSPIWTPYALYGKVDYIYGWPAFNAHNGFTA AQTTLNIFETIGYIYYLWIVYRYGSTVGRGGSQKISKGFTWLLKGDKVVAGRIGATAL LVAYTASVMTLSKTILYWLNESFSGFENIGHNEPLTLFLYWILPNGMWLVFPSYNIYI LGGEILNSLELATPRQKVGRPKST PEX2_036000 MIQPDSDESPSKTPGVSFDLGQEEAAAALEDFDTQSHASSRDPG STQTVDPAVGPDNGTLDQRDDSGNGPSNFEHLNDDDKPAWSEMKTKAGKERKRLPLAC IACRRKKIRCSGEKPACKHCTRSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEDRVI KTIPKEETRDMLSIGRSVVKPSASVQPSKAQKKRSAEEAFAAEMDEWTRGTGRLPHEA FPMNREVKPTDGTGLLTEGAEFLPSLEIQEHLAEVFFDCVYGQSYLLLHKPSFMRRLK AGTIPPVLILAVCAVSARFSTHPQLNSDPPFLRGENWANPAAAIALSRHDEPNITILT VFLLLGLHEFGTCHGGRSWSFGGQALRMAYALQLHQELDQDPLLSQKNGNGSQLSSTD QEIRRRTMWACYLMDRYNSSGSQRPPIGNERFLQIQLPIKEPHFQMEIPGPTEDLDGD ILNPVPEDTGQLSNAKENMGVSAYIIRAIVIWGRIVDYLNLGGKRKDVHPLWHPESGY TQLKRQIEEFSASLPVSFTFTYENLQIHAAEKIANQFIFLHIIIHQNMLFLNQFAIPL SPGGRPPRDMPKSFLSNAGRAAVEAAHHISVLFDRASAYPLTVPFAGYCAYSASTVHI WGIFSKNVQLEARSKENLRHTYRYLNKMKKYWGMFHYMVESAKDRYRQFADAAIKGSV AQNGASLTPMFQYGDWFDKYPHGVSRVHWEDPDTRHKETGEDAVMGQKPDLQSVEDFF AGLSPTPQSSQPRKSRSRNNSKLSDGAPGMDQTSPQSMMEVTMGNTPGVPDSAFPQPS IFQQSRPMTFGQPPFDFSIPPDQLPQLDRQFVYGSFSDFDPTSFVPNNPSIPPLNDVE TQSPDQTLFTGHLDPSAPAGMGEFYQPSAWFLPFNLDPVSGGNPPSQAPPPAPMPGGS NRGATPSGIPSVDISGFGGTGGLPLSAYDLGLTGPNMKVSRP PEX2_036010 MKLTSSAHYSIFLLSSILGLSSATYSSHLEDDAPCVARSPTTGL YFDLNAISLSPPELKDGNKLSKDARNSSWYARGHDYPANFTINICAPVIENVTDVVGI ESSRWKNVSAYYERDDKRYSIGQQASEPFFRGRKLVLNYTNGSPCPDDYNMGSSNGSS RTKSTIMSFLCDRDAAPNVATASFVGSMDECSYFFEVRSSAACGGIAVDPNAGGLGPG GVFGVIMVIAIAVYLIGGCAYQRTVMHQRGWRQCPNFSLWAGVFDFVKIWQVRVA PEX2_036020 MPSKKDSKKISVQPVPEKRGYEFGGPLGAFGIIFGLPILIYLFT FVCNDISGCPAPSLLNPSTLSLEQLKLEVGWPEEGITALYDTNVTLWTLSYYALSLFL QVFLPGQEVDGVVLACGGRLKYKFNAFSSAIIILSGLAGGTYLYGADFVVWTFLWDNY VQVITANLLISSFAALFVYVKSFTVPAPGQANPSLRELAPGGHTGNMLYDFFIGRELN PRVRLPIPFVSEASRTLDIKVFMEMRPGLLGWTILNLSNVAHQYRTYGYITDSIVLVT IFQAFYILDALYMEPAIMTTMDVCMDGFGFMLSFGDVVWVPHLYSVQSRYLSVFPYEL GLAGMAVVLGVTAVGYSIFRGANNQKNRFRTNPNDPRVKNIKYIETAAGSKLMISGWW GLARHINYLGDWTMSWAYCLPTGVAGYVLIESINPATGAVQKQAVQTPEIRGWGMIFT YFYMIYFGILLIHREMRDEEKCEKKYGADWKRYTSIVRSRIIPGIY PEX2_036030 MAINIGRPRVPTSLVPGPDLQGGPHTVLKIGQIESKETWVIDTT GCQYGFRDVLVPFVKPRAYDACETKDLDYLSTLHIFNKTKAQRQDMRLERLTRHHFAV FIYMNVHEDFLVGSCADYKNKIDRFVNELKAHMVDSMRKAGDDFEDSEDD PEX2_036040 MCLGCSHAPEYQSGDSRVVFYCSRECQMGDWPNHKDFCKNMQKR KILLRAAQILKAAMLAYRETVYDVDLTKIEYRDGVLYLHQNQRPVSSQSKRGPFPNHM TDNIEHKEAALVKSQSTAAMALLGPLTRKLLRGKRPLIYVRTEASRG PEX2_036050 MDAESTRSKSCSDKLALRQVSSLLSCETSRLVAPTENAYLAGLI LPEDEISQVGCDRSFGENGRMQALSGRYWPRETKLNEKTPGYRFRPFQVLSVPSEELA ALWPFAKPKSVPCPAISLEQERDSLTSGAKPKKARPGNVSAVWILAPSYNYPSAMTMD TGSKSVPTLCHSKTGLYETIINGVKQGNRASSPGARGASSLSRAKLWALFRKITPASV PHTYQTDVSPSGPLSDAIEAEDLPINTLQPHMPDITTYQDFKNPSNLEDPLQIRNQAI GDAKLVLKGWMANTGDESWGLDVLVDPKKRKR PEX2_036060 MSADLSDFSLSSRIASLVHAHFDALPTRSKPTIFPDGSREWIPM TGIVAVKGENTPSESLTCISVT PEX2_036070 MADKLRTIQNLEALQARYIGTGHADTTKYEWTSNILRDTYSSYV GHPPLLSYMAVGMGEPKEKVRAMMLEKMVRGAGNPPETQE PEX2_036080 MATLHEALQCLKPTSWDEVPQNPDELRDYMHEIFKKSRLVAESL PDPPISDNDDYPGLDSTTNSSARRIVPSSVRVGETDPEITDLQKEWGKPLKMGGPRDN PLDVTVWKLPANDGGSSWFGRRSVHEGLSFSHWRKKLSTEYDETLKVNRKKIEKGQTP DKCIRGIGAEEKIENIEVKDHDGSILGDLIVYHVSAQFPRPTAPRDFVPLIINSDSGL QAGGTKQPGRSWMMISKPCDHPDVRHKQGYTRGQYESIELIREIPKKGYRSSSSSQES EKNRESPSSSLQRSEGLPEQDGARDDEDEELNPVEWIMVTRSDPGGNIPRWMVDKGTP RSVGMDAAKFVNWALQDDKPPKQENGAGTEAEDTLAGAKAKSGESVDEYDSDQADDSD SDYESLDSDGEHSHHGLIASVTNLLSTGLERFAPQVLGYGAHTTVSGDLPAGDEVSYI DGDGIAHLNPKSVQQNKAALDPESSRPPEHDHFSLSSANSEQAATAIDEAPNNMSPEE LIEMTKDGKPTSHEKELAKLAIRKREVEIKLDEIRAELDKFQISSQPPSVSGTPVKGT SEVDSDTNGMRKRAATNRSSRPASTRAQQSQSQPQGALSNEDLSSSAQTATPDPPSLL PKAASQFLNGESKLLKQLRKIEASQLKIASKIQAKQRKDEERSQKSKSKNKSEVDSLK QEVHDLKKEVKQLRSERKKWVDLVSSLQAENTRLAAKSEDA PEX2_036090 MPTVLLPSSAAAFAPRSSPNVVLNTKVEPWLTATLKRVNRVKRP LNNVTQHTRCLTETLSSKNAIWTLCSMMFTKAPQTELEKNENHLWEALHNHQMIHMEA YVVHVDMVSRNEVAFKLTPETIESLVDFHKEVYSVDAAANTYNWSGKHGELKRLQEEF VQAANKFVYRANAQALEGLEEDGAGELLSGRSEEAKAAIGKLFVPLVAPSPPVVDVMR PMPILPSSTGPENWWQNPVPQPAPLESWKVLSPSPNPATTGDSNPNLWASFSDAQLAS PTPSYSQPFTPSPFQTYDCLPTCMPTTSAAISPLPLPTMFPSMFPSMLVQPCSTAVNM GMGGFSWGDFAPLPYGTIA PEX2_036100 MGVEKTCLLGNANHLLIVKFGRRTKELINTVSPVLTPDLFKEIN SLLSNTSDLLTPSSVKGISSLLINAGGLLTVGFIKETKSLISAIGPNITPELFEEIHS ALGNANKLQTPLTVKEISGLQSVAFSKIANSLLSTDSAKEIGGLVISASSLLTPSFVN ETQGLIGSVSKYLIPKTLARLVPLCSPTPTTA PEX2_036110 MVKPEPTPPASSPPAEAAAVPLDSTTRVIPIHPDLAEIKVPDGP LPSYCYHPVTCQPIIPRDYQTELKQLEEQYKSREAALHAQEQISKEVKEKIEVAKRKR EEVQKSMDKKVKERDTEMKVVSKFQDTKASDIPS PEX2_036120 MQAFVPKNRRPRFELVLRIIDINNVPLGNSVAFVRWRLPSSSSA EHQGHTEKAILSDHRAYWGYEKCLQVRLTIDRTSILQECELNFEVIQEYTSSPMSEKG VLGKIKLNLAEYVDKSDEDEGIIRRYLMHESKVNSTVKIGIAMRQLEGDRNFTTPHLK SATVFGGIAGVVQSSEMPVNADEFGHLPSIDTKSREIADMQDMYRRTLAASWSSRACD LPADQLVEELFAGSSGWNNDAHNANAGISAGDHRDALLSAETAPRQSRSGKKLSPSFE RRPKSTSSNRSHNSSKTPDSLVALGHQKKSGSIEQQLYEGVKGRVWKAPDANNELSEF DVREDLRSWEIVPKE PEX2_036130 MLRRPAGNKLGLLSFQSFSYRRSLLSYPHVVLPRYQSNWSRDHD REAFDGPNSERTREPKDNGKHEAGRFTLDVNTLGKPGQIVVVPSRRRRMLNRNRNKNP ENESGGTISAMLDELNDENSPPSDKSVQERIDEVRGSYQLGQILPAADLKALWSKLAS SFTYKQLSDFISEYNRSSVVEEQGWTWGSSSSKSQDKLFGKTKRFRGKSQAAETIVRD CWQLVADGERGQLEFRIPAQSISLLLHAEHFSFHELASLHGCGIDVTQSAGLVSLTGK RNDCESVHEIIIDATGRIREDDVGINSYIHGDANSQVFTPDFLEWVNSTHGVFVEHKA HRPPQKILYLAENKLGADNARRTLNLALSNTTSPLTPFSTYLPASELASAYSYKPEAH ASWFEQQNSWFRWAMSSAQNAEAENLETPFFDKHQTRLSDELLKLLRVTTPKAGLVTG LHESVTAVVGKCLFMQKPSFNDTAISPAQLGRLSLPRAFTNDIPLVSRFINSLMPIRP KNGAQLYRLRLCPTSNTGSPPALEIEVAMNSNLDGVDVHSVKAIRTTNSVDYLLPENG LDLRFTRTVSQDLLYKPTSDSELPQNSSEHLFPEPFSPQIEAMLQSIKTSLQDSIVNG EASQGSLPLPVFCNITIPCDLVQQPASHKVESKKLDESMAENSVTVEYMFPPLSNIRG AVVQKYSFNGRQLDYRYYESGSLLASRTNEVSLGMEIPQIDSAAENDQSEQLDHEFHS FYNTACDMAFKIHGKRYVD PEX2_036140 MSLQVDHVTLARRGEQVDGTLHLTPHHLIFSHTPPISPEDQIKG AITRPRELWITYPIIAFCTLRPAPAASRQLSSIRLRCRDFTFVCFYFVNEHKARDVFE SIKQWTCKSSRIDKLYAFSYQPPPPEKEFNGWQLYDPRKEWARQGCLDEGKAWRLSEI NVNYEFSPTYPALIPVPCSISDNTLNYAGRYRSRARIPALTYFHPINNCTITRSSQPL VGVRQNRSIQDEKLLSAIFLTSRSERPLASFSATEKEKDSSSPETSQVVVPELSNAEE LEDDLLAATSGDYEDHRSIYGAQQSNLIVDARPTVNAFAMQAVGLGSENMDNYKFATK AYLGIDNIHVMRDSLNKVIDALKESDVTPLGPNRDQLARSGWLKHIGGILEGARLITR QVGLTHSHVLIHCSDGWDRTSQLSALSQICLDPYFRTLEGFMVLVEKDWLSFGHMFRH RSGHLNSEKWFQIENERIGGDPNRAFGDGGGAGKAIENAFLSAKGFFGRDNNSRDSLP DSDGELQNYDSDTPVKKPTTTPRSGVSEKEITKPKETSPVFHQFLDATYQLLHQHPTR FEFNERFLRRLLYHLYSCQFGTFLFNNEKERVECQASERTRSVWDYFLARREQFTNPR YDPVIDDNQRGKERLIFPQVNETRWWNEVFGRTDAEMNGPRSSPTPSDIRESTVLTGI ETAGPDSAGKLTDVSGMTAVTSGVSKLAFPAGTDKTTELEVEMQ PEX2_036150 MAAVQGAISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIVRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKEFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKTLPDSVTIIEPKE EQPVLQPMSQDYGAKAIAAQQAAEQQRLAEQQAAEAEGGAETFAQE PEX2_036160 MGKSLSRALISAAVFAYGSFTVILYGLIALVKGTYFKRPNENEK RDLQLARNRLWDLSKDFEGLSHHILTLQSGFKFHFVSNDIPNTPETINSDKPLVIFIH GFPDSWAIWRHIIGNSALQEAVSLVAIDLPGYGGTESLEKYTATNVLEKLTELIVTLR TQYGVDSGNESNKKRTIIVAHDWGCVLSMRLAAEAPSLAHRFILSNGPSMKLVESNIR RLLFSAKKTLGHAWRSPLHARVPLMQAIRTLTPLARQLVLSGYIFAMQLPTPIVSYFL SGGNRSLLKSCHLTSYNKDECTPLDVANSMASTMGPSAAESDTKTPSGDAYPANLSER AFAHVIHMAAYYRDGAAMARWVKSIETVASLHSISSGKRMASSGAGLFDEGPPGALRA STTIFWGKDDIALDQRICLDGMGDYLVQGSQIVLLPHTGHWTPVERESGAALIKAVEW AAQGEEGDIVTAIGESYPGVQLLLSDNYLPGAMVLAHSLRDNGTKARLVALFTPDRLQ SSTIDELRTVYDELIPVSSMVNDTPANLWLMDRPDLIATFTKIELWRLTQYQRVVYID CDVVALRAPDELLSLEADFAAAPDVGWPDCFNSGMMVLRPNLQDYYALRALAQRGISF DGADQGLLNMHFRDWHRLSFTYNCTPSANYQYIPAYKHFQSTISLIHFIGAQKPWNMP RQIVPLESPYNQLLGRWWAVYDRHYRLPFLILKRRFWLKEIKSIPLAVTPLVTNHLAT NPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPL VTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTNPLVTN PLVTNPLVTNPLVTNPLVTNPLVMTTLLIMKYNQHLNSSLAMLKNSQASTRNHIKHRQ SSTAIQNRTMNTPLPLMYKEHVSTYISPLPPAPITFAPQLSHETYENQLQTQVQIQTQ AQLPTQTQAPTHYPDGHIHQPQPLAPIHPPIIEYQSPPSPVEEVSTFEAPRSEWDPSR EPPPVNTKPEGFSLQQKTYNMSEDTHLFQPPSSYPEAPKNMWYEVPAKPEPKPITIFP WEGHAPKPTRIFAEETPVEFVEPPVIPDEPEQHSLPSEPALPGPSPSYTRVPFEPSAE SWQTYTRSNAWDEDPEIQRYIETIQARRTKSQVTSPGAQSNSPGRSPPTPGFRPSTKI TDFPTEVERPSLPVTPAPIRRTSYGDEASGTAALPTAEGVPSQEEWVGVTVDVFSSLL GATYLLWRSTESPGSARGAASSALGGLGASRTAV PEX2_036170 MENPPEDLASLSFFMHPPNGSMRIQRLIVLLAIGVLACLSLLLL RSSPSPEQPIELPPPEPIQHPQLHAGQNTYLPEKEHPITRLIGNAQQHFNHAQSRQSK TLAEAVSEYQRRYNMHPPPFFDKWFEFAKSRNVQLVDDFDSIYHNLLPFWGMKPKTIR ERARETLGFDNAVLGVLIRNGKVSLTDGGGDDRKWQRDATAGMMDAFVKYLPDMDLVF NTHDEPRVILPNEDLQRLIQKAKDFTIPAAFKKTPTNAWSPRASDLNKGDRIAEVRTT RFNRFAHQPTWTNSRISCPVDSPARSLEENPSDNVDPYAYGELGFIYNTTAASDICNT PSLRHTYGFFDRPNAFDVVHDLFPIFSQSKISSFQDIIYPSPWYWADKVPYDTEKDYA WEAKSDRMYWRGSTTGGFSRAGGWRRQHRQQFVDNVNALGTTEILARQGDSWVTQVTN RDVYRDSFDVKFTLIGQCDPDDCNAQTEYFGVFKQAGQQDAWAHKFLVDIDGNAFSGR FHAFLHSNSFVYKIAIFREWHDEWLKPWVHYVPLSLKGNEYTESMRYFTSEDEGKKAA LQIANQGQRWAQKTLRNEDLEVWFFRLLLEYGRLVDDNREQLGFVL PEX2_036180 MSTTERQSESLDLDKSSQFEKHDAVDWDGPDDPANPLNWSQTKK NMHVIFVSVFTLYVNLAATMFAPGAEQLISEYHITSSAIEAMAVSIYVLGFAVGPLIL APLSELYGRLIIYHICNPFYFAFTAGCAFSTNVSMFLVFRFICGCAASEPMSIGRGTV ADVTSPEEKGKAMAPFAVGPLLGPVIRPVIGGFVAETIGWRWTFRIILIMYHLSNLSV RNEREGSAAAKGSESARKFDRSSGPSGRRELGKNAPSHYHKTC PEX2_036190 MSWPYQFIFPSENDQLRRMELLDLRGYYAQWSIIVVIVSIRISR FATRSTAKWDGPVSGKTRQYLVCGLWLLWLVGLSIWNSGDDYLHLTKAFGRVGLSQLP LQVLMSPAYISRPAASSILSLLTGIPQPVLTPYHRLFGRVVVSLLLAHAALYTLFFVQ FSHPEYGLLIFKRVQDLDVQFGLAAISLAVLLVLFVRPTSQKGVQTWLMQGTFQERRK MFYFGHVSLVALLCVAAYSHVKQAQKYMLQTLAASVLNWVCCWAFC PEX2_036200 MEGQNENDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALGP DRTREELIPFLDDSVEDEDEVLTALSEELGNFTEYVGGPEHGHVLLSPLENLAAIEEP LVREKAVESLNKVCEQLSESQVEEYFVPLVLRLSKADWFTSKVSATGLYSTPYKKATP ALQQSLRQHFGSLVHDETPMVRRQAANNLAKFVKEMQSQVVIDEIIPLFQYLASDDQD SVRLLTVDILIAIAEEIPKEQQPSHGVLLTSLRNLFEDKSWRVRYMVADRYEKIAKAV HEEVVTRDMVPAFVKLLKDTEAEVRTAIAGQIPGFCHLIDRDTLLNEIMTSVEDLVSD PSQHVRASLGTQISGLAPILGKEETIAHLLPMFLQMLKDEFPDVRLHIISKLEQVNKV IGIQLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVEFFDEQLSDLCMGWLG DTVFSIREAATENLKKLTEVFGVEWSKASIIPKVMAMGQHPNYLYRMTTCFAVSTLTP VANLEIIENSLLPILERLVTDEIPNIRFNVAKSYAVLIATLRRLPADKTLVEVEKSEE AIAPSPKSLELIQSRVVPSLEKLQEDDDVDVRYFATTAGGNQDEMQTSP PEX2_036210 MPTISVDKAALFQELGREYTTEEFDELCFEFGIELDEDTTNSDR PIVDGKQEPPQLKIEIPANRYDLLCFEGIALMLNIFLGRNPLPEYKLTQPAQMERIIV KEDTTKIRPYVAGAILRNIKFDPARYASFIALQDKLHQNLARQRTLVSIGTHDLDTVK GPFTYEALPPKDIKFTPLNQTQEMDGEELMNFYEKHQQLGKYLHIIRDSPVYPVIYDS NRTVCSLPPIINGDHSKISLNTTNVLIEITALDKTKLDIVNKMMVTMFSQYTLEPFTI EPVQIVSEHNKETRVTPDIAPRTAQAEVSYINQCCGLSLSPAEICTLLTKMAFRAKPS TTSPDIINVEIPPTRADVLHQCDIMEDVAIAYGFNSLPRAFPDISGTVAQPLPINKLS DIVRVETAMAGWSEVLPLILCSHDENFGWLNRKDDGNTAVKLANPKTLEFQVVRTSLL PGLLKTIRENKHHSVPMKIFEVSDVAFKDLSLERKSRNERHFAAAFYGKTSGFEVVHG LLDRIMAMLKTNFLAEGETPTGDSYYIKELDDPTYFPGHAASIHVIIGGKDQVIGSFG ILHPTVLEKYELKYPVSTLELNVEAFM PEX2_036220 MPFLNGFESITEKPVFEKLVEDLSSALGPSSGLDSSDVDPMEIQ LLMEKYVSNSDEWGSYALGDASRTYTRNLIDEGNGKSNLLILVWSPGKGSTIHDHANA HCVMKVLKGKLQEDLYTWPDQQQVENGQSSPLQLTKQTRYGENQVTYMSDKLGLHRIS NPDQDNFAISLHLYTPPNAAIHGFGIYDEQTGKARHIKQTNYYSFRGQRLDDGQN PEX2_036230 MSTTIEKIKEIESEMARTQKNKNTSYHLGQLKAKLAKLKRELLT PTGGGGGGGSGFDVARTGVASVGFIGFPSVGKSTLMNKLTGQHSEAAAYEFTTLTTVP GQVLYNGAKIQILDLPGIIEGAKDGKGRGRQVIAVAKTCNLIFIVLDVNKPLVDKRVI ENELEGFGIRINKKPPNIVFKKKDKGGIAITSTQPLTHIDNDEIKAVMGEYKISSADI SIRCDATIDDLIDVLEAKSRSYIPVIYALNKIDAISIQELDLLYRIPDACPISSEHGW NVDELMEMMWEKLKLRRIYTKPKGRAPDYSAPVVLRSYACTVENFCDAIHRTIKDDFK HAIVYGRSVKHQPQRVGLSHELGDEDIVSIVKR PEX2_036240 MEEPTMETEAHHAPESEFPHSPWVEIGTFASPQHSPPMAEYSGF DYGSSQLMAVDAYGMSIPPPYASMPLPMPSHSWPSMLTTHSPFAASGIPISTTPTSVS PSTPLPQVRKTSTGGSTPRRTLTDDDRRQMCIYHEENKTAKQTDIGALFGVERSTVSK VLRQKEKYLNPEDGSRSPIKRAKGRVPDIEKALSNWARNYQRQGYPLNDEMIKEKALF FASTCGCPEGKEKVCTTAWLEKFKHKNNLLGAKVRRGSTEIRSGSNSPTHLNTDFGSA LQSPTGPSPTSPIDGFGSPLSPTSQEGMKRDMTELPDLTGGYQHGYSKSTTSIDTSSA GMVSPTSTLVSDSPFTPTSQSRLPAANSNTSRPRSQTFPLVPIDPTLLDADEITDPHR QKRELQQSLSVSTLQSPLEMEDSKVAMCPIHPTNVIKRNRSNPEITTKSMPPPSKSTT TSPVSSPGSPTQDEARRALELVMSYFDHQPAGLAAQEYMTIGKLMERLDLAKSQSGFL LGGLPRIDEHEDIPIQRVTKKRSIHNLA PEX2_036250 MNDPLRPGLQPISHLKAGPTTSSSRSTALPSPTTLQPPSRPSSR LRTQREYGKDDPDSGSDKATTALIRRVLCPQTSSYGASSPQPPEELLPPLTSSNDVDR QLYALLAIIIKEFVYSWYSKITPDQALVNEVLQVIAHCTRALEQRLRQIDVAQLALDE IPGLVEAHVLSYRLARQQSHLSGLPTSYRTLYHELNPHPGLSPVPDPGDPDTIAEQIE NEAIYRRLLANGILAVLLPTEDLENSSLRALVVDVISDLILGNQVSGRACEGWFIWEI IGKLAAQVGRRQTQDDTKSAPDSQINRLEKFGLLSTDDELPDQPPASFSATAWIWNLL QMIYLGYVVLRFIAMGLFRVASSPGPSSHGVGVSPALPINQKKDGMESSEGITSRRPV LDYRMYSMVSQILGISWRMPWLSGLLALSHHLILEGPGRVGATDGTFDRFLRETIEEY VLTPTLLPNLLLATRTALFPANTRPISQIAAGHIEAPASALQSVQTPTPSQKVLAPSA SHNAPIVEGVRGSDADVLNKTNNPGNSSSSNSGNTGGGASTTNTSGPSLSAIAADVPT PEFNDPAEPAKGNSPSKSEIAAIKRRCAASLLALIPRSVVRTFLGVPSSAPPLPYSGD GTCSSNSSPSLVTSPPPSPGAHQKSSQEGKANLAPSHPPLPLGPCPVGCAQLAAERLR TDDDSSAGVDSEELHLLETIENDILDLFADEYCNKHLVWSIIETVLAKVLPEMTERSV EDLLEDRGVASVPPAFV PEX2_036260 MLDQVNQYSPTPLWLDCDPGHDDAFAILISAHHPFLNLLGISTI HGNASLEKTTANAGSVLEAIGMPDVPVYPGSIKPFARPALHAPDIHGESGLDGTDLLP KATRAPITDKNAILAMRDALLAQPKGTPWVVATGTLTNVALLFATFPEVVEHIQGLSI MGGAIGGGFTDAPMSRLPGEKSRIGNVTPWAEFNFYCDPESSESIFSNPVLASKTAIM ALDLTHQVLASQAIQTRILHGSIHSTDEPTILRQILHALLNFFAATYEKAFGLTTGPP LHDPLAVAAILSTLNPAFANKHPNQALAFDDKGGERFALSIVTDGQHGKDVSTTGQLG RSIATPVDGPGVAIPRGVDLEAFWNMVLQCIQLADDCNAARKL PEX2_036270 MPPPNSHSTPLSPTPAAPDTPRRSSIPTPAPVETDNAHDDNVNF LRSRPALFNPLLPSLSRQRRRRYPTNPPPQNEDRMEVDDPTNPRTSVELNRRIPIVRR QERSTDMPNYEGQVPNSRSLYGWAPGSDDEDGAARDDSDDDQMQPFLHSISSREAPPA RPPRNEILAPGPAQQIPHEYTTLPGNNQARTSISAVAALLQSARRQPRLSRSRTLENY IIDRYSDITPEEDAGNTIAVASRGYRYRPTARGDSHHTSITHNDLRARAAAHRQLHSD TYMSNVLGETIHYLDRIRYSTSLEDSMFVLADSRLSFSMDNKSWRDTDFILYTPNIAP PAECSWLRSGMAFSGCQRAASAGCSVLSQRIPSPNAPSEPGFVNGSDSTRISVSTTSG RRYLANNRDENWPVKVTIHQINHEDMTLSGTMEAYNIPDKTSPTHDAHIVTFLEGEII DFNNHTLETKNFKADTDIDSTYWRELQPFKDLTDAEMAQNLVSRKWITEELSKGWILM RWKERCFITPTDARQGLTISGFYYISLRREDGHIEGLYYDPGSSPYQQLSLNPEVSKM VRPSYAFR PEX2_036280 MSGDNPFGETSPLLEGGQIVANAEVPNHTVDKSEDQEEGRVNRV FLAVAMIGSYLAMADGSFVTATNEEIGKVFQRSDLGPWLLSSYNFGYSIALPVYAHLC AAYGHKPVLVIAFVIFAFGTLVTGMSLSMPMLMIARFFTGIGGSGLVDVISILLNDLV SPSKVAVLRSYLSVASMLGISSGGPIGGLLTQTVGWRWSFIGQFPLIVVCLVVALRHF PGASTNVPRQYSGIISYLKPLRGLDFIGLSFLGISIGTLMVLLQTVQQYGPTAHPRTI TFGITFATSATLFVVNELYLSKTPIIPTSTLKENGSWAICLGQILLFFVLSSLTSNLS MFYVRLRGANVGLSGLVLSPFPLGIALGSIIYGRLIRRTMRYKKLSIIGLGTIIASFA VLALIWRSSASYLSLIPVFLIGTGMAGLFTTQFIALSARSQGGNARTTITAYYLAQQL GSIIGVTTSATIVRTLFANDLRRSLHGVFQIDEVRIIGTSEAMVFSVLTLCYSLFKES STTIASRSYYLKRFNRMFDKASNTASKL PEX2_036290 MHLQHLSLLAAIAGVVQPVIAAPHQTRTEGTCKKTKVAILGGGV AGITAAQALTNASIHDFVILEYRDTIGGRAWHKPFGKDKDGKPYNIEMGANWVQGIGS EGGPQNPIWGLAQKYGLNTEFSNYDNLSTYNKDGYSDYSDLLDTYDTAYDIANQKAGE ILAQNLQDQNFKTGMALAGWNPKVHDMEAQAIEWWSWDFEAAYSPIESSFVFGCAGDN LTFNYFSDHDNFVIDQRGFNVIVKGLASTFLSDNDPRLHLNTEVTNITYSDHGVTVHN KDGSCVEAEYAITTFSLGVLQHGAVNFSPELPDWKQESIQKFTMGTYTKIFFQFNETF WPSETQYHLYADPVTRGWYPIWQSLSTPGFLPDSNIIFVTVTNEFAYRAERQTDEQTK KEAMEVLRKMFPEKDIPEPTAFMYPRWTTEPWAYGSYSNWPPATSLEMHQNFRANVGR LWFAGEATSPTFFGFLHGAYFEGQDAGRQIAAIMQNRCINADSAKLRECGPRKHYETL HGTSPYSDYTMLNGWAVDSSIDNNPE PEX2_036300 MQEKLSIEADKALPTSLSNTASYVDFKGADDPEHPQNWGLSTKL FNSILVCSGTFIVSLTSAIFAPGIDKASKDLGVGTEVGTLGTTLYVLGFASGPLIWAP ASELRGRKWPLTIAMLGGGIFTIASAVAQNIQTLVICRFFAGMFGASQLTVVPGVLAD LYSNATRGAAISLYALTVFVGPFMAPFVGGFIASSYLGWRWTLYIPAILSLGNGLLSV LFLDETYPPCILVAKAATIRKESQNGNIRARHEDIEVSIADLVEKYFTRPLKLLFTEP IILVVSVYMSFIYGLVYALLEAYPFVFEHVYGMTPAFAGLMFIGLIIGVLFACAFILF GQLAYARKLAENDGIPVPEWRLAPPLLGAPVFTIGLFWFGWTGFNPQIHWAVPAASGI FIGFGVLCIFLPCFNYIVDAYLPM PEX2_036310 MSQSDLKRKRARIACEPCRERKRKCDGAEPCSTCCQWGYDCHYE RQPRQKHHAPQQPPDEIAPPRSSQQINSVDTHGVDRRLWANSGAAFVRRMGLKIDPAK APKLSLFGWNIGKRQLSSESQAVYPVLSITDITSLEHMKTLAQIYFAKIAPSYGFIDS SQFFERLEARWQSPMVSGLYDSVLGGVAALGCLFSQRNMTITEMHLIGSAHSILDTHV LCAAPPVDLLTGWTLRVVYMRMTDLPHSTWIASSKLMHLVEAAGFHLESTDSVFPRSI GTDFDPNIRRRLFGIALHLNMWTSYDLGLSRVSFQKNDLPLLPSATDGDFTRELLGLI PLSTSLDPGKPKGDEIKLEETLSQILERVHNEPPSAMAQCNLVLCLLRRIHTEKLEIS ALLAENALVLLKKGLGCSRTMVNTCSPWQHMANVPFHIICVLLVMDTRQSLAMLPEAM QTLKLVASTYDTNTMREAWSAALLLVMLHQQRRKDDLTIFNDIMNMEEQESPVGPSQQ EFPSAEEYSWLGALVADLPGLQREDLDQFLNADMIDSSSFLGGSG PEX2_036320 MAANLLSRYPWVSSPFVVSAPMKVMSGPALAVAVSRAGGLGFIG PGAKTQDTSDDLEKASSLIRQAAGTVPTPSSTLPVGIGYQLWGDDISIAVAAIEKHKP CAAWLYAPRQGPKEYDDWSSKIRQVSPDTQIWIQIGTLKEAKELLQNRERPDVVVVQG AESGGHGRAKDGMGLMALFPEVADAMAGSQIALFAAGGIADGRGVAAAMCLGASGVVM GTRFLAASEARISRGYQDEVVRAVDGAASTTRTLLYNHLRGTFGWPEEYSPRTIFNKS FVEQQEGKSFEELKRLHDEAVKTGDKGWGPEGRLATYAGASVGLIHGVKDAKDIVYEI REDALERIQRLCPRKE PEX2_036330 MSSFVNILALVPLALAASPVMMVPNQAMLFKENDFAMNAGRKGM LIATDGNCMNFDNMSEYRMNVGSIYVPCPSEVKQDMMCSMYS PEX2_036340 MSTATPLLAGFSSKRLLTFSTCFSYRSPAPMHSSAHLVPTKKTD SVISCDALVRSFSRVRRSRSM PEX2_036350 MESAEIQGTPAPYGRACMNCSRAKCKCIIPTTGNGCERCQRLNK ECRPAQTVRKRNKQPSGSNTAYLEAKLDWIMSAFEKSGVNPGVPPEWQPVNPGQSQRH DPSPSAPTTQTSPSISPLSHTEDVQYPAHFLPSYDQEILSLIYIPPVMAQKCLDQFRT IPIPLVVAELGINQSGLNDHSAMLSFKLAIGMKQAPPAPRTLEERRAVLGCFLLSSSV AVAISRIDAMRWTPHMEESLSVLTEAKECPQDELLIILVKIHLVLDRVYQLRRDGEAF ISLAFYLDSFKNQLDTVKSQIPPRLQHHRVVLMYIYNAEIIINELSIGTPAIAHSPDP HRLDSLYTSLRATKGWLELWLELEGGEYLQLSCLIFFQFTRAMVSLYRLTILDDPAWS KTMVRDTANILEYLDRNEAVLRRCPEYITFDQSREMNILEKGLRLIQGMRMNWEPKLL QMWRPNMPAHNAINGGMTQSDAILPDVMPLDGIDEAWMMEFLGSL PEX2_036360 MGLETSLTGFNDWSFGQVASLVLLAAPLITVIEYFQEEDQPRIE DGTLTQDQTAAQDETRTQDETRTQDETRTQDETRAQDEEQPLSDGQPVPPPDLRSISD STTMDLNDPDNDWISHPKISDVISVHLVTLIFALILWAADCDPFGNMSNVFFDGVIFA SLWLFVLIFCSLMVETAFAEKRPTLTLALEQGHIDFGVEV PEX2_036370 MSDGEEPTSPIAPAEEVEVSADAGSGQMSVLDALKGVLRVSLIH DGLARGLREAAKALDRREAHMCVLNEGCEEEAYKKLVVALCSEHKIPLIKVPDGKMLG EWVGLCTLDREGNARKVVNCSCVVVKDWGEESQERSVLLNYFQTEQ PEX2_036380 MSDVEAVSLPAALDVGQNRLATVKPDPVGPSSLGKARADSHPRV DSDGPTRVHSEGEEDGPPSPRADSEAETIIQSGRESLSPEKKRKHIKHDPSRHEPNGI DGNQRKKPRVFGDTREREREQERSPPSPSHRAISPSVVKIEKVDDSQLPETEGVANGI DRASEIPRAFRKRSSSDSAEEQRGHRRAHSHAASHPVRELKHTNNTRLSRPPSNTRSL SPSRPSHQRSVSGSQFPTKKKAPTPVLTGSGRHSSEDRLSTSSSQSGSPLPAHLRKLG SGVGASASPAKQMGPKKLRDKSGRTPLARACADRKHDQVMMRHAERPEDINIPDNAGN TPLQIASLAGEAEIVKFLLDAGCEINTKNIDKDTPLIDAVENGNLEVVKLLLDAGANP RTVNAEGDEPYELVPSFDDDDEYEEMRKALADAKANLRPGRRSEENTRPESKEPSSRR ASAVRGSAASPRDSPPMRSPPPVGAGNRRKGGRSEATRNDLLWTKPTFENLREFAAKG DEAGVVSILNILQKADNASLIAAAKGGHHDVLSLLYAMGNADADPNPLRGQKPGYNTP MLAAIGRGNSAVIQLILNQPGFNPTRRLFEERTYFELSRGRQGENWEDEYQILKEAYE RFAGSEKGRKDDSPRRARGKEKEEKRPPRRGSSSPVARKKNNSPNTNRTRDSLKDMDS IKEKRRQKENDLSRPSDSSKPKSSSSARRDSESSGVAPNEDIRKRRLIAGRRPQERRP SLFSSDSLSGREEAPKPRVDTAPDNTLSLKRIRADGSPERSRSRGTDGDRLSPESRKK KRRVVSEDKSPGVIHGTPRQPDDASKPHPRRPHEESTRAAEPQPHKSADTSKKPTTRP SSPRHDPVKKNGDTKKESHEPRADPMEDTMAVAKRIELEAHERRRAQAKKAQEERAAN EKRIADEAERDRLAKEEAERVAQAEKAEEEERKRKEVEQRRAKQVEDDRLKRLEQERA RAAKMRRDREAAEHRRREALPSRLRVAANLVGSNNPRAKSHDWLKSFMPLVTALTRQL DPSCGVDVADEKWIPNYLVAPLLATNDLQLSQYASWEKRKATPTQRVNLWRVTRRMLT HTDDVGFQSSSIGQIMQKDCDTRPKYFEMEHVFWIRLSDFTDLVPHIPHLHGLELQFL NMHVDPEPPTAGADFSTPQLNDHGPESSVDTNGTGLNGYGPSRSSTYV PEX2_036390 MSLNSQWVDNILESTGMDAVDALRAFFLLAAATTISISIPTSLR SRFLTYGPRATSASISTDSAPPRAQNPSAENKGFLDYLATWQVPHSYFTHFYVASVLS SVFWVAQLLSRGVVFQAIASRVSEDHQRHSMSLTQLVICCVLLAIQGSRRLWECFVFS KPSSSQMWFMHWLLGLGFYLAAGVAIWIEGSGTLLTKNLTLAHLQMTNAPNLRTFFLI PLFLVASGLQHDSHHYLYSLKKYTLPEHPMFRGVVCPHYGAECVVYLSLALLAAPRGE WINKTMLCCLAFVAVNLGLTARNTKQWYAQKFGKDLVQDWWFMIPYLY PEX2_036400 MGFDLEAARITTLPEDAFYISDFITEDEEEWLLQKVKSAPLPRW TQLSHRRLQTWPSALTKSNALLASPLPAWLRSPIAEPRFKALSIFNDAPHKAPNHVLV NVYQPGQGIMPHEDGSAYYPLVATVSLGAPIVLDLYHKHTQGDREESATPTKAGRDVA GIPVTNRRPQYRILQERRSLLITRGKIYTDLLHGIEETTRDEDLGPHSICNWELLRER ELYQGGWYERDMRTSLTYRDVLHVVKMGNSLKFLGGR PEX2_036410 MAPKNNAKAAKGKGKDASADDAKGKGGKGGAVKGAQSINVRHIL CEKHAKKEEALEKLRNGTKFDEVAREFSEDKARQGGSLGWKTKGGLDPAFENVAFELE TSTTGNPKYAEVKTGFGYHIIMVEGRK PEX2_036420 MGRQKQAAPLQRAPSQLMHLSEESNVPRNAGSDNTDTPSTANGS LSEKAPATLETVADSPGLTQLVICVLGIYAAFLSWGVLQEAITTTSYLVRPATVAEPN PPTERFTYSLVLNTVQSSFAAITGFTYLLFSTPKGQKIPSIFPTRRIIFPLVLVAISS SLASPFGYASLEHIDYLTFILAKSCKLLPVMVLHLTIFRKRYPLYKYGVVLMVTLGVA TFSLHHPGTSKKVAAKDQSGSSGWGIFLLSINLLLDGLTNTTQDHVFSSPKLYTRFTG PQMMVAQNVLSTVLTSAYLLVMPHLSQSGILHNLLPIPIPPSTETELFGAFSFLSRHP EALKHVIGFAACGAVGQLFIFHTLSRFSSLLLVTVTVTRKMLTMLLSVFWFGHSLSGG QWLGISLVFGGIGAEAVVQRSEKKAKERSKIEAAKKEL PEX2_036430 MMVDTQEATKRPDASALTKHISKKGYESPHLATSAQVQHNLEHQ HLWTSIMGYVIPGQPDDPNTLNKDPQQPPKEPIPLLSAYPPHRVYTHPDEQLYMLENG IREDDLKPERMFVVPTTQGQPWTLRHIATVFNQLPEFKQKAEEHDRSVNPTGMQSGVE GQDAEKAEKLAQYYDKKEKARLTKEWGAQRFLLAMVDKGMGGDGTVAYYVVHEGEVKP RQN PEX2_036440 MSTQAQTPLPQVGKLVSVVPVGLKEAALDSPTFRATTLHFSDQV DFIEKWLDGYAKAATKLSCELAALESVVNNFLSYSTHPLVVSEAVVDHDYTLLAMRRC GEGSKDLWSGLVTTTRKLESLISEPIRDFIQEDLRHFKEIRRNLDQTQKAYDYLQARY ASQSKSKEASALREEAFQLHEAHKAYLKAAMDYSVQGPQVRNALDRLLVKVSCDQWRE FRGFHNHNSGTFSKWSREMDRIKGWLHEMEGSEKSSKRELLSTRKHIEEAAEFAARPS RELEDYNISTVPYLGSRPISTLNVSKEMRPEKQGWLYLRTLSGKPTRTVWVKRWAFLK HGIFGCLVQGSRTGGVEESERIGVLLCSIRPAFQEERRFCFQVKTKNNTILLQADNQK ELMEWIGAFEAAKQKALENPASTDLSVSGKVTVQDPAFSISQPPASEFAADPADSLTP HANDEPGAGDRSMTLPVPDRDGYSMRNSTDIGSGRRPTGLDSESSAREHAREHTSRLI QKLDLHRKSNNAAPMSPSIPGPAGGIASLISASHNILPYSNAGPLSVDDKRGRSLSNV DEPGSSLAPATLANPPAPTSMSKAAVVVSNERGIGLGLSDSTGGMPSGMMANLWGSSN WGFMNKHQLEQHQIDGVSNDAPEGRSSSTLSNTSTPTIAAQPDAAGALPEPRQQSGPR HRQTVSLDGDDVKLTQVSLGIGREYPSYYPQQLKIQDAQFRLLFPDVKRDDALVLVFR ATWSPNDQQEFPGRAYVTTRNIYFYSHHFGLVLTTGVSLESIKEVTAAPGRDCDFLFL HTIPPLGSDTPGRVTIKTFLEPLRLLQKRLNFLIQGSIAVEQLNLESLIKALIKMDTG SPARNSSADSWEDLSSGLADIKHDGGKPTDLSNPKDIRLPIYIDKDLELDGGRTGRGR DIAKFRLPTQPVEYVPQGDLVLVTEKILDISPKALFHILFGDKSAVWQLLLHERQARE IKQGPWASTELRHLRRDFHYNIGTTDILGRTHENAISDYQIIDVLNDHLCYVITDKKT PWHLPFRRSFRLVSKVVITFQAKSKSKLAIYTKVEWLWSPYGLKSIIDKKASDDLEQD SLDLVDLVSDQVRRLGVHSRTKKAITIFGHVGRQSHVSLFSGAGSNLKLEPRKPRTQR AMHELLLETFLSFLETSVSFLMMWAFGVVRWSWKTVSAHKIILTMLATSAILNGYYSS RDSWDWWHERHAGNFMARLGVQPNLVMSKSIYMRDIDDAVANSTIWPGTGNASDCFAT FHEQSMRYSEMPLSLSASGPRDALTKSAIKRFQQTRERLGMYRHNLLVALRVVNSIEK EVIQTEWERWLREEVRRCRQVEVLLGEETQGDDSQTGQTAQAERVFAEHADNVKQWYE EYCSSCRMEQEKVIFNDRGDLIP PEX2_036450 MEPPSKKMRKLLDQDSDSDSGDDAGGVLLGNQSSDTGFKINEDY ARRFEHNKKREEVARLEAKYGKSSSFGDRRPGEDSEDSDSEEEDDDAELATLALDAEI SATLNAIRSKDPRVYDKDASFYTKYDPEDANSGKGPKEKSMTLRQYHTENLLSGVNPA EEENTPRTYAQEQADLKNAIVKEMHGAADKSDEEMEDADEFMVRKPGQEISLPKSEIK LDIENADKDPETFLSNFLSARAWIPTDKPELHPFDSDEDDDVDRADAIEEAYNFRFED PNKMNEVIVTHSRDLTNQQSVRRDEKSSRKKIRDAERALKDEEKKQRETEKNRLRKLK TEQLQKKVEQIKEVAGLRASSFTDEDWSRFLDASWDDSNWEAEMQKRFGEEYYAGEEG ENSENEGGKKKKHPKKPTWDDDIDINDLVPDYEDEEPNPTLELSEGEEEDDDEASGSK KKSKAEEKRIQKREARKDRLRIDDAVERNLDLDITLLPGATKKNATKFRYRETSPQSF GLTARDILMADDTQLNKFAGLKKLATFREEEQKRRDLKKLGKKARLREWRKDTFGDEN GPVFKFGGDVQPSQPKETVDEDKVDIREGDGTRKKRKRSKKH PEX2_036460 MGSDNQDKTVFGMPSFVVDFLMGGVSAAVSKTAAAPIERIKLLI QNQDEMLKQGRLDRKYNGIADCFRRTAAAEGVVSLWRGNTANVIRYFPTQALNFAFRD TYKSMFAYKKDRDGYGKWMMGNLASGGAAGATSLLFVYSLDYARTRLANDAKSSKGSG ERQFNGLVDVYRKTLASDGIAGLYRGFGPSVLGIVVYRGLYFGMYDSIKPVLLVGPLE GSFLASFLLGWTVTTGAGVASYPLDTVRRRMMMTSGEAVKYSSSMDAARQIIAKEGVK SLFKGAGANILRGVAGAGVLSIYDKAQLLLLGKKF PEX2_036470 MSAPTGIQTYTSAPVNSNKEEEGTHATTSQPEPATAIESPASAP STPPPAPATTTTAQPSSPEPAPPQPGATAVQPTPTATAAPEAPPPTTSSPETATSTTQ TPPAPQPGALPSPSTTYTGAAAPHSSSIPPPPKVGEAVSQPPPPPAQPTQQSYSQSYS YNAPTLGAQTTIPNSTSASYSSVYQTQAGSYARPQTQTLPLHYGAGAGSGSGSGGGAD SIFPEEEEGFMGAAKGWMRWSGNKLAEVEKGVWKKINDVHG PEX2_036480 MAFHTNSSIAMALAGKTASVDIPQRRSGLSGGRVPAMLPTPPNS ISPTLPPQTFKHRATLSPGSPLSTVPQLDSDIDLEDAEHDNGHHVSLPVDDLDSTGAI TPAMLSKHHLPEILLEQGPLAIRHVMGHLTASVPGFSHIPPAKARRLVVAALEGRGNG GEAGGVQGDVVFEKIGWGRWDARRRGEPAHDRDLNASSSPPSSVAGSFQQRGLQIQGQ SGWQGGNRHPYRMSFAESTAFSYTDDYGMHGDLDMLEHEADKMSLDGDDGEYCSSSEA PDEMQENEWVEGDDTDEEDWAQIGADALRARSMNNNGSFINGHVAARAKPQPSGIFPA TCPSVAKLPPRTFDIQERAAVEALLRLGSM PEX2_036490 MRSTSPSHFFQSQVPRPRIQRPAPTGPYFFYGSLQDQSLLVDLL DLKQASHLRPAYIEGYKCKLWGHYPALLSSGPGDIVTGAAYEVPTIEDAEKLAAYEGP SYTTIACSIRYADDQSPRQAEGYAFLFVGNMRDLSEGSFDLKLWLERMTSGRKK PEX2_036500 MVNYGFAFLCLAITANAAVSPKSLDSDISILIHNDLLETESPRS GSGILVLDARSWQAATESCQKVGETLWGADSSYRNIQSDLDYLIFQGKYARNQRFWTV LDHRKLSTIDTNGRVNQASANEKLPVLCTQSAPLSNSTFQNTSAQWQVTVHSNDEYLT GFRDRVSFRFLGIRYAKQPRRWDYSQVHKGAGKKASALDYGSDCTQGTTGSEDCLFLN IWTPYLPKSKKVQKNHLKPVMLWIHGGAFTGGTGSDPTFDGGNLASRGDVVVVTINYR LGTLGFLALDDGKTNGNYGLADQTTALEWVRRNVQDFGGDPDKVTIFGQSAGAASVRA LLASPAARGKFAGAIMQSNLGGLAYGTTYSKYYTIAQEMDVVGNTILNETNCTDVASP VECLRQLPASTIANLADSARYLVVDGVYLRSSELDLTNPASTANVPLMIGTMRDDGAA MIGYPEVGETLKSFLNESGLPDSIAPSQLFPAPSTANATLDIFNTSSRIATDGMFRCI DEATAHAGSRTHIFPDIYYYEFNRSYQMPGWSPNAPVCNAPITEEFPNGEPSQEYFKC HSGELFYVFGSFRRQGLPFRDEFDLPFGQYVLDSWASFARAARPTPNLGFLKARRYDN TTREIEAAGLWEPFGKEGQIRLLQWPSKMSDLDELEQCRALKLSLDYFDS PEX2_004970 MRWIKSRWSTNPYYALVIAVIACGGIPKGYDEGGYSASVSLPSF KSDYNLDKHLGVDETELANRKANITSFNVLGAALGALIVLDLNDRLGRLMVWRLACIV WATGTLIQVFSSAPARTRGLVVGIYMVFLLAFLAMGFFINYGARVHMATTRTQYRLVQ AVPLIPVGIASGISYLCPETPRYLVSKHLHREGLEALARLRGRAIDDPEIIKEFATID ARERERATDLASVSHWAMFKETQTNPNYRQRFWLLMAMQTIAQWTGGNGITYYVTSIF QYAGVKGDGNSLISSGAYGMVKLIFTMAFTWGLIDLLGRRRCTLAGLSLQLCAHIYMG IYMGLRPGSADNKNASNAAVASIFVYAVGWSIGLCTVPYLYGTEIFPTRIRNVSYAIS MALHWFFQFAVVRVTPNMLVSLDVWGAFLFWALICFSGLVILGVWMPETKGVPIERMG DLFDGPWYLRWRARSRDWDLVSPTDMSQNIASKDGRVLKSGDSAL PEX2_004980 MLTTAQPKLNILPGPSSISFDTLSTRLEQRRSTHASSASVSLKQ RLPLKSWDSHMHVVEPERFPVSPTAVYKPTAHTLPEALAFESRLGVENLVFVQPSVYG TDNSCLLDALRRLGPSRGRGVVVVDPVTIEPETLNEWHTLGVRGLRINLQSVGKLMDK TELEETLLQHAELARPRNWIIEIYLPLKMISMVESILPQLGVRICIDHFGSPELSSWN DDGPDFNPYTLQGFSSLISLLRGGKTYVKMSAPYRLSKDYQMRDLQAMAREFLSVAPN RVIYATDWPHTRFSCVDISPFTECCLELCASKPGLAERLFRRNTEEMLGVASD PEX2_004990 MRVSALAFAAVLSLVSAKKINMHCNFAEDHTGMVQQPYCCRDLV PARGNSKANEALDCDQLDQPQLCDDQSRPACCYTIGAKKICTSHVIFQDAEDV PEX2_005000 MVARVRLVKRPGRICPTEDRAEVRGDRKNRTAVVTISGFVNARW FFRHHGRFLSKDYVIRSRPGQVPTCSI PEX2_005010 MAPIETQQYDYIVLGGGSGGSGSARRAAGWYGKKTLIVESGRSG GTCVNVGCVPKKMTWNFATINEMLHIGKSYGYDIPDNIAMNYTHFKNTRDAVIKRLNG AYERNWGREGIDLVQGRAGFVEPHTIEVKLADGSGTARYTAPHILLATGGRPSLPSVP GAEHGITSDGFFELEELPPKIAVVGAGYIAVEIAGVLNAVDVETHMFIRGENFLRKFD PMIQKTMTDRYEAAGVNLHRNHGGFKEVQLIRDGKGKDRLLKLIGHDGSEIEVNELLW AVGRAPEVEDLHLDIPGVKLNAGGHVVVDEYQNTSVEGVYALGDVTGQAELTPVAIAA GRQLGSRLFGPPELKHSKISYENIPTVVFSHPEVGCVGLTEPEARQRYGDDKIKVYHT KFTAMFYDVGLSPEEKAKNPTEMKIICAGPQEKVVGLHILGLGVGEMLQGFGVAIKMG ATKQDFDSCVAIHPTSAEELVTMR PEX2_005020 MMANELKTAPPFRAEQMGSLLRPENLIEVREKIANTGISAEAAG LPVLEQEAIREVVKLQQDLGFKAVTSGEFNRTRFWGLMWDEFEGTIRLQNAEASMFRL YHPDVVSLIEKDHKVMPGDSVIAASKLSHSPSASQSNLHELRLVQASLPQSEWPNIKL TMITPAWFHMRYKQGRAYTVDAYSNDAEYFADVAKVYRAELASLYAAGLRNVQFDDPG MAYFCSTSFREGWEQDADNEGSVEDLLDAYIKLYNDSLVGLPADMHTGIHLCRGNFIG GRYFSEGSYDIIAKKLFEDLDVDTFYLEYDTERAGGFEPLRFLPKNKNVVVGIISTKL RDLEDKEAMKERVYSAADFVAEGSGETREEALKRVCISPQCGFSTHESGYPLLVEDQK KKLDLVRQIADEIWGEA PEX2_005030 MADERDFATEAVAPEAGLPSPSDTTAPPSLPRQTSSPVVLPSRE ASGTGLSASSTHLGQLNAARRGVGTSPHPKASMSSQGTGGLNQDIMAKMKAFSLSRQG APQPHTAASTGHIPMAQGGVAGGALAGGMPLGAARPNAPNWSSSPSVPATGPGALSPR PGGLAAKRMKPGLKLSDVTGPPTPAAGTDQNQGEQNGESAFSKYSEFIDTKEGTLNFK NKAILHGGGVEFSSGHSFKISLDEVDRLDELGKGNYGTVYKVRHSRPHLRKPGLGLGG IVSRPPGHDETSPECGSNQLSGVVMAMKEIRLELDEAKFAQIIMELDILHRCISPFII DFYGAFFQEGAVYMCVEYMDGGSIDKLYEGGVPENILRKVALSTIMGLKSLKEDHNII HRDVKPTNVLVNSKGQVKICDFGVSGNLVSSIAKTNIGCQSYMAPERIAGGGMQQSGA PSAGTYSVQSDVWSLGLSIIECAMGRYPYPPETFNNIFSQLHAIVHGDPPTLPEGFSE EAHAFVRACLDKNPKNRPTYNMLLRHPWLAPLMQPPTESGGDSAPPPEESGSGDATSS AITADEEVAEWVKKQMKLKEDGLLHISEKPALHAVALDQVGTSSSNGDPHASSQND PEX2_005040 MSMSIEDSAQTRDGFPRPFPDSPSNVLEQFKMNGKVVVVTGAAD GLGHAIAQSMAEAGANVALYDVAIEKAQVLAKEHGIQASAYKVDVSESIQVSETITKV VTDFGKIDVFIANAGMAISKPILEQTLEEYRKQMSVNVDGIVYCAKYAGEIFARQGHG NLIITSSMSAHIVNVPTDQPVYNATKAYVTHFGKSLAREWRDFARVNIVSPGFFDTKM GAGPSALQEAYRMSSLGRQGHVKEIKGLYLYLASDASTFMTGSDVLIDGGYVLP PEX2_005050 MHLAEYLFRRIHEIGIRSIFGVPGDYNLNSLDYLAPCGLNWVGN VNELNGGYAADGYARIKGVGAIMTTLGVGELSAINALAGSCAELVPVIHIVGYPSTAI QKKRLPMHHTLGDGDFRRFARMSAEISSAVVVLEDKSDATRLIDETIIECCRSSKPVY IGFPSDLVQVEVDPSPLEHPLVFEEPSPNSVADEDYAVDLILGRIRAAQNPVIIVEIL AGKPHSLNTTRLFVESSGFPCFATPMSKGIIDEGLINFHGVYVGKVSELTVYEQVQAS DLILIIGPRPVDFNTGGFKTDLPHIETIKFERHSIQMQYQGTLGVNVNGVLERLSNIL DAERSESVSTASTASTPPHKLGGTPDISGTISPSSNSQGGSVNEDEVMDFEGNVVDKS QPLTQEWIWPRMSAWLEEDDIISVDIGTAAFGITWSRYPRGAVSLVQFLWSSIGYAVG AAVGAALAAREDEEQSQGTRRRRTICLTGDGSFQLTAQEVSTMVRRRLGVIVFIVCNE GYTIERVIHGVDAEYNDIQPWDFKLLPAVFQAAPNTARTYAVRTRAELNSLLDDPSFG PADHYDENNPPPLRIVELYMDKYDAPDSLQGTVDSIQGRK PEX2_005060 MFGWFKSSNESKPTQEPTWNAATMAMEQPTNAEAMSSNNVVSQQ PSSESMKMELRGGGEGGDICCGVCAGIACFECCECCC PEX2_005070 MMDDDDFDDDFDPRGRSMQELGNDGPLDFDDSFDGGHEIEGQFD DSDIDGEELEEEVEDQFDDEEDPDQEMHDREKSPSPLPPNLREISSLASWTVSTSKPG CGVAALRNPSPAQYWQSDGPQPHTLTLHFFKLVAVVRIRVYLDFELDESYTPTKMIFA AGMGGNDLVEFATWEGDGPCGWVDVPLEGVGGRNGGWVRNDPGKSKRRSTSMRRRTIV YRNCDDPDHEHDDECDPFYEIDEPDSQDDEDDHYSGNVLKAMVIQMRIMENHQNGKDT HVRGFQVFACDDSRRRMAAAPSASADARRRRPSLRGAHDLRGRTASEDADTGFTTTGL DEPDWMGEPVIR PEX2_005080 MSSFNIVVFGGDHCGPEVTAEAVKILRVIEKSRGITFNLQDHLL GGASIDATGSPLTDEALNAAKNADAVLLGAIGGPKWGTGAVRPEQGILKLRKEMGTFG NLRPCNFAAPSLVESSPLKAEICRGVDFNIIRELTGGIYFGERTEDDGSGYAMDTEPY SRHEIERIIRLAANLALQHNPPLPVWSLDKANVLATSRLWRKVVTEIMEKEYPQVSIG HHLIDSAAMLMIKDPRKLNGIVVTSNLFGDIISDEASVIPGSLGLLPSASLSGIPDGK SRVNGIYEPIHGSAPDIAGKGIVNPVATILSIAMMMQYSFNMIDVARLIEQAVSNVIE AGVRTGDIGGTAKTTEVGDAVAAELEKLLK PEX2_005090 MESHPTRIVIYACVTDIDGFPQRRHVKIGEDFCDIMLNRAFNPT LHPAGYDHIHIPADFDSPKPLKRWFILDLDVTEPLNQEDFLQLPHQVYLASQQSGKLS FIPRDRWAEKAKDRAASYTWGGKQEQKIVKNMQKVINNKAE PEX2_005100 MESSYQQSTSQQLLSWVREQKYKLAGATFVASMVGSFIMVGRNP YLTGKQKIVQARVYAQGLTLAVIVSLAALDMSDRRKPYIAQRKKAVKQSDSEN PEX2_005110 MWITRGISLVNFGVASSALAFQVFVLYPWHNQLDDEFKALKKEH QRVLQQLDLRKPQPL PEX2_005120 MPGHPKVGKSGYTTECKWEHLDGMKAEEAFVEEFLDACAAGDLS NTQEAISSGRLTVEDLDEGLKLATEEARPEIVTALFDAGARATSAISWLTGEQRELPG IIRQFFDHGLDPNATSNGEPLLCLLSTPESAREFLLRGADPNRCSPRGASPLMRAISS TCEEDPSLFELLLAHGAKLEPHLLFKAIAPRLRQGEFMTKYLLAKGLDINMTHEIWGS PLHRAITYSKLSIIKLLVDAGADRTARPAGTPYHDESPLEFAERSERSDKEAILSLLR S PEX2_005130 MRATKGAQGSTAQDVMINSLDSYHIRVVTPTSCDKYPAKQHARN VARKLGASSGLIFLSGQPTINLRDSDQSRPFRQRRYFYYLSGVDEPDCSLTYDIEQDL LTLYVPDFDLHRAIWMGPTLSREDAQDRYDVDHVRYEASLKYELQVWLDERKQGSELY LIHDSEKPKYLPKDLPLDLAQLGPAMDAARGVKDEYEIRMIRQANKVSGLAHRRILES IQTMSNEAQIEGSFLNTCISHGARNQAYQIIAASGPNAAILHYGQNNETLNKKPLVCL DAGAEWNCYASDVTRTFPLTGEWPSDYVRDIYKLVERMQEECIRSIRKGVRYLSLHDL AHDIAIEGLLALGVFKNGTNLEIRHSGASKVFFPHGLGHHVGLEVHDVSERSIMALHR GFDQLQYRPILNSTCLSPCTLSAPLLEEGMVVTVEPGLYFSPLAIANARHQPYARYID FDVAEKYVHIGGVRIEDDILVTATGYENLTTAPKGEEMLAIIRGST PEX2_005140 MQGSEASSPDALAGLPSTLSTVIGNPTTSPIGVVSSTLLLPTPI QSDPSLSQQQIQANLQNVISSLSMEFRPEPMLASGNSTGSTGKGILIGILSAFGSAAV AFVVLAIFFFFKYTRRGRIMLDRIGRPGEYDDEQAFLREEEAALESMDDLARSEYLRA KAFVQGNPPESVQTDISLSQFLAIQEKGVSAWEFQPELEIANCFVEGRTEIEFFDSEC SVQTNLPIPKQNDVYYWEAKIYDKPDSTMIGIGVTTKPYPLFRMPGFHKTSVAYQSTG HRRLNQPFNPTPYGPPLLQGDVVGVGYRPRSGTVFFTRNGKKLEDVAHNYRSQNLFPT IGANGPCTIHVNFGQMGFVFIEGNVKKWGLAPMTGSLAPPPPYGSEQGSILLESGRES AAQITQRVYQNANYAASGSSVRIPPAPSPGPSRSPTDISLAQLTHIPSHEDAGEGSSR FALADAEGNGAAAPDNQEPEVPPPEYSSPDGSRRGSDASECPPSFRASEDPNSPSNDS MDSGGQFLPSYQTVVQRDLNAYNPEANNRP PEX2_036510 MEGSKILSQKLQSALNQRDHDKRLINPPDPSTIAQMVDFGSNDT LSLSKSGALTRSFLDQLQRNPNFVVGSTSTRIFEGTTQYLKDLESYIAQFHNAESALF FNTGFDANVALWSTIPQPGDFILYDQYAHASIHDGMRAGRAKAIQFAHNDCASFRHGL EGIRDENPSIANGSHVVFIALESYYSMDGDETPIHELLDIAKNTLPRRNYIFSVDEAH SNGLLGPNGSGFVSHHGLEKEIGLRVHTYGKPFGSSGGVILADPIVKYTLINYARGVV FSTAPGFVALAAVKAGYEISASEDGEKRRRSLQENIRHFQKTLLGHPQWAAVNEKGIL YVPNEKSWKSMRSQSPIIPLLTRPAESIELAEHIHQSNFWVNPVRYPIVPKGQDRVRI SIHVDNTKEQIEEVIEVIMNWAIERANREAQLLSRL PEX2_036520 MRRTSFETGSGDRTPSRKSRRRSAPTPRFQRSYDPEEPFDETCT ERRIQFTPLRQILDTRTQRRIRRIGLSDEINHIEREKRQTRNMEKTMRALLQERDSLK DELRAMRRGEIGFEGQGSMAESYWMTPDTPRSCEEVSVVSHHSLDDTAPFSNSDGETF MFNDSAVIVSSSPDFRGTRSHNPPVTDSLMLSDEPQTPIRATQTHTSVNTEQSDIHTL TLDLEAARQEKNNLFNACRSRISGFNDPTINSLLCQSSPPSDFFENIMDILENALSRA SDAAEALEGVTRQCSGLGFSGDGVDDIVSDMRSHFRSARLELERALPGETANVSLEDG KATLGALVRRVESLAKDLGTERHYHDGSLGRERALRGQFDALLHRYETAVSKIDRLED SIASSAGDMLHTRMRMQDLEREGQEQAVGIDRLTTALDKYHYEVKGLESLIDNLEKEN TATKEDYTRQISKLKKQVAHERSKRCSAEATASESESRIRELEKTVENNRIRASDLMA QVELLEKEYQKAIETLEQTTNELQTHEAETGTLNVRISDLTTSLHGAKCEMQRLQNVN TGLEEQLQLEVEARNELLDKWAADQVRSFAHMKETINSERRRAKVRAANWELKSDDFM SDGTTIGTGSEPITPVSARFVDIEIGRGKDRRRLDSVEISADTSDVGRGIGSNMHLPA SDLA PEX2_036530 MASTDIPQPIQSPSTSNNPFFTDSNKMASSDTPQYTQTLSQSSA FKVDFTWKKWKGIVSDVNNPSDPLYTIHYSPFSLAANMVFKKAPEEEVIGIGKLNAVS INADYELRGQKAHLLAQKRWRTVYTHRSLNFSDTESPVTMTWTSDCGFKTWDFVCVDE EQMPVAKFSANAWGVTKIGKIEFMGPKADDRAAQEEIMVTGITLFYCMMLRCNNIFNL FGAIFARPGHKQHIEPQHKAIISE PEX2_036540 MVGQDTKAHNHRLKVTAGADYNLKTHQVVPVNGETIRIENDHAI VSLCVRIKDYTGYPESSPSTSPYFDHPLHAKDLYSICFSIIFKEPVNGNNLVFGNDFD HPIRDMLPPGFNAALRMVKWTLDPGLDGDVYADHPYLYSPALASWDQFRIGDKMKKSD EVPTLHDEIVEEGSYSEDSANVRRRFNIPETVEERRKHFQDEANRKEFDFEPGRMYVA DFGNPYLAFNDFSVRLPGFNLHIMKYVDENNHELRYTLKDVSNGRVYLAVVFSVVLSE MEDKKDHSKSEQRDDNLGKFDWEPEPSSGDVE PEX2_036550 MAAARTLRIGLIPGDGIGREVIPAGRRILESLPSSLNLKFSFVD LDAGYETFQKTGTALPDKTVDTLKKECDGALFGAVSSPSTKVAGYSSPIVALRKKLDL YANVRPVKTTAGNSNGKPIDLVIVRENTEDLYVKEERTIEGPNGKIAEAIKRISEHAS FRISNIAGEIALRRQNIRSASPAISTRDQAMVTITHKSNVLSQTDGLFRETARRALAA EKFSSIFVEEQIVDSMVYKLFRQPEFYDVIVAPNLYGDILSDGAAALVGSLGLVPSAN VGDGFAIGEPCHGSAPDIEGQGISNPIATIRSVALMLEFLGEEKAAAQIYAAVDGNLD AGQFLTPDMGGKATTQQVLDDVLKRL PEX2_036560 MAFNLLSRSSDSPITTGKRNIAIAEIAIYSLIHIVQFSIRCMQE WRYWHHNKNKNIGRCVFYSWWSMVGLLAQIRIAGSALVLASSHPEKPMLIAESILQSV GLSPLLFEISLVLLRCGQSGETGSGNSKWTRPTRFALHFFRFPIFIAIMLAVVGGCID MRALGEAGSIVLVVTFANVCGLVGWLGVKSRAILPKSGHQAVLWIVLTLPFLLVRVIY FLMLEYGPPKFNPATGDIGILVGMGLLMEIIIVVLLLAARTVAEPVWPSIISKHIVYD DLESTRN PEX2_036570 MKLAIWYEQFAQIAPPPTETISSTGDELYPIILTYTDVSYATIY CGYYSYMVIIHELLKTCDYPGEHSAMVVYFRDQICKSVEYNSVGVMGPYRMGFPLRVA FEIADPVTRSWILNRLQQFSNIYAAAQPENYHTVL PEX2_036580 MSDNNPHHPGVRSLLAKFEGQSPIASPPPRGRSPTASDSSGTIR QLSKVRASFITVDGVIQSNPSSPLRKTSGRSDSPGMFGPKINSGDAESGRQGMISPTP LSRMDHTQNATLGQILAEGRPKETNGTKTERNHPAKEEPAASTDSELRSRNTPPKQTE VPAATDSKSTSSDSHSQKSDSSVVTKKKSSSVGPARHAPSKAVPTTTASTGAKPATHN TSSKPTAREVAKERANSLAHKPSRVSLNPKTTARPTRGPAPVQDTSKPHAAGASSKPG MKSPPRPARVPGSINTSTHAPAAKPGSTGAPSTRTTTTASTLTRKPSSLKSATGAQSR ATTPSTSIRRQSSRPSLPVQAAHDTSTKPVNEGFLARMMRPTASSANKSHPQEKPEVK PVTRTSSISKAPRPSIGKVPDRGVHQVKPKSTALRPQSQKSQPLNKEHVPQKDGHKPS QMKQESEKENIVEPITASSKETATVEPAPVADVEQPEAATKPVEETASPAQNEEPTPE VAIASAEPTEKSIEVSEPIVEETVTESSSDPVPVEDATELSAEPVDSNKVQASAEAEV DAPAEALIEPIAEDAEEEKAAETTTATLETPESTEVQVEVPEKEDDAPVKEVIVPETL AEVAEENPAEAKSTDAQPATEAESSNIAIDIASLALN PEX2_036590 MPPSAGEERLVTVFADIHYYFTEPTRRPSPYHHRFDKGSYVYVY HDPFQNKARIEIANNPGSPEQDAFCGGLSNVHIRHSAQFPTLCTLTVDAHMASPQHQY AHDTPQREWRLPSGDPRNDPKEFRDFPRLHTLDIYFWTQEDATDFLDTVVRLLSSSQV ETDREPAPQPQQTMSSVVQQLETIAVSDPAYQNGQTRNSRSEPTSTAAPVPQAPPQTS SFPPPPPSGPPVDQRLSAGSTPAEEKKDPASFAPLPYNPAAPAAPEPIQYREKTPPPE DGMNGTGLAAAVAADSGMPYTPPHQMMGGAGGVGGYASPPPSTPGLQYAGPPVAAPPA FASPPPSAGLQHSNSFSTTRSSVHSPGTPVPSYSQSFLAGQGGQQYSASRQGSMSFAP PPQDPNAHLYDQQVYGAAQAQPPPQYQAGAAVPVGGFSNYSYDQTQAQKQQQQPPQRA GSEYDIHSQVYRPTEVEAGSHYQKYAQKAMKNPGQRPRKLEDRAERLEGGVNRFLKKL ERKL PEX2_036600 MSLVNLSAVCSHLNNATKARLALTSIPNSNLHLKLSLALQNSGY ISSVARGGPTPPPAHGILGYPAVNDEAEGIEPITRDNVASRRLWLGLKYWQNESVLGK IKMVSKPTRRVNIDVEGLRRVVRGEESGFVAGLRSPGESLYLSTDQGILEARECVEKK LGGLVLCRVL PEX2_036610 MAGPQSVKRARTNTIGDAHINTTAPLREEISQKIQHLDSQTVTD ILIQAAQIHPDVMSMVDDTIRVLREKEQNRIINFDHYSSSVWKSINITYLSMRGGAQY DVSFEVAQDVVDTIKSITNQCGPFTNFQTRFNGLSVLRKIGKTIALSSNDTVGHEVQK HFQWDGSLVEGMLEILDSMTADEIREIREDKSSPESLWPKLQELGELANDYCIHPGIE EVLGRLDPDGYEDGEEWDEDEDEENEDEENEDEDDEDEDEEDKDED PEX2_036620 MIEAAKKVLISGGTGFVGSAIVRALAEKHPNFLIAVIDQSPPRP EHALPEGTTCMQVDITLTETLSKVFEAVKPDIVVHTAGIVPDLAERFGRRLEQEVWMI NFEGTQNMLDISKNSGVKVFIYTSSCCVVIDDTRTAHPNINEEWPLAFKSTIYGESKA AAEALVLKASSNEMVTCALRPSVLCGPGDYQLVPSIHACIAKYETPFLIGNGFNLWDI THVDNAADAHILAIENLLSSRTAAGEAFFIQNNQPIVFRDFCLAIWAHFGHVPPFEMH IPKSLAYFAGLACETVTWLMGTTTTLSRGSVQDACAIRYASGKKARDILGYEARIGIE EAIRLSCEATSTLEA PEX2_036630 MDSNHQTHYHGGPVPLDSHSHMITPPTGRLSYPPAGQAHPQPRT HEWSHPSHLPRESLRRDPGPMNPPESPEYVMERNGQRAAERTRGSPHYHSQRRTSNRD EFDGPHQFLEPPSPQAVAAQDKELPQLPTNLDAGEQDSILHKVNDRLSQCAYDFIAKY QFRVPIEQDKRPVKVPSDREWTEWVYLLKRLATTRRIPAHLLYNRQIKQLITVLENSL EMRHAAKHQSRPVKDDRNVLQLISAGTQVAKILRDSSAMQFLDCLYVDTEKLILERRG PRRVRFANP PEX2_036640 MAFERLARFYRSCLLQIILVGLVAFCEPGIWTALNNLGAGGNAK PYLNNAANALTYGLMSVGCFLAGGVTNKITAKWTLFIGAAFYTPYAAGLYCNNRYGNE WFLLLGAALCGIGASLLWASEAAIAVGYPEEEKRGRYVAIWMSIRQLGPLVGGAISLA LNINASHVGKVTYTTYLGLVAISSLGAPFALLLSQPQDVIRSNGTKIPYMKKTSLSVE ARAIWKQLRNKYMLLLIPVFLAGQFGATYQGNYLTTYFTVRSRALASFLTAVVGAAAN ITTGLFLDLKFLSRGTRSKIVYIFVLVFVTGSWTWNAIVETKLSRMAEPPAFDLGDGP FFNSAFTVYIFFRFFYEVLQTYIYWLMAEIKGAQGDGDIARTTGILRSWESIGSTIAY AVGATHWPNLNQMSLGFALWGATIPFTLLAVFGNWNVAETPGVEEEEQTDSSSLEAQR VVVNSDGKD PEX2_036650 MSDSRSGPVSLARYRPILYLFTGVAAAYAILYVHNNVISQPSST SLRRRNAVRRPRPTEAEEPEIADTPSYRAITHLEQLERQNGVYGTFRIETEDGRRVES GLLPSLLATRDQLMEEVGVPPAHAERMREMMEDTFLESFLALDFPSAHILPENTPERT YLTEQLQHRGISRAGIERALVRFNGDSNYGDELRRRRQNGERVTLSTSTFPDVSAPPQ NLDGGETVVDDQSVFSWRDGNNDPSNREGQNLLNLLYHIAEDQARRDGYIHRGVTCNS CGAMPIQGIRYRCANCIDYDLCETCEAMQVHIKTHLFYKVRIPAPFLGSPRQSQPVWY PGKPSMLPRSLPRPLAKRLMKDCNFENTELDALWDQFRCLANYEWANDPNKLHMAIDR KTFDRCFVPNTSIRPPPPSLIYDRMFAFYDTNGDNLIGFEEFLKGLASLNNNSNDERL RRVFRGYDIDGDGFVERKDFLRVFRAYYALSRELTRDMVAGMEDDFLEGGARDVVLGS QPISSAFPGSIPSGERSRTGEGKRMNSEGDMEIIDNEGILRQDGNDTGDRHLVVGDAA VRSQFSRMRPLFPSTLRLTPTETTPRPSDAIEGHQNDDNEEDEDGASETSGSDQSSSS VASGTWPAEHILEEDIIEALGSYIPAREITDPIDRARVADAVYHRMREDDQRRVFDAR QRGIDERWRRRAFYTDEEDGATVPEGYERDPAIDDSSDEDLDESESRPPSFRSRSSSK VRFQDDLNDDEYDIRSNPSTSSRSIPVGERWGGFEIPEIEKDVGKEILYQVTQQGFNE LLDILFKPKEDLLMEVYRTRVERKVWAREIELAGKEDPSKHAATENVPAEEELEEVIN DSPLDDLLQRAGYGVRSPVLAPANTGPVLAPSSLEPTASDDDGDEDEYEEDDDDVRPT HLADPGEDTGFGQARPFEESDTASATSSDYDDDIAISQASGAEPSSPIVGDDTLDYDP TLPQYRPDGPSVMAPEVFDESDSDPEESPTTHTNPDLPAQFRLQPGTTSLPAPSSPHS SPEAEATAPKLPPSPMQPLPPPHRINDQPREAPAPRRPSPRTLARWVYLDQVERENKE RGNGARLNFEEFSRRMAADRGRRLAFVASWIEMASF PEX2_036660 MAPETSPTENSPLLGSASSNGTVSNGTITDQHVESGDPIQTEER GKEPFPDAQKQLRYIVPAISLGIFLSAADQTIIMASYGQIGSDLKALNLTSWIATSYF LTLTSFQPLYGKLSDIFGRKPCLLFAYAIFGIGCLGCGLARNINELIAARVFQGIGGG GMTTVVSILMSDLVPLRDRGLWQGIINIIYATGAGTGAPLGGILSDYIGWRWAFLAQF PLCIIAFIAVSFLLKLPARESAHWKTKLRRIDFLGAVVLVGAVLGFLIGLDRGSNVSW KLPLTIASLGVSAVLFVVFILVEIYLAAEPFAPGHIIFNRTLFACYSCNFFSFGGWIA ALFYIPLYFQAVDGVSATTAGLRLLPGILSGVSGSVLAGLLMKWHGKYYWLTVVAYAF LTTGVFSIYLFSGGVTASTVAMICGMVMAAFGNGIGVTTTLIGLISNATHEDQAVVTA CSYLFRSLGSVIGLSLSSTVVQQVLRNRLQSGLRDSKNIDQIVEGVRESLDFIKTLDP ATARIVRDAYGWSTNKGFAFLVCVVFFALLSSCFIRESKLSR PEX2_036670 MPNMSKERHGQFLLFAGLFQTKLATGTFNPYPSFPYSGSLRPVY PLSAKRTVPKTIPYPDYARDGIPRSEQKLIGRHNITILNKEEQEGMRKVCRLAREVLD AAARELKPGVTTDYIDEVVHKACIERDSYPSPLNYMNFPKSVCTSVNETICHGIPDQR PLQDGDIVNIDVTLYHKGFHGDINETYYVGDKALANPDAVRVVETSRECLDQSIDLVK PGMLFRDPGNVIEKHAKGRNCSVVKSYCGHGINQLFHCAPNIPHYAKNKAVGTAKPGM CFTIEPMINIGTHRDRTWPDDWTSTTQDGSLSAQFEHTLLVTEDGVEVLTARLADSPG GPVPMPSTA PEX2_036680 MMAIPRQKVVVVGAGPVGSLAALYAASRGDEVEVYELRGDLRDP TTVPLNFTKSINLALSERGITSMRHSGRDGLIDNVLREAIPMHGRMIHGRDRGDLWEA AQAYDVHGRIIANYSHKAINAIDRGTLNNALLDELEKSPNVKLFFNHKLTGADFRTNK AWFERRIPGDTPTADDAGVAGRVPEIEVSFDYLIGADGAHSAARYHLMKFARVDYQQE YIDTLWCEFRIPPSEDGDFRISPNHLHIWPGREFMFIALPSADKSFTCTLFAPSSHYN SLETSPQDLHEFFDAHFPGVCPELIEPAALSEQFVENPHLPLISIKCNPHHFNASVVI IGDAAHAVLPFYGQGLNAGLEDVRVLFEELDRHGVYDPNSSIYTRGLKRQGAFQAYTD QRCADTHAINDLSKENYLEMRSGVKSPLYRLRKAIEETIDHHFPIFGWKTQYARISFS NQRYSEVIEAVQHQSQVLGLGLSGALVAGVGAVSILMWKYPQYLSPVGLIRCSRRLAC VGLRSIRKFIHM PEX2_036690 MSALLGLGYDSSDDDATAVPSSVTTATKVVAAPEVNTEDQAHMQ MALANASSQALTYNATYDDLTKPSQGPMNPFKPAGPGNGIKRKNVPTGFAEEAAISES TFAAQHRTFQSLGYTRNPTLPDQFIGNLENAAQYGGRDVVQIKPTKSASANWRTKRQK KGDSSVVEGEGAYLGPWAKYQNDQQYDYVEVAEGDERALGSDEEYVEEEDETLTTTAP MPAMSKEATAYEGDLATQETTEFHGSEQYDYQGRTYMHVPQDLDIDLRKEPGTTKNYV PKKLVQTWKSHTKPITSLRFIPKSGHLLLSSAADGKAKLWDVYHSRELLRTFSGHTKA ISDTDFHPSGKTFLTGSYDRQIKLWDTEYGKCLGRFSTGKTPHVVRFNPGEDHSHEFL AGMSDKKIVQFDTRSGELVQEYDHHLAAVNTLTFVDDNRRFISTSDDKSLRAWEYGIP VPIKFIAEPYMFALTRATPHPNGKYVAFQSGDNQIVVYSATDKFRQNRKKRFVGHNTS GYAVDLKISPDGQFLVSGDSGGYVCFWDWKTGKMYHKIQAGGKEGGAVTCLDWHPHES SKVVTGGLDGAIRYWD PEX2_036700 MMSGEAWLYLLSVLINAVNLFLQVFFTIMYSDLECDYINPIDLC NRLNAYIVPEAAVHAFLTFLFVINGYWLAIVLNLPLVLFNAKKIYENQHLLDATEIFR KLNVHKKESFIKLGFHLLMFFFYLYSMIVALIRDEGH PEX2_036710 MRLIGAIPLSLLTLTPLLGSAAAWSEFSDASLATQSYSLFDDRP SGCPECPACFNCQLDNYNCTHFAECNNFNGKCSCPPGFGGDDCSVPLCGSLADDLNRP QRDSNTCQCKDGWKGINCNVCDSDSACNAMMPEDQGGVCHKQGVTVKENFQMCDVTNR AILKQLDGRKPQVTFSCKAEDETCSFQFWVDQKESFYCGLDTCEWNMETDYNSNTTHY KCEHLQCECIPGRFLCGENGSIDLSDFLDQLIKGPATFDTKSTLEGTKSVFSEPQMNG LIAGVFGDPSIFLSCNSGECMYKTDEPGYERPVKKINTPLIAGVIAGSSLFVVAVILL VWYLSRRAARRSYLRLSLSDDSDDETAKLLADYRPAALYWDNVSYTLNGKEILSGIQG ASIPGQITAIMGASGAGKTSFLDILARKNKRGSVNGDFYVNGEKIDDNDFRTMVGFVD QEDTMLATLTVHETILTSALLRLPRDMSRAAKEQRVIEVEKQLGIYHIKDQLIGSEEG NGRGISGGEKRRVGIACELVTSPSILFLDEPTSGLDAYNAFNVVECLVTLAKTYNRTV IFTIHQPRSNIVALFDRLILLAEGRTVYSGPFSSCQQYFDRVGYSCPPGFNIADYLVD LTMHAGGAHSSYTDEVESSVDGRTDPPKTASSSLRAVKSVTSASIQSIEDNSSGAEAT RRPNSKRRVSLKQQQDRQLYSRKQHNERPITPKTDDESATLDVAENHQQWLRLSRQQG NVPPQILDDPDQLPPPAPGQSDLDILVASYADSDVCHFVHDEILAAVQNAQDANGSPN SPMLSDTVIQSKGYARVGLARQFIILSQRTWRNLYRNPMLMLTHYAIAILLAVLSGFL FYGLTDDIRGFQNRLGLFFFILALFGFSTLTSLNVFSTERLLFVRERANGYYHPVTYF ASKVVFDIVPLRLIPPIIMGIIVYPMTGLIPAWPEFFRFLLVLVLFNLAAANICLFIG IIFRDGGVANLIGSLVMLFSLLFAGLLLNHDAIPKSALWLQTLSIFHYGFEALIVNEV TFLTLIDHKYGLDIEVPGASILSAFGFDTQAYWSDVIGLAVISGAFLIIAYSAMHFLL VEKR PEX2_036720 MKTRSPSSPEAIKFKDVLVMMLAANAPNLEFLSSYPLDMPRSTL KSLFQRAAKPPVSCLQNLRSFNFHPDDVLNQGTTYVREPYFSRLNMVRKLPAVESVSF TLAMRDDDPGLPLPPRCANYSKVQIDHSCIPDYDLCRIIESPKTLKNFVFTVGGRKNP EGGIPTLGVTPLLQSLWLHRHTLEELDLDMEYHTTWQEFYDPEYQPDEDEGLDEYEQE CYEKQYASEILELAAQEPETRPSWISLKDFPKLKRLNLGVHTLCHFARGVGSNQDRFP DGRIDLQSFNLAENLPPNLQYLRVYGRGEGPHDLDSSGHELDLGVDDQLERLLREMKS KSLIIEGIDVPIPNAKTVDEWEDDNDQSLYWKDPDDDRFAACKDDSVVKSEDEYRKMY ASMEDGNPLKPMIAQYLATLPQKKIKVSLVGGRFKDSI PEX2_036730 MDPLKDASMRQGEEECQPLDQGAEVNDKYGDALQDLDLQDAVLD GDKFYVRLLIEKGADVNAQGGEFGTALQAAAARGHRKILQLLLRKGAYINAEGGKYGT ALQAAITERHQEIVKLLLDQGADINAQGGKYGTALQAAIIKGTTLYIAHQEIVHFLLD QGADINAQGGKYGTALQAAITEGHQEIVQLLLDQGADVNAQGGEFGTALQAAAAKGHQ KILQLLLRKGAYINAEGGKYGTALQAATIERHREIVQLLLDQGADVNTQGGMFGSALQ AAVSRSNGAFVQLLLNHGADVNIQGGEYGTTLQAAAARGDKPTVQVLLKNGADVNSQG GRFGTALQAAVFEGDLAIVQALLENGADVNTQGGRFGTALQAAAVRGHQKIVQLLVEK GADLNTRGGEHETALQAAVYKGDIAIVQLLLENGADVNSLGGQGSTTLQAAVLSRPIA IVRLLLNQGADVNAEGGEFGTALQAAAFRTNKEIVQLLLEHNADINIQGGEYGNALQA AVFVYRGNMSIIQMLLDQGADVNAQGGEYGTALQAAAAKGHQEVVQVLLEKGADVNAQ GGKYGTALQAAAAKGHQEVVQVLLEKGADVNAQGGEYGTAFQAAVLDESMTIARLLLD RHGNIISIAEEVLKKVLETSENAVQLMSLLLQKQEGNIIITEKILKTAAKSDRGHQLV SLFLESSVKISDDVIWVRELGKVGYSTYEIMELLLEDSNGSPWIIFDPTCFPSSEIEP DRHIPGCCHSVSPQEALPAQIFDSVFEGQTIKFDDQGIMFQIQELCGMAGIKPTTQSV ADWVGFIDFNVSDQVLSVTYELPTDEMNPRDNEILWSRISRALDGFYHAAALLQAHNL CCNSFTVLRSGVQDHEPPGSSLVEIINISFKPILILIQELYKVMNPISEFSDTEKLLS TSTAILGLVWPEITKTPDDSSIEWCLHGMPRIYLIHGDLGTSYSKEIEVAFLSP PEX2_036740 MECFETPEFRCSKITEPCFKICLLETAVSRSSKEKLQDEYLLQH EEICFFSKQDTLFWVKVLKKHADQPASLIDFTHFRSLPYRIRQVLYLSERRKQRSRLR ECATMWTRGEAVSVFSG PEX2_036750 MVKIEAFAVEQWMDKYETTAKYNTAETCCASISVDDLRALSDDK ESDPFTQLKSTKLTYGAIRGSDKLRNTLANLYSVRAPTQLPKDNILITPGAIQANFLL LYTLVGPGDHVICHYPTYQQLFSVPASVGAEVSLWKSKEADGWQLDIEELKGLIRPNT KLIIINNPQNPTGAIIPRQTLEQLVDIAREKSIIILSDEVYRPLFHNISPADPRFPPT LLSMGYERTVVTGSVSKAYSLAGLRVGWVASRDSSIIESLANSRDYTTISVGQIDEAI ASFALAPDCIHNLLKRNLDLARTNLSILEKFIDAHRWACEWIKPQAGTTAFVRFNKMG KPVDDVAFCEMLQDRTGVMLVPGSLCFGVGEDFKGYVRIGYVNETEVLEQGLDALGKF MENEYENVPVKKPLPK PEX2_036760 MTLPSFPDIPLSLALPAAATTLAYLNARWSVSYDLSLLKGLLKM TVKSRVAERGDRLNLFYTLESYALDPKTAGNDFIVYNGQTTTFHEAYITVLRYGAWFK NIHGIKRKEIVAIDFMNSSTFIFMLLGLWSIGAVPAFINYNLSGKPLTHSIRTSTARL LVVDEEVRHCFPAEQEEILTSPTFRDGKGPVEIVFHTPEVEAQILGMEPTREDDKVRS GLIPRDMAILIYTSGTTGLPKPAIVSWKKCWSGSLFVKNWLNITSSDRFFTCMPLYHS SASVLGFITCLMGGSTVIIGRRFSARNFIKEARENDATIIQYVGETLRYILGVAPEID PVTGEDLDKKHNIRLAFGNGLRPDIWNRFKERFNIPTIAEFYAATEGTAGAWNVSSND FSAGAIGRNGALGNIILGRGSAIVDVDHETEEPWRDPKTGLCKNVPRGDPGELLFAID AADPTANFQGYFGNKKATEGKIIRDVLKKGDAYFRTGDMVRWDKDGRWFFSDRLGDTF RWKSENVSTSEVAEVLGAHSEVHEANVYGVTLPNHDGRAGCAAILFNQQMASGNVSDS ALEPSREVLDTLAAHTLKNLPRFAAPLFLRVTPVTQSTGNNKQQKHVLRTEGVDPALV SKKDRLYWLQDKTYVPFGKRDWERLTGGQVRL PEX2_036770 MRKVWQVWQVLEGTTRSELGRKELPQGKSIPRSLFEEGTIKKVS INGTVTSDTVTPQPPWLRWEAPRAG PEX2_036780 MAGIKIIWGAGSIMDEVSYPTLESINEVFDILQAKGITTLDTAK IYNNSEEQLGKLHAESRFTIDSKYPGGFSPEPSTPESFNTILNESLAHLQTNQLDVYY MHAPERRSSTEALLASINSAYQAGKFKRFGLSNYLAEEVEEVVRICREKNYVVPSVYQ GNYSAVARRGETEIFPTLRKHNISFYAYSPIAGGFLTKDVATLVSGGKGRWDPNSPLG GIYNALFNKPDMLKGLEQWEKISKESGISKAELAYRWVAHNSTLKEELGDGLIIGSRN VEQLNQTLAALSKGPLSAEIAEKIEEVWKIVEADAPLDTFNSFPQNDKAA PEX2_036790 MSSSLSLLTHLRPRVLARTRPVAIRSFTISQRLRSDASSVASAF LSRFQSLGPQTRTQTIDANQLQLLTLTLNRPTLFPGAPSLSNGASAPQSTVPVPPGYH LAYFTPAFLESELGADGTDASYNPDVPFTRRMWAGGEVLWPRVNGKPNLLRVGQQVQE TTRVLSAESKVVKKTGEDMIVVGIEKEFSNEHGVSIIDRRNWVFRKALPIPSASTPSS APSSSSTSHIAAPNPTSSVISTEGNTHTRTLVQTPVTLFRFSALTFNPHKIHYSLPWA RDVEGHKDIVVHGPLNLISILDLWRDTRQNITDSTLVVPERISYRATSPLYAGDEYRI VLEEGEETNVQIIGPGGVVAMKAKIH PEX2_036800 MSLAQKQKSDPDIDFNAVQSENRDASATRSPGIPDPDAALTPAD TGKLFVKDDGTSYIDGANWRAILEEINDMKEYLDEDGEDSDNEGVDIDPYDDSSPVLL LGHGRPVSKEEMLMDIPPRPIADRLVSRFLKTSEPARVTIHVPTFQKEYEEFWLRPAD KSFTWISLLYSIMALSISLYHRSSEPLHSSMAAPNTTWAIFRKRASQCLIQANYITPG RYKGEALFLYSITEFYRNQDTHIGMSYLLGMTIRLTMRMGYHRDPRHYPMLSALDGEM RRRLWALLVQLDTLTSFQAGVPRTIQPWQYDTELPSNLLDTDFDEISVQLPPGRPMSE GTDCSYTRSKSRIMSVFGHITDLAFSREHASYDETLEIDRRLETAHDMVPSSLKIRPM TQCIADPAEMTMRRFALEFLYQTARLVLHRRYIAETNPKFAYSRSVCLTGARDALRYY TEVWAEFMPGGQLHAERYFLNSLQNSFLLSAMILCLELSQDADRGDAARLKPQERADF LLLLESTHRIFMDSRYRSVDTQRAVNALNIMLKRVKKGGFQYSTSTARQSMAPMNDDI STKSTDAAAAFGQKYSTYIPNIGGLQPTIPSPDQTSPYNSLGVIEDMLDIPAQFDWNL YDSHISGGQMATDNNTWFSDASATAAYDFGAYPPGISPMDHQSDQQ PEX2_036810 MRPFRTLRLPSRTILPSQRQNVCPVSHQLKTSTRTMNTTQRPSL RRPLLPNNGPKTTTRAFGLPDLSSFLPNSNNSNNSPHRVLTATRNLPFNPTLLYKVIS SVESYSQFLPFLTASTVTARDPETGYPTQAFLTVGYGPLSETFTSRVICDVEKLTVEA KSGANYGKEGQDGQAGKSSSSSGLSGFFPGANEGLFEYLTTRWELVPLSPGAQGAPLT KVNLEVRFEFRSQMHATLMSAVEGQMAGVMIEAFEKRIREVEGKGQ PEX2_036820 MFNRFARPQSALRAASSFSPKPTSAFSRFQTRAIHRIPQLQHDA YYKENGVPEFMSPESFDFSWTQYQTLLVNKLNLLTQDTVDADAKPGELLVKYSKRPEM ASVFNYASMAHNNHFFFNCLSPTATTVPEKFAKDIVDTCSSVESLKLDFLATASSMFG PGFVWLAKNLEREGMMHIFCTYSAGSPYPAAHSRRQPVDMATHTPETQLGNQFAGSMG AHAQNQKSLAPGAVDVQPILCVNTWEHAWMMDYGIAGKDEYLERWWDRINWDVVFDNY NAVGSMKNSRSSFNRNRSMSML PEX2_036830 MASIRPGQAYNSFDLLPRSSDACSSSCSSGSTCISLDQGSSSIC CPAGQDCDYISPITCDIQQQNATAYPSSVIKTTRLGDSLPKCGDLCCPFGYTCQGNTC SLNQKTSTTATASVSSSSASTTSSTSASSSSSSSSTNTATPSVSSSSINTASSSSTTE SSATPLATITPVASPSSEKSATPTTCPHVSTSCPSFPAGAIAAGFFPGAVFGAVAALL ITCCFRRTRKDECEIQEGKAGPNWSQRSSSGAILCISNPIPQDDTSYRTDFLRSPPRV KRSSTGERSTRTMIHRTGSRVRSLFSGYPRQNPGLGNDVPPIPMPNPMAPAPVAAPFT PPRQRQPSTESIKVYSPPEPSFAQSRNFLGPEPYPGAADKPDTLFNDLMQVVAVPHVA KVKAPYPTNDDSRENPFRDPVRL PEX2_036840 MTTKTGDQESPQDSASQVDQPSVNRAPPPETPAALQTRFRVIAA FWAVIIFFGFPIWWKTTSIYRASLPIAEMVDWADGKACRPVFPLEIHLATPSMQYFEA QHLLRTTQHTLDDLNEFAAHHLRLKLTNGSTTAVHNGEDNLESVKYPAEETADIALTV RLLPQDDLAGPRSELHAATTQLDIFYPPSQVPVPSSSNSPLSAFIAAELQRLYGEEKA TIAHILSGNTAGLDSTSPQLAEDIDRRLRRSMKYAETYHLSFSLFTPRSEPSSWDIEA AVKEYVSPLLQAFSPISNFTVDTQVQLYANFAPTAPKPEYDESEAAWTLKKEGLSAFV NAAEWPLNPSIGNGPTINFILYVPDPSQSPLIVKENRASSWMVPQWGGVFLLNPPLSN TEQGGPSNPAHLSQDSLGPAFMTFSHQLLTLLGTPSTPASLPLRLQTSIRIRAASLLL SASSTMGSLARLTESLPSIPIPATVATSVSTTLSHLGASCAHLREGRFGAALASARVA ETEAERSFFEKSMVGQVYFPDEHKVAVYLPLLGPIGVPLVVGLLKELKRIAARRKAKG AAS PEX2_036850 MAISEIVADESLLPVLQTSAETLVQCQHLLTILNPDTLPNDGAK LRELSLAASKQQKLLFALLAQLRGQNRDAIFRVRDTKHSTAEARQEIDRLHLQLQNLY YEQKHLTGEIAACEAYDHKYLSLPLISVDEFLELHPEHRESSEHDLMIARIEHEHAER EKLEQARQELLKRKQGLIAENKKRKNDLANLDQDLEKFIDAAKPIQKIFEKEY PEX2_036860 MACGASSMAVETSTKAEAFASATATPDENTGMLRDRRRHSFHAS RKLSCDYQDADAVFIRVELFLSELERRMQWIEQYRKSHMVQVDASLKRGYATLEAVRD QCSLASGELMGSGKKRAKILVETLEDGYNEALVTKETLEQKAQAGVRLMESFLVELEN KVHAVRDRGIYGTIDDGLKAMDSGLVHAREIVDEGMERARRTRDTLRDAVEEAIKLAQ EKRLINYDELPHPWRVNPHIINGYRFTTSKVECVSSVFAYSNEMFNIWSHVIGLVIVL SIAFYFYPLHTNFPLSTKSDVTIAAVFFFAACKCLVCSTIWHTMNSIASQSLMERFAC VDYTGISMLVAASIVTTEYTAFYCEPLSRWTYILLTMSLGIGGIILPWHPTFNRHDMA WARVGFYVTLALTGFSPIAQLSYTRGFAWTMYFYAPVVKSVMVYFVGACVYASKVPER WKPGLFDYVGGSHNIWHLAVLGGILFHYHAMQDLFAGAFQRAQGECPNMTL PEX2_036870 MTIDPSIQEVTQNVEPPQVAPHRSHDPTNNLKRTDPFQFGSRFL EKGDDVFEFNAWDHVEPDDEFKAFAETQYARQRETRVSDFDRNRFNTNPAKWWDIFYK NNTANFFKDRKWLRQEFPILAEVTQKDAGPQVVLEVGAGAGNTAFPLLANNENEHLKI HACDFSKYAVKVMRESELYNEKYMSADVWDAAGVPDENGDSLPPGLTEGSVDVVILIF IFSALAPNQWDHAIRNIYRLLKPGGRVLFRDYGRGDLAQVRFKKGRYMAENFYIRGDG TRVYFFEQDQLVDMWGTWSAENGLQIPIGDEEPTEEASEKKTDEPSAEAKQLAKDNGA FDVLNMGADRRLIVNRGTKQKMYRCWMQGNFLKRGGAQTEANDAVVAESTQ PEX2_036880 MSFLSGLCGCFTRDEKPSQSHSSPEMMTQRPNPSGLQTGNFTLS GEGEFIRPAPPTGGHGHGPPPSDSQDGGYSSVVPLPQYTARPMSLHEKTLEAHMRDPS ISSESQNSYPNDEKDANHYEEDLTSDSSSAVSFPSSYGNTSTATRDTPPPPYSPRHSA MLTRSRSMSISSAMAVIINPPPAARMNGSRTGPTYSEEDNRSIRRHRRVSWESR PEX2_036890 MGKMVQLAFETPFLMHAIIAAATTHLCTLLPENKAYRLAEAYHW QQTINQYSTEVSTKITRSNMDKLYSACLMISMHSFIQENFNPRLSFVFTTDPTALTWL RLQTGLRYLLEHTTPWLPQSMWWTVFMETRDPSLDFEDRCPGRVDLDPELADLCGISD DTTVEENPYLWPLRMLMGLLPFERGTGSLGVYNTWMGRLENPFYECLLRKEPPALVLL AWWLGLMCYVEEWWVEMRVRSEFVGVSGVVLWVFA PEX2_036900 MQPKPQTRPRSSRHVQGQNMVFRIPNYKAKNSLACGSEQSEQVY HSRRSHRKSRAGCVNCKQRRVKVLPTPPLSTTG PEX2_036910 MSADLDQNPSPADLAERERENKERKAREDAEQAQLPYKWTQTIR DVDVTAPIPGNLKGRDLDVLLTKNKIRVAIKGQEPLIEGDLPHAILVDESSWTLETTP TPPGKEINIHLDKVNKVEWWPHVVTTAPKIDVTKITPENSSLGDLDGETRAMVEKMMY DQRQKEMGGPSSDEQRKMDLLKKFQAEHPEMDFSNAKMG PEX2_036920 MDKIKSPKEEPQEKIESQPTTVEEYQKKWDQMLQDTAKQIDKIP VVQPSLPLDVVAHNATVILSGVLLGALLAFVTSHLGWLRHHVRLNDRLVNAIFPLGPQ VFFFTLPLWFIVALRPAVRFSVLIMEYATVICQSLMAYIFPVPEYNDILESSIKIPAQ MTKTWTS PEX2_036930 MTNKGLDTMAWGDIAGEDPASHLTVLENALQHSVISEERTLSTF ERVITTNAPIFESLLLQIPTDTIIKLYHTSNYLRTFLRSYPTAWKSLSFRLQFPSSTL PSPQINDQSGPDTAPARQSRPYALDMFLMNVVIPFSRCLKSLELDNTAISGENLTSTV LHSRRETLVHLSVRGCKNVSLKYHIVPFLTMFALQCDIDMGDNTGSSPGMQRLALKSI YAYRCRHHRRRPYLSSSLMRKDADAESTHELVNICHKLGIWTDTAWCTTPAGRCARRA GYVKSRFPHGAGSPEVWVVFDRLWRSKNWIGPTDSETPQPHVYDGKLWENRDTGFYGE ALGTGEGIDWGEGKMTPAHLRRSHTQFVDKIRCDNCLEDISERCEHCSVLMHCVGCRK TLCASCAHERPYLHRSTPSSSEKSQNAADSFWWAPGATHSPGSMQDPVDILTDPNQAQ GTGMDPPPILNFHWCCTKPDLSGGGGISIGPRNGEVDQVRAVPLPRGQGWEDLEYTVS EWSKTFPKYAYGDPSKPDYSLETGHLAMMKWLLGPPNREVSPCPRNLCQGCYDSPQWK VHCKSCSKPLCIEHDLRGLRLRICGYRDLETEKQTIQNRFAANLSGSLMSSQLPEYDL LFRSQQLLDSTNKSFIEDSRQDSMDEENTPESQVGDQSAPVSFFSNQPSRSYSAPASD HSSPPSSSSSTFFDSPTFESPRWQGCQSFFCPPADPITGIRDVRGGIRDPRPRCPSYL RECKGCKVYVCADCIYTHPPCKCSYCEENYLCPNCMTDRPRDSLCRRRQEEKAQRDRK WKKEMQVLEAILELKVANELAEFAGEFFDLVERRNSLPSGTMVPFNDGIADLFESDIA AAETSAEHFEPDDLEWFLQDSH PEX2_036940 MGSEMKSAPVLSTADDRILEETTPVALRDPTAPVSDDELSITYD IERTLKDIRQARYKRIALQFPDDMLPDAPRVFQLLSRGLEREEVGTNGTQPSNHPDAS NTDAELAHSITELQVGDKTEKTPPRLTILADTSYGTCCVDEVAAEHVDADVVVHYGRS CLSPTARLPVIYVFTHKNLPLEPVVRAFKETYPDPTTKVIIAADVTYADHVPTVYARL VEDGYSNVYATEVVHEPSSPVPNRTVPQSVQEAPETLADWQLFHISDPPTALMMTLAS RVAAIHIYPTNDLSNENVKPLPASTAAVLRRRYGTLASLTTVPIWGILINTLSVKNYL HIVEHVKEQIAAAGKKSYMFVVGKLNAAKVANFSEIGGWVVIGCWESSLVDSKDFWKP VITPFELDLALKDDSSRVWTGAWHSDFQAVLDAPAQEVNGNGDDQGTSNSATVSDEDD MSEPESAPPEFDFRTGRYVSHSRPMRDPAPRASHVDGSSTSGPSAARALARRAKGDLA MIGNAVSPGAEYLRSQRTWTGLGSDFDIRYDEEDSEDSTLVKEGRKGIARGYTVGDAA DKH PEX2_036950 MDTFEYNPNPGRVVFGSGTLQKLPDEISRLQVKAPLVLSTPQQV SHAETVKGVLKGQIAGIFSEATMHTPTNVTDKAVEYAKDQEADSVISIGGGSTIGLGK AISIRTGLPHICIPTTYAGSEMTPILGETADGLKKTRSDPKILPGTVIYDVDLTMTLP AAMSATSGVNAIAHAVEALYARNTNPIINMMALEGIRALASSLPEIVENPSSQSARSK ALYGAWLCGTCLGSVGMSIHHKLCHTLGGSFNLPHADTHTAVLPHAISYNAPSIPEAM KQLADALPDSNGDAIHGLNVLLTKLKVKRGVKDFGMKEEDIDKAADIALSNAYWNPRP IERAPIRELLRRVWAGELAQANL PEX2_036960 MYRLKLEIITAVAAWAFSAASLAFPSGIEGGISPRGDADFEAFG KKLSSTAKIYSPGSSGFTQVTTRWSALAEPKVNIVVVPGNENDVVETVKFANKKDLPF LTYNGVHGALVSLGKMDYGVGISLSQLSSVKIAKDGKTATMGGGTMSKVVTDQLWAAG KQTVTGTCECVSLLGPALGGGHGWLQGHHGLVSDQFVSMKVVLANGTLKTINKNSDLW WAMKGAGHNFGIVTSLTSKIYDIVHSDWAIETITLSGDKVEAAYEVANEYLLKNGTQP ADLINWSYWMNIPDLDATNPVIVFYIIQEGVKAVDSIYTKPFHDLGPLSVVPQAGTYK DLAGWTGIALDSPPCQNAGFANPRYPIYLQSYDVAAQKKAWDIYAPAIRGSSAYNNSI LMFEGYSFGGVHAIPSSSSAFPFRSENILAAPLINYLPGDAAVADEGAALGQQLRNVL FEASGREDIRAYVNYAHGDETTKQLYGDSTQRLLALKKKYDPTGKFSFYAPIA PEX2_036970 MSTVMAFGLEARDAASDAHKATILYTAQASLAHSVGNVSGGLAN NGMTAAQREALLPSNPAYRARVIGSKIQIAGWTTYSALINSLKLSMLAFYVRLMDGLG RRYHIPIYVGFGLVIGSFLASVITIFAACRPFHKNWQINPDPGTVCQPAISTPVIGVT FAANLITDPYLIFIPIPMLWKSSLKPLKKVAATIVLSSGVFILVCATIKSVFLLVDPE DGAQLANEWGTRETFVAVVTTNLPMIFHLFRIWLNKIFGSQFQSSQKTHKSPSAGFRS IGGGGAYASRKGHGPASTDPMTIGMSFTESEERMMEDVKMQNLKTYEGPTSGNPSSGA IVVSNQIDITHETRTTPQSEQPVKNVSSAW PEX2_036980 MDKTESQSFPADSGPNLLIAKRTACEAPSIAPDSKRTRRFHDGL TEADVQTPALTWRPPFPEKPAVLEERNGEIEFRVVNNDGSRESTIVLTGLKCIFQRQL PEMPKDYIARLVYDRTHLSIAIVKMPLEVIGGISFREFRDRKFAEIVFCAVSSDQQVK GYGAHLMAHLKDYIRATSPVMHFLTYADNHAIGYFQKQGFTKEITLDNSIWKGCIKDY EGGTLMQCSMVARIRYLEVGRMLLKQKESVLVKIRTLSKSHIIHLPPQQWANASDGVV TPIDPLSIPAIRATGWSPAMDELARESYHGSRFRGPHFNELRRFLNEIQNHKQAWPFL NPVNKDEVPDYYNSIAFPMDMSTIEERLERYDSPKDFVDDLKLIFSNCQQYNDATTVY AKCAVRLEKYMWKLIKDIPEWSDLLEE PEX2_036990 MFDTVCTLPLSSDLFAQAIHPEEPVVSVGLASGHVQTFRLPSLE GEDDEDAAPNSSARTGKGHIDTMWRTRRHKGSCRTLGFGTDGKTLYSAGTDGMVKAAS TETGQVQNKIVIPLEKNGAVDAPTMIHALSPQTLLLGTDSSALHLYDLRIPYSKVSAR PEQSHHPHDDYISSLTPLPASETSTSGFSKQWVTTGGTTLAVTDLRRGVLVRSENQEE ELVSSVFMGGLPSSGTSRGEKLIVGGASGIITLWEKGAWDDQDERIYVDRNTDGGDSI ETMAVGPDYLGKVVAAGLSSGKVKFVRIGPNQVVSEVVHDEIDGVVGLGFDVEGRMVS GGGQTVKVWHEAEDNVDGSGDEDMMDDSDDDKDSDDSDAERREDPKDRKKRKKSKGKD RSGGQHVMAFHDLD PEX2_037000 MYQRALLFSALAAAAHAQQAGTLKPETHPSLTWQKCTAKGSCTE QKGSVVVDANWRWLHSVDGSTNCYTGNEWDATLCPDDKTCATNCALDGADYAGTYGAT TDGNALTLSFVTGANIGSRLFLMEDESTYQMFKLKNKEFTVDVDTSQLPCGLNGALYF VSMDSDGGLAKYDGNKAGAKYGTGYCDSQCPRDLKFINGQANVDGWVPSKNDKNAGVG GHGSCCPEMDIWEANSISSAYTPHPCESPEQTMCEGDKCGGTYSSTRYAGTCDPDGCD FNSFRMGNETFFGPGKTVDTKSKMTVVTQFITSDGTDSGTLSEIKRIYVQDGKVIANS ASDISGVTGNSITTDFCTAQKKAFGDEDVFAQKGGLATMGEGLDQGMVLVMSLWDDHY SNMLWLDGEAYPTDASASKPGVPRGTCATSSGDPTTVENESGSAKVTYSNIKVGPIGS TYAS PEX2_037010 MPTHLDEVRRAWEILNENSSSTSVVTLDGEPESLQMAHIVAVAR FSVDASILQSDPIVTRVQESIATLDMHLNNGEIIYGVNTGCGGNANTRTRKLDLLQKA FLQHHQAGILTSLPENWNSSYTMPHDANHHALPREFVRGAMLLRCNSLLRGHSAVRME VIELLIQALNMGITPLIPARGSISASGDLTPLAYLGGLVEGNPDIFVQVGQKGPSNGE IGKEVEVMSAANALRRANITPLTLRAKEGLGVMNGTSPSAAAAALTLHDVNNITVLTQ VLTAMATEALLGSVDNYDDFVSQCRPHLGQIEVARNIRGFLAETMLAERTHVHRQGLA QDRYALRTAPQWLGPVTEDLLLANRQITIELNSTTDNPLIDVAGDRFHHGGNFQAASV TSAMEKAKTALVMIGRLLVSQCQEIINPNLNKGLPPNLCFDDPNTSFTCKGIDINMTA YFSELAFLSNSVVSHVHVADLNNQSVNSLALIACRYAKEGVDIVTMMCAAHLYVMCQA LDLRAMTLDFLATAKVSLRALYQEVWLAGGTPSFDKLWVAITESWDAHNDSDTIETRC EKVAADSAHWSIDQLTISESSLSFDSLKLFPRWRARVASLLKKAHEETRYRFLIKQST PQYLAVSTKELYLWVRQTLHVPLHQGLVDDPGDDEDAKDNKTIGTRVSAIYTAIRDGR SIEPLQRATKMAF PEX2_037020 MDFVFASPSDVTLTIIEDPSDNDDHKTKTALFHVDRSKLIASSE FFKRTFLSRWERSGNHNPTLRGDSIKGMEVILGSIHGVDTKPESVSIADVWYIIKACN KYLLDPKKLIGWFTCWIKWIEKQPDLWEDWDINRQLLFPCHFFDNAKAFQQISKNLVY TTIGQITEMAPTDSPSFEPMHMPPIIIPQNVFSYMRELHIIGVKPLDSDIHKNCVRDI LDRLENFSEVKMGSVQRCGACSRPWKRVVEHARRQVESYFDGLCLDCMQNHPDENSEY WALGIPRHAYDTTCRIRHGEPTWYFSFMGLS PEX2_037030 MSLPDPLNPAGQAIVYHGEVFCRVPSCTHKHIAISATNNLRQHL IKHGLQLATNVAGRLTQAKKDAAIKWYNSLFKAEENDGHEDSDENGDENGDVDRVVKD DDEEYAEDDDDDYDEDDD PEX2_037040 MFDQLLPGIIFASLSSIGIWHSVPLTGITPSNSFFWRSLNSLEI AYSIHSLQKVHLFVFPNSDAISEYDLLLQLSLDAQHYENARLALEDSADAVSLHINIP GVTTPMLDPGVLGATPMPASFYLNDSSNKDLQQYNPAQSDTLYFTVMATLILAILTMQ MMGFWMTNGIKQHVEDLQYDYVDRMSGVQQQFGTLMMEIREFRRENEQVRPIFVHLAE AITNSAADLQHCLLHVVGTMENKYTSGMIDIESKLDEVSSQHQNLMRNTESFPQIPRQ LAWLNILMAKNISNNLPEGLDKSNLDFSKSPTPEQMNGSSNHNTAGESNGSSNFDRGL GVKNGKGGLFQRNGQEFELT PEX2_037050 MARKILCVAEKPAIARAVATHLSGGAFQTHAIRGNQYVKNYEFD FNFGGAWGNCSVTMTSVVGHLTGLDFDRQYKGWMSCPPGSLFEAPVQETVDKDKIPIA DNIRNQAKYSKALFIWTDCDREGEHIGSEVRNQALAGNARIEVKRAKFSNTESAHVRR AALEPVNLDEFQASAVAARIELDLRIGAAFTRLQTLQLQTVVAALKEKVISYGSCQFP TLGFVVDRYLRVKNFKPETFWGIKVILSREGKKVNFLWKRVHLFDRAVVTMMLERCLV AKQAKVTKVNQKPTSKWRPLPLTTVDLQMMGSRFLRLDSQTIMKVAEALYTKGFISYP RTETDQFDKAIDLKKLVEKQYPDSSWGQYARELVDGKFRTPRSGRHNDKAHPPIHPVS WVSPSQLNANEKKVYEFVVRRFLACCSDDAKGQSTEIEIQYGDESFHTNGLIVLERNY LDVYVYDKWESSQQLPNFERGELFEPTEANIFEGKTTAPNYLTEPELIGLMDANGIGT DATMAEHIAKIKEREYVAINQRGSGRSSVQEFIPTRLGVALVEGYDNVVEGLPNSVSL SKPFLRKEMELRMVEICSGTKTRQEVVQQSLDMYREVFIHTQRRINMLKNACRKYLVE ETTS PEX2_037060 MASLPLPRVESLLDYTSFQLTVLPYLTQLQWLPSTLREAGSDVY SLRAVYLATNPLVSALGFCGTLAGLLFIAAEINRNYSQIDRFWSILPALYNVHYAVWA RLSGIQTQTLDTIAVISVIWSVRLTFNYWRKGGYSIGSEDYRWPIVRSKINNSFLFMI FNLGFISIAQSLLLLLVTAPTYIFVVAAYNQGPETFGLPDLAFSRAAFFFVIIEFFAD GQQWKFQSAKKEYQTNARIPEPYKEQFSAEDLERGFVVSGLWSWSRHPNFVAEQAFWL TMYLWSCYRTETYFSWAGLGALGYLAIFQGSVRLTEAITAGKYPEYSDYQARVGRFIP RLSVKAKKTKKTSKKST PEX2_037070 MLRIPELRDENGHDLLKDDTSSNKSGPEVETEWTRDALCAFDRV WHDPARRKQPACFQPGSPSVFSGAPSRTAASQSRQILAPDIYAAPYRTVTLEQSHQYW DTTEQPHQLSRETISRFYSPACLKEKLLGPENYKDWADQMKKKLEHSSTQYTQSNLNL WMMVFSNVSLPIRRELCALKVLDAQETWQFLERTYGRVIPMKMRSINGLHDIMGIRYD KCASLKEYIEKMVLCSRAIQCNRGGKGCGDGEKDNGCGDNYNHLRGDGTNEWLWCQFI LVNLGPEWESWVSELVGKFEDKERMNVAISTFRGLFPIIEAEQARRMQAARYTKNSGV PEX2_037080 MATLLRRPGNLARYSRNAAAFTGRTGLASVRLRPSRLSSLVSSS RARTYATQGPTPPNDNSRNNTSDGRSNDHQTKNQKCVLTEAELKIVDEWLVDMNVEQR DNVRNYLMINGLPPAVRAHIDPNQEPTLMDRIKMTRFLWQVSTYHADERLARQQQLTK RGIADLRTPAEKHGEFKLHFNKRPEHESQGQQPAKNGEQQSNAQPPKDPKQDKDPKEE KDTKEKQAPKKDQQQQKNQQGDKKGKVPPNMGKVLEFRFDPVSFFVTALVTYYVYRSF FPGDSGGREITWQEFRANYLEKGLVEKLTVLNHNKVRVDLNREAAAQADSNGQPTSYV FFSIGSVDSFEMKIEAAQYELGIPSHERIPVAYHDETPWGGVLMSLAPTLLFLGGVFW MSRRAGGGAGGQSGIFGIGKSRAKRFNHETDIKIKFSDVAGMDEAKVEIMEFVSFLQQ PERFEKLGAKIPRGAILSGPPGTGKTLLAKATAGESGVPFYSVSGSEFVEMFVGVGPS RVRDLFANARKNTPCIIFIDEIDAIGKSRAKSNVGGGNDERESTLNQILTEMDGFNTS EQVVVLAGTNRPDVLDKALMRPGRFDRHISIDRPTMDGRKQIFRVYLKKIVTDENLEY MEGRLAALTPGFAGADIANCVNEAALVAARENADKVVMKHFEQAIERVIGGLEKKSLV LSPEEKRTVAYHEAGHAICGWYFKWADPLLKVSIIPRGQGALGYAQYLPAGGDTYLMN VNQMMDRMAMTLGGRVSEELHFETVTSGASDDFNKVTRMATAMVTKFGMSSKLGYIYY EDDAQQQLHKPFSEDTARSIDMEVRRIIDEAHKQCRDLLTEKRKELGIVAEELLSKEV LGRDDLIRLLGPRPYPESGEFAKYFDGKGGQTIAPPDYQSPEQTSGKDGRDETPIPPS PEX2_037090 MDGLGDSDGMGFDQPSMMNQTPQLFSYDHSQMQGGSMYDDSSLG AGDETNDAKRRRIARACDMCRKKKIKCDGKMPKCSHCINYKTECIFTQVEKKRNPPKG AKYIEGLENRLGRMESLLRLSGLLSEDDGGKTDLGTLEKRLADRTNALNAAKSSNIFM AQAAAAQQAPSSHHTTPRMDSNSSPQTAATSPESQKSETEVEALSDMMCSLVTNNCGE TRYIGSSSGFSIFSPKGIQWVNEKTGDTSFQEMISSAYVDDNKWMYWKPEIFSDIFAR RVFKPLPPKEEALSLFRDFFENFNCMFPLFHEATFMHLVERQYSRDPYEGSGWWASIN VVLAISHRLRVMSNLVPHEEDKRAWLYLKNAMGVLTELTMRNTDLLSVQALLGMSLFL QGTPNPQPAFFLVAAAIRLSHSIGLHKRGSGFGLNVVEVEQRKRVFWIAYLLDKDICL RSGRPPVQDDDDMNVELPSDDPPDNVGNVPLSDGRSKFNLFRSMCEFATIESRVYKRL YSAKASKQSDGELLNTIGELDKELEDWKDSIPIDFRPEYEIQATHTPLIIHMVVLHFA YYNCLTTIHRMSVHHGYWTSRLSNYAIQGLNARPLNPRVFLSAVLCVTAARASINLIK YIPQGDFACVWLILYYPVSALVTLFANILQNPNDARARSDVKLMSVVVSFLSTLVSDE SNGSIKRMLGLCGEFERIAKVVLDKSEKESHSRKKRKNAEDSAGADEEQSVTSPSAKR TQPPPTSASFSPSVFTNPTAAIHSPGVHSPGSGGPFPGATMPPTSSLPADLPSNLPSN IPSNIHAMSGIGQEYSEMLSPDHMASVGFADQHAFSASPGMSTFQQPFVPQDLWQMPM TIEWDWADMSTNFPPFEGAGPGGPLPGQ PEX2_037100 MASVLAIGFGIATTAFLGRAGLVAYRRSKGGLNAAGKAFYKGGF EPRMNRREASLILQLAERTLTKDKIRKNHRQLMLLNHPDRGGSPYLATKINEAKEFLD KHA PEX2_037110 MRVETCHFCSRPAFPSKGITFVRNDARQFRFCRSKCHKNFKMKR QPRKLKWTKTHRAARGKEMIVDSSLLLSQFSKKRNVPVKYDRNLMAATLTAMERVEEI RTRRERVFTKRRLAGKLARERQREADRKVVNEGEHLIRKELRERQEGLPMVAEQSKVS NRVHGEERIRQKQKSKLLVDGGVEEQMDID PEX2_037120 MASADETLVAATAVLQNLARDESIPGSSSPPFDFQLSNGNKSAK LPGEHSPAKAAFEAELEALVRRVHHLEFQAVSHHNFQQQADPHQITPSAEETNERNFL WTFGLSRLSSGQDSDSLSQQQKAIPVPAADEPPLGEIDDESDDEDDNGTRVVREEDIS FLRNHVQKQAEEISSQKDIIAQVRDELQKQEEHTRRALTKVENEDVVLLERELRKHQQ ANEAFQKALREIGGIITQVANGDLSMKVQIHPLEMDPEIATFKRTINTMMDQLQVFGS EVSRVAREVGTEGILGGQAQITGVHGIWKELTENVNIMAKNLTDQVREIAAVTTAVAH GDLSQKIESRAQGEILELQQTINTMVDQLRTFATEVTRVARDVGTEGVLGGQAQIEGV QGMWNELTVNVNAMANNLTTQVRDIATVTKAVAKGDLTQKVQANCKGEIAELKNIINS MVDQLRQFAQEVTKIAKEVGTDGVLGGQATVNDVEGTWKDLTENVNRMANNLTTQVRE IADVTTAVAKGDLTKKVTANVQGEILDLKSTINGMVDRLNTFAFEVSKVAREVGTDGT LGGQAKVDNVEGKWKDLTDNVNTMAQNLTSQVRSISDVTQAIAKGDLSKKIEVHAQGE ILTLKVTINHMVGRLAKFATELKKVARDVGVDGKMGGQANVEGIAGTWKEITEDVNTM AENLTSQVRAFGEITDAATDGDFTKLITVNASGEMDELKRKINKMVSNLRDSIQRNTA AREAAELANRTKSEFLANMSHEIRTPMNGIIGMTQLTLDTDDLKPYTREMLNVVHNLA NSLLTIIDDILDISKIEANRMVIESIPFTVRGTVFNALKTLAVKANEKFLSLTYQVDN TVPDYVIGDPFRLRQIILNLVGNAIKFTEHGEVKLTIRKSDREQCTTNEYAFEFSVSD TGIGIEEDKLDLIFDTFQQADGSTTRRFGGTGLGLSISKRLVNLMGGDVWVTSEYGHG STFHFTCVVKLADQSLSVIANQLLPYKNHRVLFIDKGQNGAQATNVMKMLKKIDLEPL VVRNEEHVPPPEIQDPSGKESGHAYDVIIVDSVDTARVLRTFDEFKYIPIVLVCPLVC VSLKSALDLGISSYMTTPCQPIDLGNGMLPALEGRSTPITTDNSRSFDILLAEDNDVN QQLAMKILQKHNHNVFVVGNGLEAVEAVKKRRYDVILMDVQMPVMGGFEATGKIREYE RESGLQRTPIIALTAHAMLGDREKCIQAQMDEYLSKPLKQNQMMQTILKCATLGGSLL EKSKESRISSSGEMHPNYSMNENQQPQPPQQRSKQQPLPSPRPVVDSRSITSSGPITR GSVVSPSEEKEEIIDERALLRSNST PEX2_037130 MASDLTNQILDALSASDAPILSSDAFPSTPSLNVKSALDRLDSR QMVAYETLEKEIVTLTAEGQEIAANGSHEAKVFAVVLAAMDGLKISDLPGIVGKENAK VGQGNAFKRGWIKKDKDLLRASADSIVDETQQQLLAVEKTKTLEDKKVLADLKRRKLI GLAKQIAFKITKGPKYAREFVKEQTDLTPEMIANGSWKTAHLKPYNFNAKGAPTPCGT LHPLNKVRQEFRNIFFDMGFEEMPTNRFVETGFWNFDALFVPQQHPARDLQDTFYISD PAVADGPREDPPNDPHRPAQLKSEKPLDYKKYWDNVREVHEHGKFGSIGYRYPWSADE SLRLVLRTHTTSISTYMLHKLAANPRPARFFSIDRVFRNESVDATHLAEFHQVEGVIA DFGLTLGGLIGFMEVFFAKMGIHKLRFKPAYNPYTEPSMEIFGYHDGLGKWVEIGNSG MFRPEMLEAMGLPKDLRVYGWGLSLERPTMIKYAVSNIRELLGHKVDLNFIETNPAVR LEKD PEX2_037140 MSSSNRDSELAVPRPSSSVLLISPKNEILLLHRVKTSTSFASAH VFPGGNLSSQDGECPPPEDLARHEDSPSYRSAAIRELFEESGILLAKDRNSGKMLAVD EPTREAGRRLIHQNKTTFHDWLKQQSADAEPDIGQLIPFTRWITPTNVPKRYTTQMYL YFLPLPVNLDKKLLDQLPAEGEHEEHHIPTSDGGIEVTEAQFLPASEWFLLLHLVSGF LDREPRANASVEEMEKRRQALVEFVHSGSPPWTDKCISPKMLLMTRDGRSVLGLNDPG PELKGSSKRGESERVVLVRFKKGSAREVAVGWKKDVLQEEREKKKPSTSILTVARQNL NTKPAKMENDKGEIVDLYVPRKCSATNRIIKANDHASVQLSVGKVDENGRYTGENQTY ALCGFIRARGESDDSFNRLTQRDGYLKNVWAASSQR PEX2_037150 MPITAGDAAPGAAMKAEITDYAKALEVLETYTTRDGLDADTLLD SDKHGALTYNDFLILPGYIGFPASDVSLDTPVTKRISLKAPLLSSPMDTVTEHNMAIH MALLGGLGVIHHNCSPEDQAEMVRKVKRYENGFILDPVVISPTTTVGEAKELKTKWGF GGFPVTENGTLKSKLVGMVTSRDIQFHTDLNEPVTAIMATDLVTAPAGTTLAEANEVL RQSKKGKLPIVDANGNIVSLLSRSDLMKNLHYPLASKLPDSKQLIAAAAIGTREEDKN RLKLLVEAGLDIVILDSSQGNSMYQIEMIKYIKKTMPEIDVIGGNVVTREQAAALIAA GVDGLRIGMGSGSACITQEVMAVGRPQAASVRSVATFAARFGVPCIADGGIQNVGHIV KGLAMGASTIMMGGLLAGTTESPGEYYVSNEGQLVKAYRGMGSIAAMEDKKAGGDGKD SKASNAGTARYFSEKDRVLVAQGVAGSVLDRGSVTKFIPYLIAGVQHSLQDIGVKSLT DMHDGVNNGSVRFEMRSASAMTEGNVHGLHSYDKKLYS PEX2_037160 MESSMGEHGAFKPAPAAQSQNKPGESTKLEGLHVFAEYAGSRKL KGKNALITGGDSGIGRSVAILMAREGADVTIVYLPSEQPDAETTKRTIEQEKRACLLI PGDLRDRNLCRHTVDEHVKKYGTINILVNNASKQYLCAEFQNIDLDKSEDIFRTNIIQ MIAMAKFSVPHMTRGHSIINTSSVMTFRGSGTMIDYAATKGAIIGFTRSLATHLIPKG IRFNAVAPSAIYTPIQVDTRDPQQMEGWGHKDGLGRPGEPSEVATSFVFLASADASVY YGQVLHCYPLGD PEX2_037170 MASLVSQPRYIYKIVPSTAPVREPIPERLTVSELDKADGFIHLS MAHQVGGTLKAFFTEEPLVYVLRIEYHRVIQDIRWESPDGKVSGPRPGEGLFPHLYNG LKLGREEVESVAIWQNDEGWDKALIAGKPWLLY PEX2_037180 MMSTQFPSLKRAQTDLPSQSTSPLRHGSTASTNSSAYSIASSSF APSRTSTVSSNASTSNKFGHLRGKSEASSISSGTTVTDAMERGSWSNAGTAYDNIRRS LRPLPQAPNTSSSTVNTGGYRHSRSQTIDESRPWKDYRPGTPESRQVHLKENQSPRHQ THPYEPQSPPPPTSPRNWSPVPGTSRPSSPQKTVLHSAHTPQLTAALSAPELETLQKS STGHLRTLSKFAQSGENEFSAAAASVVGLQGRRRLKRADSVAGRNGAVSPEKPKVSSW AAGNWMDQQRQFIQAYEYLCHIGEAKQWIEDVIQKQIPPIVQLEEGLRDGVTLAEVVQ AMYAGKALRIFRHPKLQYRHSDNIALFFRFLDEIELPELFRFELIDLYEKKNIPKVIH CIHALSWLLFKNGMLDFRMGNLVGQLEFEHHELEKTQKGLDKAGVSMPSFAGMAANFG AEPEPEPEPEPVESEEDRIDREMHENEASICDFQAQVRGAMMRLKLGNTMNDLWDFEP LLIELQSRLRGDWARQISEYRLSMLQFAVGLQAISRGFIVRRTQKGDKQWRQSQETDV LQLQSLIRGSRARAQASHLQTRARREESGIKQIQAAIRGALQRMNVGDQYEESRHAED NVTSLQAFIRGALRRKQIDAEAQELKRVGSSVKSVQAAIRGTLQRRMMNAQSHEVKEV GSSVKSLQAAIRGTLQRKQMDAQFHELKQVGSSVKSLQAAIRGTLQRRQMNAHSHEVK LIETPVKSLQAVIRGSIARQNLSQMKVLLNNEASQIVCIQSTIRALIARERQTLLLEA LEETENECAALQAIARAAAVRKNASAIRSELAEHVLPVIDLQTILRGQALRESLDAQR TALLDEEPSILELQSRIRGVIHRQYLFAQRDELEQHEDAIVDLQALARAAVSRMKVGD ILSELEDNEEEVVQLQSLSRAMLARVEVGEILTDLEVEEDFITDFQARIRGHIVCNRF EERRQHYNENMEKVIKAQSVIRARIQGQAYKSLTSGKNPPVGTVKGFVHLLNDSDFDF DEEIEFERLRKAVVQQVRQNELAEQYISQLDIKIALLVKNKITLDEVVKHQKHFGGHI GNLLSNTEISSKDPYDLKALNKTSRRKLDQYQVFFFLLQTQSQYLARLFRRLRELNTS DKEYERIRHLMMGLFGYSQKRREEYYLIKLLARSIKEDIESFTSLPEYLRCTSFWNKL FASYAKSSRDRKFMRDVLGAVVKESVIENPDLDLESDPIQIYRSAINNEELQTGQRSR RQPDLPREEAIRDPETRETFIQHLQDLRDIVDQLFLGFEDFLYRMPFGIRHLAQQMYQ NLLEKFPGEDPGFILQTVGHWVWKNYFQPAVLEPEKYGVIDRGLTQEQKRNLGEISKV FAQIASGRLFGAENVYLQPLNNYIGESIQRLGRIWGQMISVQDAEAYFDIDEFNDLYA KAKPTLYIKMSDIFSIHQLVASEINYMCPHPDDFLKELVRELGNVKSNENELMGVSST EINLTLNPKLAQVEDPEADVKTLFMETKRCILYIIRVQTGANLMDIMLKPPTAEDEER WHTLVREELNTNNPRRGAYSEANSLIDISAMSYSELKGIALENILRLEQNGKISRHNH YQDLLNAIAIDIRTKHRRRIQRERELEGARLTSQRLSGQAVYLDQQLKTYNDYIEQAM ITLQNKKGKKRFLMPFTKQWDHQRELQKSGKIFKFGSYKYSARNLADRGVLVAWKGYS ERQWDRVDLTISSNEVGVFTIDGSSGVMMIPGANAQVPLDDLLQAQFNNTQFMDFFDG QMRVNVNLFLHLIMKKFYNE PEX2_037190 MDFSNLKEQVSNISLYDLKAGVRKVQNAVMNYTEMESKVREATN NEPWGASTTLMQDIANGTHSYQLLNEIMPLIYKRFTDKAAEEWRQIYKSLQLLEFLVK NGSERVVDDARSHMSLIRMLRQFHFIDANGKDQGINVRNRSSELVKLLGDVDQIRTER KKAKNNRNKFSGFEGGMGAGSGMSSSGSGRYGGFGSESMGYGGYSGGVYGDGGGFGGE SGGQAGVDFQDSGRRSNRFDEYDEYDEGDAPARSREPAVRAKPQAKKPEPAPAPVADL FDFGDDEPVTTTASTSTGKQPAGSALNILDPSPADDDDFDDFQSATPATQPTQSSNQF GILPPASTASTSSNTQFVAPQPISASQGANINGLVGFKSATPTPLSQMAPMQQQKPTG YQAPTPNYFTSVNVPQHSGSSHGATSSMSVASPSSTANKSASAAAKKPSGDAFGSLWS TASASAGIQKSNTGINKGPNLASMAKEKASAGIWGAPAPSSTASAPSQPQHKQQSSSA FDDLLG PEX2_037200 MMSSPTSSQNVLSTNNLSVLPPILTDGTVKRSSTHPKDRLSTYS NVSLASQNRSRPGSHVFPIFHTSLPYALVRDFAYPSTHPLHYGPPPPRASGVSTPASE HRRLSDPPPSWDISRGWSTGQPSTEPQISHVHQQLPAMSFGDGPPYSEDEDLHSPVVT SRHRKKTSDMNGLVDERVMSGTGQVVNNDRGVLVGINADGSETYYVNDGDTSNDGPGG EYVTYPANEGRYSVVGYNALGGQHGYEHDPGFESEDEIPGGNRYSRDYQFAVGCPDEE MHGKAVALFDFTREHENELPLTEGQVIYVSYRHGQGWLVAEDPKTGENGLVPEEFVRL LRDIEGGLTSLNGEPNPEVTGTADISLDPTESDQISTPTQVEQVSSDVNAQSGNKATN GNTPAAALTEGADTSQSASDTGISTSKVSESADKRSSTLTKP PEX2_037210 MLIIASIVLLALYTPTQAHSWVEELTLIAPNGTFVGTPGYARGN YLRTTSGFSDTTMTYLIPPNTRANVTQILPSDKMCKDTQQDQTQSEGSPRLEASAGAA IALRYQENGHVTLPQNQPGKPANRGSVYVYGTTQPKTGEKFLDVHGAWTQDGTGGDGR GVLLAVQNYDDGRCYQINSGEISETRQAKYTHTADPLMGADLWCQQDIQLPSNAPSGK PYTLYWVWDWPTLPGGDPTYPNGKAEIYTTCMEVDLVNKVGKQATKSDYETDQDLNNA AIPSQFDALGGPISSQSSSQSSSQPSSQPSSQPTTFVTSLAATGVEPKTVTVTDWEIS TSTVFMAGSQPT PEX2_037220 MATSFISKRLRSTEEERETKPSWIRRQIVSGLQSISRRACIHPI HTLVVIAILASTTYVGLLEGSLLDTSKDPRSVAGQVDADALLQGSRNLRLGESTSWKW QAEDAWTDSQTEKPAAQHLALTTLIFPDSTNSDSTAPAADDVPIPANISAASVPYTPN LFSQFTHDASLVYTVPFDQVSELLRAVQEIPTPSVDEDEEESKKWIMRAARGPAYGSR RAVKLWIADAWNSFVDLIKHAETIDIVIMALGYLSMHLSFVSLFFSMRRLGSNFWLAA TVLLSGTFAFLFGLHVTTKLGVPINLLLLSEGLPFLVVTIGFEKPIMFTRAVLKASVD NRRPGPGAAPRPLASSTPRSIQDSIAAAIKDQGFEIVQHYCIEIGLLALGAASGVQGG LQQFCFLAALILFFDCVLLFTFYTTILCIKLEITRIKRHVALRKALEEDGITHTVAEN VASSNDWPSAGSGEADTSIFGRKIKSSNVRRFKILMVGGLILINVVNMSAIPFRNTGN GGLLSRFSSVLSPTPIDPFKVAENGLDTVYVAAKSQKQETIVTILSPIKYKLEYPSIH YAAPTDSGSFDIEYTDQFLDAVGGKVLESLLKSVEDPIISKWIIAALTLSIILNGYLF NAARWSIKDPETAPTLPVEPVVAPKVYPKFEPNEQQSTRTAEECEALLKEKRAPILTD EELIDLSLRGKLPGYALEKSMEDENLMSRVDAFTRAVKIRRSVVSRTPTTSAITSVLE DSKLPYKDYNYGLVHGACCENVIGYLPLPVGVAGPINIDGQNYFIPMATTEGVLVAST SRGSKAINAGGGAVTVLTGDGMTRGPCVTFPTLARAAAAKVWIDSEEGRSIITAAFNS TSRFARLQSLKTALAGTYLYIRFKTTTGDAMGMNMISKGCEKALDVMQKECGFDDMSI ISLSGNFCTDKKAAAINWTDGRGKSVVAEAIIPGDVVKSVLKSDVDALVELNISKNLI GSAMAGSLGGFNAHASNIVSAIFLATGQDPAQNVESSSCITTMKNNNGNLQIAVSMPS IEVGTIGGGTILEAQGAMLDLLGVRGAHSTSPGENARQLSRIIAASVLAGELSLCAAL AAGHLVKAHMAHNRSAAPTRSSTPVSSAVSATRGLSMTSK PEX2_037230 MNGGSGAMSPVSVDGSEWSGLNQYGKSDSPFSPTFPSSRPNLAT PPTSGTPSAPLSPSGPPPSLSPSTRSGNPSPPSSIAARSSSGTLAESTRDGRRYRQME EILGQHYVVLKRFLNGTARDDRGKSNKARDKLLRLSPTQFHELSTDVFDELIRRQQAS PPPGRPPRPDVPPYLPARTDFHEKRNHARQKLAALHHVRFRDLATDVFCELERRFPNF TSREFRPRPPPNMGPPSGRTSQQSARGPPSRMGRGYPSGGPPGSPFPPRTGSLGGPPS MNGDGPFPRSFQSNTMVPNKSTMVEDSDDMGPEDEDDARSDAFGLDAVLSRRGTTTTL GDSERRLLLESQTQVSILQDKIEKLEELVRSKDEELAQSSQDADSSGISHNERQEWDD LRHELETKVSKAEDLNNSLQLELERVRTEHETIERDLRSQLNDSSRGVDDAGLQARYV DLETQHHNLQAELQQQQQVTDEVRREASAFLMEMKTLSAESHSNWEREEQLSKEVHRL EADSNEWKNRYAKVKSQLRQLRTSSGGFADSRPDASLVAKEHELVQPDGLVKDIHVTK FQVSVDELLRVARFEESHVVLHQIKMVIGTVRHILQDVEYSPIPVDGSAALRAKAKGQ VSTTANNMITATRNFASSSGLSPVSLLDAAASHLCTAIVDLIRVVKIQASPVDELEDE DDEADVSQEQFPDYFDTPASQKRMSNNSVYSAISRPDDSQYPVQNGVTTNLNHGWGHV QEDHEVLELKLYVEDQTDGMVQQIQALVASIRAEDDLHTVQTHVSAISNVVANVVSAT EHLIRERTGDIALRQASEPVIAALDQCRGRLTATAGEGGDATTPEQLRELTNKLPPIA FEIARQTKDLVQRLEATSAVADEEDDFR PEX2_037240 MSNTTAFFEVQYAPAGSSTPKIGRINFKLYPNEVPKTAKNFAEL CNAEQGKGYKGSSFHRVIPQFMLQGGDFTRGNGTGGRSIYGEKFPDENFIHKHTRPGL LSMANAGPNTNGSQFFITTVVTSWLDGKHVVFGEVADAESMNVVKEIEALGSSSGSVR SAVKPTIVNCGGN PEX2_037250 MPLDTSTTYPLTRLRLDGRRWNELRLLQAQISTNPASSGSSYLS MGNTSIMCSVHGPAEGRRGDGGGGAAGSGHAVVEVDVNVAGFAGVDRKRRAGGSDRQS SRIATTLRSAFQSHLHTYLYPHSTISIHVSVLSADGSLLAAAINACTLALVDAGIPMP GLLCGCTAGMSGSASTPRDPRHDELDPLLDLSLPEEQELPSLTVATTTAVPVGENNMD EDEEAMKFPKVPSQQSIMDMHEMTMSTATSTASAAMASSTGMDMSGMDMGNSCKISML WNWYTVDACFLANSWHIKSRGMFAGSCIGVICLVLSLELLRRLGREYDSFIVRRARLR RLYLSGSSNAQSISNVPLRSEEGDANKSPSNCCGGNVDPDAAFSSAEDDVITPVSGSL QNGDSKKRASVSAAVPASAMRDVQRIEKQEAMLAPYRPSLVEHTVRSLMHMAQFAVAY IIMLLAMYFNGYIIICIFIGAFLGAFIFSWEPVNLNQE PEX2_037260 MANNDYNGEVITIDSDDDDLEVTHESRNLLSSSSSAVKIQPVDS DEEDANDGELAYKKFKERKSLKRKQDAAATRKAKMPRGDPIVGRVKKERPTSQAKRPL KQWGEVSSEDESMENNLPGYLKTRRSQFDQKHEHLKAHGLKLPPTYEDVDFSDDERLE ELQERPVFPDNTRKQEYKDIELPYSMGIIPAPIAQWLRQYQVEGVAFLHELFVYQKGG VLGDDMGLGKTIQVIAFLTAAYGKTGDERDAKRMRKMRRSDDNAWYPRTLIVCPGTLI ANWRAEFTRWGWWHVDSYHGENKDLALDAAKSGRVEVLITTYTTYMNNKNAVNMIEWD CVIADECHKIKERKSGTTQSMNEINALCRIGLTGTAIQNKYEELWTLLNWTNPGVLGP VSTWKAQISDPLKIGQSHDATLSELSRARRTAKKLVENLLPQFFIRRMKSLIADQLPK KIDRVVFCPLTETQAEAYEKFLDSDIVDYIKTSTEPCDCKSGKKAGWCCRSLIPDRDP PNWQSYVFPAINVLQKLSNHLAILIPQGADPKEKQEKDRDYLELALPEQWEDLYRSRD SIVNYANPEFCGKWKVLRKLLKWWHSNGDKVLIFSHSVRLLKMLQMLFHHTSYNVSYL DGSMSLQDRAKAVDEFNADSRQFVFLISTKAGGVGLNITSANKVVVVDPNWNPSYDLQ AQDRAYRIGQLRDVEVFRLISAGTIEEIVYARQIYKQQQANIGYNASSERRYFKGVQE KKDQKGEIFGLKNFFGYQNTNIVLRDIVNRTNVAESRAGVEVVDIDLKAEEEGYDYTD RPMKSEDEAMSQLASMICGDEDEKDNKPSANLPTPHKHDPVQAILASAGVEYTHLNNE VIGSSRVEEDLSRRAELAQDDTTGDRQVFMSSQPFSQPAEEPMRFKYHPPEAVIRRQF CSMARRFGFADATEFALVVEGMTQAQRRACLDQWYRERREILLSAAQNTPEGEWIKDE KVPEDMDEDEWIKDEKGPKEESPGP PEX2_037270 MAYDPRRTNSGTSTPQPPPPPPPPPETSTQADMASATQALETAN PASAADSYKLKFCTVCASNNNRSMEAHLQLSGAPTAFPVISFGTGSLVRLPGPSITQP NVYSFNTTSYNQMYEELSGKDERLYRGNGILNMLDRNRQLKWGPERFQDWVPGVPRID HLSKGDKGAVGTEGGVVDVIITCEERCWDAVVDDLMNKGTALNYPVHVFNVDIKDNHE EALTGGKAILDLANRLNEAAVAEHRVHGSEGWANGTGPARQSFDEKVPEILADWQERY PNLPALWTLAWL PEX2_037280 MAANRTIRPALIVVDMQEDFCPPHGSLAVQGGRDIASIINTLLA KPGFVARILTKDYHPKDHISFASNHPGPDNQPFTSFVTMKNPALGKESETKPQQLWPV HCVAGTSGADIIPEIDTSSEHIIVQKGMRPEVEMYSVFADSFGNCDHGTNAHSVSIDV AAALKSQGVTDVFVVGLAGDYCVKATALDAVKVGFRSWVVEEGTKCVVPAGWDAVKEE LGTAGVSTISMNDPIIRDL PEX2_037290 MLNFRRLSMPPISTLDEKSTPDANAAPLRFHDDHSLPSETLPYW LVNLPRSQWTTECPSFLRDQSLKNIQCLSTPDHLYTRQKWEQVKEITSTNRIDRFQRV PSELRKYLEYMEHIKAEYTSVMSFVVKERLGWGDGNWEDIKPRGGPFEYEEDIRIIYN DWPYGVEKDIIHLVVWTKFELEDDPATDDLTASARGAIESYVQDTFCSRVPSEQVVWF KNWKSLKSVPGIEHFHVMLHRPDMAFVREITCGDVPLIQRL PEX2_037300 MSTKVDPGRSSMEDSPPSGEDEIVEPDQGNVLSHIISQLRPGAD LSRVVLPTFILEPRSMLERITNFMAHPETLLPMSEIEDPVERFISVVKFYLSGWHIKP PGVKKPLNPILGETFTCYWDYPDGTRAYYVSEQTSHHPPKSSYFFAAPEHKIRIDGTL KPRSKFLGNSAASIMEGIAILRLMNHGDKEKGEKYILTQPNMYARGILFGKMKYELGD HSYVRCPENNLVADIEFKTKGYFSGTYNAIGGTIKNEKTGEVLYELSGLWNGEMDLKD VHTHKKDVLFDATHAKHTAPLVRPMEEQGERESQRLWYNTVQGLLARNHEIATNEKTK IEDRQRDEAAKRVDDGVEWRPRLFRPIQGGPGGPDEGEEDLDWIINAQVDMHDPEVAT KQILNIAPILQGQKESSQFLIPPHHPNRSASAN PEX2_037310 MARSSVVQEYASPSAPTATIDHKATAELQNLQIARPQGYRVSWH ANPAVEPHHFGQSHPMKPWRLTLTKQLVMAYGMHHAMDLYLARAATYEEMADFHETDY LDFLQQIMPGDIDRPEQADNVVRFNFGDDCPIFDGLYSYCSLYAGGTVDAARKLCNNQ SDIAINWSGGLHHAKKAEASGFCYVNDIVLGTLQLLRHHPRVMYIDIDVHHGDGVEQA FWSTDRVLTVSFHKYDKDNFFPGTGAREDTGPAHPLNPGAHHAINVPLNDGIDDESYI ALFKEVIGACMKTYQPGAIVLQCGADSLGCDRLGCFNLNVQAHGACVAYTKTFKVPLL VVGGGGYTPRNVSRAWAHETSILVEAENIINPTIPDSVAFRNHFGPDFSLFPPLSEMR KLDNKNTRAYLEGLVEAVHEQLRYIKGAPSVQMSFIPPDILGLREDTEKEIEEQTLLV EEEMEEYEGGGSVTSATSAAAGSGLAYTGSAGAIPRPNRRRDLERGSGYKGELYS PEX2_037320 MALPSAATSLRRSLQDPQVFITAPGVYDGMSARLALAAGFDALY MTGAGTAASVHGQADLGICTLNDMRANAEMLANLSPHTPLIADADTGYGGPIMVARTT EQYARSGVAAFHIEDQVQTKRCGHLSGKQLVDTATYTSRIRAAVQARERIGSDIVIIA RTDSLQQHGYEESLARLRAARDAGADVGFLEGISSREMARRVVADLAPWPLLLNMVEH GSTPSISAKEAKEIGFRVIIFPFATLGPALLAIREGLEKLKRDGLPGLDKELTPQMLF RVCGLDDSLKLDAAAGGVAFEGGVDMGEK PEX2_037330 MHFSNSMLLMTALTAGSAVARLHGHDRRHGHPKDVNLAQPAVTA PAQVADIEKRGGEVVATIDGKLVSWINNWFGEESTDASTEVSVAESTAVPTSTATPIV VSTTSAEVISSASATASASSSSSSSSSSSSSSSSNWASYPSDGTYSTTGFGSSTASKR VGTLDWDYVGNVGSPWGSNIIRVEEDVASEYKHVIRFENDNSKAWTVVMWNSYGPTGG LNGFWSPNAALSFTVEPGHSIFVAIDDDSQGGWGAAQGEGLPTNYVGEYASTWGEFDM SNTQNDGFSGWDVSCIIAELADLHIAGMQICNHEGEKCSSIAEGGSGAVHAYTSADQG KTDLAIAQSAGPVRLVVNLGWSS PEX2_037340 MVLQLHVWGPAFSLPSIDAQCLAAIAYCSEVLPKDSWELIASSD PSVSPTGELPALQNGSVWVSRFRNIVDYLRQCSEGAWNLDQNLDDVQKADSVAFSSFI ESRGQSLLDLYLYVTSQNYYANTSPAYGSLLQWPNQWILPPKLHGAAKTRTEHLGLSS LDLQAMEDQRKREHSAAVASGQIPSNLIQQPRDTVSKLLGRTAQTNQFRLEALTADFF EPLEAMLARSKTCLLPADDNSNPSSLDCVALGYLSLALVPELAFPWLRDAMRAKAPLL AAYTERMRSRCFGEAPVDAKHAFQPTVPAALPWRAPERISVAAVGITLLGTLADNTPF LSEVRQNRRLKQAVEADSAFSSVEKQVLSSYADSSNKDMLLSIATAVAGTAAFVGYMV HVGLLSFSTGGVEEEEEFEEDLDVLQIDPGSAADFLGAF PEX2_037350 MAPIRRYLRISKYSVLECRIYLESPSDSRWLLDSRDPVLPRVIA AVRPLVLPKLREENERLFMRKKGKPVKDVIVEDDFEVAIFLRESRTRHSLLTRNKTFH GKESQFQDLSMEVKPDHVSGDTGANPVNSADGEIMIESDSEVDLELHKIPESTYEVSA GNGRRSSKRSRTTREDQVPPEDTTGSDEKKLRFSTHYESFNIYGWVLCLLITRKGDKT RTDAVVSESNRQPLMEEWISTQAQASVDDE PEX2_037360 MESYSTLLKRADACDSGNEFDGRMGLRISSIFVIMVGSMFGALF PVFARRFSKNSGFPKWAFFVAKYFGSGVIIATAFIHLLAPAEEALTNECLTGPITEYS WVEGIILMTIIVLFFVELMVMRFSHFGQGHVHDEEGNSHTQLDDHSIINESNEPKIHM PGEDHLGHTREHHDNSDSENDIQAAEDYAAQLTSIFILEFGIIFHSVFIGLTLAVSGA EFTTLYIVLVFHQTFEGLGLGSRLATVPWPKSKRLTPYFLGFGFGISTPIAIAIGLGV RNSYPPTGRTTLIVNGVFDSISAGILIYTALVELMAHEFMFSHSMRKAPIRDVLIAFF LLCAGAALMALLGKWA PEX2_037370 MASARDLTAIPGCDESKTAIRDTVDQVGAAHSSGRSLKQQSLSD LFTIFASGFALISDGYQNNLMTMTNVLLKKEYPKEYTTTVSTRVSNALLVGEVLGQVV IGLTCDYLGRKIAIIFTTLMIVIGGILATASHGVTINGMFWMMTVSRGIVGFGAGGEY PASSTSASEAANDLTPKHRGPAFIMVTNFPLSIGGPFAVSIFLIVLVACQQAHYSTVW RVCFGIGCIWPLSVFYFRIRMLNSALYRRGAIKKHVPYMLVLRYYWKSLIGTCGAWFL YDFVTFPNGVFSGTIISSVVHDGTILKTGEWQLLLGAIALPGVFLGAILCDRLGRKRI MMIGFSGYLIFGLIIGCAYDRITKIVPLFIIFYGLMQSSGNFGPGNMLGLISSESYAT GVRGTCYGLSAAIGKAGAAIGTQAFQPIKDHLGNKWTFIIAAICGVVGILVTFFFVPD LTGEDLRVRDEKFRAYLVSNGWDGDMGEDDLQAAADQIMLPGLSNGEEKFVNQTVKG PEX2_037380 MRLTSILVAALGSIAAAAELGIEVTHAVECTRKTTNGDGVAMHY RGTLQSDGSEFDSSYGRNSPLTFKLGTGRVIKGWDQGLLDMCIGEKRTLTIPPEFGYG DRGIGPIPGGATLVFETELVGIDGVKDEL PEX2_037390 MGWVGAGVLIATVGYFLYRYPPRSWAEPCSFASPEPKPDAPIAA TENEPAQRKHGSRPSTPSIETEEEDSQSTPKASASSVPLPALAVPTFSLDSGESKTSQ PATMPSISQTTNGTAEKPSLANSNHGAPNFQPPPQPSLAPPPKPQPSPIPPKATTTGA SSLMPPPPVPRPRPATQANRLTPTSTLQPPRLSGSSLSPPPSAAASQRGLGPPSSGSR LSNSTLAPTQVSLKKSTRKVILQPGFSPLDWAALAANPKNNLRGEGLPLGLLRVTPSM LKEQHGRKGRDAWTSYNGKVYNISPYAPYHPGGKGELLRGAGKDSAQLFQEIHPWVNW EGILGECLVGILVSEHDIQTENALDAMD PEX2_037400 MSTSNAVTLFDAGAGQSQGGRKYQEDRCAVISPNQFPSNMKDNV AFFAIYDGHGSELVSEHAKHSLHHLLGRRPEFEQDDWTAAIKAALAEEDKMLLDRFEH ESAEPAISGSTVAMCCVNLTKGELVVSNLGDSHVILAERDRKTEHPYHIRRLTEAHKP STPSEKTRIEEAGGVVNNRSGTERLGSLNMSRALGDLQYKNPVNTFMDNTSVPDPASS ATSRSESRGNFLSNDPYTSRRTLHTDRRYLLLVVSDGVTDRVDDTNLVRHVMKLSMRG KRANEIAQEIATSSANKPHSDNATCIVAMLDGQGS PEX2_037410 MAPKEWSNFHVGRECGHQKWSRQNTPKFTVSSGETVSFDAIDSS NGQLDTSSEASAIKTLDLNRVNPVFGPIYMNDAQPGDVLKVEVLDLQVADWGWSAIIP GFGLLTDEFPEPEIKIWELNREAGFAQFKNMRIPLRPFLGCMGLAPANDEELSTVPPT NAGGNMDCRELSVGSTVFLPVQTAGALFSCGDGHAAQGHGEVCGTAIETPIRATLRFE LLKNQPWMTAPQFQTPPRAQIPNPLPDLGTYGALGIAPDLYEATRSATRNLIQWLVQT KGLTRSEAYILASVAGDLQIVEVVNVPNFEVAMTLPLGIFS PEX2_037420 MDPILDGLNHAQREAVTSSGSILQVLAPPGSGKTKTLTARVAYL LSHHGYQPQDVICCTFTIKASREMRERLAKLIGEKLESRLILGTFHSICRRYLVKYGY LIGLRKGFGIADSDDTRAIIRRTIKRLKSSIEVKAAQGRISRHKAHGLSPDAVADRAK AEESELVDIYRDYESALAASNLLDYDDLLLRCGDLLRDHPQCVSNVQAVLVDEFQDTN IIQYELMNLFASKNRRITIVGDPDQSIYGFRSAEIQNLKRMQQRYSNTTVVLLEDNYR SAGSILNAAQEVIEQDTSRPAKALLATHSFGTLPVLRRLPTPNAEAQWMVLEIKRCAA MTGGLLRMSDFAVLLRSASLSTQIETAFGRAGIPYKMVGGRKFFDRTEVKILLNYMRV VSHTGHSDALMNIVNVPPRRIGDETIKQLTSGAEKAKIPLWDFIKDVIQGRRSTEKKL QKAAEQGLCVLVKLIEASRQKLQECEDASAPRVLIEFIAERLSFQEYLIRTYPLDEDS RWANVKELMYQANEVAAFEEEEVDKEMDLPEIEGVQQQQVHSGEEALTRFLANVALAT EITTKEETKEGDQPSEKVTISTIHAAKGLEWPVVFVPAVYNGSIPHSRAEVVEEERRL LYVAMTRAQALLYLSVPIRQPRLGEGEDGATTLTPFLPPKLTKTRFRQTGPSLHEKVV YAITDILRRPRPSLDDIYKGLEFLPSTLDDRWTPEGEEDRSKFDGTATSHDASGEPNP KRRRSDMNQGPKSTTYISSTGYTMNNSTGFTIPTTVSSGFTSARDYIVANPEPSAESA SKPPTNADADAAGANKPKPLSSASRKDGLTQASISNFFAGPGSQKREPALPSHPQPPP SRRYEGPPSQNQRSGASIVPSPLSSHRVQPRPLIPTRPTLEPTNPNGYTWLAAPSKPT TLKPTRTSMTQEQGGNATTSGGTTGADEVKPESSTRGFQPVSTFHSTTMSMVQQVPRR TLGIRRSMNGWQDRMKREGGGPES PEX2_037430 MPRKLACPANSTDWKALFIFKYQVDRSVTRLLDSILVSQTGRIE KFRRVVDMGYDAKDTLLRYSLIESGEDHLARRYYAHALLTCLHRSIAIPEWAKLKHGE PVSLDRALACFDLFIPESGTGDLEEIRDSLDEILNRFVASHSDLHLFTPREKALAIAS WLGANDLTGINPDREYHSLEHNFLGLALKDPGHNSLPLVSAAIYCFVAQGVGLDAHPC GFPFHVHVIITPELGSDINGNALGGMEPGEPLYLDPFRGARETAISNLRSQLTFLGMP NMDQTATLGEASTSGVILRCGKNILNSIRVEHQNPTSRVPSIDAVSAKYAALWSVMLL SGDSRPMDLRHQLPWLMELFATDFPSDIFLVEQYIAPLFHGLFEHEHILESIHVMRAV DEIPKQIRKRTGGNANIQYKVGQVFRHRRYHYEAIITGWDSECGAGEQWMRRMGIDQL EAGRHQSFYHVLVADRSVRYVAEENIGIILPHFTELPSSLTAIAGKHFKRWDERERKF VSNMKDEYPDD PEX2_037440 MGQFHSTSQASSGASEQLDRKTDYYELLGVTRSATDDEIKKAYR KKALVLHPDRNYGNVDEATKLFAEIQSAYEVLADPQERAWYDSHSDAFLGTNGNTDDQ HSYNVRITTAEDILRLFSKFSPRMEFSDSPTGFFGGLREQFEQLVLEERLACQWENQD PIEYPSFGSGNDDFETVVRPFYAAWTGFSTQKSFAWKDAHRYSEAPDRRVRRMMEKEN RRLREEGIREFNDAVRSLVAFVKKRDPRYKFNAQSEAQRQETLRQSVAAQAARSRAVN QAKMRDHVLPEWAQSEQPVADDDQERSEESEVESFECVACHKYFKSQKQYEAHERSKK HLKAVKQLCWEMRMQNHELDLEPADDSSTNAVTTKPLGVDDGKDWVSTTSEVDLEPST SVKSHDIPADDRGSSHDLEEATISELDTPTSSHDDDYASREYVETRLRTDMDSLSTGA GDSSGVSSHPFSSDLTGQSPTLKMGKAKQKRAKKAAKQADQPTAFICANCQAHFTSKS KLFDHIRDLDHAQPLIGSVAKKGRKR PEX2_037450 MQQEARNTETHQRSWSDSNLRHKAVQFVSAGSLKPTLEPTLELA GEEQAKPNTDREEPKTDNISNKNADLEVPFFFDSKGQGVVHTDHPDPVLQSKLSDIDD SSEDEVVFTGRRRNTKPVLIETHQNEIQEVVQTTTVVVMQKSHTPTLDPTPRDASPAA SLTDNLEPNTERPDWPLEEETDPLADYIANIDNDYHEEVTSGAKIDLEGGIDVEKAAT QLDLSASSPTGSKIESPRSLTQMQIDTEHDSIPSSSEDDEDDMVISGSDDDTLEDFVL LEDLANGYSGSTKKGSRYGKPSFPSASAFADALESDPYFGFDIMDFDRASLRKKPKGK QSIPDLVLSDSEFEVELQEAWQNDRTKKKLRKIERAELRAQGLLGRKAGNPDLKVKYP KEMNMEEFMTEIRSFLLSPKNSLSLPPMTKQRRKLIHELANALNLKSQSRGHGISRFP VLNKTARTPRYTPKTISNVDDILSGRKFNRRLFKSWGTEVPRFPKTQRGKSGAASYMD GDVVGASAPEIGAENRGRAMLEKMGWSTGTALGAADNKGILQPVAQVVKNSRAGLG PEX2_037460 MTVDMEKCKRIVQYFWDPEPRNDVPAASIWCLGREYAPPQPPSD PATNNPYSPSRQPDASTLNDTAWPKAFLSDFGSRIWITYRSNFTPIPRTKSLEATSSM TLGVRLRSQLMDPQGFTSDTGWGCMIRSGQSLLANTFSVLLLGRDWRRGEKVEEESKL ISMFADHPEAPFSIHQFVNRGAESCGKYPGEWFGPSATAKCIQLLSTQSKAPQLRVYV TNDTSDIYEDKFAHVSHDRSGHIQPTLILIGTRLGIDNVTPAYWDGLRAALTYPQSVG IAGGRPSASHYFVGAQDCHLFFLDPHTTRPATSYRPDGPYTQEELDSYYTNRLRRIHI KDMDPSMLIGFLIQDEDDWADWKKRIQSTPGQPIVHIFPSQHQPDYGHGRAEALDEVE ALDDSDEME PEX2_037470 MPPKAAEKKPSTGGKAPVGGKAPAEKKEAGKKTATAATGEKKKR GKTRKETYSSYIYKVLKQVHPDTGISTRAMSILNSFVNDIFERVATEASKLAAYNKKS TISSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSAK PEX2_037480 MTGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHQNLLPKKTPKAGKGSQEL PEX2_037490 MGRARYGSAFSLFFHQFPGCTLCLRTWNDTDDLNSLEGFQLSDT SPGHEPKSMKTPGLSSVKKIYLLPFVL PEX2_037500 MSTSAVKSLYRRSLKLSLDWAVHRQVWRGQAVYIRSLFEANKDV RDPRQQQVLLRETEKLLATWKHPDPYRAPTAPGGNKWERNLPARILPYAQPPGAH PEX2_037510 MASRISIARLSSQRFSAVARTTPRASSQLRNVKGLSTLTRKTSA RSASGLLQVSSSGINVSRLNLAPLGGHQLRTYADSIIKVPSMAESITEGTLKQFSKQV GDFVERDEEIATIETDKIDVSVNASESGTIKEFLVNEEDTVTVGQDLVRIELGAAPEG GKKDEGAEKPKEPEPKKDAPPAPAETEKPKEPEPKKAAPPKEAPKAESKPQAAEQPAL GGREERRVKMNRMRLRIAERLKQSQNTAASLTTFNEVDMSSLMEFRKLYKDDVLKKTG VKLGFMSAFSRACVLAMKDIPAVNASIEGPNGGDTIVYRDYVDISVAVATEKGLVTPV VRNTEGKDLVGIEKAIADLGKKARDNKLTIEDMAGGTFTISNGGVFGSLMGTPIINVP QTAVLGLHAIKDKPVAVNGKVEIRPMMYLALTYDHRLLDGREAVTFLVKVKEYIEDPR RMLLG PEX2_037520 MAERNPGIRATVDLLILDYMVCMCISEILGAIHQARPTEDIEWF ALLVEQFHRRLLGHRLDGPLPWDLNFKLRIFYLSNLFLHWDPPKDRDLGHFVPLSDIA VQFMDFCQSAVAHVSRTRWLDLGAHFMIHAILEEEARFPDQLHRLCNWRTNDSELDIW WEVSRTMFLEHMPPPFGTADPKSREELNEVCPLQLLQHRYVDFFEDLMEVLDAPLLLQ LEHGQLEGLTREETQRVRDYCGL PEX2_037530 MLNLRIKPKFSLSKATQATMAWYCSGSTNTELIENLFKAGLIHN ERVKDAMIGVDRAHYAPSRPYSDSPQPIGYGATISAPHMHGHACEYLIDYLKPGARVL DIGSGSGYLTHVLANLVTSSSSNAQGQVIGIDHIPELTDLARTNMDKSKQGSELQTST TVKFITGDGRLGWKEGAPYDAIHVGAAADKLHPTLVDQLRAPGRLFIPVESEDDESAM DSLSGGQYIWVVDKKEDGSIRKEKVFQVSYVPLTDAPRG PEX2_037540 MLISLDSLTAFLACLSAGYALQIPSDTPLSELISSAKAHLAQGS PRDAVVYFDAAVSRDPTNYITIFQRGAAYLSIGKNSQASSDFDRVLELKPDFEGALLQ RSRLNARSAHWQEALQDLERAGKKSTDDYKELEAARDAATLALNAEKQGAWETCVSEA NIAILKANTALPLRQARAHCHFEKGETEEALSDLAHVLQMSPSLVEPHLQMSSMLFYS LGDSDRGLAQIRKCLHADPDSKPCNRLYRRERKLAKQLEKLHTALGARKFSNAANLMV GDSESSGLIADIKADVEEARQANHIHHLAPNNLYTFLVEKTCEAYREMRMIKKAGPYC AEALQLVPHSLAGLLYKAQTALDEDRFEDAIRTLESAKEHHPSSQEAQSLQQKAQTLL KRSKQKDYYKVLGISRDADDRTIKRAYRQLVKQHHPDKANAQGVSKEEAEKKMAAINE AYEVLSDSELRTRFDNGDDPNDPESQQRGSQFQGNPFGGGGQQFFFQQGGPQFQGNFK FPGGFR PEX2_037550 MLVKSICRSILWPKIGLKLSPLRGVHTASDSTLSPALLTRARLL AAEHTKLAKRLGESFDAKTAKRAGELAPVANILKEWINANDSITELKSLLTDPNTDAE LRSLATEDLESSRDALPTISDRLKKALIPRHPFADLPCLLEIRPGAGGDEAGLFAFEM LRMYVAFCSRRGLRPTILKQDTEVGPSDDRLSEAVIEIEASGAYDILRTESGVHRVQR VPATETKGRTHTSAVSVMVLPSFPEDGSEMDNALNFEDPNSDYYIDPQEVRVEKMRAG GAGGQHVNKTESAIRLTHIPTHTVVSMQDERSQQANRRKAWQMLRAKLAEARQEAREQ ELMQLRRGILGGVAKMGRGDKIRTYNFGQSRCTDHRTGITIHNLDSILDGGDGLETVM DSVRTWMADSEVEAMVAEDMGKAKSK PEX2_037560 MATVNKDQKAKPSALRSILAGSTAGAVEIAITYPAEFAKTRTQL NRQLTQGQKLPWPPFGSQWYAGCTTLIIGNSLKAGIRFVAFDAIKSVLQDENGKISGP RTVVAGFGAGFTESLFAVTPFESIKTQLIDDRKSANPRMRGFLHGSKLIFKERGVKGF FQGFVPTTARQAANSATRFTAYTTLKQFAEGYTAPGEKLGTAATFGIGGIAGLITVYV TQPLDTVKTRMQSLEASKHYKNSIVCATRIVKDEGVLTLWSGAMPRLARLIMSGGIVF TMYEKAMDGLDILDPERKYL PEX2_037570 MADALAAQLGNTKLEGTSEQRLQDTLNLPPKDARPQTEDVTATK GLEFEDFYIKRELMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAAFVI PTLERINPKSTKTQALILVPTRELALQTSQVCKTLGKHLGINVMVTTGGTGLMDDIIR LNDPVHILVGTPGRVLDLASKGVADLAECPTFVMDEADKLLSPEFTPVIEQLMSFHPK DRQVMLFSATFPLIVKSFKDKHMRNPYEINLMDELTLRGITQYYAFVEEKQKVHCLNT LFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMLQQHRNRVFHDFRNGVC RNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINWEDR FNLYKIEQELGTEIQPIPQNIDKKLYVYDSPENIPRPISNPPPQTGAGSVNMNGDRQP RRNNHNGQPQIQQHGQQHSQQHGQQQGQQQGQYYNNRGRGSYRGRGQGQRRGAQNDAN RITFGQPNPGQPQAPIS PEX2_037580 MPSRKRVQVSADSDEESDGSSQPALNSSRKRQRTNSESSTNSES STSPGAVTNTAGSLLSVNDDALLDDEDELEFRQTQIIQEKYSHLIDEANVPAEHGILE RVECYNFMCHDHFYVELGPLINFIVGKNGSGKSAILTAITLCLGGKASATNRGQSLKS FIKEGKENSTIIVRIKNQGDGAYLADDFGKTIIVERHFSRSGTSGFKIKSENGRIVST KKGELDAITDYFSLQIENPMNVLSQDMARQFLSTSSPAEKYKFFVKGVQLEQLDNDYR LVEESLDSIEEKLRTSAQDVQVLQNRKELAKKKLDISDQHDSLRNRIRNIRGQMAWAQ VEEQERMEISLTEQIAEADQKIAEAQARLSRFDAAFDEVAMEETAAGEHSWRAAVAVN EAQDERDKIKEKLDAEMAGRHDQQAEQRQIREYLKAAESRIQETQQKVDDENQRLADV SDGGYARKQDECEQAANDASAAQREYEEHRQGAARLREDLDTAERDLQEAKGPLEQKE REITQAENQLQNLAKEGGSRQSGFNVRMPALLKAIGQEKSWESTPVGPIGHHVTLLEP KWSSILERVFGGTLASFIVSSKNDMKLLFDIMRRAQCNCPIFIGSGGRLDTSAYEPDS QFNTVLRTLVIENELVRRQLIINHGIEQMLLVEDVNEASAVLFDGARPRNVKRCYSID PKDRRRGLHLSYSRAGEPSQAPVMGWTDGPRMKSDVALQINAQRDIIADLKRQQKDIE QSLATAHSRVAACKQALDRHGRTEKDLQIQMQRMEDHAEGLRDALDKENAEDGRIDAL RAALKEAEDEKQLNEGSYKDSEAAMKATLQTLKEIRRELSAKDSELTTLREKLQVAES EQNLVKTKQTRILDEKNEAVGLISQDKQTKAEIEARKEVVKARVIEYNEKANLVSSRV PVDEGETPGSLDKKLDKLSRDLARYNLELGSSREEIATDATRTAATYDLALKQLEQFS ALSQALKDTLQNRKKRWEIFRSHISSRAKAQFTYLLSERSFRGRLLADHTNKLLDLQV EPDITKDDSTGRGAKTLSGGEKSFSQVCLLLSLWEAMGSPIRCLDEFDVYMDHINRKM AIDMLMVAARRSIGRQFILITPGSKTDITISADVRVKELAEPERGQTTLTFRR PEX2_037590 MVTSTPVSSFALKFPNTVMQFSNLPLRIRPSRLFRPFHSSVAAA KTPDVFANSLRKTLEAHRSTNRSRLIRKIYPLEDPAGLWRPEIPPESRADYQPPVEPT LPNLEYSPGTSDPVSKKRKKKRKSHVDISSHQSLINRHGEDAIRPAGTGRPTQSPWLR NLELPRANAEITLDAEIRALEQYLTPRAQEQDRVEKLRAEIASLLKAVVPHAPRVIGS HCTGLVLAHSDLDFILPYEDLPRSLERDRRPSPTRPQIQDAHIRLLRQVQRALQHTDT FGDHVKLSDKRNPSLSARHQPTGLLLQFYCGEGIPAITEYLQDYQAEYPALRPLYAVT RTLLEARDLFGSPQASIGPDALAMLIVAFLKMNHGRFPGPNTLGDQFLALLQFYGTQI DLQSIGVAVDPPGLFSADMLPVAPDADEPAHQRGQRSLISAKRTAAAKRNFIVAQRLC IQDPTHYMNDLGRSCTRTSELQSAFAAAHQQLRHLCDEWVDDGNIKSSSVLATTLQAN FDGLEIVRNQLAYL PEX2_037600 MSEPESPAQVPDDQPNPVLVGPGLKALKNEAYTEATNATPSLEG MSSEAPSYFDNLPGADAVTADPSETKPQPVQTAGRASSGTDLLRRLSLKSDSPMSPEA DPRVQHPGLRLSGRVISAAFCIPYKLNFRSGSDWTLKSRSGTSALFDSFAHLASDETS WSHTLVGWTGEVEPDMDVKSPLQQINPNPAVKAPLTPSNLVANQPLNKAAAPVPVDGT KKAPAHPLVEGICVTQQDRERLDEQLSSGRHGRVLPVWLSDASEEPEETILLKDQGRW RRYAERELYPLLHYKQHGPTDGRSERRWWADYVRLNQLFADRIVQEYQEGDVVWVHDY HLFLLPSLLRQRIPNIYVGFFLHSPFPSSEFVRCLAKRKEILEGVLGANMIGFQTFSY SRHFTSCCTRVLGFESNSAGVDAYGAHVAVDVFPIGIDAHSIQKAAFGAPDIEKTVLG IRKLYAGKKIIVGRDRLDSVRGVAQKLQAFEIFLERYPEWRDKVVLIQVTSPTSVEEE KEDPENKIAGQISNLVSTINGRFGSLSFSPVQYYPQYLSPREYFALLRVADVGLITTV RDGMNTTSLEYIVCQQTNHSPLILSEFSGTAGTLPSAIHINPWDMVGVAGAINQALNM PAEQRKEQHLKLYKHVVTNTVSMWSRQYLNRLLTNLSSFDQSVATPALDRAKLMKQYR KARRRLFMFDYDGTLTPIVKDPQAAIPSDRVLRTLKSLSADPRNAVWIISGRDQAFLD EWMGHIPELGLSAEHGCFIRKPGSEDWENLAESSNMGWQKEVVDVFQHYTERTQGSFI ERKRVALTWHYRRADPEYGAFQARECRKALEDTVAKRWDVEVMAGKANLEVRPTFVNK GFIATRLVNEYGTAPGKAPEFILCLGDDFTDEDMFRALKKFDLPRDHVYSVTVGASSK QTDASWHLLEPADVIGSIQMLFSSGNQDH PEX2_037610 MTEEHREQKNESIDPSHIHHSSDEESTIGYEPNLSHVPTNTSAL NRTLSAVRTRESGKDLGPPPDGGFQAWSQVALAHFVIFNTWGYINSFGVFQTYYSQTL GHQPSDISWVGSIQIFLLFFIGTFSGRATDAGYFTFTLSCGAILECFSIFMTSLCTKY WQLFLAQGVGQGIGCGLMFCPTLALVSTYFAKNRGIAIGIVASGSATGGLVFPAVIMK MLPQVGYGWTIRTLGFISVATLTPCVLFLKQRLPPRKSGPIVEWAAFTELPYLLFAIG MFMNFWGLYVGFFYIGSFSRNIIGVSNDRSIDLLLVMNGVGLVGRLVPNLLADQFTGP LNLLIPFSFATALVGYCWAAVNGMSGLWTFATFYGLFAAGIQSLFPATLSTLTADMKK IGVRMGMILSVVAVAALIGSPIAGELVVRDDGNYLYAQMFMGSAIFLGGLTLIGARVT KVGTGLARV PEX2_037620 MAPRGRGGKFSKPTRGGGKHFSRDLQPLDKDGNQMGLWREPGEQ IKSSGDEDSSSEEESSEESSEDESKPTESSTAAGTREERRAAAKAKKAAALKKRGQGP AQPGDLPPSDSEESDNELPANPNHTAKSRSQTLKAVEEPAAEPKKPAKVKDISQLSRR EREAVQAQQARERYQKLHAEGKTDEAKSDLARLAVIREQREAERLRKLAEKEEKDEQA KERADAAQEREDRLRAAASGKTAKKVGGKKK PEX2_037630 MNPHISVPRQHAGPANFGSTPATQSSVRMPRFFKRLFKFPQMDF EMAIWEMTSLLIAPKKVFKSIYYHKQTKNTWHRPDPSFTYLLSFFLVLTAFAWGLAYR PGFGAIIRLTFLFVFVHFIGSSLLVSTIGYFIIGRLFGPNGAAASLAGLRGSRGRRRG AAQGLFTQPGEKEQLEFGYCFDVSNRAFFPLYLHLYVVQFLLLPLLTRSPSDFLATFL GNSLYLSALIYYTYITFLGYNALPFLHNTELLLVPILIFAVMWLVSLIAGWGIVMQGH SVKGLFWGV PEX2_037640 MSQQREAYSPPHTPPSQTARYNPSKRGYPARPSIHDAFPDVMDN PTRMSESGDDRDPHDLSLSPQHATRTSIVDNMLLSLDQFAPSSSFLDDYRLFNSAFES DNHSHYSQESDSHGRYRGHTFSSSMSSEPDYGYEDGNDRYATITAAKNRRSNSSSNHQ TTPRQMGSSRGGTGTMPRTNTARKGSKGSSSSTVDYSYTIPRARTDHGLGRRSASFDC GPKRAFAPYADSSVGQDSTLYDDHDAAPTPSVPAGPRKVHDYSRTPVASRRNSMKSAH APQIRKVRPENIGTGTLRTRDNDFPNMDDTDLDPPPAITASLDPPAPSPTISYNKPAF PPPEPTPPTTISNNISRNPVTSTKERPGFFRRVFGSKIPAPGPADAASINANDLSHLQ ETESRETNGTASPRVRSQQAAKSSAGASPSSVCTGPHQVVNKKSSFFRRRKRSVPEHA PPPIVIPQHLAPQTMDNVMAPSPVSSLRQVMNPYLDEELDRKENADWEAKIRQPAFLQ AQKKRESVSAPGAPKTKSSLQPSTASRGQDLSPLAEKDRSSTGEVSTDFALREQSQNV AASATLSPVAEDFSRSIKLQVDVPQINVARLVLPSEDGVCESPVDSVSTASNYQTAAN TPLIEQEESQLSDDTEDQTDGPGEATEDGPTAADREQAQRLFDSQDQVVGNEPAAAWL GDPDRAMVREAYMRLYNWSNLNIVASLRALCDRLVLKGETQQVDRVLDAFSTRWCDCN PSHGFKATDVVHTICYSILLLNTDLHLADIDSKMTKSQFVRNTMPTIHRVAMDAAPEG FETLRPVNRSKTQPQEAHSAPTSARSATFPPEVTYSSFDKDGDANVDAGPLVNTPFTG TVRAWEQQVEAVLKEFYTSIQKQRLPLFGAQPEREVSRMSSNNFLGPSSGTLRRSPST ISKSGSDIFPRGRSANSSHGAARWSSKPRSRAGRLYPPSMMGSSRTSLDDQSSVWSPT GSSTWSKYSLGKFTSASVDSFGSDFPRGEYQQSIGFANALSQAIIREDSAPSVYSYEE PERTTPLLEDDTLALAGAPWAKEGSLKHKHHLDAVDKRAKDRNWNDCFAVIQQGWMRL FSFNNSTKSMRQKTKQRGGVLVGGGNWTENAEELWKFMLRQTIASALPSPGYSKSRPH VWALSLPTGAVHLFQAGTPEIVREFVSTANYWSARLSKEPLVGGISNIEYGWSDSVIN SALIGGESRSPPPSSGARPSIQSSIRSSIDHQGVRPRLPADRVNISDWSPPQQSMVAS NLTEEEQWKALQAYVQNVEDDLSRHNELRSAMNLAFSPRHPNAAKAMANWERKSSYLL REIVKFRTYIDSLRNAIGSKERIFASTNYSQVDEEATTIEPTEAAV PEX2_037650 MSAHSPIEAGSEMQGVDTQTLGVISPVTTTVRTPSVSAFQTPDQ TLNDLSSEYFMAEDAPRGLPPNSVTQSQSEYAQTTPSELDHEDRDHEDRDHEDPEFTM TSTQLDLDDHSFIPSSVDRDESSLFHPSSENGDHERGNNQTLIEEHEMRRKLMDIESS FLPEPSTIDVAASGPTAGADDTYLVGVPKHDPTDTTQDSSQLSFIEPAGTNPVDDEEH SEEEQPNTNLDSAPSPAERHEDNSLLDSLSSSPTAAAALRRNQSTSSENAQETSQLSQ DERPFRSEFSMAENESQLTPSKLRNTSRSLSPGASHLFSDQSGSATGSRRASRPKYLT SRQSAHRLSHSSLASNNTEITHSDATLGADYALQTGGATSDNYGSLHPGSRAHMARTT SLGSMASGISGYSEENPLDKRNTPGPTDGGLHTLEEEGSPHSRAGPVDHEDTSAPATP KAKPLDSNVPTDTAIADRVKGIQIPTTFAQRFREDFQASPEKLLGASTPAFAKSGRNL TLKEQSSTIDRLAKENFDLKMRIHFLNEALNKRSEEGIKEMISENVELKSDKVKLSKD NQSLRRKIRDLEKQVKDHQSDKDSMVNHDPEGSEEGDRDSAQDEEIIFLRERVETYEL EIERMRSETIVRESEKRRLAEMVRSLSDNRAGESEAGAREEREMWKDMLDAETSAREQ AEEENRRLRDESIRLRSEMYSVTKPGQYDSLSYTSDRDGNRVPIVPNSTLVVELGLLK QENAELRKEVSAQTSMLTSRNREKERLYQEIEELKLGERRHVGRSIAGDSIFDRSASR THIRPSSQASDGTGMSRSDMDRDELEARNGQLRDLVSTLKLENQAIRAELEDYIGELE ALDKAYQADVDQAEEEMQNLQQERDQAVHMANERDAAFQDLRAEAQEELDTLGDELDQ KIVECQRMNEDLRNQDETLRVLQAEMRSASEGIIRLEEDAQNNLAAYKSVQQELEETN REMESMEKSLFEANTKVQRLTVQIESSQNEIAFLREEQDGDKIRIGDIESDLKNYHMS LVSEKEKTRELEQRLADERHQREVVGSKEKQDVQRIMNELNREASASKEEVRRLKKSL SAQEIETSTWRERLMDLENNLRETLGDLSGSRSSLITNIMKLQKELESTALDLESIRS QLDEKETLLRNRDALLESHGLESRKLSELLDRERHARRADKQSFESSLKSHQQASRTI TQSNSRITDLEKARTEDRKRYASLEQQFKDQLSERNVMFLNLWKKISGLCGPDWAHSN SLINGNLPSQEVIGNILFWPGFSRNLLLAMKTVEGVMANFKTRIKSIDHELTKRYHTL ELSYNGRIKKLERLEEALKNMRSGRGQSSSTPEMSKLRGENRLLKAELNLLQSNSRGQ GPASAAAAAVMASGPRSPSLASMAESERSLGRSSSTAVAERSRPEKGLARSVSGLPQP SHSSSSSTLTNNNTGTMIPRTRSSHSGWDGGDEKWIHRLHELEKRLKSEREGRLLDRS GARKRLEERDAENQRLRDQLSRERTRRGPSGTLADGGNRSRPPVSDEALSSSEGEGIT VDIEV PEX2_037660 MASAHGDLRHLLPGNYKRFITSWLEEDCPSLDYGGFVVGESEGE ARLLGKSKGIVAGVPFFDEVFSQLGCTIEWHIKEGETITPVQHCATVRGPIRKILLGE RVALNILARCSGIASKSASMLAALRAQGWQGILAGTRKTTPGFRLVEKYGMLAGGADP HRHDLSSMTMLKDNHVWACANNRAAADGGVADPSSIESIAAAIPRAVQAAKATGGFST KVEVECRSVEEANAAIGAGADIIMLDNFTPEGVREAAAQLKREWASKKAFLIEVSGGL TEENAPAYVCADVDILSTSSIHQGTGIVDFSLKVSLR PEX2_037670 MSAPEQAAFTFLPLGAIIQEFRVGGKNLVLGFPTQDDYVKHNSP HYGATIGRVANRIKDGLIQDLNGQKIQLKQNNGPNSLHGGEQGWGKRVFDGPHTVQRN GHDALLFKYICKDGEEGYPGTVEVRVWYTASKEGDAKTVLTAEYEVEFTGNECEETVV NLTNHSYFNIGDGPDISGTTAQLATADYLPLDSTGIPSGGVAKFPRDVTTPFTLAATG AHIDDVFVLESDPSKIPLDTRGLPLRRLAQFSNASTGLYLEVHSTEPAFQFYTGKYVD VPSINGAPAHHEGAGFCVEPSRFVNAINEPEWRSMVLLKKGQLFGCKTVYKAWKA PEX2_037680 MAARQSTPTSEHSHSDSNCDGSSPCSRCRADNAICVFGERKKAH DKVYPKGYVEMLEQQQSWLVHGLQELYRRNIEGEGWPGEQLKLEPNGHPLTHDLLMRL GALDGSKGERFEENPESLQQDLWHTNAGMQRQESSDGGSDSPQSPVPRSRFSSDAFSQ QHMPPTPPTYSPTTRAPIKTEPQPQPQMPTTPQFVQPMSMQGVVNPLALQGPQQWPSN GFNPFDEMDLMSTADYSNMPFDDQQLSSPMFNRQVPMNCLSQGSYLDSKNDYEDFNQF LNPNPTEITSI PEX2_037690 MSIGHGQDLNHHLRRWWMYDPTIEDSYSVTRNVDGHPYVLSITD TAGQEEYRGLWSASTLNSDAFLLVYDITNPSSLETLDHFMDMIDMEAEQRVEDNQRLR QELGKKSGGLGGVGDIGQIGLPPPVKIVAGNKCDLKDNRVVTSRDGLEYARKHGCGFM ETSARETVNIEETFALRRVVEARRLHDQYGARPPQCAEPSHNALPTTAVDAIAGETRS RRSRAWEFIKRRKTTEPNGKQGQEQSEIQNETEKHKQLTWGEGRRERKRNMEQAKAHL RSE PEX2_037700 MTNMNTFNLEYDEEHQDSSQPPNPSYTTFSNGNYAFGTMLPQNT DLPLQNFARAQPSDLQGPRSNIMLTYDGVPTSGISMPMNMNATNAYTYDVSATYPATT MGMPPQMDHSQFQSTYPPFAPSMTIPHYSQPAHPARNEPYDITTDSTANFEDSDFSGR TSDWSQAQAQAQAQVQVQRVQRQPPERRLPSGQPYRASQPVAIQPKKPVAAKEDFSPG LEKPEIGNTEHTGIYSTTGFDVLGALGRVAMRPKPKINIGAVDLSCAFVMCDILMEDH PIVYVSEAFERLTGYTKDEIVGQNCRFLQTPDGKIKAGAKRTFVDEQTVYRLRSTIDD RSEIQASIINYRKGGQPFMNLITMIPIQWDSDVYRYYVGFQIDLVEKPDAVRARNPDG NYSINYQRDQLPQYIVPPPDIYSSRPDLGLRYGHDEVTTILSAISAAGNDVNRHYLDR ILVENTDDVIHVLSFNGEFLYLSPSCHKILEYDPVELVDKTLSTICHPSDIGPVMRDL RAITTTAPVSVVYRIRQKHRGYMWFESHGAWHIDPNRGRRHLVMTGRPRPVYALDQIA RLGSSAALAENDVWAKISLSGVILFVTTKVKPVLGRSPDELIGKGIQELMEPEIGGTA TITQALEAACGGQGAGMTTLTGSGKETDELPSFKHQMLHKKGHYISAHTTLYTGDARK GIKPTFLVAQIRFTRPFPQSATAISAAEDNSLATLTGTAQKTTLTVDNPVPPQQYGAL DQRMPDLSTLIGLNGLPTGNRSISPSADPATFFTELNPTRGSSWQIELRELEKQNRTL GDELQRLLARRRKRKRKQGAMAVEKFCAMCNTKNTPEWRRGPSGNRDLCNSCGLRWAK QIRGQAQAQAAASSSIKVD PEX2_037710 MRSQLFSTSAAIQQSRRPSPSPPTTTIVSVPSKSEINAPISTHP APLTTPSPLSPSAGVADKLKRYVEFGRAYVTFYKTGLKNVYHNYRASLPLRRKLGLPA YIPISPPRTSTHNNKNRSAPSITKESKLGRAQFQLVRRSARDVRRMIPFTLILIVCGE FTPLIVPIFGSAITPATCRVPSQVEKERVAATARKLAALDVFVTENKDRSVHVLKAGG AEQLGLLAGCFADSVWVAGASSADVLRACAIFGLVKRHDKTAGESLAGLIYRPRLARY AEYLAIDDGMIRVGGGVRAMNATELRIAVEERGGVDVSSGTQDRKRVEELERRWLEQW LAVRGNSPKSKKP PEX2_037720 MSDHTSKRRKLSPSPENAISSSSTKQTSKVTEKRNPTRGKDERS AELAMASGFYKSSFFKLQMDELLAGLRPNYSKQVSKVQETLHQIKTAIENLPEKSPQS VPDAEKELRASGLVVPWPEPRPSKDVKYSMAYAKPANINVVGSFALKTGARTLESRPI DLAVTMPSSLFQEKDYVNFRYFHKRAYYIACVAAGIQDTANTLGFDIKFGPQDGDSLR PLILLEPRQGESSGPTIRILTAIDPTLFPITRTLPLKSNIRQASNNSEIGEPTSYYNA SLRSDATVSLYHKSIYSASKKCDSFSDACILGRTWLQQRGFHTSFQNGGFGGFEWTVL LSLLFEGGGPAGQPILLPSYSCYQIFKATIQFLAGRDLTTPLFFGQEVPVPSGVPVVF DGRRGLNILYKMTPWSYTTLRHEAAITLKMLNESREDNFDKVFILKVDEPALRFDRLI SFPKSFNGDTLRALHEQNALYNVLSRALGDRVKLVSIVSHAIDSWSVKSKQPKRSSQG VSVGLLLNAENVGRIVDHGPAAEEKEESASFQAFWGEKSELRRFKDGSILESLVWSDE SETSIIYQILEYILQRHFKITTDEFGFVGDEYDELLKEHGDGILAYSSPAFQSIDDAF KDLERSIRGMDEVPLEVRHLAPASSLLRYTSLRPTGATDVVLQFESSSRWPDDFAAIQ MTKVAFLLKIGDSLESSGAASSCRVGLENESSRVINNAYLEIPHSSGVLFRLRIHHER EQTLLERNLKDRNLSPREREEAAYSLFAYKKTFVQTPRLTQALRSLCTRFPLLSPTIR LTKQWFNSHLFTAQISDELIELLVIRTFTQPNPWECPSSVMTGFLRTLHSLSRWDWQQ EPFIVDLGGDLTPQVTENIRTRFTAWRSIDPAMNSLALFVASDLDNEGVTWTQYEMPS KVVAARMSSLTKAAVSLLRSKTHDMDVSELFDTSLAPYDFVIHLRSKLFGGSSTPKYK NLAEPRAGMMQTIKSFVCDVQACFGQSILLFHGDDRCAVIAGLWNPQTLKSRTWNLKT AYSTAPGDTEGEVVINQTAILNEIARLGNGLIENIEIRADQ PEX2_037730 MLQALGGPVPPNTDHAVSVSLPSWRENVGYEEGEDWVIKKMQCG YPRFFVHPIIQNLAQEIVRRVGDPNLESATLFASVKPARICHSFLLSKIPAEQAYKTR IVNFIPSPHTEAGSTVTSSLSCVIYPKEHASITKQVWQHGGSGISSRRGEFCLRALED GFLVEDKGLATAESISQRPCKGPRRYQSVSGARKGSIGESPPKSNAEDGRDYGQFIEE RFGRNLSTSLANQAKLAVRKRIAGVLTADVELPEALEAASSEGRVAGISEEDVLLYPS GMNAIFNAHQTLLATRGDLPSICFGFPYTDTLKILQKWGPGCVFYGHGSSEDLDDLES RLKAGERFLGLFTEAPGNPLLKTPDLKRIRTLADQYGFAVVVDETISNFVNINVLHLS DIVVSSLTKIFSGDSNVMGGSAVLNPHAQYYAAIKETYNREYEDIYWAEDAVFLERNS RDFIARIEQINATSENITAMLKESPLVKEVYYPKYSPTKPLYDSLRNANGGYGGLFSV TFHSQPEAIAFFDTIEVLKGPSLGTNFTLSSPYVLLAHYGELDWARSFNVDPDLVRIS VGLEEVPDLRSRVQRALDAVQRVRQASA PEX2_037740 MSDSTVPTDQKPNAGATTRAPKPAALTWDKNQNDSYISPVRSSE ALRTPNHDSTKTKYMRYPSSPESSSYLPPRIHSPASQIFERDVQEDIMPAQASPSIPA HIRTDNYIPPVLEASSAAITDDRLDPDSVEIVTHSLHQPAGGPSGEQSMSSSGIEHLL GQSDGDDLSSSYGALDTTDVRRLSFISFADVVNAEHAEHAETNEAHPGVISRERLGDL NQSPSPLRSPSSSHGLGTSPPTSTATSFRGLDMSPTRFPPASAAQSPVSSSFGGDLNV ETMRQALRKTASGDLGIASRAVSTIGDDLLDRTL PEX2_037750 MATFIAATKRPFLTLPFLIPSLSDSLALGFRRNQSSYRRTKQRL RVKPDASFDPSNLGHDHIIHNPPSSAPNAYHTPSKFLPADDVRKASILSSTATPNVDD LPGVYKSMPERKYHLTPSNIEEIRNLRMNDPMTWSRNKLAKRFECSPVFIAMVCQASP EKREIQARILEAVQSRWGPKRRMAREDRQLRREAWGRDA PEX2_037760 MAPSLEPFFKQVDDSSNDFIELKGLRKAVAIPSVSAQDENRPDV FRMGQFLADELTALGAEVEQRPLGKQPGKEHLELPPVVVARYGNDKNKRTILVYGHYD VQPALKEDGWATEPFELTIDEKDRMYGRGSTDDKGPVLGWLNVIDAHRKAGIEFPVNL LCCFEGMEEYGSEGLDDFIQAESKKYFKDTDAVCISDNYWLGTEKPCLTYGLRGCNYY SISISGPAQDLHSGVFGGSAHEPMTDLVNVLSKLVDTQGNILIPGLMDLVAPLTEEEQ TLYGNISYTMDNLHESLGAETGIHPTKERTLMARWRYPSLSIHGIEGAYSAPGAKTVI PAKVIGKFSIRTVPNMESEDVNKLVFDHIKAEFAKLNSKNTLDVWLQHDGKWWVASPK HWNFSAASKAVQQVFGVEPDMTREGGSIPVTLTFEQATGKNVLLLPMGSSTDAAHSVN EKLDKRNYIEGTKLLGAYLHYVAEEPTSS PEX2_037770 MSASSIHKDVETGSLKVDTKKQEPLFASNKSKLASPLPSPTEPK KPSSIKQTQSIQPMDDHETGGILGDKENARPSSLKHGSPRGRSSLSTRPPNRSAEDHQ PWSRHQRQSSNPGMSPPSRTQSVQFRDTDTEAPDASHSRPQSRPASEHGDDEDQGPKG KQSLFGKLKLLATAPNFTSHSRSPSGASTDFRSAPGDIATPGSERGEFRFPEPLEEEG SEIDADAEESAGEQRDPREKRKTQRRKKQLEEEPGSNTAPTTPKTTRRPSFHFSSSFA PFENYRTNLFPRRASTTDFTPQQREGVSEDEGRERLSRRMRSRPWANTRVASYTDRPD ANPDEQRPSNLRRLTGFAGASGNDESLTASWRRHRTERGTSASAQRWKQIKAGLKLIG QRRRPDNTVDNKKSAELLAELASAVPAALILASAFQRDEHGSRRIPILLEQLKVRVTD SRIDSHSGDRHLVFRIEMEYGSGMTRMKWIIFRTLRDFANLHLKYKLHLGTQKYIQLR TSENSPSLPRFPRSAFPYMRGVRGLESEFEDEDEEGGYETGAEGMSGTERPPTKKKQN QPPHQRRASGPLTRRKSSITNQEGDSAAGPSSIHEGVSGARKETYPGKQRKKLEVYLQ KMIRFLIFRADSNRLCKFLELSALGVRLAAEGSYHGKEGFLIIQSSKGLDFRKALTPS LVKKRHSPKWFLVRHSYVVCVDSPEEMNIYDVFLVDAHFKIQTPKLSLRKQNPKDLAK SAKQSARHPQHHTLRLENSERKLKLLARNERQLQQFEDSIRFMVSNTPWTHPNRFDSF APVRQNCFAQWLVDARDYMWVVSRAINQAKDVIYIHDWWLSPELYMRRPAAISQKWRL DRLLQQKAREGVKVFVIMYRNINSAIPIDSEYSKFSLLDLHPNIFVQRSPNQFRQNTF FWAHHEKLCLIDHTIAFVGGIDLCFGRWDTPQHQLTDDKPTGFETTDGPKDADHCQLW PGKDYSNPRIQDFYDLDKPYEEMYDRNVVPRMPWHDISMHVVGQPARDLTRHFVQRWN YILRQRKPTRPTPFLLPPPDFNPADLEALGLDGTCEVQILRSSSMWSTGTPDIVEHSI MNAYVKLIEESEHFVYIENQFFISTCEIEGRKIENLIGDALVERIVRAAKNEEAWRAV IVIPLMPGFQNTVDSEGGTSVRLIMQCQYRSICRGETSIFGRLRALGIEPEDYIQFYS LRTWGKIGPQKALVTEQLYIHAKCMVVDDRAAIIGSANINERSMLGSRDSEVASVVRD TDMIMSSMNGKPYLVGRFPHTLRMRLMREHLGIDVDELMEHDFATEEELRKIQVAEGS EHPIDIPGRRNSASSAIERQDEREMVERRHRVQDEFLSRSEEMYSFNHDVDWEQGANP NLKSNRKLTADPRVTENPDHRKDVEGEGADHLAAAARAGLAVGRDSEIESHGKEALLS PIAPEGRGTIERPKSSQQKPPRTPSTRKDRRPGSSSETNPNSEINANTPPQGSQGHGV NGSSSRESETGGTGLNMPREENENPKHNHPSVPDLKRIFIDNDCMRDPIIDGFYLDTW QAVAEQNTKIYRSVFRCMPDSEVKNWKEYKEYATYGERFAEMQSQPSNKPGQPQQKQS GPPPAGASVSSPMSIASQMSCIAPRAEGPQADPKSPQTIDEKVGSGNETGRPQSEQLA KQETLSSIDEKAALKTVSDPNLLASVPNGTSDENGAVASDDIEKSRTTPAHVDYSEAV NLNLNSTSQSRRRRRRATTIGSKRDPHGTDEYLDKGRAEDLLNNTQGHLIMWPYDWLE KEEQGGNWLYALDQISPLEIYN PEX2_037780 MTDPLKSLNDPDRPILAGISQKVYAIAGISVTVFGLEELRKEAS EVACLWLLHPRLATQERMTSIANSAITDWNTRNQDTPSAKGLIAVSFDQRNHGTRMVD PLANESWKKGNPRHAQDMFSIFQGTARDTSVLMDYLPSYTFPSGEHKITENLVLGVSL GGHAAWSCLLHEPRVSAGVVIIGCPDYINLMADRARLSKLPSWTKSDPPGAEVLGSEA LPTSFLETVNRYDPAGMMLKHVDCGPSTGPLREGPLPQPSESEQQVLRPLLTRALAGK RILNLSGGKDKLVPYHRGELFLDWFKKTISSDGWFGDGAVSFEDIIDRAAGHEVTPKM ADEAVRFISETLAAGPDKAGRRGSVRESKI PEX2_037790 MDFHHPYTPYDIQLQFMQALYACLEDSKIAVFESPTGTGKSLSL ICGSLTWLRDHKRKAFQETVDATCDDDEPEWMIEHAKRESRRAITEKRQEFETRLAKI RQEEERLKQAPDSADRPRKKQRLNDSAPELVNDDDQFVLDDYDSDTDNQKRQDDSGNS DGLSASTLALLERFQGKFSAQKNDKDDDEDDEIKIFFCSRTHSQLSQFAGELRRVTFP SSLPSDLDLENKDDLSKLEERVKHLSLGSRKNLCINPKVRALENNTAINEKCLDLQQA GVAADKKCSFIPSKDDEGLALEFRDHALATVKDIEDIAQVGRKLGTCPYYASRPVIKH SEIITLPYPLLLQRSAREALNLSVKGHIVIIDEAHNLMDAIAGIHSVTVSLGQLQTAI GQLTTYARKFKNRLKGKNRNYVAQVIRLVSSIAEHMKSISQQKGPPEGSVQTSDLMAG KGVDQINPYKLSRYLQESKLARKVDGYLESSQQPQPGRPKDKTTMPVLFHIQSFLLPL MNPSEEGRLFFQKSQDDVLLKYMLLDPTNHFREIAEDARAVILAGGTMSPMSDYVNHL FSYLPPERLGTFSYGHVIPKSNLVAQSLAQGLMGNEFDFTFEARNSEKMITDLGRTIA TLCQVIPDGIVAFFPSYDYLSHVLSVWKKPIPNGNGQSTLNLLERKKKILYESREAVT TTDDLLREYTEAVESGSGALLLSIVGGKLSEGINFSDKLGRGVLIIGLPFPNIRSPVW QAKIQYLEEKTYKQASGSESERKAAGKAAGRDFYENSCMRAVNQCIGRAIRHVNDYAA IIMIDRRRNDPFNAKHQRSCRNTPADKMPTRFSNTRKHRGHVSAGYGRVGKHRKSPGG RGMAGGQHHHRTNIDKFHPGYFGKVGMRYFHKTNQQFWKPTINVDKLWSLVPAEQRDA YLSGQKTDVAPVIDLLPLGYSKVLGKGRLPQIPVVVRARYVSRDAEEKIKAAGGVVEL VA PEX2_037800 MQCNKYFGRQDVLSRHVKLHEKGPTATVAQQQQTATAGVVLPTA PAFSPTSLGPAETSIEQPRIFDQSLLGGPYMLESENLFDWLIPDVPNDPSLFLPMAES LNDSQQMISALSSLHSIPPADGQESAGQHALHQLHKLIDDLSRRLNNDLQNTGVTTPF VDACLREYFGRVSPCLPIIHEPTFSTHDCISPLLLNMIALGSLFVCLPDAAQKGEILW QLGHTAVATSWQSLIELRGPRDQCNGIQLVSTALLGQTYALLSSNTKIRSTAFVFHGL GFHWARISGMYPVGALQPGAIPNLEADPAVKDAAWRTWVATEVQRRATLGHYILDGLI SQASGSTTSARHLTNEVEAVCYDAAFTAETAEEWIIRMPRLDRMQIPFSELFIKVCSK DHIQTPLQLSRVSIAVLLEGLQSSIAELHDIRGPALGTVSCSQIIQGLINIYENNLST SHNVESLQTLIRWHAVCIELSTPTIFLYRRICEAYNLPQILSGISVTGWARPFNFTTW AQSVEAFRAALHAVSIIRILDEIPNGRTHEIHIPGCLFASAVILSAIYLWNNKTIKIP KSHRWHEVWAGVITQNSSVDKTQLPENVEYVSASSSASSSASPTTSASLNPSVNFAGA EELVKALNPSGTDDAMTSVSLLHELNSLQIGLKTAASRWLISTQMEEIIGRLTMLPRQ QLST PEX2_037810 MSPSIPRNDIFDEDVPILIIGGGPSGLFLAFMLEQLGVRSLIAE RYAARLAAPKAHALSPRSLEMCRQFGLDVNEIRKVGTSRKDAYWVNFITSLACEHVGK LPYERMDAEVLNSTPTMIHNIPQPAFEELIAQRLSNSSLVEIRKSHSFVSLKQFDDQV ITTIEDRVSKETYKVRSKHVVACDGAKSAVRNFLGIESEGEDSYETMMTIHFNADLRP ILQEQVGMLHWVMDPLVSGFIIAYDLSGNQVLICSFDSKKCPTESWNEEYCRKVVDAA IGATVKYDILSFRPWILSRKVAKFYRDSRVFLAGDAAHSFPPTGGLGLNSGLSDVHNL AYKLAAVHHGSAMDALLETYDAERRQVALVNSKQSVKNGNKIFGLLKTLGTTDPDVGV ARHNLYHKICDEKAMIDINNGIEDQREHFDNLGLHIGYVYGNKHIPENASLYEPVCVP GARLPHAWIKLAVPEKVQLPKIDSSYVGGEAQKAAEMTYSTLDLCRFDAFTVLVYETF SSQIQSAVQEALKQVPASVASSLSLLVVIQGVDFALQPGQDKWDNVVSLKQGQVVLVR PDQHILAVLDRNASAAHIVETLRGHLAW PEX2_037820 MEIIRVGDIRYEEHSNGWNILYGHKPSSILLPRTAEEAASCLRN VIRRKEKFRIRSGGHDVNGYSTADGVIVIDLRHLDSIDISDDSTQVKFGPAVKFMFVI SQMPQARHPIVTDYIVSHLS PEX2_037830 MSLSASNSSSDKTVGGVNNPSVVITADWDGPDDPKNPKNFALWR KWVMVFTVSFSSLCVTCTSALYSSIYGQITAQFHISELVATVGLSLFIFGMGVGPMFV APISEFYGRRPIYIASLALFTIWLIPCAAANNFGTLLAGRMLGGLTSAAFQSVAGGTI GDLFTRETLQLPMMIYTATPFAGPVLGPLIGGFINSFTSWRWSFYVIIIWSASLWVVM LLFMKETYAPVLLRKKALRLQAASDKSGTPVQPGALSMQKVFLRSMYRPFLLLLLEPM CLCLCLYTAVIIGTLYLFFGAFPLVFSNLYGFNLWQIGLTFLGQLVGTFLGVFLNPLW GWNLQRLVQKHSPDGKEIIPEYRLPPAILGAPLITIGLFWFGWSSTASVHWIMPIIGS VFFGLGVFLVFQGVITFLVDAYPLYAASALAANAFLRSSFAAAFPLFGIQMYEALGYQ WATSLLAFLTVAMLPLPYIFYRFGPRIRAKSRFAAVNQPLL PEX2_037840 MALNFLARPFKLRWTPEDTNDAGWKNSCHSSTDDPSLWIEEVGP MLMGMLVMAGYSCYSASVHTKFFHESVARSLGPHPRGRGPLGDWESFMTDDHTPVELS WCWSTLPANPTVRYSVEPIGLFAGQEDDPINAAASLRLLGDTLPLASNLHLYLHHHFE NLLLWRDGPVKSNNQDSFPPQSQSFMAFDLLETSIVVKQYYLPGWRALSEGKTNLAII KCAVQKLPAPVNALMDSMDMFNEFFGSFRECSQPVVEIVAIDCVDPSQSRLKIYVRSH RTTLKSVVDMLSLGGRAPKTQDEEDSLRELWCSVFGLNPDEHHEHQPLSKREHRTGGI LYYFKFKRGTKAPKSKVYLPVRHYAQSDEQISRGLSDYLARRSKTLATGSYYDGVKRL CTHRDLTEGLGFHTYISWASDKDQWNVTAYFNPEIYHQSRFESLPID PEX2_037850 MNWALLMTGFLPVALAAAVPQDIDISVRDTTASKIINIHLKYPD SAFKEHVFTYGNCGSRSFSSSQLTIAHGSGGVKSSEDTRLVWVVPDDVSSGGCIMAWE KNKILIGQSEPLALEGILRPKLTKRERILMTNGSGIDAEGPWFNGVSLLKNQEIGTVD VAKAKGKAIGILGAGISGLMTYFLLNSVGFTNLEISESTDRVGGRIWTEYFDLPDSKY QYQEMGAMRIPITATFPIGNETLTLNFTSHQIVFQLADALNELNKHDESLAVDWIPFI QDSNNALSFIENKRNADGSIPTIGDVANNSSLGAASLHTPELDKLMGQLGKIMYDPSM MNLIASNLYEAHKKFMDVGLNGRGGDNWAQGSYLHNVLGFDLNTTGLAGGLASASASA PFWVSLYDNLFFSSKEWKTIDKGMSRLPNAFKPLVKDDLRYRRKIQKVEFLEHEKKVQ VSWKNHYTDRQFQNTSYDYAFVAVPFTVVRKWELPEFSTILTDAIAQLGYQSACKVAL EFKSRFWEHLEQPIFGSCNTKTDIPGIGNVCYPSFNVNSTGPAVILASYNQDDYGYRW VSTPEKEHAQYVLDAFAQLHGDIVYGEYTGNFSRLCWLEEESNAGGSWAFPSVGQHEL YIPSYFNTENNMIFIGEHTSYTHSWIASALESSVRGTVQLLLELGLVDEAKEITTTWM GRWVTV PEX2_037860 MHHLIANGGTDVLPFKRAYIEFPGWVPTSSETQIQTARALFDTI GTQSISEARRVPSESIIHANARVISSSKDSTFSYGPVVDGTYVPATPGQAFLDRNFNS NVTILASHASNEGLTFIAPYVTTDELFTSYLQGLFPLVDSCDIDYILNTSYSLDRFNN SWYDRAISFIGDFGLLCNVEHLSEAYSNQTYRYQWSVFPAIHGGDFLHRFYNGAGRDQ VDGSIIVPYADILQGYIANFVKSGNPNGEGLPEFPITGPERKILGIQDGGIDIQKGPT NSDRCQWLQTADLWA PEX2_005150 MIGETSETMSEKPVFQVIVLGPTGGPREDSVTGILVRSTSTKWS PDSVVAVDAGTLLAGIIRLLERYIPECQDDRGVMTSGPFQGLELPCRTAQANAAHVFR EIIGAVLITHPHLDHISGLAINTPILEAGNGPKPVAALPSVLSAIKNHMFNDVIWPNL SDEDGGAGLLTYQRLVEGGNPRFGRGESRGYVRACNGLLTKCLSVSHGRCKQRYHPES GMHHRIGSTVFSDHQLMLPSRAISVDHTEGSFYSPARSPRLLPSNPKEPVMATVESSA FFLRDHHTGHEIIVFGDVEPDSVSMGTHNKRVWEAAAPKIATGKLRAIFIECSYNDST DDSYLYGHMCPRHLVSELSVLANKVTEVRDLSTGEKKRKREPVGPIEIGSEQVSPRSK RTPRSSADKGRISEPLVERRSHPSESFEIPQIPRVDLGDVLGNLDSETGVDSTAPPLE GLKVYIIHIKENLTDGPHPGEQILRELQDHGEAANLGCEFFIPNPLEGIWI PEX2_005160 MQVAPTSSPAGPVSAIPSVFETLQGYKVPVFFSRYPAGISIAAQ EVEDALRKIDEEASEEGTRERRRALIRHTNPYGDPFTICHCSAFPDRLALLSCLVEVM WIHDDVTEEMDHISACREHDELAKVLQLDIDPSKFESGNVRQKSLAVVLRKAIDIDPK QAPAMIEMLRHYLATFDNIGGDFTRMEEYMPYRIANCGYWMSSYFIRWGMDMTLSEEE YASIEQFDIAMGNVLGLTNDYFSWNIEKDQETDRMRNGVVVLMKEHHTTADAAKMMLL GVIVEQESLAAKLKEERLKKPASKEILQYFEAIELYVGGSCYWHSTAPRYQVFE PEX2_005170 MFPFFLRLKLDGFGKGETLSLFAITIAVVLSLRFTVQLYQARMK FRRLQSRGIPILPHSFLLGHFLIVIQFYRDWAFDANFIQTFGFYIIKYWPKFFPNEKQ CPPVIYLDVWPVARPMAFSLQAYVSNQMEIGSSLPKSPMQGEFLNPISNGRDLNCMHG EEWHMWRSRFNPGFSRTNIRTWIPAIIEEVDTFANVLRSLSGGDGQWGQVFPFEQISS NLAFDVTGRVVLDTRLNSQSLYPSPFTIAYREQLSRMEITLSPRKLLWRITPLFKMIV RRKRNQLFKHLRPFITKVEEYTGEPGVNGTDPNTPDEAFIEQVFYQLMIFFFGGDDAL SITIPRVFRQLQLNPECVAKLQAEHDAALGSDPSLAAEKIREAPHILDSLQYTLGVIK ETLRMNPATITIREGQPSFNLKINGEDEPWPTDGFDLFDSSITIHHDPANFVDPLKFI PERFSALAGDKLHPAKNIWRGFQLGPRKCIGQELAVVVMKLVLVFTVRSFDIEMAWDK WDKVREMQGLKVDRQTVEGERMYTTGKATSHPKDGAPMHVRMRKSDKKQ PEX2_005180 MPSNEQSFLNYPPAREGPNVPYKYEDQVLPVFRGTPLAIGATLI HNIGFIQSHFWRNAGFGVIREIPHLSQYAGRYDPTVIPVDNTSQAESPAKAVSAIMER RKGDYGYYTSADYHALYSSGELTPTVVIETLLPLVQRDTQPPGKHSTAFLESQAELIR AAAEESTERYKNGQSLGPLDGVPVAVKDEVHLTGYKRTLGSKLDFKHGIDATSWCVKQ WLDAGAIIIGKTSMHEIGLDTNNNNPNYGTPRNPHNKEYYCGGSSGGSGYAVGAGLVP IALGADGGGSIRIPSSFCGIWGLKPSHGRVSGAPSQSLAPTVGVIGPMAASIDDLALA YRIMATPAPASEDPISSQFPYPNPPQPEAKRTKTIGIIRDWNDRAEGPVRAAIDRALD YYREQGYNVIDITIPYLPEGQRAHALTIMAEIASGIDASKIRQLTAPNKVLVSMGMYQ ITAQDLLASQRLRNLLMTHLAYLFKTHPGLLIVSPTTPIPGWHIDGGEADLSRGLSDA KSSVRNMEYVWLANFTGCPAISCPVGYTPDGGVPIGLMAMSEWGTEEELIAFARDGEP ILDLPSSNPSLEELEIASASKGLRTPSDDLSVWEDIIAQAKEKISK PEX2_005190 MDPSYKARKEAFVSNLAGSTILEINAVTLVASTSVLLWSALQSR LSFFTPYGPAALATDFILNVLAILFATTAYSSAPLLLNIFLVSPAALLFLTRNGLRTP QKAKPPRKSKAKNESSQEEQQALPIHPFLTTYRAAMMIVTCIAILAVDFRAFPRRFAK VENWGTSLMDLGVGSFVFSAGVVSARAVLKGRNSKSPRLALHKRLIGSARHSIPLLVL GLIRLWSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVELFDSLITLIPSYEVLALGIA VLYQVALESTDLKGYILVSPRGPDLLSKNREGVFSFIGYFAIFLAGRGVGVRIIPRGT SATKSPQKARNSVLMQLVLQGMFWSTMFFFNSTYAFGYGANIPVSRRLANMPYVLWVS AFNSAQLFLFCLTETVFFPAVHRATSKEGEADQTSFATSRILHAFNRGGLALFLIANL LTGAVNLSIPTLDLNTPQAMGILVIYAAVLTGAALGMDKYNIKLSL PEX2_005200 MEGLQLSNDTQLYSTMAGKLDSKLLKALDVMGFTNMTPVQQRVL TELPTWRTDCLVQAKTGTGKTLAFLLPALHCLLQGDSAPPKGKVGILIITPTRELAQQ IAKACDELTSQLPTPLECHVAVGGTARASAHSRFMKGAPSILVATPGRLCDYLSQTPT AKKLSNIQTLVLDEADTMLESGFIADVKRILQLIPQKSTGWQGMCFSATVPPKVKDVV NIVLKRDYTSISTIDKNEAPTHERVPQYHVLMPSVAETFTTLASLLKHEIKKSSKIIV FGVTAHMVALLAGVFCKGMVHLKVFEIHSRLNQGARTRTTNQFKEAEAGILFASDVIG RGMDFPNVDLVIQVGLPSNGEQYVHRVGRTARAGADGRAIILLTEGESFFMKNNRHLP IKPHPDTEAINAGAPSCSKAVLQAMYTIDEESKQRAYSSFIGFFAGSGLLRQLRLDKA GLVQLANEMAVKGMGCPEPPPMDRKVIGKMGLKGVPGFNYADPNEMARSGSSRPRNSS RGGKSRDALSPGAGQGDRGGIEKKRGGGRGGRGARRGGGGGRGKPRS PEX2_005210 MSLYVVQLIAIDVEVCKWGIGHGYFENGGPTLYSLTGTVHADVR TGSRHGP PEX2_005220 MSSQDEPPGKRRESRSGTRKVSTLSAEQLERKRANDREAQRSIR QRTKEHIEQLEAQVSALQSQIAEMRLQNERFDEVVQQNAFLENEMVRLKHQVASLTGR SEFASTNEPMTPFRSEWSLEEASSSALPGIPTAGALLPPQFTATSHTQRPSGLSSSYR GPHQQDWQQPYPSTRSPSLGAASNPEFPSRMEPYPIHGQMHQGQPIRPHQQQPESSFP EFAYSNRSLSMSSASPVAQPTPAQAYQSSASTYPQPMPQPQQTDPTYEYPWVPPS PEX2_005230 MASIIRALRPLSRTPSVRLAGKRLAAGRPVQSAYAFSTTPRRRE VDLSELTPTPITLLSETESLMADSVSKFAVEQIGPKVREMDEAETMDPKVVEQLFEQG LMGIEVPEEFGGAGMNFTAAIVAIEELARVDPSVSVLVDVHNTLVNTAIMKYGDAQAQ RTWLPKLTTGTVGSFCLSEPASGSDAFALQTKAEKTADGYKINGSKMWITNSMEAGIF IVFANIDPSKGYKGITAFIVEKDTPGFSIAKKEKKLGIRASSTCVLNFDDCVIPKSNL LGEEGQGYKYAISVLNEGRIGIAAQMTGLALGAWENAARYVWNDRRQFGELIGNFQGM QHQIAQAYTEIAAARALVYNAARKKEAGQDFVQDAAMAKLYASQVAGRVSSSAVEWMG GMGFVREGIAEKMFRDSKIGAIYEGTSNIQLQTIAKLLQKQYTN PEX2_005240 MAGDNSAVILAVSWTETCIGVFLFSLRFLSNWKFVGRFRWDFAV ASLTVATEITAQVFLQFSVNAGMGHHLDDLSDPQRVTALHWSWVFQLLAIAASMLGKL AILAFLIQIRGRHEKKPWFLIITGVFIGIINIAVLGTILGQCKPMHKLWDDSVEGTCD PGRKMNQNYSFFQASFNSFTDALLASYPVHLFWKLQMKLRIKIALSILMGLDAQSPLL IWASTEAWIVIIVGCVPPIRPLMERVLQRLGFTSKKTSTPYQYRNSSGHAAYGTNKSN PASHSNFQSNTYGGRRQFDENAGPGWIELNTSGGPNGSKEHIVNGPKDVMIRTDIVTR FEDVEGQGVPSSGDSSVSAEDLIREESQDVRKVI PEX2_005250 MSDSSQHESEKKVAGQFHVAPGTEILFDGSDNGSISERLHQLKH RETGDGRMLLVPQPSINDPNDPLNWSTKKKTLTFFNGCWFAFMGAITGPIMAAGMMQL SATFGKSLQELTYANGACLINQGVWNFVWMPFAVKYGRRPIYIFSNLLMAIACIWLAV ASNKTYTVFLVGRAFLGAFQAPIESIVPSTVTDMFFLHNRGELIAIYGLAVLGGNELG PMFSGFIVQALGMDWAFWIVTMFVSASLISMFFFMPETKFDGPRPDPLQNTTKANVGK DQVLVQESSPVPKKTFVQELKFWNSGDPDVNLLHVFLRPFVLLAYPTVLWSCCIYGMA LSWNVILGASVAQLFAPPPYGFNSNSQGLFFLSPFIGSLFGVFFSGPGGDWVATFFTK RNNGIREPEMRLPTCLLAAFFTFFGALWFGLSYEHQMHWAMPVVGAGILSVGSQMGTT LGMNYALDCHKELSVEIMVTIAALKSCIAWIWTWVINDYMTSSGVLSVFMTVAAINVV VYLVYILFYLKGKDIRIWLHRKDFLRAAGII PEX2_005260 MAPSRDFASHQTGGSGSLPSSVPVLIVGGGPTGLLQALLLSRLG VQSLIIERYPERLAAPKAHAINPRSLEILRQFDLGEKHVRQLGTSRNDSSSVNFLTNL CGDAIGRLPYERMDPTVLNDTPEMIHNIPQPALEQELSNFITKDPNVTLIKGFSIHAV EQNENEVVATIEQRSTGQLHQTKSRHLIACDGRRSKVRELLGIESESEDSDQTMMTIH FNANLRPVVGDRVGMLYWIMDPIAAGFIIGYDLDGIQVHISQVDVEQNPVESWTEDMC RSTIRSAIGKDDVPFDVLSYRPWVFRRQVAVTFQQGNIFLAGDAAHSFPPTGGLGLNC GLADVHNLAYKIASVHRGVATPSILSTYTAERRGVADSYSKQSVKNGKEIFALLRSLK TACVENVVQARRNMMAALADPAQRKQVEAGIEGQREHFDNLELHIGYVYGATKPPSHA SHYSPKFVPGARLPHAWITFPEQVSAETEAAKRSSLPQEPVDVSYVKELDADQVRACQ WSTLDLCGSDSWTLILGKEQQRPHIMLFQKHCNVIGLPLNTWCLGSDFEIIRQSWFAD ELIDGGGILIRPDQHILARVSFETNGEDLIAEVNKHLGIS PEX2_005270 MVLVHIVLFKFRADVGDGVKQEFLSQIKTLKSLPCVKSQRLIVG GPSITTPVEKSKGFQYALVSYHENRAALAEYQASSEHERVTSTYFIPYKEDLVRFDFE TDQADETLLGF PEX2_005280 MDSPRRSRNRAACRRCQRRKIRCDGDLPRCASCTKANAACVNDG KQEVNRTYIANLQKRVQWLESLVKEHDPTVQLEDGPQLDLSDISQLEPTEESTQLEST IPHGDHIRRSITPHHEQPGTANPQPYRTNSRPAHEIGLVSLSSGEPRYIGPSSGYFLA NLIFSSAGRRTGPSGNHGNAKKPMSLSSEFFNSPAPLPSRKDDAVELTSKYFASVHLV YPFLHQPSHMTRLERMYSNECLPNPADAFHVYMVLAIAASDVSRRFRLPLPAEGYYTA ATQYFERACADGSLEGLQSLLLLMVYGLHNPSCDINVWSLNYQCLGSLIDLGLQRDVR ASSTFSISFLEQEMRTRIFWVVYSFDRTLGTMMGRPIGVRDEACELRLPSDVSDGDLS ESVCDEISRNRTTSHMTFSIHLFKLARINSEIKYVMHSICRDPPRYAYPPVPDIHLWQ KEMVERLQTWLIGIPHGAAGDPITKICETKYHEMMILVLRPSPGIPEPSEEILARCFQ HAVDLLRGFGELYRQEALLYSRLIVHSVFLGTLIMLHCLWRLPHVASRVQIDEVVADT SISLNILSSIGEYWAEAKRARDCIHELSSATVQRLIRTRSLEAPSRLTRQGKNQSTSS TGRSQRLQISNEGMELPSTLRADSGVETLPLNSFTDDYDPHVDSMSWLHDSMPGGFMD FSGAPDFDTLMWEVFNANSG PEX2_005290 MAPLTIIVTGKSSVTHAPERGTLRFSVKGNGAEQDKVAKEVATS STNLQNWIKSTFYSQTDDNPEAPLTKFSSTSIRTWTKSTDRQDQPLPNPHHASISFKA VFRDFAKLNHAIEELLVYPKVEIDGLDWSLTDATGSRLASEARKLALRDAIQQADDYS EVLGRAVLAVEIADQGMTPYRATHRHMLAASFGDQPGEDSAPLDLTPQDIDVESQVNV TFKDVQAA PEX2_005300 MLDLARLLPASARTDHKLVSPTDEIQKFLKKDLMVNKLNKIDKY LWLAGQPMPPKPLNYQIATSREIVVDERIDVHMVWEHSQQQACSRELYKCALGLLSSY MALVQFESDFLIAQNYHLLPNTITWERWLELNQQLLGNDMTSPGHINSRYLFGELRLS RLNKIYAIRHASVLSGYQFTYQTYGDLFYDYLTPLTAATIYVALVLTAMQVGLATDRL GFNPPFQDASYGFTVFAILGPLIGILLIGIIGGLAFVNNLIGSWKFKRQQFALYELSQ VRNQLP PEX2_005310 MTQKLIVIVGATGGQGGSVVSTFLRAGGWRIRGLTRNPESDKAK ALAAQGVEMVKADIGDMASLEIAFHGAHVIFAITDYYENFWTKGWERSMEIEYTHGTN MAKAASKVSTLEHYLWSTLPHSTRVSEGMALVPHFDAKGRVDEFIREDKALLERTTFC FFTTFVINLTEYEVFKPIYLPAAKRWIQIYPADPDSAYPSLGDHRVNTGIFVHSLVNN RPPGGTYVRCSVEDLTLESFLALWGRASGVAPGSGSTKVIQVSPETYIDLYGHMGEEQ ARQWEFSRILKETGALNRYGIHVQEAQEFMSKDAIASLVSPEQSLRNIDWKAHGY PEX2_005320 MPTALVTGASQGIGHAIALRLAEDGFDLAVNDIEAKKEKLEKLK LEIESLGRRSIVVVGDVSLEEEVNHMISSAVGALGNLTVMVANAGIILTKRLMDITPD EWDRVQAVNVRGMFLCYKAAGQQMIKQGGGGKIIGACSISGYRPVLTPSPKFEKSVV PEX2_005330 MTKEIQRPTTPIKTAEESSVDYIEFQNNHDESQGSDKDDKLLVR RIDRQLLPWLCLVYALSLIDRTNISSAKIAGMAEDLNLIGNRYSVALVVFFATYLASE LPGNFIIRRVGTRYYLSFLIVSWGTIAMCMGFVTRYSQLVGLRLLLGLFEGGFNPACI YLISSWYKRYETQQRLSLWYMSGSVISGFNGIISYGLSTLEGLGGRRGLNWIFIIPGA ITVFLAIPIFLFLSDFPEKASWLSASDLQRVQERLREDRGEQLDDKITIRKPLRISKT GKYGQILVTPPYLAAAIFAISTGMISDRVRTRSPFIVGFMLLTGAGIIMIGWGQNTAC KMAGIYFAVIGNNCAIPTVLAFLSNNIVGSSKRQIAVPLQTSMGAIGGVFGSLVFRQQ DYPGYRPGLYASIVCLAVCILITLSITAFFYRENKAADEKGKILERLEGYRYTL PEX2_005340 MAFKPANGLKGASGPYTVLEEPLGSTRHVKIITIGAGASGLNMI RTLRNNLLNTENVVYEKNPDIGGTWYENGYPGCQCDIPSHNYQFSWATNPHWSKFYSE AREIHRYLKDLAARENLNNVIKLHHQVKHAEWNEDEGLWLVRVRDLTTDIVKTDSAHF LLDGSGILNNWKWPDIPGLHTFKDVETLVHFVRSPIWVAPAGVERMAQSTAGEVVSEL ELDGDRFTTAQMSKFETDKELYNRFIKATEEVVNNKFSFLVTSSPLADVVKGEMTEYM TQALKDNQALIDKLIPDFPFGCRRITPSSAYLKAFHKDNVQIVTEGIAKIYENGLITD QGQNIEVDAIVCATGFNVSFCPRFPIIGREGNLQDIWTRELPSSYMSLAVPSMPNYFI FLGPNAPIGHGSVLTITENVGKYITRIIRKCQEECIKSISPRQDVVEEFAEHIAAFMP RTAWAGNCSSWFKNGKKVGPVTALHPGSRIHWFHMLQNFRGEDFEFTHWSKNRFRYLG NGFSTLEAEGMNSTWYLDDPDKML PEX2_005350 MATPTHMKATILSKIGGPEGFKFSDKIPVPELKDGFVIVKNTFA SLNFIDVYFRTGAYPTHQGPNLNLGQEASGLIEAAPENNPFGFQKGDRVVWIAQGGYA QYTAVPITQVIKVPEGVADEDAAGVFLAGMTALAVINESFNVKAGDSVLVQAAAGGLG LLLCQALRDRSALVIGTAGGREKCDLALQHGADHMIDYREEVNWAEKVRELTNGEGVD VVYDGVGKDTWEGSLAAVKKFGKVVFVGSASGPVPPFSIERLTEKNASIMRPTLRNYI GTRERLERYGNEALDMVRTGKWNVRKHSHYYSLEEIEQAHRDLESRATTGKMLIRI PEX2_005360 MAQNPSEKLLLGAQMSKEFLGEDLLSNLRNNARDDIFTKASQEY IAEVCFSSYARPGLKFRERSLMNIAMLTALNRGPELRIHITAGLHNGLTEEEICEACR HAMVYCGVPAGRDALAIASEVVNSQKDRSDV PEX2_005370 MVGTVVITGATGSLALEAVQQLLSSHPSLTIVGTVRNATKSPKS AQLLRLEEIAQRYPSSKLLIKSVDLNSTLEVREFSNEIAGLVESNELPPISAIVCNAF TWSLDGQQFSKDRLESTFQVNHLSHFLLVLRLLRSMNPETGRVVMLSSEVHDPEHSNA LSKLGAELPSNERLDVLVHPGADEIGAEHDMGWQRYANSKLANVMFMQSLNQRLQQNP QLSKITVTAMDPGGLVNSRAHVAQRSINRIIFGLLALLLPIIKLFTYRLRSNSDSARD VLALALAPEYASVRGHFNGRKPQPPARVSEDEAKCEAMWEACWNWVDMKAGETCVPKS YS PEX2_005380 MTTNTNTSGVQLTFLTTGTVRIRPSMRSQPVSKFAIFRRLRSLC DRKWTEPLPVGVFLIRHPEGLFLMDTGQSPCCNDAGYFPRVAIFNGLLSEFNIERQDG VLEQLHKLGIKATDLKAVILSHLHNDHAGGLEDLIAEAPDLPIYISREHWNAFGEHPL FASMEGATPNHWPKEFSPKVVDLQDRPLGPWKQSYPVTEDGKIAIVDTSGHVPGHISL VIYGDGEDGQSQVTYFLPGDATYGLDLLDKEQPDGINDDPMRAYQTLKTIKKFARETD VVVLPSHDINTPRLLAERVVYRPQETK PEX2_005390 MMSAATVVDSIKLAYHSVLACDIPTQPTISEESLSHSNRIMDAI LATTEAAVLPVAPLRRALTDAFFEQVFHNYPVINPEDISNPNPSILLQQGVCLAGSLM RDGPTNLQLSRSLYDKVKTLIYLNYEPDDLATLKTMCLLSCWSVKPPDKISLDGPWYW TGVASRLAIQMGLHRESTYTNNPQNHCLRRIFWQLYSSDKLQVACWGRPPSFSSRYIT VKPPVLEDFEIQNVQALVFIHTTKLCTIIGNIAELHLERRPVPFHEVFVLSETLCGWV REIPHELCLYNSEGGRNEFNRPVSELFIKYFAAIILLQLLQNEVNQQRRTTVRSLVAA SCMAKLYEEIHYREQTCYLLPIHGFLCMVASLPQIYYQPQSAEKNRTRKEELDILRSI MGNMRGKYGGATMILAKIQRLEKEVKASTDRYLLEADAVGLSSDGILHDPSESLGELF PFPPTACAHMDFIKQSGETQQGFLPQDFVPMEDEWAKWLVSEGHNFVDLFGMYSGAYD VEEPYI PEX2_005400 MLSLPQSDHIVRVKLLDTTTYLTGIAKVFVDPVVAGHETFNFND LAFLIENERTDKRVMFDLGTRKDYWNLAPAVQRVFGLDSVMTGVKVDKDVAQILVEGG ISLDTIGILFFQWHCKQNISRVEANVSIRVADSCIWSHYHYDHCGDMSPFPPSTSITV GAGFTKAGLLPGYPENPNSPVLASDFESRELIEINFESGLEIGDFKAHDYFGDGSLYL LDSPGHCAGHISALARTTPSSAKGGTSFVFLGGDICHFAGDFRPSSERPLPDLVPEFA LARRHAPVPCPCSIIRNHPNADDELAGRTTPWYKMAGDFPTAYHDIHEARESVSKMQA LDQNDDILVCLAHDAVLLDFMPIFNEDPKKDINGWRESGLKEKCHWGWLSEVPINGEP AQTPLVKGF PEX2_005410 MYNINPTNLQNFVKQILIANKTSPAHAEIVSKCLVSADLRGVDT HGSNRIPSYMERIRQKALDPSAVPTIRQVTPAVAQVDAHNGFGFVAAHEGMKLAISMA QNFGIGMVSVKHSNHFGMSAWLVQQALEADMMSLVFTNSSPALPVWGGKEKLMGVSPI ACGAPAGDGRPFILDMAPSIAARGKIYKALRRGENIPTDWALDKDGARTDDPAEALQG VMLPMGGPKGSALSIMMDVFSGVLSGSAFAGHVTNPYDPSKPADVGHFLVAIKPDLFM TIEEFKGRMDYLYQRVVDSEKMAGVDRIYFPGEIEMITEEKRLSEGIPFATAEIDSLN KEADLVKVEHLKI PEX2_005420 MSTFQILSDLHLENPSAYDVFSVSPKAPYLALLGDIGVVKDVGF TTFIETQLRQFQIVFFLLGNHEPYYSTWEETKLVLRQFSASVDLQRSNAEQDEHSETM GSFVFLDQTRYDLSPDVTILGCTLFSRVSEAHKDKISYSLNDFYHINDWTVDNHTAAH EADLQWLNEQVSQIAASEPHRKIVIFTHHSPVTQDPRALDPRHVNSSLSSGFASDLSG QGAWKSPLVKLWAFGHTHFNVSYIEEGTEKRVISNQRGYYFSQAQGYDGELVVEV PEX2_005430 MASAANDPRLLYSVNNIRAFHIQDGEEQDLTPSGPQTLSLLMVP TNTTTAGSGTDWPEDSLEEDFYLHLYLPPELDIAIPATTQIFHQPPDSYLIPRWDMGP DVGAFIRIQFPSIGTGPSHVSQDDIDTFESILAQCTAFLERTPHPKAQDYTAYNPADY APGEGYFGTPDTKKADAHGRIVLVDEENGSVVGELGDGYNVVEDADVKPGSKRPVGIE LPAEGGGNQVSVSNVSEEYLALARHPAYKNSTLVQTSATASRLIVTGSTYLASAIASG AENFQKKTQPNVKPLKFSDATHARIRKVGTFSNGAADISARTVGQVGRVAQNIGASLA RKQESGRNKGIDRRNPPPEYKPGVLNKSMIAFSTLADGIEQSARNMLFSGAGAASSMI EHRYGNEAGSVATNLTGGIKNVGLVYIDATGVSRRALLKSVAKGMVVGKMRDGQQVVV GVGDGGQVPANAVESASGPSSLSARDPVVRRASPNPTPPPAYGASGTMSLNASSMSGG KR PEX2_005440 MSTSRQQAVPLPAHVSAVSTNTSSPAQKNARAPYPDMLGTPPVP PPRTSSTAHSRRSPNGTSTDKTSSSRQGKSRSDRKESRDRTRDDRNGRSRRHQPEETS REVQQSRTARPVANPAESEMDNVHGQGTFVKEPSAEDNSVLDNDHLMDQEREQMRQAG TENPSSSGFGAASADDVEEARGPRSRHDYNNGNVVKRKETTFGSYILGQTLGEGEFGK VKLGWKRDGSLQVAIKFIRRDTLGTNPSRLPKIYREIAILRELAHPNIVRLHEMVETD RHIGIIMEYASGGELFDYILNNRYLKDNSARRLFAQLVSGVGYLHKKGIVHRDLKLEN LLLDRNRNIIITDFGFANTFDPVDPLDEEIEYNLTNKEYVKRKRLDKIGTNGLRRGDL MQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDPANPDGDNI NLLYKYIVTTPLTFPEYVTPHARDLLRRILVPDPRKRADLFEVARHSWLSEFSNIVSH ITSSTTNVVDIANTTIPSETQKETPPLVRSASVREPPKTHQNNAPAVGGLNHHAGDVS QDPPSEKSRTPRDAKRRTVQVEYVAPQSQTTRGEPPVEPSTSRGRAPAPSEAHIAASA QQPTEPAHQPTDASALNLNKPLPGHFPRSTSENVALTGSHTIAMSQGPRPATGASMNS LGNTGRLPSRGSYGQPVAPTVTATNTEGRLAQPKSRQFALAQDPAQASSASIGRPSTQ NQQLPSTFNTTPRQEPPKGHKRSNTVSSLGEKLFGRSGSILGGRSQSNATRTKQDKRY PPVSMKEPLPRDNSRMSTDSRRSMQYPHNRKTSESGAENRPRRFSLLPQSFSFRGFSN TRAQTPEETSQIPRPVDPRSPQRPATGPSGPAQGRSRATSYGTQDAMGIVDEGPGDDV HTEPEPLSYEAQIDRQFADLGSQFDRQSGSFGVPSAEQVYQNSADHSGHQNHSSKPNY YDDYTGPYDNAPRQSMQVGRSGRGPGVLQKNHRKFADAYEYERDGSHHSGSSGAARKV MDFFRRRAKSRAGDDR PEX2_005450 MEPETTNVQTPEASVAAPNIEVPKRDAANDSGAAGVEEPPAKKA RLDEPSKPAQVDMRDKGIAPVKAEYRIQITPKPRPADETSPDDAAEAASHNDRDGDKK DNGKNKKKKGQNTNRSYGTSKDAKGLCSTRMFANEFAAEECQYGEKCRFEHDLRVYLK DHKREDLTTLNNICPVYEALGKCSSGWKCRMVGSHSTERETEDGKKELILLEDAERTE KARPRVANATPDGIVNLISNQDKMALMRKREDTPRADAYTTWATQVSAELEKAIHQRS TIREKGELVEPNEQAKIDLQENRAQFLEPPFMPSEKRRIYFGPETPVLAPLTTQGNMP FRRLCGDLGAQFTYSEMAMSMPLIQGSKSEWTLLKAHESEMAPPTVIPGDNIVQGYDN SKDFRFGAQIAANKPWQALKATEVLSKFTPNLRVIDLNCGCPIELVFRDGAGSALLDH HSKLEKMIRGMNTVSQEIPITVKIRMGTKDNQPTAQKLVERMVLGGYESSVLDLGPPG AAAITLHGRSRQQRYTRQADWGYISETAALIKRLNKKLDALSDTIREPDARHMPNGGK TYFIGNGDCYSHTDYDDHVNNAGVDSVMVARGALIKPWIFEEIQTGQYLDKSATERLA YIEKFVKYGLQAWGSDEHGVGTTRRFLLEWLSFTYRYVPIGLLEYLPPNIQDRAPAWR GRNELETLMGSGNYKDWIKITEMFLGPAPDTFKFEPKHKSNSYEAEG PEX2_005460 MVHDNLSTEEIERASQLLSAQDKAVALFEEIERDLIRPGITEKA LNAEIYQLGLDRYGIKTHWHKRVIRSGPNTLSPFSDNPPDRVIQADDILVVDLGPVFE AWEADFGRTFVLGDDPNKKKLRDALEPIWNTVKAKYRENPDMSGDELYNISCQVAKQE GWDFGADIAGHLVGSFPHERIPRDRISLYIAKGNNDSMGLSGRDGFKRHWILEIHLHD RARGFGGFYEQLLTVD PEX2_005470 MTLYYSLVFLLLVFEMAVFLALVIPLPHTIKRKLFAFISESPII AKLQYGLKITFIFILILFIDSVNRVYRVQQELSAFTKDGPGMGAAHLGTDRMEVQARK FYSQRNMYLCGFTLFLSLILNRTYTMILETLRLEDRVRMFEGDKKAGGKDSARIAEAG SVGEIGRLKEIIETKDRDIETLKKQCEGLTREYHSLGDQIANEKGDKNEKNKKDL PEX2_005480 MSIEVDWAAATSGPDGEALAERIRSFVHDKFQEITLPRFIRSVQ VHSFDFGTIAPDLEIKDICEPFADFYEEDDDDADTSVASEELMSELHESPYEDDMTFN PTAHSLHNFSHHPYPGEGFQPSALRSPLGDHLNPHFMPRASTPGIPGGTSTLGYHMRS LGGLSGTQTPLAAVAGGTSFASGWSDSGMGAGPRSQAARHAGAHHLAEPDLDITNSAS RPSTANTPPSHPSLGHTGSSGSNLNSSDPTDAPQPSIEISDHPAAEDQSFPIPPRMRE RRPEDFQVLCHAKYAGDVRMSLTAEILLDYPMPSFVGLPLKLNVTGITFDGVAVVAYI RKRVHFCFLSAEDADALLGSEQSQGSQNPSDDGRPRSGGDQKEKDLKRQGGLLQEIRV DSEIGRKEDGKQVLKNVGKVERFVLAQVRRIFEEELVYPSFWTFLV PEX2_005490 MCSIYIFQYACGCKHQEGGVVHCVHYNTPSCKGVKEQPRERTGA KCPRHGG PEX2_005500 MFLIYASAAALALWGAIQRGVTVGAQDMSPNTGCSGFRVMSSAS CSLRDLEFASVVILRARWP PEX2_005510 MRSCSQSTPRHYRPLIHKGESQTCWRASHVLAEKRGIKAKSSLL ADYHPFFF PEX2_005520 MYTYTPTHRFLVLSATVSGPYHPGNKFLVLSPTESGPDPRAWED ESQIETSSVEESPELAPVNRARTNSSLSTNSSASLNDLTATLPSGFLYLGHGKKQH PEX2_005530 MMTGATPIDISTRQTTSVSPPGQQASNLTSALQRAGNGERTGSF SHLPGGGLGVFKAPPPRKDSIGAATAQWGNGTKPISMSGSARDKGRRESLAGSLKPRG NSPPCVAIVALHQELTKENFRSIIMTGTSPFTFQSPSFHSSSYLPKLEANFMRDFSCC GVTLPTLHDLLQHYEEAHATKSPNQGHRPSQGENRAALAAAAIAQQQNQQHGSQGRGL QPDRTLDMQRKLGQNPSPLQHADLDTIDDMEMDDALGDGDGSASQMFSSQLHEGGQGG YGNSNQQQLNLGMLPSHQGFSTPSQPGTPIGSGRPLSLQNNPTVSSVNTPTLMANPLQ NSQFRNTPDSSGPGTPAEIDESMMGGFGDLSMQNNTMGQNQNQFGRFNGNNNDMVDLC IDEPAKRLFSPSGGMGSPNAHFKLSGAQYGPNSDIARRIREQQLLAGVPDTTVILPNE EPKPFRCPVIGCEKAYKNQNGLKYHKAHGHNNQQLHDNADGTFSIVNPETSAPYPGTL GMEKEKPYRCEVCGKRYKNLNGLKYHKSHSPPCNPDFQLGGRNLALGGGVMQGQNINV AGAGLPGIGEEGLM PEX2_005540 MSNQQVGAVFEKVIQEVCDASQVDFEESGVDQQTLHDLRETWQH KLSSVNVAHFPWDPAPQPPQQHSVPLPTATVPSNAPRQPPPQQQYVPPPVSIPQAAPS HTMPPMGGPRIKTEPGTNGQPGMPHMTTPMPPHGLNPQSARDRAANALQQKYGAAAAN SVHQMQAQAQAGQGYQQMHPNAHGQMPPIKQEQNYPHMGPGQTDGGGDPMTDWKAEVA RRRAAAESGEGDRLLREHLKQRMSQYEGGGLLRPLEEHESSSKVARRVALTAPLSQNT TTESTSSAPRVTGQFDGAEEDVKEEDEDAINSDLDDPDDLVADDHDADESEGQVMLCT YDKVQRVKNKWKCTLKDGILTTGGKEYVFHKGQGEFEW PEX2_005550 MASVTASTPVKSHHGLFSSKTAGGRMPLSPSPNPRASGNSSPFT PPRQSDAGRSQAKSVYGGNLSAHFAKSISKASRTTHRESPKTNKSNIASTRTRKSPKH LELGVSDWTLTGTSATTANTPSKEHIRREIPTRARASKTTVRIPHNAGDRFIPNRTAS EGLATSGAAKPEEKQRPKSGGADGSSVLASAASAFDIGARGSDDDLTAALENLGLDDN EPPTYTRPAPDAVAYKSSLAEACGVNMNTRILAFKPPPPESSKPIDLRAQYNRPLRPA KSTAAQFRRRVQTAPERVLDAPGLLDDYYLNLLDWSSGNQVAIGLERNVYVWSAESGS VNCLLETSPDTYVSSVKWSGDGAYVGVGLGTGEVQIWDVEEGSKLRSMYGHDSRVGVM GWNKHTLSTGARSGLVYNHDVRIAEHKIAELVSHTSEVCGLEWRADGAQLATGGNDNL VNIWDARSLSAPKFTKTNHRAAVKALSWCPWQSNLLATGGGSYDRHIHFWNTTTGART NSIDTGSQVTSLRWSNHYREIVSSSGFPDNSLSIWSYPTLVRNVEIPAHETRVLHSAI SPDGQMLATTAADESLKFWKIFERKAGSSASSAREGGVGSKAQMTKSMTIR PEX2_005560 MQDAFLMPASQTGGCRTSADYRPSIKKAQGHVPACLVNASVTYC SNDRIYAFGGFDQFTDEVYNHVLRLDLETLRWELVDNYGDIPGVRMGHTATLYQGDKL IVFGGENEHREYLSDVVILDLNTFTWSLPEIRGSIPRGRARHAAVIHEDKLFIVGGVT GVGGVTGEKNVILDDLTYLDLQTWTWSRTWSFTARFDHTAWVWGTRLWIFGGLGPNME RTTDIWWLDLKGSPSLAGNTTPQGSVNSLNVNISAAHLSDSISSNTRQMSPRSGIYAA NSGSVQVRNLGRRKPVAPGAISCLRFDSGPHVPSLFSGTHFHSFASGVLLDLITPSET VRSHDCNLSALDLNSLRWQRLADGQEIFRPGYRWHYCTVNDAGTKAWLLGCSLDVANA PGGSDENHMSEVLTIDLEKYGLLGNGLSAEPPEQGAPWSSDQMGPSQASGLGTDLAAV FDNPPESGSGTDFVITAIRDDHDGSGSEDMGETPSVSPTQAQPTFVEQNPWTSEPIHV HRIILQLRWPHFKRLYSAQMAEYHTKRMHIPEPYSVVRAFLYYLYTDSIAGHPDYCST VIDVAGMLVMANLYDMPKLRLLCVNRLGQELDVDNAAIVWERAGRTNEHWLMRRAAQF CLTYWGRVVRTDGFKSLSHQSLIQLCEVVDTEGRVFAGPELEMVTFGTDGLGDRDKSS QMRVGSTADEMSEVDADDEGMEIS PEX2_005570 MGSTGQSTLPSDFLWGFATAAYQIEGGANEDGRAPSIWDTFCKI PGKIAGAGTGDVACDSYHRTHEDIALLKECGAQAYRFSLSWSRIIPLGGRNDPINKKG IQFYQKFVDDLIEAGITPMITLFHWDLPDELDKRYGGPLNKEEFVADFAHYARVVFEA FGSKVKYWITFNEPWCISVLGYNNGSFAPGHTSDRTKSAVGDSSTEPWIVTHSLLIAH GAAVKIYRDEFKERDGGEIGITLNGDWAEPWDSENPADVEACDRKIEFAISWFADPIY HGKYPDSMIKQLGDRLPSWTPEEIALVQGSNDFYGMNHYCANFIRAKTGEPDINDIAG NLELLLEDKNGVSVGPITQSPWLRPSAIGFRKLLKWLSERYGYPKIYVTENGTSVLGE NDMPLEELLNDEFRVQYFRDYVGAMADAYTHDGVNVRAYMAWSLMDNFEWAEGYETRF GVTFVDYENDQKRIPKKSAKEISQIFSRLIEKS PEX2_005580 MDISQISKQLVPAPKRFPDCCLGISSTLITYLASLLPKKPEFTI SIGSGSGLLEGLIVDYDKNVSVEGVEVDSTINRYIAEEDMNIVGGGWGLWSAAQKAAV WMFVYPRDPKLITKYIDTYGDQNVNFIVWLGPRVDWPDYEPRFCQSSFSELSFPDHIG LTPYETVVIARRPT PEX2_005590 MNPNDTKVDHSTAEKDVAQVALGETHDIGANLYLEAEQLTPEEL EIEGAEVLKIIDWRIMPMLYLTYVIQFLDKLSLNYASAYSLIPDLGLEGQRYSWVAAI FNFGYLFWALPANLLIQRLPIAKYMGGMLLIWSVLVISHVGAKNYPGILVLRFLLGMA EAGVSPCMMNLTSMFYKRSEQPLRMAVWLSANGMATMVGALLGFGLGHSHNTTLRSWQ LIFLTIGLLNFLCACIFLWVMPDSPSSARFLTHRQRVIAVQRVAENMIGVKTRDIKPR QALEILYDFRVLCCAGVGIACGVINGGSSNFASALIKGFGFSGINATLLQLPTGAVEA AVVPICGIIATYVKDSRCIVLGVVCLIPFAGLLGIRFTGLDHRWTLVGCTWLQYLIGA PVIISWNLLATNVAGHTKRAVANGLWFSLYAAGNVAGANIFFAREAPRYYSGLLGLLI CYAGIIVLACASYMSMKWENARRDAAMVAAGIDETPEDIASQGILDGFNDLTDRESKH FRYAL PEX2_005600 MAHQSQDFSLLDASISELQSALSSGLLSSVGLVSRYLRRISAYD ANNLKLAAIPILNPSALDEAAASDARRAAGLAPRPLEGIPYLAKDSIKVKGMTVASGS PAFETLIANEDAACIQLLREAGAVLLGRTNMPAMAYGGMQRGCYGRAESPYNPAYLAA AYASGSSNGSAVATAANFCAFSLGSETVSSGRSPASNNAVVAYTPSKGLLPLRGVWPL YLTCDVLVPHTRTMADMFHVLNVLAVSDKAPIGDFYQEQKLISLPSINTLRPQSFSEL QDGTSLRGKRIGIPSMYIGGDNSSLFPASKVNTRPSIIKLWQNARKVLESCGAEVIET DFPLVTTYESNADKGQLVTVAGLPEGWSTLERSELVAHIWDDFLINNGQNGLETLSQV DPTTIFPLAPGSLKGAPDAANALRWDEMVKYPHRRPESIFDIPGIQQGIQALENARKE TLEDWMDKIGLDAVVFPANGDIGAADADCDETASLFAWSNGVKYSNGNRPIRHLGVPT ISVPMGIMEDTGMPVNLTFAGKAYDDNSLLKYGFAFESAMKGRVQPPRVPALDSDFIK GSQDPQPWASRSTIELVVETQVKEIHDSTVVVQIQGLVVPKDSQLETMECHVNGQPFK PAIEGDRWFIIASYPASERDQPWKRWSSSALTQTIVVITALTKDGATTGKLILL PEX2_005610 MSRPLKHREIACARCFQHKRKCDHAKPSCGECRRKGAECLPARS RKTGDNITIPLEYLRQLEKRVAELDRESRSSVTETTVETCDAGVQTDFENPEHKRNDP GNDSNYMIADQNSSGGDNDGSLMLLSDLQNSSERSPRTSPPSFSPDAFSLFPETTFDV PWIDLAPSYPLTDEHSPWLKELYTNVYFSVTHREWPFLNEAVWRSWHAEAILDGQDEW KAFFLQMVYAIGSSLCSILQRDPSHSVRSKEYYASAMRYYPYVVGHSSMVLQIQASLF MILYAMHSPSSEDITTIVSSVLPFCTAAMTEIQKHVSICRDNGSMTESSEVLSENMFI TCYMLNEVIVSGWDRPVSAAYKVVDDDMCNLGDTLQPTVSTNPAISHLFRLRKIQARI RRSRENRPRNPLTRYSKDYSSSFKSALDRWRQEIPHYESDNDQHGYLHPIWMRKLYIY SLLILIDEKRDFIEMDGTEEILATIAEVCLNFRHLQEEGHVMCFTWSALVFQFRAGIM MLYIVWATTHITDIQDQQRIRQNNQQAIATCAANLAGFVDRWKDVTPYMKLFEFIRQK IMWNVSTFEANSSATVSLEEAELHLELLKKNYLHQAVLGMIEDMMYGRSIPQDLLGED LE PEX2_005620 MRTPLSHFLSALLLLQTCAAGPGQPLTDQTASGAIDHDADHEFT FQTGEAEPRPITQRVENAWNTLRTIKKPVLQSDKSRGLLGTAVHYGRQTVRLLFLNGP STEKPERKLNKHLAGAVEELRAAADEDRDPDAMFLLAEMNFYGNFSHPRDFKEAFRWY HDLAWLDGNNTAQNMVGFMYATGIGGAVEPDQAKALMYHEFAAEAGNIRSEMTLAYRY HTGIGTPKDCDHAIHYYKKVADKAIEYYRSGPPGGRALVRESYRWADEEGGVYGPGAS VSSSGQNARDAANATPEASVEDILEYLDLMSRKGELKATYTLGKMNFEGARGLPRNFR RAMRYFKVVTKKYWNKDGSVNSNPPAGLDKLASKAAGHIGLMYLRGEGVEQHYPTALT WFRRGIANGDSLCQHWIGLMYLKGYGVPQDGFKASHYFKAAAEQDSPASESRLGALFL DQGDVATATRYFELAARWGWMEAYYYLAEMANFGIGRQRHCGVAAAYYKMVAEKAEIV HSAFVEANAAYESGDKESALIPSMMAAEQGYENAQANVAYLLDEHRSVLSLSSILPWA EKARSSLMRNARLALIYWTRSSKQANIDSLVKMGDYYLSGTGTPVDANKASTCYHNAA EAHHSAQGYWNLGWMHENGVAVDQDFHMAKRYYDLALDLSPEAYLPVKLSLIKLRIRG YWNRITNGNINPIHEEEDSKPRRTFKEWVKAFIENDEEGYYEDLYEQQRGDDDEYRGL ESEGHEDGYYDDLDLDIDEGMLEGLLIVGLAAALLVLVYFRQQQQQRNRQNQNANANA NADPQNGNGNANDRGFFPQPGDPDFGQWVAGGSSSKRRRTDAATALSKPFKSPLRRPA PTNTDDTPSKSVAPRAFEETQTPATPTTPIESKSISNPPNPTTTPVPPKRKRMLQPFS PFPSQSFQTSDPEILELQKQHQEIKSKVDALTTKLEIATQALNLETNPKYIEIPTLIT KWRLASQDAADEVFVGAKERVHRMGGMAVWKQQSKRDASRWGFDEESHERGHADEEDE DVDDYGAGDSGRLLDQNNGEEESQDEEFTMEFMLKSLHVDPKLIGYDTKAQRWIKSRL SPSTS PEX2_005630 MSNVFFPYSKAPLRTIKEIQFGLFAPEEIKRMSVVHIEYPETMD DQRQRPRTKGINDPRLGTIDRQYNCETCEEGPKECPGHFGHIELASPVFHIGFLTKIK KLLETVCHNCGKIKANTADSKFTEALRFRDPKRRFDSIWRLSKDVLVCEADAPADDEE FSKDSQKGRSHGGCGNAQPTVRKEGICLVGTWKPSKAMMEDEMAQPEKKVITPTMALN IFRNISVEDVRIMGLSNDYARPEWMILTVLPVPPPTVRPSVVMGATSGARGEDDLTYK LAEIVRANQNVQRCEQEGAPEHVIREFESLLQYHIATYMDNDIAGQPTAMQKGNRPVK ALRSRLKGKEGRLRQNLMGKRVDFSARTVITGDPNLRLDEVGVPYSTARILTYPEVVT PYNIEKLQKLVANGPNIHPGARYIVRDNGERIDLRHAKRAGAQQLLYGWKVERHLDNG DYILFNRQPSLHKESMMGHRVRVMPFSTFRMNLSVTSPYNADFDGDEMNLHVPQGEEA RAELAELTLVPKNIVSPQRNGPLMGIVQDTLCGIYKICRRDTFLTKDQVMNLMLWVPD WDGAIPPPAIIKPRPRWTGKQMISMAFPSGLNLLRVDKDGSPLAEKFSPLSDGGLLIH GGQLMYGMLSKKTVGASGGGVIHTIFNEYGPDTCVKFFSGAQTIVGYWLLHNGFSIGI GDTIPDQHTINKIEEAVRNRKQEVEEITASATENTLEALPGMNVRETFESKVSRALNN ARDEAGDATEKSLKDLNNAIQMARSGSKGSAINISQMTALVGQQSVEGKRIPFGFKYR TLPHFTKDDYSPESRGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAETGYIQRK LVKALEEVMVKYDGTVRNSLGDIIQFIYGEDGLDGAHIENQRVDHIKCSDAKLRERFR VDVMDPERTLGPEILEQANEIAGDIEVQRYFDEEWEAILKDRDFLRTVVKEDEEMMQL PINIQRILEMARTTFRIREGTISDLHPAEVIPQVQQLLDRLVIVRGNDPISQEAQVNA TLLFKAQLRSRLAFRRLVTDYSLNKLAFQHVLGAIESRFARAAANPGEMVGVLAAQSI GEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVATNIKTPSMTVYQEGMMTHDKEG AKLLRSLVEHTSLRSVTESTEIYYDPDIQSTVIENDRDMVESYFIIPEDNADDASQQS KWLLRIVLSRRSLLDKGLTVQDVATRIKQAYPRDIAVIFSDNNADEQVIRIRQVQDYK DDDDEDVEYDVTLKKLEQHLLDTLTLRGVPGVDRAFINEKSKVRVIEDGTLHTSKSDP LCKEWVLETSGSSLAAVLTIPGVDASRTYSNQFIEIFEVFGIEAARTAVLRELTLVLA FDGSYVNHRHLALLVDVMTVRGYLTPVTRHGINRADNGALMRCSFEETVEILLEAAAF GELDDCRGVSENLILGQMAPAGTGEFDVYLDQSLLNTVVSNNARYGLMGAVGAKDAII SDGAATQYDTGSPMASSPYMASSDPHSTFSPMHQIGQEPTGGLTEYQSTATFGGGFSP AARSPGGYSPTSPFNTSPTSPGYSPSSSYSPTSPGIGMTSPRMMTSPGFSPSSPTFQP TSPAYSPTSPAYGQASPTSPSYSPTSPGFSPTSPNYSPTSPSFSPASPAFSPTSPSYS PTSPAIGGARHLSPTSPTSPKYTPTSPGWSPTSPQSYSPTSPNFSGSPTSPTSPAYSP TSPAYSPTSPRQ PEX2_005640 MNLSLIDPFVLAQEYPDTLTEKLSSGHATCLSFNHKGDYLASGR VDGTVVIFDIETNGVARKLQGHTRQIQSLSWSRDGRYLLTSSQDWKCILWDLKDGSRI RTVRFEAPVYIAELHPFNHLLFVASLFEDQPVLVDVSGTKPIKRILPSAPLRPQAPNG EEVDPTIAAKQAAQDAKHSTCVTIFTAFGNHIIAGTSKGWINIIETQTCTTIHSMRLC NGVVILLRLASNGRDLLVNSSDRVIRTVLMPDLSQLGIDLEPSAIKLHVEHKFQDVVN RLSWNHVTFSSTGEFVTATTFMNPDIYVWERSHGSLVKILEGPREELGVVEWHPSRPM VVACGLETGCIYTWSIVSPQKWSALAPDFGEVEENVEYMEAEDEFDVHPAEQVHQRRL DQEDEEPDAVTLDLVKGDGDADGVEPFNLPVLLDIEDSDSGEDVVAVGPGTMRRRTPG AGREGVNGDAEKPATNGASRGATRSRRR PEX2_005650 MSYRESSGSFPAPDRTSLPKLFTNGDSRMRHLPPINSPPPPKRY KSESTPASDAGHSRYYSHSVAGSDRVRSRQPSSAMDLYTLIDRDPVDKDPRRNARFTS NGSVATQASHTSNASQVSRSSPIIISDRKIPEKYPNHKENGRTYHGYRKGIYPLPCDE EEQDRLDIFHKLFTVARAEDGLIYAPHPPGSRILDLGCGTGIWSIEVANKFPNSFVVG VDLAPIQPTNCPKNCDFYAPFDFEAPWTMGEDSWDIIHMQMGCGSVASWPSLYRRVFQ HLRPGAWFEQVEIDFRPRVEDKDGEPGRAMASWYSTLKHATEATMRPLAHSSNDTIRN LQEAGFTEIDHQIVGLPMNPWHPDSHEQKVARWYNLAISESVQPLCLAPFSRVLSWSR EQIDRIAFDVKQEAFDKKIKTYNLLHIYQARKPLEE PEX2_005660 MHTLKAVASSTLSLPADNYIYSIVPSAPGTFAAISSDDSLRVFD AADLDRGSVISSATHNGGVTALRSFAMGESHLLATGGRDGKVKVWDVRAGNGSPVVEM ETVKNSPVLSVACNPETNTIVAGTELVSSQAVVAFWDIRSPQEFRLQYVESHNDDITE LQYHPTRSNILLSGSTDGLVNIYDTTVTDEDEALVQVINHGSVHHAGFLSERTIFALS HDEHFSVYPATDPDDASQEPEPVHFGDVRDPLGCEYVAQLCVGTQGPYIAAGNKIDNR LDLVPLVSSPSWKLDRDNLWRLPRAHCEEVVRSVYLDEQSQSVFTCGEDGFVRAWRPA EESDAPVQSGSAKARPKEKKKDRFKPY PEX2_005670 MDAQAYLIKHGWSGPGNPLNPNKRPGAHSGLGLTRPLLVSRKAN NHGVGKKTTKDPTNQWWLRGFEDALKGVGNDSFEATSARENNALTSELYRHFVRGDGL AGTLEGTDKNKKDESSTSTSSSKSKRKCENEDEGDRKARKLAKAARKVEKAERKEARR VKRAAKAERKEKKIAGKLAKKALKEKKRTASEEDYPTPTSIDQESDQTGAESTETDEA VARSEKTAKKEKKESKKAKSIGGDGAVEDSKKKSKKEKKDKKEAKA PEX2_005680 MANSRFEYVKSFEQPDVLLPNTWIVVRIDGRGFHKLSDHYAFAK PNDRRALDLMNAAAVEVMKELPDLCIAYGVSDEFSTPSVLSSILAVNFLSGAMEQPLT GLISSRKLVTTIVSTFTAHYIYKWSEYFPERPLLPPYLPSFDGRAVIYPNNRILRDYM SWRQVDCHINNLYNTTFWTMVLQGGMSNTDAEQELKGTVSADKNEILFKRFGINYNNE LEIYKKGTVLYRQYELEEPKPRKSSTEEESSVVESKQSRSQQDKIRKLRRKAQVVIDH VDIIKDEFWEKRPWILSGNPGKLPA PEX2_005690 MYEPLYFAFDAYPAVVPRVRVRGVMALFLAVSILWALIWLLYRA WQVCQTSNEVLVEKLGLDIPPPPEVTLEEITAREIRLAWKQPDFHNSIHKHIIQVNNV KVGESKRAETAVEISNLLPGNIYHICVLSVSAANFQTPSAILHVRTKSLPLSEAQQNG NAGGPIIRASIPRSTVGLPTPSAPIMSREHSAGQLPGKRPAAGRKISPATGTTDISHG NFEETHKSRAKTAKDETLEQLADRLKSLQHENENVEKQGAEEEEEHIALLKDLEKQRS ELRKRVREKDEASGDLKKHVYKLESVNRTVQGEKSKRMRLLQQKEAERQKRKNDILRW QEKISRMNSDALQAKDDKARIEEEGTVRADEVRAKIAKEQGEMKTIDDEIQDKGGRVK KLEEERMGLQEGDSEDGKELDRIDNERARQWEHKLGNLHARYATLVNLHAQAQQQYQE AQERLKWLTAQRPSSSGPFAFPTLDLDMSNTATIRPRRHRSSLNSNMSSPLNFPGIET SFPSTVNYNPSGHSPTFAPSSAFFNINNGMALPGLSDPPEIMRSDTEVAFNNPQMSPR ADALLPSDLLGDEESPEFPRSIIRPRFGTMEASSTPLDSFGHGPVSPVSSDSRAGSIF ASPLETQNRQDESQGVHLSAAGEAPKSASRKLSGLFGFHRPRGKTLADESPMLGSLKP GQSQSFPRNIDELDPIGARRRRSSYTGNWANPMSLFPRSNTAGVTMDSSSDHAPSRRA AFSNIFSSSKFGFGGAGTRGNPDLSSGYNQFSPRHDPIDPSSLLGGVRRGSLSPRPSS TSFDTPNQLPHPSTDNRHFGWPSTDNAGHRNSPLGFDWAAPSNWSRAPSRRPSIQYGS SGHLPLGLTGEPDFVEDTFDRHHRPLQAPIGTRPSSSHRPLTPKLNPAAPAFTAVLTG EKSEVDTDKGNDPDSPFDIHEYDGSPSESRTSRSLSLFTGDSYESLERMPSGTSLDNA SKESFIRKITRKGSSSKFSSWKDRSGLFSKKGESSQGDIDEDAASEAQLGKSVDSTVS SVTSADRSTRSSLGFFSRKSRKSDKASETSERASETGDEEIPEIEN PEX2_005700 MQLYRSISGSVSKTWNSINPATLSGAIDVIVIEQEDGTLACSPF HVRFGKFSLLRPFEKKVEFKVNGIKQEYAMKLGEGGEAFFVFETTDDIPASLQTSPLV SPAVSPRAQSEPDILPSLQEPDYLDLDKGNSDALSEGAKTPPAMAMPSNKLRANTDLG IITPLSGSPDESNVARSRHGSLSAKPTLDHTQSDNVLSTSSPQRSLSGQSENGNNEPH DIDIEGETHQRSRSPPLSPQEAVSRAISLSKKLSGSNIPSHVTETGDLMLDMTGYKSN EEDALRAEVVARKILAEELEGSYDIGALIGADEHGNLWIYSSEESKELADRRATLHSM RPNIGMNDDALSDPGYHSEGEHAVLEPSLTTRHHRTKSDVQPGFPTPPDSPLHDSFPV ETRNYAKTLRLTSDQLKALELKAGANTMSFSVNRAICTANMYLWNGNTPIVISDIDGT ITKSDALGHVLNMIGRDWTHAGVAKLYTDIANNGYNIMYLTSRSVGQTDTTRAYLHGI CQDGYRLPRGPVICSPDRTMAALRREIYLRKPEVFKMACLRDILNLFCGKENPFYAGF GNRLTDALSYRSVNIPSTRIFTINSNAEVSLDLLSLNKYKSSYVTMQELLDHFFPPTS LLVHDGGEEYTDFTYWRTTPHELADFSTTDSEDEDEDEDEDEDEEDADEELAEEDEEG DDEDYDEELSEGEGSEYLDEAELAEEDLGASYMSQDSVALSNPAGSILESVEGDLGVE EGAIGEEELSPIVENPQEATKPSTTSLPIRPKMPQNL PEX2_005710 MASPGQTQGSAPTAQPLTPPAESSSAANGASAPAATSSQTQPHV PATGPSTTAPQPPPVPGISTQDSGKTRRPRDVRLVHMLLASLGVTSYQDRVPLQLLDF AYRYTANVLQDSVHLATEGYAAATDGTAGAKGSAEVNSVSLPALRLAIASRLHFQFQT GLPKEFLMEVASERNRIALPGVSRGFDPAAGPNGAVPAANQSVVIGGMRLPPERFCLT GMGWNMNDEWDSEGEEDEMPDVMHQPGAGKGVGGDGAEDNEDEDDNDGKMEDLFGEDT TMGEAGDGDEDRNMTDV PEX2_005720 MATSSTSPDTSSASASKSVPRQYNLRNPLPLSAPQEQEVKLLYY KRVRAHCAPEIKAFAECAVNRTVTATWICRQQRLAMNSCMVAHAKPEEEDRAREEWFA GYEERRRAKEEDLARVEKRREEVIRMMREDEARARAAGK PEX2_005730 MSSMRNAVQRRNHKERGQVQGREKWGLLEKHKDYSLRAKDYNAK KAKLKRLEEKARDRNPDEFAFGMMGDKNRTQGRHGRGTGTARDSAAARGLSHEAIKLL KTQDKGYLRTVGERVRREIERLEREVELQDGMNKALGKKDGKKDEESDEDDGFDDDSD FDFGAPVQPKPTRMVFADDRQDQLAMKKQRIQKEEPVVESEEEDQTSSKTRKTPKQLQ AERQALADARRARKLRKRTIEARNNKLTALHKQHAEIQTAEQELDWQRAKMGNSVGGT NKDGIKWKIRERKR PEX2_005740 MEGPKATESQKGQEQQECIAASSPYSPPQLTPQTEKSEVADLRQ ASRIAHDSDCLDSFQSRLQQLQDTLDTPMSRWTREADLSEDIDHHYVPLGRPDSLAEG TVPENKSERKGNAFQGASSITEPIFDDQAASPETPVGKHEHPVITTTTAPAAGTSTIN ISSVVVHCLKDLSRLVSEDLSSFASEVPPALWKDELGRLRVWAANIGAHQTGQSSLDH RLRDASHIKEQTLRVLQRLQRTTKDINDVLHHSPEDDDFSDLSGDEDQDDHQTEMQSI YHALRDTINNLFQMSMVIRQPAQHDRLLGTKRSDAVFYEQFDEQHVSAKYPQAGEGIW KRLGLAISQRRAVMRYRERHRTKLGQGLRQVIEDQTDGQSAILSETVATEFVEVPPAA KDNLELLTVTSQTSYAPTILNGTGVTVLPSPPKDSADGAPFECPYCFVIITITNRRAW ARHVFNDLMPYLCIFPNCPTPHRMYESRREWFSHLQSQHSICESPGVHVDCQLCLSSL PSGKQLERHVGRHLEELALFALPRSEEDDDEAAIASDEDSDESYDPVNEGRCPQCDLV FPDLRAHAMTHNQTERPEKCPVVKCDYHTKGFARKYDMNRHALTHYKGVMVCHFCPGA GASEEKSFNRVDVFKRHLVAVHGAKQLQPNQLHQDLNSSMEISMNYTSGSNGNCSVCN KTYRDAQGFFGHLDNCVMLKVIQGSSEPVNHTRLPEVIDDEGMVDHDPQSSPPMSRVQ LNNHQGDRVLMSYLAPNS PEX2_005750 MEAAGIALAILPLVINQLDSYVQGLETIKSFGARRYRRELESYS SSLGTQQAIFVNTLERALDGVVEYEDGLDELRNNPLGNLWKRQSLQASLHEKLGRDFY PFTQRMTEIATLLEELSRKLGLDKNVSVNNRVDQSTIKKEVKKFKDIFSKSIYLDLFA RIDAANKTLNTLVEHYPPEDMNDDGSKELSRGSRFRMIFPSNGSIDLVTGWCEIEAES DIIQSSVNHLNRFPPQGNQDKIPFGNKKARVQFAFDESFDNEPSMMPDMPSVPPIVDI CSTLSTVGTHDETNEPIGFISDENHRHNMYYVRKLAGNLRSQSLAELIAGSSDIFKAP IGSSFLFTRGHRLRVAVNLACSVLQFHGSWLKAEWRSCDIMFTKNSSGDIDKPYVLWD VNSELDAWSLCRDKPTFSLIQSEILFPLGLVLVELSLCQTLEALRMPEDEDPVEAYVN LKTATRLLQRVAEESGAEYERVARRCLLWPGTRESTLESEQMQDEIFQLIISPLVENL RNFEGRI PEX2_005760 MAPSFITIDSNDMDVDFSTPKPVQAKRTLLLAPPSIATHEDKLR GLFSTFDRSTTDLQMLDRLSAGLVSLPATTYDLVLILTDTDGTRRSEALKLLTRDVYA TLVPAMKAGAKLQTQDSALNASDAMEAVLAGLVQSDNGFEKPNFDPSAAVPLKFGLKK KNKPTPPTAVPIIPSFPTGFAAPMGIDSPTNHDRDDDDELINEDTLLSEEDLTRPIMP PPECQPKTGRRRRACKDCTCGLADKLEAEDKERRANADKELNVMKLDTGDLTELDFTV EGKTGSCGSCALGDAFRCDGCPYMGLPAFKPGQEVQILNDIAQL PEX2_005770 MATPLLISYNPSSPTSDSGLSLKQIAYFGRVLIKVSSLTQAEQF LRQNFRALDIFVDATEISSSGDLVDILNAGAAKILINLDQLTILSEEQSVPSSRLLVN ALSDSQLDTFQQWIAANAERSEASVCTAPSTIPAAAEKLKISSDSPRLFTTFGTQTVS EDAITQVTKQGAIAVIPSQALTVERDVAGQISAAKLIASTAVTDQANGLYATSVTDER GACLGFVWSSDESIVEALRTGTGVYQSRKRGLWYKGQSSGDVQELIRIGFDCDADCLV FVVKQIGRGFCHLGTETCFGASAGLSRLQKTLDARKADAPAGSYTARLFNEPKLIDAK IMEEADELCRAETKEEIAFEAADLLYFALTKCTAAGVSLEDIERNLDLKSLKVKRRKG DAKGPWAEKAGLAKPESKPAPAPVPAPAPVEDRTSRIEMKRVITASTTAQVVTDYLKR PSQKSNEAIVNLVKPIIQDVRDGGDAAVLKYTHKFENATSLTSPVIHAPFPAELMKLS PDVQEAIDISIGNIDRFHSAQMGSNETLQMETMPGVVCSRFSRPIERVGLYIPGGTAV LPSTAMMLGVPAMVAGCNKIVLASPPRSDGSISPEIVYVAHKVGAESIVLAGGAQAVA AMAYGTESITKVDKILGPGNQFVTAAKMFVSNDTSAGVSIDMPAGPSEVLVIADKTAI PAFVASDLLSQAEHGVDSQVILIAVDLNEAELRAIEDEVDAQAKALPRMDIVRGSLAH SVTFVVRDINEAMTLSNDYAPEHLILQVENAESLVKDVQNAGSVFIGAWTPESVGDYS AGVNHSLPTYGYAKQYSGVNLGSFLKHITSSNLTADGLLGLAKTVETLAAVEGLEAHK RAVSIRVAHMKKNQS PEX2_005780 MASSNVSLVVRVPEGQPELVKEEPPLPIPGTGQVQVKVDGAVLG CDFVGEVTKLEDTVTRLNKGDNVGKYLGWADTVNTPSQTNTFPLKVPASISQEKASTV PLAAATVWLALFSKDCLNIDRSNAKGTSVLVRGGSSIVYAIQLAMIYGLDVITTCRPH NEDLVRSCGAKYVFDYNDPNVIVKITEVALILRLDRNAKHDQASNDDHELASELFENL PSWLEQGLVKPNKAKVLGWILYPKDSRVEQDIILGS PEX2_005790 MPFPPTPTGESSSHSGNCHCGAVRFKFTISPPLHEYPTNNCNCS ICTKNGYLLVYPFTKDLTIEQGEDALKDYRFAEGKVKHQFCGECGSSCFIRLPEEGFP PVTPVNVRLLQDIDIEKLVLNKVDGKSVGKEYKV PEX2_005800 MRVSSLSLPLAVLGLVGSAAAYPHPEPEAILPRESESQAKADAV KEAFQHAWNGYVKYAFPHDELHPVSNGFGDSRNGWGASAVDALSTAIVMGNKDAVQKI LDHIETIDFSKTGDQVSLFETTIRYLAGMLSGYDLLKDPATKSLAPKSTQVDALLTQS KKLGDILKFAFDTPSGVPYNNINITSKGNDGSKTNGLAVTGTLVLEWTRLSDLTGDDE YAKISQKAQSYLLDPQPSSGEPFPGLVGSNINISNGHFTDGTVSWNGGDDSFYEYLIK MYVYDPKRFESYKDRWVLAAESTINHLQSHPAPRPEVTWLASYYNGQYDLSSQHLTCF DGGSFILGGTVLDRPDFIDFGLKLVDGCEATYNQTLTGIGPDSWGWDPKKVPSDQKDF YEKAGFYINSGSYDLRPEVIESFYYAYRVTGKEIYRDWVWNSFKAINATCRTDSGFAA VSNVNTAGGGSKYDNEESFLFAEVMKYAYLVHAEDAPWQVQKGEKNAYVFNTEAHPMR VSHT PEX2_005810 MPQAFLAPSITPGNAQPSEYNLREFVPTQTSNGTVGNERTITLD RSANLQPSLPRRRSRYFLQRSENQMIPKFIPNPTGPCDPLQRWRNSPPEDEPASISAI ADALNNSENIGGRISEGKDRPAQGQDSPFHSYRRPASLVSSNSGSSASSWQSVTSTRS ATAQDPLAPQDLGGPRRVHKNRRTQKKPDDASKRIFCCTFCCDRFKNKYDWVRHEKSL HLNLEGWVCAPHGVAPISPLTGRRQCAYCLCLEPSAQHLKEHKSQPCSEGTRTFRRKD HLVQHLRQYHSLNAIPLIEDWREISPIVTSRCGFCDHRLTTWDERSEHLASHFREGLT MNEWRGDHDFSPLVASKITNALPVYLIGS PEX2_005820 MIQSQLRKGNMADEPRIQIIEDANAFLPPSVLRMVAHPKDQSPR ETFDASRLKINLTSSPRPVPLPGSPELLSNKVCTDHMVTVRWTSEQGWADPELVPYGP LSLMPTASVLHYSTACFEGMKVYRGLDGKLRLFRPGYNCGRMLASALRISLPGSDPQQ LLELIRKLCAVDGPKWLPQDRVGQSLYLRPTFIGSDPNLGFQVPQEAMLFIIISYWPP PPPAKTGLELLCSGEDVVRAWPGGTGSAKISGNYGPSLLAHGEAKKNGFDQVLWLFGS EGYVTEAGSSNFFAIWRTGDGKLQLVTAPLGEHTILAGVTRKSVIELTRTRLDQASSS QLDIEPLEVFEANFTISDLIKASDEGRLLGAFAVGTACFMQLVVRIQYKERIIEIPPD EVPHIAILQGWMSGILVGNDKYEWTDVISEE PEX2_005830 MPSITTSLATTSMPSVRIHIIGLGSIGTFAAHAVSEIPNGPSVT LLLHRRSLLETYRQNGNQIRFESTDGKHLVSRGYDLETFYNDKWHLTTPQMKDSAVVT DEIQHLIVCAKATQTVSALRPLVPRLSRASNILFLQNGAGMIEEVNKYLFPDPMFRPN YLIGVISHGVTLNSPFNITHTGFSATSIGPVPSNASVLNRIPDAQPDYLCQTLPNSPI LNLKPYSYSGILQIQLEKLAVNAFCNPICALNNAQNKFLFSVPEIRRAILTEISEVVL SLPELKDVPGLKERFSVERLEQTVHAIIEKTANATCSMVWDLRSGRETEINFINGSWS RMGRTLGVRTPVNDELVEKVLERWGSSRRCEQSN PEX2_005840 MASLKQTLLAALATTSSLTTDGHYRSRPDLAPPQLNITIPAANT NGSEYVFIAPYAFGGALERPGPYIYRKDGDLVWAGTGYYAGFVANFHTTTYKGKSVLQ AFQGSMDGAHGEGFGQHVLLDQSYQHVVTSTAGNHRVSSIHEFNVIGGETALIEVFYT TPANLSAYGGNSSQQWLGNGIFQENDIATGELIFEWNALEHVDPSESLVTLGSTDANS GLSSAQAWDYFHINSLDKNEEGDYLLSSRHTSTIFKINGTDGSIIWRLGGKYPSFSQT GNWTFGFQHHARWQPQLSKPGTEVISFFDNSGDGTITFNNVSRALVVQINHTDSTATV LRKATAPYDLQAQSQGNTQLLSKDRIFVNWGSEGAFTEFGADNEILYHAFIQSGSVSY RGFLSNWTGTPKETPALAALKKASHLVELYVSWNGDTETSAWRFFYVNGQTKTLVGQV DRKSFETTFTWKSSFALSSSARFVAEAVGPNGESLAQTSLTATTAKI PEX2_005850 MSTALNHQASASFCIEAVPRKEKKWLSLLSLRFGAVLCTMIAII CFAWAMVQHREGVVSSDGLGEAWSSINLGTASYGFVWSTVFLVVVFCNCAVHPGVIVA FDCIAFIAQLITVCFDLHELAYWNLGGYSYSSTHNVGPLYAAECLGLFNLILCVRASI ACHKLRKARKQPDAKQEFDA PEX2_005860 MVHSRTTTKVVVVGGGGTIGSSTALHLIRSGYTPSNITVLDVYP IPSAQSAGNDLNKIMGIRLRKRADLQLSLEALDMWKNDPLFKPFFHNVGMIDCSSSEH GIANLRQTYQTILDANVGLEKTNWLLESEDDILDKVPAFTREQVKGWKGLYCGDGGWL AAAKAINAIGHFLKDQGVNFGFGESGTFKRPLFTADGITCNGVETVGGTRYYADKVVL AAGAWSSTLVDLDDQCVSKAWVFAHIQLTPEEVAKYKNVPVVYDGEYGFFFEPNEHGV IKVCDEFPGFSRFKLHQPYGAVSPKPISVPRSHAKHPTDTYPDASEVTIRKAIARFLP QFKHKELFNRSMCWCTDTADASLLICEHPKWKNFILATGDSGAYYSHSFKLLPNIGKH VVELIEGSLPPSLANSWMWRPGGDALKSIRGAPAKDLADMPGWKHDPKI PEX2_005870 MTQQHLSSESVARRHFHRGGDPTSLNDDGQDETRQGQPLTSQLR PEIPVTNINIQTFDLRHYNLDGPGETPGAYHSFSASCGRRSNRTRDDTHSHQSTRQHS SENESSQQPSLPPQIPRTPAIRPLRQTIPGWQLSDPIAIPSRRPTDGSSSQPSAFLSF SGSSWEDYVSDDTVANYRAIGAQYQAWIAGPTPTSCPIRRSRVTWADLVHPLEENGFE VYLDDFRSTPEEVGNIASTGLSTGNTWHYVYLRQYGYWDDGRQEGYTVYQHRTGLGAI FVENITRQFGPYWAQVTQAQYQLDNHIDTLRHVYFINVQNLHTWPYVEFHLYPRHDLH WFEDYEPQCWEYGTREYQELLGTKLGRGVARLVLGAWPRGTHRIENIFTWTYVGNLQM RFDIKRI PEX2_005880 MDQPSPAEDYYNLGEYRRNVSTTNETAQLWFSRGLIWAYAFNHE ESARCFEKVVEFDPACAMGYWGIALALGPNYNKPWQLFDGEDLSATTTRAHHAILEAK KHAPAVTPLENALIDALQHRYPQEQPIEDCTKWDKNYAEAMTSVYNDYPDDLDVTALY CDALMNLTPWGLWDVKTGEAAPGAKTFETKEALDRVLERDEALDHPGILHLYIHLMEM SPTPEIALPVAEYLRGLVPDAGHLEHMPSHIDVLCGEYKRAVAANTSAIRADEKFLAN QPLGHFYNLYRAHDYHFRMYAAMLGGRSKVALQSGAELARIITEPLLRVESPPMADWL EGFVAMQMHGFVRFGRWEDIIAAELPEDAELYCVTTAMMHYAKGVAFAATSRVLEAEA ERELFLQALKRVPDSRTMFNNRCVDILRVGEAMLDGEIAYRRGEYNAAFSYLRDAVKR DDALPYDEPWGWMQPTRHALGALLLEQNRIEEAAAVYSADLGVDTSLPRALRHPGNVW ASHGYHECLVKLGRKYEARAMLPQLKINLAWADVPIKSSCFCRQITE PEX2_005890 MSSYSLFFRDTDATSPKTRAIFRTEDAETYHVLRGCRNVDVRIE KYGDLSTTSQSTSPLYQFRLNMEQDKSYKTANPMEIEFELPERLDLGVSEKGVIGRQV TVREQGGSILGIGVVGYN PEX2_005900 MASQTHTLPPLPYAYDALEPIISKQIMELHHQKHHQTYITNLNA ALSAQASATASNDVPTLISLQQKLRFHGGGHINHSLFWKNLTPPGTPGNDIGGAPALR EAIISRWGSHEAFVKAFGAELLGLQGSGWGWLVSKGGAKGRLEIVTTKDQDPINAPDV PIFGVDMWEHAYYLQYLNNKAGYVEGIWKIINWAEAEKRYTAGVENPLKL PEX2_005910 MGDIVLSQKKRYALIGTGGRSAMFYTSIAKDFNKTSTLVAICDT NQTRLNYAKAKIEALGHEPVPTYLAANFDLMIKETKPDEVIVTTIDRTHHTYIVRALE LGCNVITEKPMTIDVPQCNEILSAVDRTNQRVRVTFNYRYAPHNTKIFEVIRSGAIGT VTSIHFEWMLNTSHGADYFRRWHRDARNSGGLLVHKSTHHFDLINFWLQSRPKTVYAQ GDLKFYGKKNAEERGVTQFYSRTHGSEAAKNDPFALHLDENPQLKSLYLDAEHEDGYF RDQSVFGDGISIEDTMNVLVRYENSAVLTYSLTAYAPWEGFRVSFNGTGGRLEAEIVE SSYVNSGGEQSLEGAAENITLLLRPLFQEPRKIEIVQGAGGHGGGDNVLLRDLFGEAE PDEYMRAASHIDGAASILTGVAANRSIRTGQVVNVKDILDLP PEX2_005920 MAAQANDEISTVQEPEQEQRHERATRSKAVEFLTSHATETTFTY EEEKAVLRRIDKRILVLLLWAYFFQQLDKSSMSYVSIFGLQEDAHLVGLQYSWLGSIL YLAQLVMQPLVALILVKLPTGKVLASAIFLWGSALTIMAACTDFPSLLGMRFVLGSFE SCIAPCCVAMTQMWWRRSEQTLRVSYWNAMNGLTSIIGSLLTYGLGHIHSNTLYRYQT IFMFCGLLTVAYSLLVFWLMPDTPMEAKYLSERERLIATERLRANQMGISNQEWRWDH TREVLFDLKTWCWFFSIITISIASGGISSFGSLIVKSFGFDSFQTILFNIPFGVIQIV AILLTGWLATWTQRKGLVIAGASVLPSVGAIMMLTVPRHNKGVLLFGYYLVSTMASIT PLIYAWQAQNTAGDTKKKCTSGIVFIGMCAGNVIGPLLYSTEEAPLYRTGLIANLAMF VTVGIIAGLTPFYLMYLNKKHEKRRVELGKVGPVADMSMLDKDQRKETKVLELEDIQK VSVEQDNGLQDMTDLKNEDFVYVY PEX2_005930 MTSTLHFLSKTAYLHPIHTICTIAILASTTYIGLLKDSVFHTPA NVGKAEWGSLFAGSRSLITGPHNGWKWQSFDTDSQDLKDFEDINHQALVTLVFPGSYA EGSQTNTVPFDPLPLNLSVIHLPSTSNPFGTYSKDNSFAFSVQYNNVPELVTAVQEIP DRKSFKAEGVEMERQMWIMKASRARTKGSLAHWAHDTWTEFLDLVKSAQTVDVIVMVL GYISMHLTFVSLFLSMKNLGSKLWLATSVLLSSTFAFLLALDVTIRLGVPMNMKLLSE GLPFLVVIIGFEKSITLTRAVLSHAVEHRKPHKAQAHQDDAAAITESTIQYAVRSAIR ENGYDIVCHYVVEILLLAVGAASGVQGLRDFCFLAALILLFDCLLLFTFYIAILSIKL EVNRMKRHVNMRHLLEDEGFSRQTAESVAESNDARDSAKAHIFGKNINGSSVPRFKFW MVVGFFVVNLANICSIPFQGSSRASLSSISWTETLGGGVMTPALEPFKVAGNGLDELL FQARGRGQSTVVTILPPIKYSVRRAASHSVDTRDSQYGVGGRMVGGLLAGLEDPVLSK WVFAALALSVALNSYLFKAARLGIKDPNLPVHPVDSVELAQAERFNAPQIPPTESFTH ITTDGIGEASLASVTAPPIVTKLVEEPAAPQTQLKLEDLLKDTPISELDDENVVALSL RGKIPGYALEKSLKDCTRAVKVRRSIISRTPATAELTNMLEDSKLPYENYDWERVLGA CCENVIGFMPVPVGVAGPIVIDGKSYFIPMATTEGVLVASASRGSKAINLGGGAVTIL TGDGMTRGPCVSFEVLERAGAAKAWLDSDAGQTVMKEAFNSTSRFARLQSMRTTIAGT NLYIRFKTTTGDAMGMNMISKGVEHALNIMATEAGFSDMNIVTLSGNYCTDKKPSALN WIDGRGKGIVAEAIIPANVVRDVLKSDVDSMVQLNVSKNLIGSAMAGSIGGFNAQAAN LTAAIFIATGQDPAQVVESANCMTLMNNLRGSLQISVSMPSIEVGTLGGGTILEPQGA MLDMLGVRGSHPTTPGENSRRLARIIGGAVLAGELSLCAALAAGHLVRAHMAHNRSTP ASTAPSVSPSGGIISAPGPSNGLRPSDAAAERAKR PEX2_005940 MSVDEQVKLSNFSTIFSLEGKVAVVTGGSRGLGLHAASGLLQAG CSKVYITSRKKAACDEAVAALNAIPNKRPGAQAISVPADSSDMKELDRLVAEVAKTTD RVDILFANAGATWGEKFDTHPEKMFSKVMDLNVKSVFYTIQKFTPLLTAKATITDPSR VIITASVAGIGIGTVGENATPSYSASKAAAIHLAKNLAVELGPRHVLTNAIAPGFYPS KMASGLIKTKGGMKQLEEYSPNGRLGKPEDIAGLVVFLGSRASSHLNGAVIATDGGAV LKGRL PEX2_005950 MNSNWGLRLCLPVQPVFAYQSYDIYVFWGFALFPENNGDLVKRA CLFVPVPKSCPRSCII PEX2_005960 MKDTFNIKGVDSIIGLSALAFKPAQANAPLVDLLQSLGAVVIAK TNVPQTLATLDSCNHLFGRTLNPLNRKWTAGGSTGGEGALIAICGSMVGFGTDIGGSI RVPAMGQGIYGFKPSGGRVPFGARSVADINAIMAEIVPRVELFGEDCIPGSWSSQSMP LSLTPPHNFTIGVLKTDGLVTPLPPITRILNEVADNLRRTPGVEVVDTPLPPVVPKCQ ALAGRLMGVDDVSHMLDLIDSMGEDLIPWLQGRMKRGKALTVVQLATLQAQRAEVEKE MMKMWTLSSQGSSAPRRVDAIICPVAPHPVPEIDRYNAVGYTSTFVLLDYLASSVPVR SFTESDLETGREMEAPVLGSWDKANRQLWAEKTADRRVYLGSPLSVQVVTPKQHDYQI FQAMEIVDRAVQGRAGPTGAKL PEX2_005970 MLFGNVYPVSQVLLFPIKILSIAAMSTSSPPNPPSKASIDDGRR FKAITLPCEWVEDYRPGGYHPVILGDVFNRQYKVIRKLGKKQWIFGPVEGTRHITRLL GEFEHRGPNGVHKCLVFEPMGPSVNTMVEELPQFKPRRQEMEIRYPLQMAKSILQALA FLHENGIAHGDFQPGNILFTLDNINSKPGNLVRQEEDVQARSISRPIERLDGKQDKWA PQYLCVAQPLVPFTYYTEGFKVKLSDIGGAYFLTDPPEKPVTPLGLRAPESILTGSVN NTVDVWSFSCIVFELITGQPLFCIPYSGMEDDDHLVYLTAQLGALPDELFRHWKTSSL YFTPERKLFNCQLGGVTPGEEPLMVEQTSIEESFDQADPDLDEEEAHKVKTLIRWTLQ YDPAKRPSPAEILSDPWFCDINFESDPSKVNLV PEX2_005980 MSKEDKKEPGYVLGNESDGTDSFDTITALVAADHAHDIKLRTMS WQKTACLLAGEQVCLAIMAQSWSLSVLGWVPGIITMVLCGIIFWITSITMHKYIMKHP HIMDICDFGYHVFGQSKAAYVFSSFMLLANNILLIGFHILTGAKVLNTLSDHSLCTAV FSIIVAIMGIIISIPRTLQHVSFMSMFSSACMGIAILLFLIFAGIEEAPLYGYNGNYP TDGPVRTYAFPLPGTTWVACMNAVMNITFLWVPQILFPTFISEMERPQDFPKALAVLA AISSILFIVPSAIGFHFLGQYSTAPAFGSLGVVSYKKASFGFVIVPTLIIGVIYANVT AKFLYTRILGNSRHSHSHTVIGWSVWGIIMVGIWMLGFVFAEVIPSMGDFLSLLSAAF DSFFGFIYFAVAFWQLNRGSLFNGLGRTAMTVLNIFIMIVGLFLLGPGLYAAVEAIIA DYAGAVTPAFTCANMAI PEX2_005990 MNPFSILKQLASTGKAFKDNEPGSREVLIAQSRALVAALEIPSE FIQRTFWAEPAQSAIIRLAVDVRLFQHLQEASPAGLSPKSLSEKTGVEGNLLIRLARH LVAMNVLAFYSGAFHGTTLSNGLIEERYQHSIVFCYDTTRPSLNGIPEYFKKSAYRSP KLGGMDGPFQYAHGTDLRFFDWVVATPPQLRHFNSFMSAYRAGKASWFEEGFYPVLQR LICGFDAKIGEALLVDVGGGRGHDVAAFVAMYGNLPGKVVLQDRGAVISSILECAGGR AFEVQGYDFFTPQPVKGARAYFLHSILHDWCDEDGVRILKNLVPALVRGYSRVLFNEI VISEEKLTLAATSMDLMMLSHFSVRERTEEEWRGILKRVGLRVVRIYTYPGVAESLIE AELA PEX2_006000 MSISQIYPVYKVKLKLSIQDPDMPSPRYHTILFVQTNAQGSGTG IKHHVTGDIVTGMHYEPASYDDPETDENFFSKELLGHTRALNYPKNWNDILKSIPAPP KQKAFNKVTMKTEPVKSWDPVAFYEPGEPRRPLIKCTEWIEDQAIPILIDAGLI PEX2_006010 MSSKAKPTSSQYAPLSLHDDGEGSSATVEKRSSEDDHSASLLEG SDEFSALGPSKPAQLPKLIIYFSFALALLSAVNIAILPTTLSKYQAYPFSDSELEALP YGDARLGLERVANFILPPQVYHHAWPDRIARVSRRLKNAVWGQEVQVYITVEVLTQEK DSTIMRFPIPSTGLNTCALSWQPPPEFSARTKDLTTKGDITEIEVWQLIAPSATSANV SSNIDELNYDTLSYSTLPVRGELLGVLDLTAKPNSTTLEFACPSGAESLVVEMRFGFK LVRRRE PEX2_006020 MPSLLAAITFLLALSIGINITSAAHAGFHLQFPWITRGPSPRTR PEIDQYNPFCGEIVHNPQRFSRRSRSFLSFSGHPGDLVTALYTRNRVPRKRDDFPYII LQDVPIQPSGQLCLNVTIPFQTKVDEMGVMYFEARDPKTGNVQHHCSDVKMADIEALP EAHPAMCAANNETLIPMPDEYL PEX2_037870 MTPNNTGDMDDVLPKEQAMHVEDNSRPEISREHREYLLQHHGTL KLNPLPSDDRADPLNWPSRKKHTTLLLVAFHSFMANFIGAGVIPAYDTFADIFDVSVQ DASYYTSVVILFTGITPLFWKPLSDRFGRRPVWLVSTLGALICNVGCAKCTSYGTMML CRILTATFISPPLAIGTAVVMEVFFQHERGRKMGIWALFVTLGPPCGPFVMGFVTYHI GWQWMYWIFAIINALQFILYLFLGPETRYMGHRPLGVSQTQVEYLHFRRIDPTPFTLF EFYQPILLVRYLTIMTPVVSYAMVFGFCSVMSTVEIPALLGPKFGFNSQEIGLQFLGV IIGTLTVFCVQLDRAPPMHWNVTFIIGIGIAAFGNQVITTVLITYCVDCHPDQSASIG VFVSLVRQFWGFLGPFWYPDMFATLGLRGSAGLMVSLIIAVAIIPVALLHWKGGSHHE QNED PEX2_037880 MKPFWGLYLTQVLSGIALSLTDKTPTSALLSSGTVNLGLYQTAY EKAKSLVSSLNNTEKVTIITGGSIDRMWKALEGKDGVNGVDLNYFVSGFPGANALSMT WDRDLAYEQFLATGKEFYGMGYNLVYGPECGPLGRSPWGGRQAEAFSPDPYLSGIFME KSISGQNAAGVIATGRHYLLYEQETNRSRTLSPGASQAYSSNADDKTTHEVYLWPFAD AIKAGMGAVMCAMNRINGTLSCENEAALSALLKSELGFPGVVVPDVGSQETSFKSANA GLDFGSSSLWSESIITAGIRNGSLTQARLDDMAIRNVIGYFYVGLDNGKQPATADFTE YRDVRGNHSTLIRQIAAESLVLLKNNISGGRGLPLKRPRTLAAFGAHAGPTMAGPNHA FTISGSGSDTYQGHLVSPSGSGSGSFAYVVDPHMALTSRQVENGGMFWWLMNDTYTST SNSNTASAVGGGGEGTSMSFSYSNYAQNAEACLVFLNTYSGEGADRGELSNTDQDSMV KRVAAQCNNTIVIINTVGPRLVESWIDHENVTAVLYGGMLGQESGHSIVDVLYGDVNP SGKLVHTIGRNAGDYPASKIHSASAVADDSAFGGFCELTFLLEQNVTARYEFGHGLSY TTFKFGTVTAEVTNASALASRYPTGSLGLGGHQDLWEEVIQITTNIQNTGSIAGAEVA QLYISFPAEAAQPKRVLRGFEKVNISPGASTSVTMSIRRRDISYWDTMGQKWAIAKGR YLFSVGSSSKRITGTAVLNI PEX2_037890 MKFATVLGFLTVGAIPLAMALGSNDAAEIASGSMPIYGDDTASV AFRRAMDPSAARSRYTGFNQSTEVLKKGSIRRHGAKALVSDILFERDVPMKLRDGTTI YTDVFRPVGNRPVPAIIAWSPYGKEVGGQWLDDVTDRSGVPLSEVSELQKFEGPDPAY WVAQGYAVLNPDARGAGSSEGNITFWGRQLAEDGYDFVEWTAEQPWCTGKVAMSGNSW LAVSQWFIAAEQPPHLAAIAPWEGLTDMFRDSSNRGGIAAAAFNEEIITTFSGPNWIE DVPRMTVNQQLMNHYWQDKIVRLERIKVPAYIVASYTNAVHTHGSFEAFRRIQSQEKW LRVHNTSEWPDYYENAHVHDLNRFFDHYLKEEKHNGWKQTPSVRLAILDPGHQDELNR PQSEWPVSGLETRSLYLQANGSLNTQAPASAATVGYKVGSTSSNLTWSLRFEEVTELI GYTKLRLWVQADGSDDMELAITLEKTDANGDPYIVTGGSETSDIVSSTGYLRVSARHL DEAQSTPLEPYQTFDREQPLSVNEIVPVEISLWPMGLRFHPGEHLRLTVAANTVVPSD TESGFGVSAVPVPAAGGTFPAASNTTLKVLGGSSELPDNIAAQRVATPTSRNNGTHLF HVGCQYDSYLLVPLNVTS PEX2_037900 MPELTIGRVTPRVGVTSNLTLKIHLNRTNSFISTSITLVKQKLI DMADSKPQGEGVKRARVEDISSGELQLTEEERRLDRETLVRLDLLLMPLTLILYLLAW LDRANVGNARIAGLDRDLNLSDHQYKVAITVTYVPYILAELPSNLILKKIGPRILLPT LCTIWGTVTILQSQASSFGGFVACRFFLGLFEGGLFPGIVLYLSGFYRRHELQVRIAL FFSAASLSGAFSGLLAAAIEQMDGLRGLRGWQWIFILEGVFTVCFGLCSFVIFPNSPA NVLTFKSCHIDRCSARLRADGNAHDDEQVSARAVFSVLKDLHVWCLCAVLFCNGVCLF GLAYFSPSIVQTMGYNNTTTQLLTVPPYACGFVITMAVAYLADRFQQRGLSALGSCGI AMIGAILMLLGRSVAVRYAALVVFVTGIYSCAPCLISWVPNNSAGYSRRATAVAMGFI STSSGGILSTWIYPKADAPYYQLGAKVNLSLSAIGMGLATVQIGLLVLLNRRKKVTRT EILDGLEGHTLEDQKALLGDRHPDYRYTY PEX2_037910 MSRNVINIGFPTPLKLMTEMTGLIHEGVIGPIRHLTTFCISRLE TAMNSFFKGLHTEQFIITLRDPNATLKISRPVVRAAFDLNATYLLVGCLGGLSRNLST WMVEHGARHLALLSRPGANKREAVSIVEELAAAGADPEVIQCNVADQNALASVVEKLT AMRQVKGMIHAAMDALFENATWSQVQKVLEPKLICTINLHNATKSLPLEFFLMTSSIV GTVGTPSQGAYTAANAFQDSFSKYRDLQSLPATTLSLGLILEVGSVSASIGFQQMLQR NATYGVSETDFLQLLEGALCKPHLSSEESSFSNRDTSHPAQVYNNSRFQGVRQAISDR ARTLASAGSNSSGGTSSIATQLQNASTLSEKLDISRAAITTRLAQLLSVAADNIDSNM PVSRYGVDSLVAGELRNWLIKTFGLEVSMLQLLSNNAKIEDLVKGAAKVDG PEX2_037920 MRFNILSLLLAGVTATSAATTTSAASSAATCAPSASDAKLLQFA LGVSDFIGSFYNSTVNSTFGSTNNATLQAYQRILFGLERDNTLSSAAIEKVGAKAPGF SKPSCDYTFPEVSSTQTWAQWAYRFEDTATGAFIGLAGYTESPEVSFLLARLAAEHSA HSAIIGSRVNSTYFAQNSTSLVSAYGPTQILSSRNETGSLGQYLGGCLTAPTSPCGVL RIGPLAATPSSSGVASSTGLATSAAKKN PEX2_037930 MLVLAPFISLVAAVAALQNPHLKAASFRRPADALRKREVSAAPT EHKYLNEKTQKFQVNGTHFPQVPFDIGESYAGLLENTPHGKSSLFFWFFPSTNPAAEK EITIWLNGGPGCSSLDGLLQENGPFLWQSGVYEPVRNPYAWNKLTNLVYIDQPASTGF SPGPADVENEIEISNQFNDFWVRFIETFSMEGYKIYLTGESYAGQYIPYLADGMLKRN DTKNFNLKGIQINDPSINDDSVMIYAPAVAALNHFSNVFALNETFMKEINHRDDKCGY TKFLNEALTYPPPKHFPTAPDPNKPGCDVWDDIITAATYINPCFNIYHLTDFCPFLWD EMGFPSLAGGPNNYFNSSAVQKALNVPPTNYEVCGGDIFTNGDLSLPSALGPLPSVIE RTNNVLIGHGWFDYLLFANGTLATIQNMTWNGAQGFQKPPTGPLFVPYTSALDKLANG DQTTPWSNAAGGGILGTAHTERGLTFSSVYNSGHEIPQYSPGAAYRQLEFLLGRIKNL QQEGSYTAL PEX2_037940 MGSNNPYARYISPAPSTYSRRSRSESMNSGPSIWYSGTPEHYPV EPRRKRDHSAAHHRSTNKYPRHSQRVQPDIIDRLDNVTNFSYHHEGPYDAARPERNRF TQFSPLEAVKESNAEALRATPHHKIADALNSHRPLDGVAFYPPGTMDRNGQEYSYEEG SNMMNDFAGNFMRLPGTKFTDEDFKNDPFYNRPLVNPFSELRRKISLRLKKRRNTH PEX2_037950 MKLSIILTILSVSFTAIAAPTPRNQLEVRDVDADDANPTPPTPP TPPNAPLIPAPGHPPSTSGPYYPPVPATPPTPPTPPTPPSPQPPYSGPVNAPGYPANA PPTPPTPPTPPTPPSPSGPVYGPVYGNGNYPNAPATPPTPPTPPTPPATSGV PEX2_037960 MPLVQYSDSESDSESRSSLRPAKKPRHNINPGPSLPPLPASFHN LYASSTRVSVQDIPSLHGGRTRVIPHVEGNWPTHLYLEWYPGKDELSPLENFITHSGN VPDEKAPVVHSLLHSDLGAQLPLHISLSRPVILRTEQRASFTEALQKAIHESHVMSFD VQPDTLYWSSNYEKTRWFLVLGMKRPGHDGLNRLLKLSNETLARFGQPPLYATSSTQG QQTSASLRKGSSSMSGEDFSGCFHISLAWSLSEPSVKERERVAGIDLQALRGIQVGFD SVKAKIGNIVGSIPLGKGS PEX2_037970 MQVPNVTLDDLQAFQSKHFPGHQPAVFPQENDQTTDEDLGYYPD GVKRTLTDEQIRIFRHSEIHALLRERQLKDDEAQYQARMQSSVDGGSEGKADAAPEKR AREDESQAQGGDQKRSQSRKGSKRHTPEDKPSNLLDYGNDSDQTQMARPPVSRMPYQG RRIISYDD PEX2_037980 MSSSPIGLDFDSNEKSHYVNPADLQSDPEKFVEVPKVRQDAFGD EEFAEVKYKVLKWWQCGLLMVAETVSLGVLSLPAAVAGLGLLPAILVLIFLGSLATYT GYVIGQMKWKYPHISTMADAGEVIAGKWGKEILGAAQIIFFIFIMASHLLTFTVAMNT ITNHGTCSIVFGIVGMVISFICSLPRTLEKMSWLSIVSFASIMTSVIIVMIAVGITKP GQGVVAVVNTDLFHGFSAVCNIVFAFCGHAAFFGLMAELKNPRDFTKSLLLLQGIDMG LYITAALVIYRYAGSDVTSPALGSASPMVAKVAYGISLPTIIIAGVINGHVAFKYVYL RIFQGTDRLHKRDWIATGSWIGIALALWIMAWIISSAIPVFSNLLSLITALFASWFTY GFSGVFWLFMNKGQWFSSPRKIALTFLNFFAISVGAALCGLGLWVSGKALHDNPSSAS FSCANNA PEX2_037990 MAILSRSSLRSVRRAPEEPADGLAPPTKKPRIENGDRKSSPDCL DTKDPTSKSRTSLKPSRPRTSNRTRRGSSSSVDTVASSVGRLTTPATRTNGNGTLKTP RVRRDPGTPSGADLLRGVHESPDPLDTISPAPSVAKQRTVTPANVDSKVKPPVSPVTR TTRRNENRSLADVDENAIKGEGAVQGATPARDTKRDTPDEQAASVDTRSGRRSLRSTD TGSRCKSELAQYFHNYEQIISLEVPEPEFLAAKTTITLVDDLSRPLPFCSNPEPTPFG NPLLKLYDCEKITLPTPASNTPAIDPLGEETYFRAHRKFERQEKQLRNIERNRAQHEQ QVLERLLDELRGHDWLRIMGLTGVHESDKKLYEPKRDILIQELVTLVNKFQAWKDEER RRKLEKEKAHPVPSTEAVPNVPPQQRSRKRSRPAEDVHTSPVPGTDPHSTPDVDAEPD PDPSDVDALAARQLHQEARSAGAAKSRKTTPAARKSISKPAPNTNTANTTTTNINTND PEPTPTPKHKKPNPTPTKPQPQPPAPTQNSTQKPAPRLQQASLSHFWNPAPRPGPFTS FFRQRHVREAAIAATKGIRRGGSRSTLAFGYPVPEQAEQEFELAPEILNEDSIQQSQR KRRRLKRRSLGG PEX2_038000 MTNGTNRNPLPHGVYVPTVAFFKPDEEVDLATVEKHAVYLARSG VTGLVTQGSNGEAVHLDREERKAITAATRRAVDEAGYTSMPVIAGAGAASTRETIQLC QDAGAAGADAVLVLPPSYYKALVSTESMHAHFRAVADASPVPVLIYNFPAVQSGLDLS SDDIVTLSEHPNIIGCKLTCGNTGKLARVAAAKPDFLTFGGSADFTLQTLIAGGAGII GGVANMIPRSCVRVMELYRAGKVQEAQKVQAVVARADWSAIQGGFIAVKTGLQSYRGY GGLPRRPCVVPSDKAAAAIKEEFREGMELEKSLETV PEX2_038010 MKFASVLSVLGALTAVSAVQVNPLPAPRNITWGSSGPIQVNHLN LNGRQSPVVTQAWERAWKTITTLEWVPAAVEAPIASYPAFPTSTPVSTPISKAKRAPS AAHNVDVHVVDNDVDLQHGVDESYTLVVANGSIRINAQTVWGVLHAFTTLQQIIISDG KGGLIIEQPVKIQDAPLYPHRGIMMDSGRNFITVRKILEQIDGMALSKLNVLHWHLDD AQSWPMQMVSYPEMTKDAYSPREIYTERDMRRVIAYARARGVRVIPEVDMPGHSASGW QQVDPEIVACANTWWSNDVWAEHTAVEPNPGQLDIIYPKTYEVVKNVYQELSHIFSDN IFHVGGDEIQPNCYNFSTYVTEWFAEDPSRTYRDLSQYWVDHALPIFRDVGDHRRLMM WEDMAIATESAHDVPKDIIMQSWNSGDGNIKKLTSAGYDVVVSSSDFLYLDCGHGGAI TNDPRYNEQSNTAGGVTFNYGGGGGDWCAPYKTWQRIYDYDFLTNLTASEAKHVIGAE SPLWSEQIDDVTISSAFWPRAAALGELVWSGNRDAAGRKRTNKMTQRLLNFREYLVAN GVMATVLVPKYCLQHPHACDYYKNQTIIS PEX2_038020 MMALEAARPKQLPYFDPMTMDPSLFSFPVESIPHYTQGHDMTHA SNSYYDTHPLLESTDLQNPTYFSSMPTTPPSISTSHSAEPYIPTGSAASGQSIASASS SAMGSPYSGTAHAFQENWVNTNHGLGLPAAVMNDLFSQEYMGSTLDMDMPYQEKFPDP FVDPSLIQPAQQGPGITPTISYPDQPTSSYSNVPPNYISHSPAPSPLPYNDIAEHHTS PRSHPIQHTGPSPLMVPHRSHSRPLSIYDRRSSVSSIHSRRSQHSPALSSIEPDEETK EKGRCPHPDCGRVFKDLKAHMLTHQSERPEKCPILNCEYHIKGFARKYDKNRHTLTHY KGTMVCGFCPGSGSPAEKSFNRADVFKRHLTSVHGVEQTPPNCRKRSPGVAKKGTNYS PDATGKCSTCSSTFSNAQDFYEHLDDCVLRVVQQEEPSEAINQQHLAEVASDEEVKKT MEKHQLHDVPGPVDQFDDYEDQDDDDAQDPANWRGLKTKPRSSKGNIPASRPIIGSGN AISKNTSKPRAVATRRRNNRGNYPQSWGCPSSSMKTKKRVLCVFDGQRRLWKDEMMLS NEFEVRMRLPGGAGDGTNRDAYVTDLDVETLKRAEGVLNATEEERGPWLNGPSPHIMG QPAMLLPELCQPHDDEISLNELMA PEX2_038030 MQLGLPESLPTLVARRFTAARDSGHLVFSSTHLSIINAAGISYQ LRYCPALAKKPSNLKPDDGPKTPKPDPFEHPSPDLLIAQFPPENPTYNLILNKFPVIP NHFLLVTKDWQAQTDILDKADLEATYECLKAWNTDDDGSRSANGSSPKSLFAFFNSGD DSGASQPHRHLQFLPVEAMRQPGSESWHPLIDLLASQPTSPSPTPKFQHLPGLPFAHF ALPIPQNPSAETLNAIYLTLYKAAAAATRGRTLGQDTETLPTQGPASISYNLAMTPST MMICPRRQETALVPVDSAATRDIVDPGVLSLNGTILAATLMVKAEGEWDALRDNPEYL TQVLATIGYPRTDSRGPSL PEX2_038040 MEPNKESALPIPSVKAAEPTTDSAVETTVPNTVPADATTSPGEL PTASLTAPGPTPPQEPTTSTARSLPVSDPMSIIPTESGPPPQYDEHEKDAITAPAPAV TLPQTNEKGAPIGPQVAVAQNVIPLAELGEEPGRICCPFCFHEVQTRVNKESTSATSM AAVCCCLFGGICCAFLPFCMEMCHDSHHFCTNCGVQVAIHPHDGPVVQFGPDSPGAII EAPGRIQPPEAVMKN PEX2_038050 MGPNGLSSLQLPPIPSQAIAFSSASLSSKPLKRVQYTSTPTHPH HHTSPLSPSGTCTTTSMNGQAAAITPLGIRRAFEAGIVNLRASIDRLDAMANNPPFNP AEFEALSEQILDTKIEFAKQIRRWRNRRDAVILANLYGRLIGAMPDDDGVIP PEX2_038060 MTKPIVLHLGDDIRWNHDQYSKFKDVFEIRRSYSMSRPEFIRAL KDRQFGDFFAIYRPFWNTGGEMGNWDEELVSLLPDSCKVYASAGAGFDWVDTAALAKK GVIYCNAAAACTESVADAAIWLIISTFRLFSWSHIAARALDADAFVDANRNLAMVSHN PNGNTLGIIGFGQIGRRTAEKAFLAMNMKIHYHDIVQMPAHLEAVSKATYHKDMDSLL ALSDCVMVATPFSGETLLNASLLAKMKTGSRLINIARGKLLDEGALVDALKSGKLAAA GLDVHYDEPHVSPELAAMKNVEMMAHNAGASVDSHIGFERLGMENIVSFYQNGKAITP VNAHLVAKATGSKL PEX2_038070 MVSDEHESAPDSEESELPDETEDVGDTEDAEDKGEWVEKWVENG PEVKKDVDEREEEVAWRKLQEFSFDVLKPERYYCQGSPTESTSVHDTEPPQQSPSVPS AMSFPDSQKRKRETTTEGIRHMFGNYTELATDCDLIDEIVITTAIITEMDRALNTPEA QRIFLLSEEILENNEGCSLPFFFLIRSL PEX2_038080 MADVLAISGVPKPWMDHPKEYNHVSLEHISAYSSSFLGSSDDLD KQLSSSRTDWYSAFYPSTKDSPLDFHLLHYPYPTIQSQQRPRKPVEAMLNQHRLAGHS MPPIEDRRYMPLRVEEPRSAAYSQNVSPFTKRLDLSSTLSSNGSTYCESDLDSLDHFD EPFTTQHMESHAGLVHPTPRSNMFTVASNSFLLGTEQTPGLSPFGVSDSPQNEQAISN AQFNLPNPNSANYPMSLPLNTHSHNAHLVSSSITPPDARPVDYGEAMPWSFYQPANPT DIWYPTRDPSGSSEDDDWQTHNGYSAPWPVSNAYISSNECNELAAHIYGPSNGLPMPS FGPSPMTLGSSMPTGPMRHVSHLPVCGPYVPSIEAPRYQPQPHPMYLPSTQPVATDTE FGSPDQKPANSLSPSFSASTNEEERSPQQSGEEQGSIEAGVHYSDERNTFLIDCKRRG LSYKDIKRVGGFKEAESTLRGRYRTLTKSKDQRVRKPKWQDKDLRLLCQAVTIHAESH DTYSSLVNASINMNEPPKVSWKKVAEHIWANGGSYHFGNATCKKKWCEINNITL PEX2_038090 MTCYREGVSNVHPRRKGQTFDLPVAFPLPSASCVVSGYQLVKES VSKKEC PEX2_038100 MYCLTRFIERGISIVKYIAEDCKETVHGQQLPKTFGDWVRQLCI IHGIRYHYGYAQELRGVT PEX2_038110 MSSKFANRRKPRKVGGDDEEDDGGAAPEPVVKRPAAFRPKQKSK LQLSFGPETSMNDEDQESEVVLPKRHGLGRKAIERSGQIPSVSSEKLSARVNQDQDRP TYSHDYLKELRDSTPSTPKTTTDDESSKAVDVAAKFGEIMTIPGQAHIPSEAEIREKK ARRARLAMEHGAGEDEFISLDVNNAANTDDWDAVVRGEKEVEEDTRLVRDDEDFAEGF EEFFTEDGKMALGRKAEREKKRKDREAMRDLIEDAEGISDEDDSDLEEKAAYEAAQVH AAMGHGKSAGIDRPKTPPKVTSLPRLTSSFERLRTSLASMEGSKTQMISRMEELRKEK ADIAIREVEIQAMIKEAGDNYERLKKEAAVVSSAKLDASNGALEKSRGLESIGAPVPV PRRGSSMSDSSDSSFEDSS PEX2_038120 MPQAQPELKKYMEKRVFCQLNGNRKVIGILRGYDVCSHPMTLSL RCRVAPWRAVEAGRKTVPIKRGITDDTFAQVFMNIVLDEAFEEKDGGEKVSIGMIVIR GNSVVMLEALERITDK PEX2_038130 MPGVVELPVGTAARPFDLGFTVGHQKVELEIDLASKSLKGRTEI TIHPRRRDLGTIWLNFRQGEVKQLSVNGKPATTKYTDHYESLQLYGVHYHERLTPKIH TLLQTPPRPTLPITIPKGVRIDELDPSSAEAQDQIALQSTVENVDGPSSARAPEANLP RFMALTVNIEFVIDHIRDGLQFVGVENGDRRYPHAYTTNSLESGIGCPLFPCVDDMSS RCTWEVSITCPSSLGDVFDRKKQEASSAATSSHSKAPNRQLSADDEGLDMSVVCSGDL TDDIVDPKDHTRKTVSFASYSPLGALQIGFAIGPFEYVNLADFRESDQDEQLGQNAIP LHAFCLPGRADEVQNSSFPMAQAIDFFSLSYGSYPFGSYKMCFVDDLPTDSLPTACLS ICSSHLLFPAEMIDPMYDSTRAQVHGLACQWTGINIIPAEPSDTWVTVGVAWYITDTF MRKLCGNNEYRFRLKQMSDQVCDLDYERPSIYDMGNILKVDPSEYRFVALKAPLVLFI LDRRLTKASGKATMSRIISRLFLNSRMGDIPNGAVTSSLFQKTCERLGHAKLDVFFQQ WVYGAGCPRFQATQRFNKKKLVVEMMIRQVQSEPQPPRDLDKDSFLRDVKEEVRHVYA GAVQPVFTGSMTLRIHEADGTPYEHIVEIKEGVTKFDIPYNTKYKRLKRNKKQRERAV ASGDAEVQEEVLLYCLGDVLQTEEETQAWRLADWTKEDEERMGQESYEWIRMDADFEW VCKLSLGMPGYMYLSQLQQDRDVVAQLESLQYMTAQREHPLISTIFVRTLMDSRYFHG IRTTAARALVKHAKDEIDWVGLHHLEKAFQELFCLPGSPMTRSNDFSDRAAYILQQVI PDAISKVRDNSGKTPLRVKRFLFDKLKFNDNSNNEYSDNFYVASLMKSLCHAMLGKSE ARTDEELDHFDMERVLEAQAEEQLDKDAIAEFDRYRRMDEWSSSFQNLYSRAALQCQA QLMQAKMMDLDLMRFIPYTRAGTYDLLRMQAFQCLVDLDISQSPELLRWLMFTLSADS SPWIRHQSLRLLGQTLAQIAFGRPQQTEAFQNDGLIIEQESSTQIRRDDIARRQTIPG AIEALKRELAADASMKECMWAACNSPCMGLLELSELTDLCRTLYDPITSKFVRMKLPR YWSVQNQGHGKLRFYRSTKARLGPSSKRKREDQGVHTPSSTRITFKQSKTGLASGTPT PNHLPKIHIPRPSPAPGATPKAPATSTPKLKLKFGGRPT PEX2_038140 MNAIYRMVQETQDSLSDDALLPLKSYKYSSVDKSFISRYILKHY WNAFVEVVPMWMAPNMVTLLGFMWIVANIFIIQIFVPDLVGPGPSWIYYSFALGMWMY STLDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLSTAAMGFGASQLGAWTALV PCLAMYFSTWETFHTHTLYLGYFNGPTEGLIIACATMIASGIWGPGMWSQPIVGFLNF PQIFGNNSIKDLWVVILVGSFFLGHLPGCVLNVAEARKKQGLPFAPLLKEWVPMIIFT VSNIAWLFSPYSTVLSGNHLVLFCWVIAFVFGRMTTMIILAHLLRQPFPLWTVMQAPL IGGAVLINLPMIGFPAVAPWLELLYLWVYFFFALVIYMHWAVLVINRITTFLGINCLT IRKDKAAERDRAYRNLGESSHINQSRTSTDTSKTH PEX2_038150 MSSPAVSKAPPKLGSKAGTPAKARKASGSSAASKPSTTGASPKA TKKTTTPRKLSQKAPEPLDDDIEEQSIPETPSPKSKKRTEQRRPISPPDDAASQAPSA SGSAAPLGRAASGLSGKAKGLAGKTKETVQQGSEGVQNGAKKATQTATDNLPVPFDLS ALKGREVAEGGNILGQDGNPIGKVVEGDAEDLVGQTVGDDGEIVDEDGDLIGRVDILH EVADQAKNAADDAQENVGDVDLEKVVTDIAQLEGLPVSDGGVIKNAAGQIVGRIVEGD PEDLIGYTLNEDGEIVDEEGDAIGRVDLIPVDEQKKAIEDTTGDAADKVGGAKDDLED QYEDAQDGLDTEQAKSAVDGVADEAKDDVSDIEGNVDKAKSTAEDAASDAGDATDDVD GVVDDATDAAQDSKIDLEDNVEDAKTAADDAGEDATDTLDDKLESAKDTTEDTAEEAK DTAEDAAEDAKDTTEDAAGDAQETAEDAAEDVEQRIPGLETLEGKVCNKLGYIIDQAT GKPVGQLTEGDAKKISKLGATLDDKGQFWDNRGNVIGQAKALPIEEDEGEEGPFSGLE GLVVGEDGFVEDENSTRVGRLIEGDAKKLSGRGVDEDGEILDRKGNVIGRAERLEPEE EEPEEEEVGPDFSAVNGLTCNKAGYVIGPEGYPIARIVEGNPKELAGKKIEDGEIYDG KKVVGRVELIPEDELESKPEGPFAGMDSLFVTKDGFVEDDEGSIVGKLIEGDAKKLRG RAVDEDGEITDKYGNVKGRAEPYEPPQEEEPAEEDLSILEGKVVNKSGNVVDPATGAV VGRIVEGDKRLAGCKVDGKGQIWGDNGKVVGRAELIPGAEKHKDEGPFYGFDNAVVGK DGVVQDGEKIIGRVIEGDAKRLLGRKVDEDGDVLDKNGNTIGKAERWEPEEKKRDVNP MSGRKITKEGEVRDADGNLIGKLTSGNLATLVGKTVDDNGYVVDNDGNKIGECTLLEN IPEPEPEEPEEPQEDEEEGPTAEEQEAAAKAESDRQLAEKMISILSQTLDRVKPVCKQ IEEACQKADRTPKEELDEEKLVQTVKPLLEEGGRILQECNGAVRALDPDGRIAATAKA RAASRDATPEEYQLADMIKELTETVIKTIDNGKRHIADMPHAKKKLSPLWGLLSEPLF QIIAAVGLLLNGVLGLVGRLLDGLGLGGLLNGLLGSLGLDKLISGLGLGSLTDALGLG GKK PEX2_038160 MPRSGSEPSASHIEECPRYATVIQRQPGISTKSVINEALNTPEI LEMILIELDMRTLLTSAQRVCHTWVNMISNSPSIQKALFFTPIKDSEWGENVEMLNAL LTATFSSIFPAKGRLDDYKLNFFDLTMAKGSAMNQFVRRSASWRRMLVQQPPISDIGM FQVYHGMTGDRSTNGYIQGSKYNDLRMERLFDLMLFIPMVELLSFSKVRMYWSTEEPI AFDETYYNINDEFHRVMSKFGLVLFTREMARRSMGRRGRLSEEEFIKMSLVTAHKDWG FNVNLKKIDIIKSISEVEMGIDVEEKVHENK PEX2_038170 MATCDYTVSQHHGIVSPTRRTHLPINVAGNIPNYKRFNEEPVIK LCEITLGIRDVSLQLKIPHYDIVPINSASPSAVIYSHANFKASIQPEKAGDTKDFYER CFAEAAAINLFFPDVRSESIRICMTAWLAANCAADDILETMPPAAATIALKEAILKLQ GKKANVSRTNKVASILCFFQEYCIQQLDLSESTARELGNDICDMCGGLLDELLFRQGI LANNLATYLQFRGRTMGIHPFFTLIRTLHEPIEGQYLIGLRELQKSVSLVLGLQNDLV GLEKDRRNGETMNAVLVSLEEQAGMDMDHMDMILPRTIQQVCDIHNLCLSAAVDMHEG LHVSLNGDSHDPILETAVLAFAETHLKWCASSKRYQAKVE PEX2_038180 MPVLLPPQLEHVVATVAHSMDLLQVDYALMGGAAVCLTAPDPSR RTEDVDLVIHVDQRAITADLLTQRLMNSFPSDFGPVSQFGHVIPAYKLRLPEGSIQLV EVEIFDFPSWPNRPQYNLQTATRVTKTVNGYSVKMFSAEWLTREKLLSQYQRQGVKHA TDIEDLSRLMRYCMPQKPELNFDQDQQLQLALSRLLEERPRLRNGLRRVIKCREIFGN W PEX2_038190 MIRRPREPQPDPITLEISQLLSPLVIFPWGPLAMNYLGVPIVVG NAMFTVRDEDYRLACQKLKDSAFYEIIPDRSIPPEILATLPDPDQAIEEVEKEYQRLD NSTMTFEYPINHRLSGSLQLTLAPNSFANLPIPDITGDVKQDTISTKGYDIYGNICQP LEEALVGSFAKSVIDDQNDENAEFSGWGDRLSTWVAMMCGYLEVNYDILDNCSDERAV KWFSVTYGRIHEERFGPFDRRVSKRLGSGRELPVDMRGNPLPK PEX2_038200 MHRIRDSLLSPSPKGFPYIGELDSISHDQQDVRLSLARGEFEEV RGAAFYNRTGIVTNRYCNSGDGVDSLEGYTRNIWYSYFQLSLHASPETPEHDHLVLDI LRIQGMGPLTRPVRGHFGIDIARMTEGTVWNDLPFLVTDMTDFWINNCRPLSGTQRLN FASYLAKLASTRVCKDRMCQVALIIFRCTFEERKELRTNEDKDEKNPRRSMWSLNIMH LLPAAHAWLKEASHNLMQLSEVSWNDCPSTIGQGGPCFLDSELGKRSPTGFRPWRWIY WLKRLHEIREAAKDASQKHLEGLATEAIEFMPSGAQALNSDIVMVFKTAGHLIYEDKH LLPLKKLAEGEEPFPPHFIDGDESEEGEETKKTEEINHGN PEX2_038210 MTLIKPFLLAIMLSIASNAAGTSCPVGWLPNTFHGTKCCPGTMV IDEEGAYCCVNDMRAYIEALTDTAELYATATTTGDTNWSTVDNSCIAEVRFTATDYSA QVSSASSKAEATPTNDSTNEVTSTSATTSRTTSGAISQTSNFTPTLTSTPTPTSNAAM PLATAKDIMRSGAVFAAAFFML PEX2_038220 MRVVLLSTILFGAASVATKIYAASYSGTVTTLSPKSNNGSYGLS IVAQTKDCGISPSWLTLDAANNLILCLNEGIGAPNGSLTSFKVNSNGSLTTLDVLETV SGPVMSAIYTIPSQPGRRFVAVAHYSGSAVTAHTLDPKSGALEQTQKFTYELAAPGPV PDRQDAPHPHGAIVDPTGRFVLVTDLGMDQIHIFKISPSSGLLQPQKPFMVKPGSGPR HAVFWTPKTDATSIDNTFLYLVSELGNTLSAFKADYSRGGLTFVKVHEESTYGGKAAP SGSKASGIQISPENDRIIVSNRGDVTFGKDGDSFAVFTGINTHKNRATNFTFVGLFPV YGSFPREFTINGHDGAMAVALQNSHEVALVQWDEQTKSPGKLRTKLTLDGEIPAAIWG P PEX2_038230 MKLLGLLSGLVVVATALPQADFDLQSSLLTDPTKVAGTTFDYII AGGGLTGLTVAARLTENPNITVLVIERGFYESNIGPIIENLNHYGDIFGTSVDQAFET IPLAIHNRTEIVRSGKGLGGSTLVNGGSWTRPHKAQVDSWESVFGMEGWNWDSLLPYM KKIEAARAPNAEQIAAGHYYDPSCHGTDGIVHVGPRDTGESFSPMIKSLMKNANNSGI PVQKDLGCGVPHGISMILNDVHEDQTRSDAAREWLLPNYQRSNLKILTGQMVGKVLFD TTTTTPKAVGVNFGTHAKVNFDVHARHEVLLASGSAVSPQILEHSGVGLKAVLDNVGV EQLVDLPVGLNLQDQTTTTVRSNINSIGAGQGQAAYFATFNETFGDQAPRAHQLLNTK LEEWAKDVVSRGGFHNETALLVQYENYRDWLVNEDVSFAEIFIDTAGKLNLDLWDLIP FTRGYVHILDSDPYLRRFAYDPQFFLNELDVLGQAAASKLAREISNTGEMTQYFNGEA IPGNNLAYNATLDDWVDHVKQNFRANYHGVGTCSMMSKELGGVVDAAARVYGVESLRV IDGSIPPTQLSSHVMTVFYGMAQKVSEAILADYNATVN PEX2_038240 MSASTRLQGRIVGPLDKRRRMTRCLGVKEDFHVFIAFDKTRYDF GGGHRRSDQSSMVIQDRHGSGIHPLAFIGKDRGTCLLDCFVSFIEQNMFTMSFSSIIP DLLPLISTSPVLYNAVIAVGALDANRHAGGRALQGEKSPYVDAMTSYHKSMGILRSCL GNINVMQKEDVLWATFFLGLFELLSDDSGEGWVKHMLYGTSKMLQLVGPSDCMSSARR IFYDLFRVLEASRALLYNEETILSQDCWLGLQQSLSSNTTRWDPMQEIITLMIEISAF SLRAGAIIDMIPEAERFTDPSVALIAAEGLDLQETIYNWHTKTLLHLVQDDPNQHSNL ALVYYHALLIFLSGNYDYFAYWDNIPAPVLSPAEISEHLTTILYLSGEVLRNSKIPGV MLFFPVTVAGARAWTTDQQSEILNLLNLVFRKGFVVANKIRDGLLERWAERDRKEKMH LAT PEX2_038250 MMLQILHLLPIVAVSVSAVVIPNPPGQYDVFYNTAKMVEKTRVD PFDPHHGPRAVMTSIFAPTHCNVDLKKIDYLPPATAAYYSDLYGAYGLPNGSLQSISF QACPQPPKEHHLHFPVVLFSPALGTTRLFYNAIAQAVASAGYIVVSIDHPYDTEFLEF PDGSVVTAANISDAQVPLDVETRARDVSFILDQLSTKAGVGALLPGAGAAGLRTRQVA MYGHSVGGAATAEAMHLDRRIVAGANLDGSMFGSVVQHGLRGPFLLFGHENKTQATDP TWKEFWSNLRGWKLELELAKAQHYTFSDLPFLLKLLGLPVEKVPAIQTMVGTLDGFKA FEIVHRTVVAFLGFGLHQTSPTPLQEVISQYPEVSVVAL PEX2_038260 MTTDEFVARSHILHRAFSERPAKVVGGEGINLLLEDGMKVIDAS CGPSVSCLGHTQPEIFDAITNHLKNDIAYVYSGSPYTNRATEKLADILLSQKPGGLSK AIFVNSGSHELRREFYKDFMSSNVSFVDPCYSYRMKLETESNEEFVQRLARHFEQEII RVGPDRVAGFIAETVSGTTLGCLPAVPGYFRAIRDICDKYEILLILDEIICGMGKTGT MHAWEQEDIRGPDIQTIGKALGAGFVPLSGILLHQKIFDALSVGSGGLAHGHTFQAHP LACAAAVAVQKIIKRDNLIERVAEMGHKFEALLRQDIGPLPIVGDIRGRGLFWAIEFV LDKNAKSAFPPKDTFCGNVVKTALNMGLNILGNLGHTGEYQVDHVLVCPAYTTTDAEL AIIVSLLKVAVLKASEPFFMGAT PEX2_038270 MLFLLHYIVAYTLLFLHGAAALPQGMTPSKISSATSATPIGTSV LEKERTCDFDTMNEFFDYTKNNGLNITVEVQNCQNLCLLTYGVGNPDLSGIGMMYAYS IQTGLTILIGPVFRIIYLTLAPASHFIRDLRDIQSNFFSSNGFFVGSSALATLANLSQ NPSTFEIAEMQAMAFLQVNSILVTFFCLVVAQPISRWAARVLLYFIVFVLVVVALGLS HLGNDSRANWRLASDGCAHSSTDYSVINPIPYPSWTVAIFTVAGTIAFWLQSLKEKFQ TDKLQKSMFRLLMLFWVMLIGLLTAGMVVGLTMMWRQRRHLRSLARDQFEDDQWGFGQ IAALTIWAPIPVELIYILNDLAQRKSERWNRLNQTISIFFSPKALQEDRATPSSNPEH EIKDQSGDGRVVDVTSS PEX2_038280 MAGVDSDPKAHQYEPARISEVDSEPQPPATTEYKRHPVYSPREW LLESISSILALGLLIGIAIIFWYMNNKPLSAWRGGISLNATVSILTTACTTALMHGVS TFIGQSKWLHFKNGPRKLADFETFDGASRGVWGSILLLTTVKWNIATIGAFITILRLS FSPFAQQVVLIDQRDVISSTETATFGYAHDYTLSAQNNLFNSVIQSIPQDPDMQSAIF QGLYGVNRTEPFICPGRCRWTESYISLGFKAQCRNVTEETLQAATCSGGEYGLQRCNM TTPGGVNVATRYWATDLATAYYMNASSLLIINPSKPGLPETFPEISRFAIYRSTPDGN FIMRDINITDCSLFLTAYEYTGAQANGSDFSFASRREVDFGMKNPWGITSNISKGLFQ HVYTNESTSGDIHIPALRISYASLSALVNFFQSTTMATEWVEGNFANTNLGVAATLSG DVDLGDRFNKMATAMTDYLRYGPNTQPANGETLQREPFVFIRWGYFVVPIVTEGFAIL FAILSIFSNRQSRRVPLWKSSTLAVLACQHEERLGLLHTKGKDINEIQDDAKKAEVVL Q PEX2_038290 MKVFTLFMMLSTAGLSLAATCKGSRQCECLFPDRSHCCLYGSNE ETGDDYDCTKLCAGASRILQGNEDTPVKCNAGGSFACASIFTAQTRTPCYNNGEPKAS DTNSGFVMEEDKMAWMGTAPLQSLLHAPLGRVAPSI PEX2_038300 MAEHPSFTLAALLPIGGTVGFLRTRSAPSLIAGVGLGVSYAYSG YLIKENKDYGTELALGNSILLLGSAIPRIIKTKARAAMPLALGITGLLASFYYQKKVR EFRFGV PEX2_038310 MSLSFAQQGSLDWAALGRMQFSASIALLSRLSSAGIEPLTVAFG QAMCSRVCIGAHGEKVLMESLNNLKAFSSFGDLIWFGVGVRHVLRDIVQSSEGASLVA LCAAMSETFSIQTSALILYEMSKLVRASRDLSPSLAQWEAFVKASSCIFSETTFSLNV QTLLGLAGHSNTKVQDWYHQGHPQDLAEILLVLGQITRGEIERITVRGGPTCCWLAVY SSFILGLRVEVNSNMAPLMKNYDERTVDSQLCLNLVDDTETSRALDHVATSFVVRDGH DFIQQIFNGYGKDMPETTNVPFLGGSLSWDSLFYDCFGQDVTALLNQLYSPHENTKHE TFVAPFRKLYTLGILLYTTHLDEISRFQSIPAYILFVTDKLAELRPFYILSRQTMTQD GRRTLTDIASEYEETAKNLKALCECFDCRAASTSFNLHSSNYRKFCWLSVAETMLVLT YLVGRCEFKSEIRPKMLGMMLFYQTINERRNHGPKLNADMLLSHLTMEGFQSSPSFLF SSIMTLFTGSPSRILFSVDYPSAHSNGGLYCYLNSLSDLSLDYAANSKLCVGSGSIEY RSRVHDWIFDKRASTDRVDLNYPPQRFTKTRDLSVLNTDTSSSSLLLEGVVEDDFHLV FYYRLSSTTGKRFISPASFVNRCLQPMTALKARSMLSNLPKSQWEPILNDSVHIVAHG EGKLLVHEAGLILRPHKDNTLGQCIALSWYPGQSALVTNDSELDAFIGWYAKEAKQNI AKGAAPPPYFVISG PEX2_038320 MSSTPRFQCADVDPNPLAQPLPFSFSRRSAPNRFLKGAMSERLA SFSLADIESRGIPSLELIHAYKIWGESSIGINLTGNVMIDADHLEAAGNPVIPRNAEF SGLRFDRFRELATAGKAKGSLIIAQVGHPGRQTPGDIQPNPISASDVQLTSSSLGREF GKPRAASEEDIANVIDGFAHAAEYLEKAGFDGIELHGAHGYLLSQFLSPTTNLRTDKY GGDLKNRMRLILEVRDEIAKRVSDTFIVGIKVNSVEFQEKGFQPEEARELCKALEEHK FDFVELSGGTYEKWVQVDAQRQSTVAREAFFLEFAKAVVPGLSKTKTYVTGGFKTVEG MLGALDTVDGVGLGRPLCQEPNFCAQILSGQIKGAMLQKLDLEQFSVTAGAAGLQIKQ MGQNLQPIDLSVQRNVAKLFGSLLKWKAEQEKNAEAYEFPALDDYSLAYDATVF PEX2_038330 MSADKYYTLAEGCPFASNSTAVLMRNREGGGLGLLQDTQLIETL AHFSRERIPERVVHAKAVGAYGEFEATADCSDLTSASFLNKIGKKTPVLLRVSTVGPE SGSADTSRDVHGWGMKLYTDEGNQDWVFNNTPVFFIRDPIKFPSMNRSHKRHPQTHLP DANMFWDFHVGNPEGIHQLLVLFSDRGTPRSVRYLNSYSGHTYKFTKEDGSFKYIKIQ MKTQQGIKNFTQEEATKIAGEDPDYMIRDMFEAIERKDYPSWDVFVQVMDPSEAESYR WNIFDMTKVWPHKDFPLRKIGKMTLNRNPGNYFTDIEQASFSPSTMVPGFAASADPVL QARLFAYPDAARYRLGVNYQQLPTNAAKVPVYCPFQRDGKMRFDDNYGGDPNYVNSSL QPTKFYPEVKGVNPESLSLHTEHEKWVGEVAAYSSRIKDDDFVQPSALWDVIGRDPGH QERTIDNLAGSISGVKSPRLRNEVYTLFSRVNQDLGNKVKQATEAAIKDRSVS PEX2_038340 MELPAELDTTNRPDEWMIEQGLAGHKLPILDQSESDTVHLYPPP ATKLTKDEEAIASVGDRAKLFTRERNGWKGYVEWENYPEKKAKARKILTSQTFAPSPD FIFGPIPATNPVLPGDDFKAWHTALGGELATAADDSWRCVLREKHADMLHLLQFPYNG EPPKRLVTSKSITPNPLHFVRNHGGIPIIDKEKWELSLDGLVNHPKTYKFHDLMDETK FPRMEKTVTIQCSGTRRIEQISLYGGQGDEVPQAPWAEGAIGTARYVGISLKKLIKDC GGLIKPAKHLELYGAETYIKDLEVGNYLVSVPWSKVKANEVMLAWEMNGEPLPKIHGY PLRVVVLGYIGARSVKWLYRIKAIENPSLAPVQSKEYLYFNQQVGKYNLRPTDGIQIQ EMPVSSAIMSPWTKQAVIHTGKIRCKGWAYSGGGRWPERVELSADGGFTWYDVPPEHL SKKGKWTWRTWEFDLPCDVEGWIEIVCRCWDNSLNTQPLNVRAAWNWGLHVTSSAHRI KVYSINKSRELTRKRLDKYEQQGIPLAPLSRYEIVSGQTPEEYEQYWKKHDPRDVDE PEX2_038350 MPEEGIDLSPQPQLLTSPEIVYLSSLFVSQGVTKIRLTGGEPTV RKDIVPLMQEIGKLRQDGLRELCLTTNGISLHRKLDQMVEAGLSGVNLSLDTLDPFQF QIMTRRSGFEAVMKSIDRILEMNRVGASIKLKINCVVMRGLNDREIIQFVELCREKPI EVRFIEYMPFGGNKWNKGKMFSYQEMLVVIRERYPTLVKLHDHKNDTSKTYQVPGFKG HVGFITSMTHNFCGTCNRLRITSDGNLKVCLFGNSEVSLRDIIRKENDGQPIDEEALK RLCLLDTIQDNRGLASEREREILDIIGMAVKRKKAKHAGMDELKNMKNRPMILIGG PEX2_038360 MASSNQDTNQFKVLIAGGSLVGLGLAVAFERAGIEYELFEKGEF ATQLGASIGIHPHTIRILEQLGVWSDIEKQVVPLQSRNHYDGDGHCFEESDVLVHINK ILQRPIIFMERCKALEALHSHVQDKSKLHARTAVVGYEETAQGVIVTTEDGEQYHGHI LIGADGIHSKVRKLMAEKINVVDQSLAMEINEAFTSEYNCIFGVSRNDPDNQFLPDAM VHNVYYDNYSAVAAAGVHGLVFWFLFVKAPKLTRTPNCPRFTDEDAEATIQRYGGALV GPGYTVKDLWDARVKGTMVPLEEGVIKQWSHNRVVLMGDSVHKPSSSRQSTVNPGLGG NLAYEGIARLTNGLVPLLKENPMPSLEQLTGVFNQYIIGQKPRAEAVVDLSGQITRYE AQDTWALKFAARHIVPWVSDRVKAKLYASFSRGGPYLEYLPLPAVDADLAKPAMKPSR GISPKLITVMIMGSSAALFWQVHNKNRFLSVLSTFMRQWK PEX2_038370 MSFLGHHNLQPFAEPIAIFEQFISNEPQTIVLREKVLSVSGDSF EIKLANGEPLLKVHGAWVSISGRKKVEDAHGKHLFDIVKELLHIHATYAIEDTHGEKI CEVKNNIRLFGSKATASFTDHNGKAVTLTMKGGWFDHTADIVDHKSGQTVARIDRKLL SGRDLVFGQQTYAVVVAPGVDAALIAALCICFDEKNNERRGK PEX2_038380 MDLTPPAGIDLNESYQSQLRAKYAATYALAVVAVTLRLLCRLRI SKVRLWWDDYIICVALTFATGNFIDMMIWVERGVGTHIYPLGMAGVSHFYINLFACEI LYTLSVCFTKYSILLFFWRIFNSTNIRIPIYVLASMITGWGLGVILTTVFQCMPIQGL WDYSVKAHCGVNINDFFIGNAVPNIITDWAMLILPLPYVWKIQRSTTQKVALCGAFAM GGFICIISIIRLVIMLDAYKTPSVDATWVFIGPSTWTAVETNIGIVSACLPSLGPLIK SITRKSGGKETSEDDTHKLSSSYGRSFGSGKSGYRPDYDIQLSGIDVTTSVDVESYRR HTDSRF PEX2_038390 MTTILIVPGAWIAPAFYRPFIQALMVAGYDVHFAGYPSFNPEDP STTTCQTDADAITAPLKSLVEDEGKDVLVFMYSYGGMPGAAAATGLAKSQRAQEGLPG GVIGLLFLASFIVPEGLSCAGLQGGNLPPWILVDQPSANLNIVDDLIHNFAADVDPAL VQSLTAEVKPHSTLSFTSPQPHPAWADEAFQGRLAFIVTTEDRAVPKEAQFGMMAATQ KTWIVKELASSHCAPFLNRIDETVGLTRVIIDQFL PEX2_038400 MSVIDTSAWFQPQIGSRLKPSIQFVFREWSHLADEELASHLHRI RDQAWPLGEFPCVGLWMFLLPGISAFPQFPDILKTGRRAEAIILDLGCGLGQDLRLLA AHGVPTERMWALDIQAHLWGLGYQLFRDEGRMKAAFIHADFQQASVAEDPRFAALRGQ VDLVLASQFLHLFDWEGQIAASKKIVSLSKPGTVIVGFQQGRKRARAYIRPWGMMFYH NRDSLLQMWDMVQEQTQTRWTIVVSVVALQEWGMQDEDLEWMPEDRMGINFVITRES PEX2_038410 MRSILVALAVGNGTGPELLAIFERVIIALAAPYNMEVRFVTSPR TYHSYSSLLAINDTDVVSSETLTDADHYESFCRQVVTFGACAIFRTSISAQALYMVRD RLQAVKVENFKMSPSTSILLVRDQAQGFYSGLNTIDSNQEIVSRSSYFSKKVFEQVLT FSLARARETWGPETPITTATLVYKFHLFDGLFYSWAKEWKEYYGVDIQFIQGDTMNRN LLAFGVQGHQLMICANEYADIMQTMLLDRLGFGAQESACAENVYLSAGVKQGLSEYQT AHGSADDITGKGVVNPTATIRAAAALLERHGGCQGIQRQMDVTLEEMRAKDIRTLDQG GTTKTQAFVDALLQMITPNIPVNVCASHPSVIGALAPAPHGNKSCLMVMDFQNDFMTQ YKTPRVMLRIKEHMPRLVEWARREGMDVAWVRFLGDEKYQPETWRRRNQLQGRRAWCK EGTWGAEVASCVQIQPHDRIFDKKAYYDPFLGADFVTYATGFEHLVVVGLFADICVDA AVRGAFQRGLWTTVVRECTAGLHLPEEQSFAYMEAVYGTKVVGVDQLLSTGPVASL PEX2_038420 MSSTIQSGFHLADSKGYMLGRGHAAACRLNLQFYLWKESLKFNI HPSIPIPPNPAIADIGTGTAIWLLDVAHSAPTATLDGYDIDLSNTPPTQWLPKHLTLH DWNLFDPVPEHLVGKYDMVHLRLLILVVQNSDPVPVIRNVARLLKPGGYIQWDDLNYP DTHVVKADPALATPAFDQLLQFVYSNGRHDWVLNLPTLMEQNGFESPRLDHFQDRVEL ATANGEQHLVTMEEFALSLEKKDLVHDAENIRLLLKDLAVEAVHGVALSMPRVVAVAR KS PEX2_038430 MSSDTKPTIILVHGAWHGSWCWKFQIPELEALGYVVETVDLPCV SGVVGTTQFDDAAQVRSVVESQTAMSKRVVLLAHSYGGPIASAAIKGLSEKGVLGMIA LSAFIFPGGMDQGAVIRNIGGLPYVTWDVPSEGLFQTKDPRSLFFPPDAPSDRIEWAV PQLRPQSMAANMGIVPPQAWQDDSYTGRLGYIRCTADVVIPLEQQDTMIAGAGSQGKW VVRTLEGSGHSPFLSRPHEVAAALDEIINDFEAKL PEX2_038440 MADKIADEYAESIDPEIYANNPAYSSLFDPYIHKQTIIADHVSV QCHIDLNGIDAVGSKFGNLNAHAGNFTSLCAPNCLPERLALVAYTVEYAFLHDDETDN AADQEALSLENKMLHQAINQSGMTSVSTRVSPKAQRKAEVQAKIAAEYLRLDPVFGEW FLKAWQTFTASVQDVRSLEFPNLDDYLAFRIVDAAADWTLYNFRWGSGITLTPEEEKI ADPMSYAAYAELCLVNDLFSWDKEYAAYVKSNGDVPLVNAVHIVAVTQGLTHCAAKAV VQAEVRAHEERFCFLKEQYEATSSPSESVLRWLKLLEHSMAGNWVWSLCVPRYFKVDR NPYKDHLAKFGSDAVRVLTPQNELLDSKKETKETEQSVVKAPSPKTTVESDVLAKYSS GLDDIQDGSVLRRGRPVAHQIFGVGQTINTATYLMNEALSLIQMLSPSAVTVYTDEMR NLQLGQGRDLHWSYHTHVPTPAQYIGMVDGKTGGLFRLISRLMRSEATTNSDLDISQF ATLLGRHFQIRDDYQNLQSEDYTKNKGFCDDLDEGKLSFPIIVSMQSPGFSNTALSSI FKGSQKGETLTLEMKQYILEEITARGAFTETKAVLRKLHTELLRLLVETEKLAGGIEN WALRLLIMKLDLGDEKKKVAHKTDSAWKINQRRAWKGSQKNGRPIDKACFLRAMEEAT QK PEX2_038450 MGANPTKNPGNSVPVDHLTAVLPLDGIPWWKQRHLLRLNLIILS LVLYSSANGYDGSLMNGLEALDQWKTFMDHPAGAWLGWINAIYWLGCGVGYPTAAWIA NRYGRKPGVYVGYIFLVLGSVLQAAAPNDKAFLLARLFLGVASALFGNAVPLLINEIA YPTHRGILNSLFMSGWYVGGTVAAWVVFASRTYSSHWSWRLPSLLQALVPLVALPGFF LAPESPRWLVSVDREEEAREILTKYHAAGDASSPLVNYEFQEILSTIRAEKEASNNGS YAEMFKTPGNRRRLMISISLGMFAQWVGNGVISYYLALILTSIGVTNVRDQTLISACL QMWDLVFAAIGAFLIDRLGRRPLFLASAVIMFVSYVLVTALSGSFATTGDSATGAAVI PFLFIFFAGYCVALTPFLTSYPCEIWPFRLRSRGLTVTWVSTIVGMFFNTFVNPIALD AIAWKYYIVFIVVLMIFGITAYFFYPETKGYSLEQIAVVFDGPDALVGGSAEYKTPVG TLDDSKNGSSTVHNELA PEX2_038460 MPFVETAINGVDESFARIAKADIEVILKQLTQDEKVALLTGAYY ASGRLAFTNMRDEADPCSRTGEDFWHTVPIPRLGIPSIRVSDGPNGVRGTRFFSSVPA ACLPCGTAIGATFDRDLAVEIGHVLAAEAKAKGAHVLLGPTINIARGPLGGRGFESYS EDPILSGVLAGHYCRGLKEKNISATLKHFVCNDQEHERMAVSSIVTDRALREIYLLPF QIAIALGSPDAIMTAYNKVNGVHAAENKTLLQDILRGEWGWDGLVMSDWFGTYSTSEA VVAGLDLEMPGPPRWRGAALTHAITSNKIPLSALNDRVRAVLKLVQKASKSGVPERAP ETQLNRPEDRALLRKIASEAIVLLKNDDNILPFNKNKKVAVIGPNAKIATYCGGGSAA LNPYYAVTPFDGISASALGDVEFAQGIYGHQMLPLLGKRLRTEDNVVGFTLSIFNDSP KLATRKPLEQRHETDSMIIFMDYDHPELQKTWYADAEGYFTPEESGTYDFGLTVHGTA KLYVDGELLINNADIQRPGTSFFGSGTLEDRAALELEAGRKYKIHAQWGCGKTSTFRV PGVVDFGHGGFRFGACKRLSPQDGIAEAVKVASNVDQVILLAGLSAEWESEGEDRSHM GLPPYTDELISQVLDANPNTAIVIQSGTPVEMPWVHKAKSILHAWYGGNETGNGIADV VFGDVNPSGKLPLTFPRRLKDNPTFFNYRSEGGRVLYGEDVYVGYRYYDGLEIDPLFP FGHGLSYTTFKLSNLELIRESDSLLQIKCKVQNTGSRIGAEVLQVYVAPVSPPIKRPV KELKEFRKCLLEPLSEEVVTIPLDLIRATSFWDEKSGSWCSHSGTYKVMVGISSRGDF LEGSLDLNETVFWSGSWEVAN PEX2_038470 MQDYLDYIYPMVPIVHRPSFRKALQEDQDREDDGFLALILSIAA LVVATMRSRFQAYQLDPYSLRFSSRKEFIHFCYQKVMGLRTSSYFDELNFQKFAVPYL FFAAYLQLGDHNWSRMLSVEAMQIARLLNLHRISDYDGLNCIETQLRKKGFWLMFYGF VHAQLQNLLGERLTYLDPVLLDSINPEDLMPLGVDDEFIFEHEVLPAANIETCLVSGF IFHSRVFWAAIRSPNPNAAADDPCACIRAKDTGAQVSYFQERLNCLQNLLIDIPSFLQ PWESTPENFNEDVIDENTKAIRLQLSSIRANLHVTHLWLQSLILDQLEAAQSHQQAQP SATSTGHQPAALDQRLLWVEREKLCRQLFFILFNFPRLSLEANGLHIANKVRDIMTSL LACPFHPDDPISKQAAEYVQLSTDILSRLDSSEGMNTMHLQTWVDTDRIQT PEX2_038480 MLKIEGLLPDLNMSGTQYNIALAIFFVPYVLCEVPSNVLLGKFK KPSVYLGILTFYWGTVITLTGLFQNFSALCATRFLLGVFEAGFFPGAVWLVSRCSLSG AFSGLLAFGLTKMDSIGGYAGWRWIFIIEGAASVLLAFVCYFFLVESLELSTKWLQPD EIRYLLLRKQAKRGRIVVDENPDKFDWKTLFSVFKDSHLYLQVLNIWSNTVPNYGLKF SLPQIITNMGYTAANAQLLSIPPYVAGEISAYLFALLADKLRWRMPIIVTGQILVIIA FAVLFSLAEDIKNNIAACYFAVVLACIGLYPILPGTNAWTSNNLAGPRKQGMGIAFML SLGNAGGLVGSFIYIEREAPMYPTGFGSSFAFAAAGVAASIILEVKLWCTNKRNAEMS REEIYDKYTDHELQMMGDRSPLFKYIL PEX2_038490 MVHSTSRKPSSSTDGFFQSIPTVPPAYTFVNDGSANSSSLAASD DSTLARILNLYLPPESSVGVSVHNLSRRALDPVILNLATDAEVNPPIIRPLTTFGNQN LVDPLITGEGWRKLKTIGQEEGLVTAGYDKSTKQWNRRVHQFALNHVWSPSSAMTGCP ASMTDGAAKLLEGRLDDVDGDQPGRRAVIQEAYRRLVSNDPSEAWTSGQWMTERTGGS DVRETETLARRLTTQEISQYVEQGRDVDAHGLQLGPWRIDGFKWFSSATDSDMALLLA QTDKGLSLFFVPMRRSSGKQSNASELNGIRIQRLKNKLGTKSLPTAELELKGVRGWLV GEEGKGINAISTVLNITRLYCAGASAAGWGRGVSICRAYTRIRKTRGALLQENVPHVR WMADEMMKYSAAMHFTFFGVALQGTMEQSWDSMVRGTNAAGLIPQDPVRAATLLRLIT PALKATVSVNSTHGLRSCMECLGGVGYCENNEDGGVLNIAKIYRDTTVNAIWEGTVSV MAEDVIRVLTDKRLQGAKSAGSVLNEWTWDILKTCQSRFPGECAIVEGRLDALIETTQ STSREELLWCGRDILQHIAAIVSSCLLMYDASTDDDERAKHIASRWVRSQALTGAKDT LKSSWQYESAIDRLIFLGEGTSVFKLAKI PEX2_038500 MSSRTIYNPVKDYTIPNTDLPSLVFVMVTLQVAPAELEGLLLSH SLIKDVAVIGVPDVQMAGNDLPRAYVVADQSKITEDAIKSFVRDNLTSHKQLRGGVIF VPEIPKSPTGKILRKELRQAAAMEIKAKL PEX2_038510 MWIEAVENHIFWILQEGTLESVSCAVLMSIAYLLESKTQLSFTM SGLGSRAAQVMGIHDETTWGPLDPIEVQVRRRVWWSIYMVDVYVAQAYGKPSILPTVQ FNVSEPEDLDDTLATCPGIGSYEVRQDGTFKPVTIFSYNRYKAQLYSIADPTAHSIRL PHIKRTYARLLDWEKTVPRELKLDSFCKDQTMVNDAALRVFSLQALTLQVTYDYKHLI LFRPFLLSKKYLSVRLHDGPIETQDGSDTTIRDQLFTSALRMSSICQWQNILFILGNT TSAVQLCFQCFTAGVVLAMLALSELSSPRLPECKQGLARLIKSLEVAGAGSPLSRQSV DILMEAMHLISAEEVKELVSRAGKPEQDVRGILPTADSATWSADGRGTIDPRYGIQQP DVVHGQEIWEELELMPDESEPEILNSISLYQSLASLF PEX2_038520 MATSSIRSRALGLSRRARFENTRLPLIGRRYKSGETLNRISSKI TQPKSQGASQAMLYATGLTEEDMSKPQVGISSVWFEGNPCNMHLNDLSRIVRDSVLRA GLVPMRFNSVGVSDGISMGTAGMRYSLQSRELIADGIETVMNAQWYDANVSLPGCDKN MPGVLMAMGRTNRPSIMVYGGSIKPGCSAKGQKLDLVSAFQSYGQFITGQIDETERFD IIRNACPGKGACGGMYTANTLATAIETMGMTVPGSSSCPADDPKKLVECENIGEVVKQ MLREEIKPRDIMTRQAFENAMIVVNVLGGSTNAVLHLIAIADSVGIKLTIDDFQAVSD KTPFLADLKPSGKYLMNDLYNIGGTPALLKYLLKEGLIDGSGITVTGKTMKENVASWP DFPADQDIIQPLSKPIKPSGHLQILRGSLAPGGSVGKITGKEGLRFEGTSKCYDYEDA FIESLERGEIKKGEKTVVIIRYEGPKGGPGMPEMLKPSAAIMGAGLGQDVALLTDGRF SGGSHGFLIGHIVPEAQEGGPIALARDGDRIVIDAEEKVVDLDVPTEELEKRRKEWKA PPLRYQKGTLKKYCALVSDASHGCVTDGPI PEX2_038530 MLLLWFGFFTFVVGVVVYRLQFHPLSKFPGPKLAALTSLYEFYY NVVLGGRYLWEIEKMHEKYGPIVRITPHELHVADPEFYTEIYAGPTRRRDKDPRLVRL AGQPMSMFATVDHSLHSSRRSILSSYFSKKSIAGLEDMIHRKVEKLVKRLNAACDQNT IVKLDAASSALTADIISEYAHGVSLDYLDDVNFNNEVADSILSLASVVHVLKFFPFLL DLSKYIPDEVLGKLWPHAANILRLQKLVRAQADVALQNDGKVDGQVTMFGALCDPSLP AQERSLDRLQDEGFSLIGGGTETTTGTLKIIMFHLLNEKELFFKLREELESSPSSTWA ELEKLPYMRGVINEGLRLSGVITRLPRRAPDETLTYKQWTIPPNSLLSTSTHFIHTNK DLFPNPHAFDPERWIRAEAAGQRLEHMIVTFSKGSRQCLGNHLALAELNLVISTLVRR FDMDLYKVTADNIVTHREYGFGVPKERGEGFRVSITRAPSP PEX2_038540 MSGRRPSDEFREEHANFWTLMQQIIHGLRNNYPANQDEIIGRMG QLNLQLSTLRRAGETMGLSYPPSSIVRDAPPQYFTARAQRHQNYFGTEFGYSCWYTQA QLGYTIADLLHQRDHTRVADQLEQLLASWQLTEMRVFPHQLPTNNPVQQPANIVHIDG PPYYTPGGAGPHTKATKIAGPSRTHPRVNITMPAPTDTIYGVARRTRHRAAPYPERGV RPRRRGNARLTPPMPQMALPLPNIMQNGHAPPPTLPGVQSLFTPLNLPGGRALPGAPS RSVPAPSGMQPPSNVPAPSTSDHVSVLNANIGETLNRNQSRQDPGAHR PEX2_038550 MRSAARLLYAAVFALAGLSHAAVHNPELDPTKCALEPSAMVSDA CVSYGEINGMNDLIYTTLTSLTQETDFFSYYRLNLFNRECPFWNDANSMCGNIACAVN TIDSEEDIPLTWRAEELSKLEGPKANHPSRNIQAERPKERPLQGELGEDVGESCVVEY DDECEDRDYCIPEDEGSAGKGDYVSLVDNPERFTGYTGAGANQVWNAIYSENCFLKPV AEELDEQSANVPMGGLQAASDFRNVLHKESQRVEGLPLDNECLEKRVFHRLLSGMHAS ISTHLCWDYLNQTTGQWHPNVQCFQERLLDHPERISNMYFNYALVSRAVAKLRKHLDG YTFCASDPVQDRETKKRINKLTSTLSELPQIFDERIMFQDPNALGLKEDFRNRFRNVS RLMDCVGCDKCRLWGKLQVNGYGTALKVLFEYDETKNGENPPLRRTELVALINTLGRI SHSIAASRSMQRALLTGTTHMFPVHGAVPSSHHANALGNNRRVFSDGNNNFYFEGEGP DDDYVYGRGVAPERYPWERQPPSPDDGFWEDLKSEWNMVWGMVGYIWRSWMDLPYTIF QIGSSELIRLYNYWLGLPVPPRMWKLKMPQPRAHTQPAAYANHDEL PEX2_038560 MATPSPPKPWERAGAAGNALSTVPIAGSPAASTAMTTTTNPAVS TSTAPDLPSRPSALNSVVNNTASNYSPYGASRLGTGAYGSTYGGMGGMGAMGSPYSRF GSMGGMGSMYGGGGYGGYGGGMYGGMGGMGGMGGMYGGMPGQDPNDPNSLTNSFGQST QATFHMIESIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQFGNLRTTLGSALGIFTL IRWFRTLIAKITGRPPPADATSLTPAAFAAFMGGRATLPDGSPAPAKPSKKPFFMFLI ALFGLPYLMGKLIKTMARSQEEEAKRRQLVGPNGEPGSASLDPAKLDFCRLLYDYTPE TQESNGIDLAVKKGDIVAVLSKSDPMGNASEWWRCRARDGRVGYLPGPYLETIQRRPN QQAITAGTEPASRTTTMKGDPVAESRTKSLSSLAKPEINSKIGDISPESFQKSTFYS PEX2_038570 MENNYSTPISPQSPNVFRPRRSDDWHEYREIIEQLYRNDQLKLR DVKRIMERDYKFFASEKQYKDRLAAWHVRKNIKAKEVHLMLRKQQKRAAQGKQTAFRV NGQNVDPKRIARFVRRYGTSWDTNRGKEPETETQSPEPQTPSDMTCYTPEPTDEHSVT TPISPLPDMQSPSREMPSYPLGSYGKSTAVPVSVSVPNDIDGRYLALDPNHLENLPDL ELEESPAMSMSMHSNQPRHIPSYHHSIGSHLSHGAHGAHGSHSSHPSHPSHAVHSSHG SHAVHGAHGAHVSHPAHGHPGPAPRDLEDAPSEADTHPPDWNTVESFQTRLQDLDFTL TQSMSKWARDQDPNHEPPHHEGLGM PEX2_038580 MYCQKCRTPLKLDGSVESLNPAAFDLLSNSTGKTLSDTGAASSS ARSSYPPEHRDKYEHSSKHATSPVYRRSIPAPRAGGQHNPPAIPRADSGNMSFVMLTE SQVAPPPISESSSAARPKRNPTQAQLRGQEDGSFVDQVEKTTRLFEVVSARSDIDHPI CVECTELLVEGLQKRLAGSTKERDAYISFLRNLNGSIPTEEELQAAQKSLDESLEAER AAFAELQAFEKEKAALDAEIASLESDSRRLDADEESFWRSRNAFALTLTEFQNERDAL NMRYDHDSQQLERLQRTNVYNDAFCIGHDGYFGTINGLRLGRLANPSVDWPEINAAWG QTTLLLATMAEKLGFQFQGYRLKPMGSNSRIERIEYPTQPSGQPVAGAAPKVTQLDLF SSGDLPLNFPWTHRRFDSGMIAFLECLRQLGKFVEKTPAPVISTRRGQTGATAPGLKL PYEIKRDRIGDASIKLGFNQTDETWTRACKYTLTCCKFLLAHASNLASTGSSNSAAVA AAAVSAADQARQATASSKNKP PEX2_038590 MSDLDGSMEHSMQDNIERPAKRPCLSYTPEDDEEIPAEFDLPAA RAQNDSRLKSLFEGIFAKYSQDFTDVGDEIDLQTGDIVVDNGHLLGMRGEHDAGGQPR SWLSQAELDEPEDFDADDDNNPKGDEDEFFSMASSPGAHSSHAPREESPSKQLQTDMD TSLDFVFKFKASGTAGLSSTTKKEHVSHPTNTIPVSKPQDPIWAVPDLPPSFSTPTTE TRKSNVGFTPPARSTSPPGSGSVWALRKPRRPRTETKPKATPSKRRPAAKRKYHSSPV THDWSFAEVPDGNESDDPLQDYEPSPTPSKMKIIRGKRHIPTKENDGPSTPSKRPAVV IEVDDQGDGPEGACEPSDHEDEVANADIEEGSRESSNQIDEVPEAPVEDGACEPSHRE AGWPEEQLQGVYGPNDQESQAPETENKNKVPFDSMMGGDSTPNATATASTQAPSLLLM ENTPSKRQPMTPDEAKLIVCMMYKQEKKASDVMHMLPSRDYQTVWHWFYNHWTCRLVN PPPLSAAWSQPELEALSRLSTQSDLTWGQIQSRFRGRSRHEVEFELLRTFVGEGFTSG IIRSVEEQAEPEEQQQQEETQDDEIKDEPESDAGVEEVKYKAGDSDAASADTAPEELE QPGIQEVTKTTESNTSAPNSFLGIFINS PEX2_038600 MPDHSTAYIGTAFVAGVCLALAYQELSRSKHEDNVTEQIPPTKG LIARAGPPTIVEGIEGCIGNTPLFRIKSLSDETGCEILGKAEFLNGAGQSSKDRVALS MIEIAEEQGILTPHSGDTIYEGTSGSTGISLATLARAKGYLAHICLPSDQAIEKSNLL LKLGAIVDRVPPAPIVEKDNFVNRARALAKTHTASSGIPPTSTDEQSDLPAANDRMTR GRGFFADQFENEANWRAHYEGTGPELYAQCGGRIDAFVAGAGTGGTISGVARFLKPLL PRLTVVLADPQGSGLFNRVVYGVMFDVKEREGTRRRRQVDTIVEGIGINRVTANFEAG KELVDDAVRVTDAQALAMARWLVEKDGIFIGSSSAVNCIAAVKTARKLGPGHRIVTVL SDSGSRHLSRFWAKAGDVGGAVDTKLEDVMNARDEDFQ PEX2_038610 MDSPVLTQLFRQLFRHPACRSLRRSPSVLARPQSRSFLTRRTPV NVKRKPHDDIQLWTKRGDYPKNIDEEYRTYPTVTAKELRNRRERPRQVKMLTREFIDD SLYNPHYGYFSKHATIFSPGEPFDFNNIEDGPAFHKLLGQRYTEFEDKLDATNPDIAR QLWHTPTELFRPYYGETIARYLVSNYKLTLYPYHDLIIYEMGAGNGTMMLNILDFIRD TDHEVYQRTKFKIIEISPALADLQYKNLTDRLSAKGHRDKVEIVNRSIFDWDTYVHSP CFFLALEVFDNFSHDTIRYKQGTETPQQGGVLIDSDGEFHEYYNNQLDPVAARFLRVR QAAARRPFPSPLGPPLLRGLRSAAPFQKEYSLPEYIPTRLMQFFDVLNQYFPAHRLVS SDFSSLPDAVPGVNAPVVQTRYQRQTVPVSTPFVHQGYFDIFFPTDFNVVEDMYRAVT GKLTQVTSHEEFVHRWAYIEDTETRNGENPLLSWYKNASMLMTV PEX2_038620 MSSISIRLPKIYSSDGANSAPPNDFIIGTWHVTHSSLPLWKGKR NVNITYKLLPADSAGVQKIDDLVQYQAVNSEKIKSVHGVDTPTPGNPGAWDWRGKGWL MIASSHWECLGFGHTDDENQWIVTYFDKTLFTPAGVDIYSRNKEGLPQTIVDQILDAL KGLGVDDIANLANSVFRVPQN PEX2_038630 MNNVNISTNILSTERAAPLAPDTSLLDRVKPAPTGPRSNIPNNR PYPTKPTITQSAFARSPFTKPVFGQTAFSQPTLKQNTSAGMAPTWPRHSQPFATSKC PEX2_038640 MDQGNQTSIDLANRLSALFDAARNEELTSELGLLPTQPREVLSR VLSTSVTSTPSSSALLNTSQQTASVGFRSIGFGQCGMVFEWPGHTYAIKIARPSFEDA LWADFQAHLAVYQAFTNHQSKSVEVRIPLIFSYVSKTNDEWWDSHVDLFHGSHLSFPL PAMALITERILPLPKLARQALIDAYCPASSQLGVKSHHTNRDCLARIYLGRRRGANDP PPRNFTLRNFNLCLDQMIELNLPILHYARAIGQALAIMHWSANVDGYDVEFVLGSEGE TTYSQDICRSLGLSTEKVKNMPPHTDLDTMIRAKFQRRTTRIWVLDFNLCSIWEERMG LERSDDLIAHLVGAFFENDPYYPRPSIDLKPEKALWSAFSESYRDTAASLLSSPGKDP QLASLPQKFLDACVLRQTEYSH PEX2_038650 MMTREENLPKSVLTDEERAARAKGLKDDSIGKGLWTEARGKLDP KRSLRLEIFIIDGDEDLQQAAKAKLETIQNSRLKIKIGNDRVLNVVSTALSQTNEAIS NLDAIALLLVRFQAIEVIYDLSEHPEKMFTASDRIKELHAQLRAQTINLYTQILEYQA DLIEHYSHNQMYRMVKSVGIPSGDRFSDIQTTAAGASTTLQTLDSGAIAGVDRQLSSL AESVKEIMDKLVEVNANILRPVVPTSSLLMIPKSPMLLNSDRTMMTIYPSGGEAPQIW DLMAERGLPDQQSWLHAVTPSDTGTSVIILPKGSGKEGPGHLGLWGVDDPNDGKDLDL GTTDYLQTALDNCLELAISADGMKIAILNSSNIIELWRFSKENNRWDLHWTSVLPYDA KSPRPQIKFSSDVWCVDNKDCEFEYEGSETLNLAVLSHHHAMVAIGGMSSSVELRDIS NKTVRIIETDYFSNAYFMKFSAEDTRIAIGWDNRKVSIHNIAMGETEWVFECHATLAI ALQFCPNDSWREVPFYTLDLEGDWIVHDNKKVMAIPPNLSAALYDPDQDQRANTIAFF TPGTHTSRLVYFMFEGVPSF PEX2_038660 MEHITQKVDEWIIRDKEAITRLVSAFVQCQTPSPPGDTREAMIL VEKFLSARDLFSKRVTAEESMPNILSTIPMATEGRHLMLSGHLDVLPAGDEPGWHDDP WSGKIADGRVWGRGTSDMKAGVTVILFAYYYLFELRQYLSGRLSLALASDEETGMGRG TGHMFTQIPSEMEADCVLSPEPSGTEAIAFSSKGYLQFNVSIATRGAISGYPNHSPSA IRIATDIIHDLDELENIPVEVPPSIKVLLEDAQYRAWYDGMYGEGSAAVISLISVNVG TIAGGNSPSVISPDCFFEVTVVIPTGMDPEVVFKKAQAIVGRYPEACIELEGADTGDI STTDHEMPVILQNIVTQLGWPKPKLTPDIAISDLRYWRYRGIPGFWYGPNGDNVSAAN EYVDIEQVLHLVRTYVLASAQYLQKHNPS PEX2_038670 MTIEASPQEQSKERKTPPWNNRVLEKRATQLALIPSAWHLQAPL LANPPASSVEIIRASGILSANELEWTETADIRDLVELVKSQRVTSEALTTAFCKRAAI AQQVTKCLTEIFFDKALQRARELDEHLLRTGKVVGPLHGVPVSVKDRFDVEGFDTTVG WVGLTNKPAQSNSSIVQLLESMGAVLYVKTNVPQSLMMSDSYNHVFGQSVNAFNHALI SGGSSGGEGALVGSGGSVLGIGTDIGGSIRVPANLQGLYSICPTTGRVPWDCSFLHQH YLVPPVAGPMATSLATTEYFMESLLASSPWSLDPSAVPIPWRKELATPPVNRKLRLGV VFDDGVIKPQPPIARAMRETVDALRAAGHEVIEWDTSLHIATTNLWTKGILADGGQHC RSLCKIVDEPLIEGMVVGKESDLLSHEEREQFEATKHTLQTAFLKQWVSSEIDALLMP VLPWVGYKPKTWVKSKQWLGYTAMWNLLDYAAVTVPVARADQGLDSGDSTGNAEWEGH SIRNESDAFNYLQYDIDLVHGMPLCVQIVGGRFGEEKAVAVGKVVDELMNPTPFHP PEX2_038680 MIIENFPINPAMELDTRVHKRTTAADHATSHESTTSLDRNKILL EADEFIAKYDLADSRNIIRKGVLLFYYLDDRSRIEEETIYLEDCKDMPTNNSPLTGMG ICYAAGFLSLMVSRPCPEWGWVWLPPQPDGITRALHSKVSIVFALLGFGLSLLSNQYG GRRLAWRVGAHLALGASVETFISDNGISLFFLRGLSTTANIVLVSTSLLYIAETSAPS KRGSCMAKWYCLTPVIWGCFQVPGVLMMYIFGFSGAFLNLILSALAASTLLALSVTML ESPYWLACNGKIAEAYHSLRGFRQTELAAARDIYKIHNSINTRQTPFDGYMTGKKTVP KLDAFSRKRKVMTSLAICVVLMLTRGVSSIFVTEFFFRSFHGMEYFTRIAIYLCAAQQ NVAAMFYIWSILLHFLAEVPVALYISEVFPLEYREMGVAVTVSVYAGCTAVLEKSMAE PSELGVNILGRSLLPEYYFLSPFYSPAS PEX2_038690 MSFLGGAECSTAGNPLSQFTKHVQDDKSLQRDRLVGRGPGMQEG MRSHNMMGGQDQMMDDFMQQPGQQLNGPSPQPFAMEHMRRELDNFQTGPARTGSPGWA GEFDAGEQARMEAAFPGQMNNGSGFNPAEFARFQQQNRMGMPQTSSPAATNASPMMAG YQRPMAMGGGFMGGMGGMGMMQPMMGGRMGMQQGPMEGQTQDKGKGRMVELDDENWEA QFAEMETATVGNEAQTDEEANAAIEAELNDLDRSVPSNDAFESVWQRVQAETATSRKL VEEDNFEVTDNVHVGDLGDWDGFDSLNSRFRDPQLGDYMFEEDNVYQAVNNPFEEGMK IMRDGGNLSLAALAFEAAVQKDPQHVHAWTMLGSAQAQNEKELPAIRALEQALKVDPG NLDALMGLAVSYTNEGYDSTAYRTLERWLSHKYPTIIDPKEVSGDSDLGFTDRQLLHD RVTELFIQAAQLSPSGAQMDPDVQVGLGVLFYCAEEYEKAVDCFSAALASTESGSTNQ QEQLHLLWNRLGATLANSGRSEEAIEAYEQALNINANFVRARYNLGVSCINIGCYPEA AQHLLGALSMHRVVEQEGRERAREIIGDGSHPDGLDDERLERMLHISQNQSTNLYDTL RRVFSQMSRRDLADQVVAGMDVNIFRKEFEF PEX2_038700 MAQSIQLTAPNQTSYLQPTGLFINNEFVPSLSGQTLSTVNPYDE SIIASVASAGPEDIDLAVASARNAFKSDEWQSLAGYDRGLLLTRLADLCDRDKHILAT IDAWDNGKPYEQALGEDLAEVISVFRYYGGWADKIHGQTIPTSEAKLAYTRHEPIGVC GQIIPWNYPVMMAAWKLGPALACGNTVVIKAAEQTPLSILYLASLIKEAGFPAGVVNV VNGPGATAGAAMASHLDVDKIAFTGSTNTGKAIMKAAASNLKAITLETGGKSPLLVFE DANLDQAVKWSHVGIMSNMGQVCTSTSRIYVQESIYETFVEKFKQYTIENSIVGSQFD PQVNHGPQVSAAQKSRILGYLEAAKREGAQLVLGDDQPSPDAKGYFVKPIIFKDTNRD MTPVREEVFGPFVVIQSFKDQKDAVAKANDTEYGLGAALFTENITRAHKVAAAIQAGM VWINSSQDSHFAIPFGGYKQSGIGRELGEYALSAYTQVKAIHVNLGTWL PEX2_038710 MQFFNYAGTEANSESFHYSQVVRIGDTIKTSGQGGWDEGGNVNP DVEQQVAIAFGNVEKALKSVDSRLSWENVYAVRSYHIDVDQTFDMVTGNFKKVLPSHR PIWTCVEIGKLGIEGMVIEIEVEAHCPY PEX2_038720 MERSMEKQDLSIGSCDKLESSGLGTYQDEQLYQLGYKPQLRRTR KLSSMLFMSLSIASIPYGIGSALINAVYGGGQLSLFIGLLVVLVLDTCVALSLSELAS RYPTSSVNFGIASLIVATVSIFYPAWTASSWQLLLIFYAICLVVFMICFFADHLLPLV DTLSAAFSVVTCVTLAITLLVLAKTGRHDAYTGFVGYDPSYSGWEEHFTFFIGLLPPA YAFSALGMVTSMAEECTDPEVQIPTAISLVPVVAGAAALVFTVPICFTLPPLADIIAA PYGQALPYIIHVVTGSPAASIVLMILVLFVALFCSISITTTAGRCTWAFSRDNAIPFS HLWSSTVRDSPLAALCLVTAVEMLLGLTYLGSSSAFTAFASVGVIALAVAYAIPIAIS LFVDRRLEISQSRWKLNPLIGKTANVLALLWIAFQVVLFSMPVTLPVTSETMTYTSVM FVGCVALSMGWYMLYGRRYFKGPIEEAEHS PEX2_038730 MILTNPALQQGAIPFLGLIVSILAWLMMKWPIHRKSSTPQIQQP EKEPFIKPLPSPHPDDPTSNDPKLYRPFRHGPNFVTMGIRKLQWDNWIEMDSYFLRYH DMKAAELKKDFKEHIKYVDNAVTKDACFELNKELVRHLTHRYPKTFRLEGGKVHNSLT GEAFAFPAATPDEALATSALLVQDDLVLMMKNDDGEYHLDAAAVCLPGFWRLKEKFRM SLDTLHFEAGVPHYAAKLQKAMNKFMLKLTPDKPVERNNFFIQLDDGLHWSHRMGDQQ GTEVASWATANGKGLTIDEIHFRSERQTLRRLPRSGAILFTIRTYFEPVTKLAQEPYI PGRLSEAIRNWDETVSYYKGKSSWDKILIPYLDEQDRLQKEGGLVEGTEGEFPY PEX2_038740 MTPTPPSTNSSSAGYSPDYRVVRKRNRKNPSQQSSNTPDDMQNR IDRLEGLVLSLMTNGSQSAGPAAAMAAISGDSSAGSTRFSHDIDIEEEGMEGAEESDT DQVTKSFGIMKMDNNKSYYISDAHWASVLNDISEVRSFFSTHKKQADEQLEKVKAARP DSDAPGATLLFGINKAMSRGEIMSGLPSKYTTDILVARYFNCYDPATHVLHGPTFQAQ YNKHWDDPAATELVWIAMLFGMMRLAMLSYHREGDEPPEFRGKSLDMAGGFRNSVAQC LTLADYTKPHPFLIEAFVFHLHGDFSQTREADISIWVMTGVVARLAMRSGYHRDSKMF PNITPFQGEMRRRVWTFVRQADLLFSYQVGLPGMIRATDSDTELPRNLYDDDFDEDSK ELPPPRQLNEPTPISYLIAKARLSYAFGNVVEQSSAVSSAPYEKVMEVDAELRQARDL IPDHLRIRPMEECQLDPVNLIMSRFSVMAVYSKAQCVLHRPYVVRARENPRFTYSRRT CIDSAMDLLQVQAVLHAETRNGRLRSRQSRVSSLSSADFLLAATIVSLDLYHGLSLQA SGRPSGDTYTWGRERRDEMIAAIQLSKDIWDESLNESMEAWKASSVLGLMLGKLRASA APGLENNAGAASFEPQDEKQNAAMTLGLLSSGMSPMNPGPPPFADPMFKMGESPMGTG AVGAGASAEMPGALSPFSSMFGQMPDMQVNLDWDAWDTYIQNPTLDTSNQFWPMIDAQ RQTVPQFGSMSQPSVPSPLTSGRGSSVSGAPRPPTMFSASSNSPDSGGVLATMIAIFV QEFRHCFRQAVSRLQLFPAASRSSITASSAVLRSTCPTPMLSATRFAYPTLTQTRFNS TDGRSPRVPVRYVESVKPEQSKSEKIRLQRYERRRQSISDGPVPKTTLYIGNLFFDVT AEDLRKHFEKFGAVENALIVHDSRGLSKGFGYVTYSTVEEATEAITQQHGGILEGREV VVQFSNSTYRTTLDAKPSKTLYIGNVPYELTDQDLQDLFDDVPGVTDVRIPVDRRTGL PRGFGHIDFADQTSASNAKEVLARKAPYGRKLIVTFAKRKMLTPEDLQRHQQKRREKL TPHNNRQGAQDDAEPVGRVREVGEVREVPEVKEAEQVVEQTETEQKQ PEX2_038750 MTSIDSTKIKQIETRLFINGKFVESSDNRTFGLTSPTTNTKFAT VYEASEDDTNAAVAAAKAAFPAWSALSPSQRGAYFKKLASLILESHEELAQLEAMSMG RPVSGYFDSYAAAITFDFYAENGYQALGTTSLNTPGYVNMTVRQPFGVVAAIIPWNVP ILFLAGKSAPALMAGNTVVIKSSEKAPLTSAKIATLVEKAGFPPGVINIISGHGQISG NILSHHMDVRALSFTGSGRTGRLIQTAAAESNMKNVILELGGKSPAIIFPDADIEKAV EETKNSIQWNSGQVCMANSRIYVHESIASTFIELFNKRFAAVAIGDPLLPDSNHGPLA DEAQFRQVHAYIESGKESGKMSLGAETIDHDTGFFVRPTVFLETQEDAKVMKEEIFGP VVNINTFLDEDEVVAKANDTEFGLYAAVYTKDINRAMRIAKKLESGTVGVNCTSPTTA HDLPFGGYKSSGLGREGWTVSLNNFLKTKSILIEVDDA PEX2_038760 MQAILKSYLPGDDLTPETLHSLAQQCRHQKTATPQPNPFSIATQ PVAPSTPISVSAFGVPSPRENTLKTPDENFQESSDPEPNPEDTVLQDAVCFHQKLGCL LADSLGEYRYMGPESGLSFNAAIRRLTGDEMYTPESPDVIPGMVTVAMPLPSPRSQQN IMGLPPLEECQTSIMRYFEEVHCLYWLFSSDRFHIQLEKTYQTPLENLSASWLGSLYS ILAIVYVETNGLDGHTTATEYLEHAKSYVPAVCDEGTLDSVRAMVILILPLGSHTPPD YLAACSSLSQLAKTARNHVYNRPFLSGQRLEMKVITKAMSSLQEWADALPPHLRISDM IAPRLFCRPIAILHLRYHGVRLLITRPFLLYDVICQKTMYGRGKLQALEPLSALCVSS AENMLSILVEMVAEGTFSRLVALDFLFALDVLQVLLSAFALSKGDKELANVRKCLQVI QAIGTAGYGEKMISEVIFELVQWGMIPNNSAPSHSEQSGEISVTGFDALNDTYNFLFG TSDTTIDLSSFPMFSGLDLPIQMGADLTRLEYETSLH PEX2_038770 MAEDLKALKAELTSLKAEVSRIGDEVEIRKLHFKYGYYLDKCLY QEVVDLWSDSPDAFIEFHGGRYRGKEGIRRLFIERFGGKFVNGRNGPIHGFLLDHAML QDIIDVNREGTHAWGRLRTLMSAGTHKSIADDNPRGLVQWWEGGLYENEYIKENGVWK PYRYRYFPFWHADFEKGWSHTQPEYVPFPKKCYPEDPLGPDQLVESRNLWPETKVVPF HYPHPVTGKQVKENDLRAPTLNGDVSKSGPALSLNDPNVPATKL PEX2_038780 MPPLNMAMGILRPTNSEDCPGTVLLYERADNEQSYHVGVKHDRK DPSIVLDPQPSDDPNDPLKNWSIWKKDLLYLTIFINTIILAAVPGPVFASSTAVISKN LGVSLDNVALLSGYQLLVVGLYGPFCSALARKYGKWPQYLFGCTMALIGTIICVVSGS NYSTLLAGRLIQGFGTTAFESLSLAAIGDIYFVHERGIRTGVMTIALTCLASAVNIIS GPITQNLGWRYMLIIHLPFVAASWILTFFFIPETQFRRSSANLARIQVPEHRTDFKEK IEEGSEVFEEENVGEQRTVYPSTNVSKKTYLQNLAIFSGTYTDISLPKLIVAPFVVLH NPGVIWSLAMSGAVVGLYVSLAYVFAQLWSAPPYNLNAAQNGYFYVGAMLGGMIGGLG SAWLTDLATKIMVRINRGIYEPEFRILTQAIAVVAMALGYFVDSHSSETTEIFSLQMM FKNLLFFGFARFVNSWVAEDGPQSIFRVYGIISVCLAATSIPMYIFGKCNRQFIHRLG LLQRFYFGKE PEX2_038790 MDLAARKTNLLFGIETDWYQIWNSSGKIANTAFSEIDASEHSRK RKQVAKAYAMTSILKMEEYIQGVVDQSRETFAECVAQASKGEAVLDMALYTQAFAFDV LGEIGFGRSFDLLKTRGQGPSKSIVEAIDLSFLVLANSGYLPGKLRWLKSNTIKAICK LANIDLSKLQQLFKVTLYADEIVMKRKQEKASRTDLLQQFIDSKTGDSKPLEHPELLG EVVSLLGAGADTTSIGIRAVLGPLALDRTGYTKLTEEIDSFYATQNLEDREIKYTECL ELPYLQAVIKEGLRMHPSIQFQLPRYSPAGGVTICNAYIPRGTEISTSPLASNRDTAV FGKDADIWNPERWLESNERTAIMEKHNATFGYGARSCLGKNIALVEINLYVVQLLRHF ELEIANPSQPWKIHTCWSSSQRDMFMKVTKRDR PEX2_038800 MAIITNVPAATLQYAVYAVPPMFLLYLTLNYFYNSLNIFPGPFW AHLTDIWRYIDVKGRRPELTHIALHRKYGDVVRLGPRTLSFADPKATKVIYGLNKGFT KSEFYPVQMTVSKGEPLPSLFSTLDETFHANLRRSVNHAFSMSSLVQYEPMVDETTEI FLDQTGRLFADGVTVCDFARWLQFFAFDVIGSITYSKRHGFIEKNEDIDGIVSSLANI FDYSAPIGQMPWLDKLFWKNPIFDLLQKLGLSDNSHPVAIFAKHRMEERMSAKATTTG PGSKDDLLTMFLKAGESRPDFMTNKRILTMAVSMAFAGSETTAISIAAVFYYLLKNPD CMTRVRQELDDAVDNGTIVNRPTGLVSWTESRQLPYLDACIKEAFRMHPAAGLPLERV VPASGVQISGHFIRGGTIVGCSPWVIHRREDIFGPDADIYNPDRWLTVPPDKLKTMDG MMLQFGAGSRTCIGKNISLMEIYKIIPSFLRRFEVQLAHPDQEWKLWNAWFVRQHNFK TIFTPREIRV PEX2_038810 MTDPSPTLSRKQKAAIYNSPGSVSIDIVETDIPEPGPDEVLINL THSGVCHSDLDIMTNSCAGLPPTPQGQVGGHEGVGRVVKLGSGTRGSGIKIGDRVGVK WVASACGNCEPCQMQSDSLCFNQKVSGFYTPGTFQQYVLGPANYVTRVPDGVESAQVA PLLCAGLTAYSALKRSMTALGQWVVVSGAGGGLGHLAVQIASKGMGLRVIGIDQGQKA DLVKESGAEHFVDISKFPTDDDGVAISNHVKSLTGELGAHTVIVCTAANSAYSQGVQF LRFSGTLVCVGIPAGEQKAIASASPGILIAKQLKIMGSAVGNRVDAVEVLDFAARGVV KVYIQTVPMEALAQVFQDMKTGSLLGRAVIDLS PEX2_038820 MTHPSDVGSMNGASPQVKFPNTETFRGFNKPSRLEGDVFDCEVD GEIPIDIDGTFYRVQPDHRFPPMFADDIHFNGDGSVSAIRIANGRVDFKHRYVQTDRY KAESAERKSLFGKYRNPFTDNPSVKAVIRTAANTNVFFWRGALLATKEDGPSFAMDPI SLETIGRYDFDGQVLSPTFTAHPKFDPLTGEMVCFGYEAGGNGNDGSCDIVVYTIDST GKKTEEAWYKAPFCGMIHDCGLSENYLVLPMTPLKSSLDRMKKGGGHLAWDPNEDQLY GIVPRRGGKPEDIVWLRADNGFHGHVAGCYENEEGNIVFDLSVASGNVFFFFPPEDTM AGTVAERNKTANQTVRWIFDPKSKSDTRVTPAEIMNTVNGEFSRIDDRFVTKEYLHFW QALIDPSRPYDFEKCGSPAGGLFNCLGHFTWDKKVRDIYWAGPTATFQEPTFIPKDSG IEGDGYIIAFLNHLDVLRNDIVVLDAQNLKNGPIATIHLPFKLRLGLHGNFGDHKDIA AWQKRRQEGGDIGPLLVATEPLPWQKQVLTQ PEX2_038830 MQDARLHAENKRKIVGLYTMSTMVNYEGAVEKMNVVLMRKFHEF AQTKRLIQLPVFLQYYAFDVIGYITMDRNFNMMETERDRTGFLQKIKVATEHQMTFGP FPFLHVVLTRIAALLKLKDPHDELFRFIAESVQRFRQVGSEPNENPKSEPFLAKLMDL ELKGKVDQGSIFSSCGSNVIAGSDTTAITLSAVFYYVYQNPMILRKLRDEIDLHERAG LLSSPAMFKEAQQMPYLQGIIEETLRMHPAVAQMLPREVPENGVLLNGYNFPAKTQVG ISAWALHYNPDLVDSPREFRPERWLEGDGNKPLNPALNFAFGGGSRVCLGKNISLLEM AKVIPEVVRYFDIRFEKPGQPWELDVGWFTWSSYKCWIEERKTAED PEX2_038840 MSENRGRGRGDRGGDRGRGDRGRGDRGRGDRGRGADRGRGRGES RGDLAFRPAGRGDGNRGDFRGDFRGRGGDRGRGDFRGGGDRGGRGRGGGDFRGRGDFR GRGDFRGGRGGGRGGPTNFGPPIFRQGEPIPPPNTTVTKTENELAKALAVSGQKTPRQ AKYPERPGYGTAGRPVTLYANYLPLTLPNKRLFRYHISIAADSAGRAAPVGKKARHIV RLLLEEHFPQQKNSIASDFRSTLVSCVKLTEGKFDVRYKEHMDDDYLDPPRVHQVTCQ YTGEVNPADLVNYLTSTNAGAMLESKSEIVAALNMIMGHHPKTDDQVVSVGANRHYSL RQDTMESFNLGGGLSVLRGFFVSVRAATARVLLNVQVKYLACYNEGPLANVIQAYGRR NSYHLEKFLKSLRVRITHIVRKNSRGQARPRVKPIYGLANRSDGRSSCNPPKVACHGA GPHEVQFFLAESSPQSVAVPSAPESKGKKGKKAPRAGPAEAGRYITVADFFKKEYNMI LNTTNPVVNVGSRDRPVYLPVEVCEVEPGQPAKSKLSGDQTASMLRFAVMGRKPGQNA QSIVTKGVGVLGLGQPLNDTLSAFGVNSSTELITVPGRVLPAPNVYYKDGNRTKEIRT QSGSWNMRQIQFSKPAAMKSWTYLYVDMQGSHPVFKEPDHLNRSLEGFRKTLRDMGMA VDPHKPGKRVVLTGKNDAIEIQQAVVDLQKQHNPVFILGILYNKDTGIYNCVKQVCDV RCGIRNVNVLAEKLMNSNDQYNANVGLKINLKLGGANQALRTADLGIISEGKTMLVGI DVTHPSPGSASTAPSVAGIVASVDATLAQWPAEIRVQGARQEMVADLENLLASRLRHW QKLNRSLPENIIVYRDGVSEGQYNKVIDEELPLLQEACKKTYPADQTKKGLPRLAIVV VGKRHNTRFYPTTEQDSNRENPIPGTVVDRGVSEARDWDFFLQAHSALQGTARPAHYF TVWDEIFYPRYPANSSGPGAADVLQDLTHKMCYMFGRATKAVSVCPPAYYADLVCTRA RCFLSDLFDPVSHDASGGSTTGTEGTADMSRMVDVKIHPNIAETMFYI PEX2_038850 MSYFKTEYQRFLDNPRTAKLADDVSMIYVPTTTKFEKADNVITH VLKNAKVVKTKSNKVISAIEGSDSLCLDMETTLEFTEGGGVYLPSLDDNFLADRVATF PTVHIVHFDANQLIKQIRIYWDQASLLKQVEVIGARGRQWPIRDGKDQLRLLKTAETA RLAPSQPASQNVETTLPQRPASPGKRHIRDPHAAGSLTELLSPSKDTNKPESTSKKYT QDPYGAGSLNELLSPTKKGPAYVPPLGSSSGRPATRNFSDIFLKDDDDSPSKPQRRAP RVDEEGEKAGTGPVDEQRNFYKTDPAKYSHFDIAGGETVGKGPVDEDRHFYKTAPGKY NHFEIGGDNTERETKAEVRQANTKHATHWDFDDIETPVKGSRAPRGQEVRHFGFGDNE DGNGSPQAKPNVTKPRRDVDRHFELTDDESEDDGRIISSFGGRGKRLYENRLFDDEGQ PKPSEREQKDKEDQPTHNHDAHNKMMESHWDNYDEQSPQLPTQKHTQHNKMMESHWDN YDNASPEPVRRNATAQRNPLGHNQPTWHHGDHN PEX2_038860 MFFLKEETKVISMHPSYFGPNMREYLIGRLNEEEEGRCTGDHFV ICVMDMVDIGEGRVMPGIGQAEYTIRYRAIIWKPFRGETVDAIVTSVKPTGIFTLAGP LSVFIARKNIPSDIKWEPNTVPPQYTDHADQVIEKGTSLRLKILGVKPDVAAINAIGT IKEDYLGPL PEX2_038870 MALNLEKQLLFYGAYHSNPVNVAIHITCVPILLFTGIVLACNCP PFFTLPDVVRIEYLPANAGTIGALIYATFYILLEPIAGGLLAPAVITAAYYGNYFLST YGSTVNYWAGGIHIVSWLAQFVGHGVFEKRAPALLDNLVQALLLAPLFVWMEVLFFFG YRSELKKRFEKGVELEILKFRKQGNENGKGKGKSEQ PEX2_038880 MFRNALRSSSRSVAAVSATGRIASVRAAAAGPLNGVRSYASEAK ATPTEVSSILEQRIRGVSEEAGLAETGRVLSVGDGIARVHGMSNVQAEELVEFASGVK GMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVEVPVGPELLGRVVDALGNPIDGK GPLNTKEKRRAQLKAPGILPRQSVNEPVQTGMKCVDSMVPIGRGQRELIIGDRQTGKT AVALDTMLNQKRWNNSKNATEQEKLYCIYVAVGQKRSTVAQLVKTLEEQDAMKYSVII AATASEAAPLQYIAPFTGCAMGEWFRDNGRHAVIIYDDLSKQAVAYRQMSLLLRRPPG REAYPGDVFYLHSRLLERAAKMNKTHGAGSLTALPIIETQGGDVSAYIPTNVISITDG QIFLESELFYKGIRPAINVGLSVSRVGSAAQVKAMKQVAGSLKLFLAQYREVAAFAQF GSDLDASTKQTLARGERLTELLKQKQYSPMAVTDMVPLIFAGVNGLLDTIPVDKILTW ETELLSHLKSTYPEIQATIEKEGQVSKETEATLKKTISAFNSTFNA PEX2_038890 MSAPTDKPPSSCKVMLSKHVANHLLTEVHEGLKTLEKPPHLVGL LANNDPAALMYAQMTQKTCEENGFKYSMREVSRDDIEQAILNANTDNNVDGIIVYYPI FGTRQDQYLQQIVDVTKDVEGLSHRYIFNMYQNIRFLDGEAKRQKSILPCTPLANIKI LEYLNIYNTILPYGNRLFGHTICVVNRSEVVGRPLAALLANDGACVYSVDITGVQKFT RGEGLQKKMHEVVDLEGKTLKDVVPLCDVVITGVPSDKYKFDTSLLREGAVCLNFSSE KNFPHEVRDKASLFVPSIGKVTIVVLLRNLLRLIQNKRMDDVKPAEATERPGTLEASS PEX2_038900 MGNIVSVLRRPRVLPQITIVLTWVSPKDIVSLVQATKTLEFKRR EFGNRVVIATSATDVPTTSSTDQPEEKDTEQIADRFPQGYIYYLQTKALDRPIHYKSH IRLIVLDPGQQIIAPGVQIMGPPARGFLVGLDPVLPPNLLQKINVCDNTLSGTQSLGY LLSGVDQFADWESSWGELIHWWLLLLRPYWFSIAFALVFVPLLITVLVIVFAR PEX2_038910 MASTKVPVYSTNDLKSTSDDALLPYLTNLPTPYAFTPDYSKTNI RFLLGYSAVAIAGFTFYADRTLGWEATTSPWIIAAVGTYFVLNSILTYWIWAVEAGEV YSGKRKTGETISVSSSAKKFSNVYRLHVTYKSASGKVLQDKRCEAPFTTWFSADGVFH PEPFRRWVASEIDVLRLAAKENEKKSG PEX2_038920 MAIQGAEQTILRDPALFYWILFPISIVMILTGILRHYATVLMNS PPKSPSTLAESRERLALLRGVNLRNNACSVLDRESFEMRKNYMVTGFRNGAFLKDPNN RGQPPANPMTDPAGMEAMMGMLKGNMMMMIPQTLIMSWINAFFSGFVILKLPFPLTIR FKSMLQSGVLTRDLDVQWVSSLSWYFLNLMGLQSVFGFILGSDNSANQMAQQMGMANP AAMVNPLQPGQDPDKLYLNEAENLEVMEHFCILDGVEERILQNFAPAGI PEX2_038930 MDKILPGIVQPQKTASDEDVNSKDSGSPIISDVLPKTKGINIFA RLTRDFDPIASRLNDASKNITVLAPRNSAIQALPRKPWENPEDYKKFGEVNAYEGQDG QDRAKRNLQRFVEAHLIPASPWRVGEEVETLAGEKLKWTKEGDKIFIQPGNIEVDSIA EKVHNGEVWVLNDVINYRQE PEX2_038940 MSALQSFMLVVDHDKEEAKRIAEGIAHGTQLAPHSTPLQAVALL TEVIVDVETKKATLIDTVQSLGEYINDEDPILRGKAISYLTAIIQALSPKYLSRQQIQ VLTTFFCDRIEDGGAVDGLQALQGLDRFNKFLATQVAQALFSNFQDLQSRSQTQRFHV YQLLNDLMSNHRGAMRDMGDISLLGVVDIMTGEKDPRNLMIVFSILKVVMVEWDISNH VETLFDSVYNYFPITFRPPPNDPYGITAQDLKGRLQECISSTRHFAPHAIPALLEKLD STSPNVKKDALSTLIACIHSYDPNTVSKFSITVWEVLKFEILNAQEEFLSETSLEALQ TIAQRLSEGVTEVSQELPLAKYLQPITKECNEQLQEPQNKQAKPAQSILSCVSAASPV SFILVVQTVVPPIFTLYQEADGIVKQRALLETLNVLFKAATTVFGQWTTRGGEAAIEN PLLEFKDQFSDIFGQALMGAAKEEVSFRVTALKGFLRLSTLRHFFQENEIGLFVQYLD EILLKEESVGRNDLKKEAIAALAEISKHKPRLIVDITFPAFVATLPEEDEGSDALYLP TLETLAQISVERDIFETLFRRLFSKLSILLQKEQPGSVAYPRAILTTLLYVMQQRNME QDQSVDLYFDKVVIGLCRDVAASASGKAKNRILNDPTVLDTLGRLCNLLVRSVSIQKQ EQVAENVYSLFSAAGDFVPIPFTQTTNADQERTIIISTYLLAGTSKDCSNKIPHTNPD MSGLLLDLVQRSVSSTEPATHQAYLRHLALLVNKFLSKQDVAIAEKLFDSLLQRQDTE SKSLSPATIRTIFWLSKALILRLAPTTTHILTSLLALLSSPDQQTSATAAQGFSIILG EDDILSVTNGATIRLLCRQRLFTTVIPLISSRIREVNIAGTDDSPAALKAPDHIKPAH LTALAGILSTIPTALVMPELPTLLPLLLQSLDLQTADSVAVRTATLDTLAVIIRDNGV TVIDQSGHVQSLVTRLLKTTATNIGPGAVNSPRLRADALKCLNLLATHQVPGAAANAR APPGISPLLPVKTQVLRSLRAVLDDPKRDVRKAAVDARAAWLRGVDDAPEEEE PEX2_038950 MSGKPIFVATHPRACSTAFERVFMTQRDTIQCVHEPFGDAFYYG PERLSSRFAGDEHAQARLDSGFANSTYRTVMERVERENTQGKRVFIKDIDHYLLPPNG QPASIAPSLLRIKRGVGTNGDSHKANGVNGANGTTPTNGHSATNGHTNGVNGALNGTN GTANGVNGHEMNGHTNGTSKEPYPYDTPTEPGNPTVMPHELQEQFHWAFLIRDPHYSV PSYLRCTIPPLDEVTGFHNYDPLEAGYDELRRHFDYLRESGLVGPRVATRPELNASDD VQATTGVEVCVIDADDMLDEPAKTIEAFCNSTGLPYRPEMLTWDTEEEHAVARNAFEK WRGFHNDAIESKALVQRTHKRAVKTEEQYDAEWQKKYGEKQAALIRQTVDANMADYLY LKSFAVKV PEX2_038960 MRFSTSVALLGLALQPALALCPYAEQMNLEKKSTPPPHEHTPRK APSDKKGIFYMNRIAPGTSELYIANVDGTDERPLLSNPIYEYHPTFSPDGEWITFTGE RNGDGNSDIYRVRTDGSDLEELVATSSVEDSAVMSPNGKQIAYVSTANGYKANIWVMD LESGKKWNLTDTVSTAANDSLPNGYFRPAWSPDGQWIAFSSDRNSGWYGHGDPIFQGV TGWEHTQELSIYAIRPNGSDFRPVVSKSGYCLGSPKWSPNGERILFYEMTRENTWNAH RPESLNSANSTLVSVKFSTGADRRVEVEGAGVKTFPQYLPNSTVAYLAKGSGIEGFHT TAGGYVNTSSEVIRSPAWSTDGKKVVYEKTAWTIRPMDKKLYSWDSEWEYRFTDVFPQ LSNTNKIALTEKQLGNSSVVSFNANGTNESLIYNDMESSFIDASSVAMGTAGAFNPAW SSDGNWVTFGVGFWFQGRATGGGWLVRATANGTYSEVLTESNTTLSSSVINSGFPSFS HDGKKIVFRIWGTNSTMGDRSQLGLRVLDLETRKTSVLTTEWDNLPSFSPDGKRIVFT RKTSDYNYDVCTMRPDGTDVKVLTSSGANDAHAVWTWDGRIAFSSGMFGFQYECALYD QTFQPYGQIIVMNADGSNKRVLTDSIWEDSMPLYVPNSALKASAKVHK PEX2_038970 MRIQSSGVSLLLSTFLSSLASASGFDCAHIKLDNYKYDLSPLKG VHELTHSVTTDDFVTNTTYRLNICNILGGAARYGDATCGTSKNVCGFVHRTAKDGNGG STFGFPIVGLDPVGQGSKNPELKRLKDIDPETEGLRVKLEGGSYKGDGNDQKAKKAGA IIEFKCDHERSGLEGVHTLEADPETKERRRRAEGEEGSAPSGNSSSSLQFKSFGPSDD DTYILRLDWRTKYACDEYEKEKGDAPSSNSWGFFTWLIIIAFLCIAAYLIFGSWLNYN RYGARGWDLLPHGDTIRDIPYLFQDWLRRVVNTLQGAGSRGGYSAV PEX2_038980 MGVKKNISKVEELIYLRKKQKHSLIIPYTLYIYVHCIDRLPRTN INTHLIATHGPPTQTRYQTRQLTNPQRGQKQIPNTKEQTNP PEX2_038990 MVQPRMLTKADETSANDENEVRREDQEKINRFSRLHQRETVLEE QLKGKQKDKEDLEEVSMELELADEDELVPYKIGDSFFQLPLSDAQGMLSTSTEKIDAD VSKLEDTLGDLREEMQELKVALYARFGRSINLET PEX2_039000 MAHGQQQPPPITTNLQYNSNAPLNGPPGYGMQQQQMAPSVPPSL SNTQYPGRNPAVEVEGGGRSKAQLIVGIDFGTTFSGVAYAFATNNQASEDIITEWPGA GTHAKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPQVQKVEWFKLQLMLSGNTY IDPINLPPLPPGKSEIDVAADYLFKLRQAIRAQLQKALGEVFTREERNIRYYLTVPAI WNDAGKAATRTAAIQAGFLRDENDNRLTLVSEPEAAALFCAKSGLLNLKVGDAILIVD CGGGTVDLIAYEVEEEQPFSVMECTAGSGDSCGSTALNRNFSNILRAKIRKMKLPDGS RTAGKVYAKCIMDFENRIKADFRNNGQKWAVDVGIEADFPDAGIEEGYMTFMNEEILQ CFEPVVNRILELVRNQIIAIQAQNRQIQNVLVVGGFGASEYLFQQIKLHVPPQYQTKV VRPMDSVAAIVKGAVTAGITERVITHRVARRHYLMATLQPFKEGYHPEQYRVPSLDGR DRCKYTRQIFVQKGERVRIGEPVKVSFFRQVAPGATLMYEDVLYACDEDVCPEYTKDP RIKEVVTLTSDLSRKNLETDFERMDTPQGIFYRVYFDIYLTLDGSEFSAELVCQNEIM GRCRAKFR PEX2_039010 MASEHQKPRTGEEESGWGGDFDPFADPEERRVLFAAFDSFRQYR RTAHMNTTHRRRQAFYALPSAHWQMLSEPPFSILDNFNKVDDAIDTNANIADEILALG LQSFGLSANPDTTDPTQNWHDIATSSDVNKAHSTIRQFYRDWSLEGRPEREVCYDPVL QALDEEFQTRRDSGEEIRVLVPGAGLGRLVFEICMAGYDAEGNEISYHQLLASSWVLN HTKGPAKHALHPFALHFSNLQSRAQQLQQVMIPDVHPGFAMQEAANAGRRLGAMSMSA ADFTVLYTQPNNHETFDAVTTVFFIDTAPNLIRYIETIRHSLKPNGLWINVGPLLWHF DDGHSRRGSSSEYEGAGIGEPGNVELSEDEVLSLVERMGFRLEKRGDRKPCGYIQDPN SMLQNLYQPSHWVVRKVAV PEX2_039020 MATEAPPAVPVAEIAAPSVKPQEISFTLPKAFHTTAHVHLNFLG HCAMVFLATSSPGDSGGSIKPMGSFVYAMPDRTSPKSTISTTLYTSPSSIEYTGRIAK ILARRFSMPVYVGCSIDPHGMGLEVAEEMEGLTKIVNVITEKWEEHKQEKSGSAK PEX2_039030 MQPSHFRGNQGYELPPVQSVTSGAFPTPALLSAPPSRPDSGMRM SHLLQPMPSAGQATSPYQRFYDSASGSPGESALPDAPPMNSVPGLYQTSPGHGQQTAA QLQQKRAYRQRRKDPSCDACRERKVKCDASESSSCTECNNRRVRCQFTKETNRRMSSI KQVQDLEKQLQNTKQQLQHLQTGMMRPDRLVDVDEGISQPMIKLPEIEYRPVRRSKAP IPQDFSDVRSNLRYYGRGILKVPTPYRQQGAESLVTGDAPELPPKDLADHLLAQYFGC IHSVLPVLHWPIFIAEYEKVYRSGSLLGVSTEWAAVLFGVFACGAIHTNEANREEEGK KYVRTSCAIIDVWHDNFNLDRARAALLASIFLYEVNSKSASWVWIGSAVRVAQEIGLH IDSGPWPAVEGEMRKRVWWGLYAWDRLLALEMGKPVLINDQDCDIDLPCPVDEQYITE GGKIPDSQQTTPLLATIHVVRSIGQLTRTLRSATISPATLETFELHFNTCLATFPSQF HPKTDQDLDPRSLAPVIYLQNARLLLHRHNISPFCPDIVRTSAMDYCVSTALDTANIL ARCMRNYPTHSAPPCINDPRSHFASSAGSLLCTHIWRCALLLLFRGEYAGALACVQAL SSIGDVRTVNAACGRYLGFFLRRLLVRIRPSESHIPDLDHDEEMLAYVSGDMQGTSDG SWVWHGSETGSQLEGMGIGVSRPTSSHHRTEMETDVEWEGWEWIEKTVHDLFTEQHQQ QQQQQMAYVQRDVPMGQPKLETSSSSTLAPESASDTDRRSSSAHSRMTIASII PEX2_039040 MYFSAGIKAALLLAASIPAQAWNRLDKDNAALLIIDHQVGLAQL VRDYNTNDFRNNILGHAALGNVFNLPTVLTSSSDAGPNGLMLKEIVDMHPNATFVRRQ GEVNAWDNAEFRAAVKATGKKQLIIAGIVTEVCTSFLALSLVDAGYEVFANTEASGTF DVKLAEDANRRMEKAGVTLMGLFGIVCDLMRDWRKTPGLTEVLPFLDKYQFAYGLVAR HHAGSIQNGTFYPVEGLLI PEX2_039050 MVSTTTSYGLPALLAALLSLSATTIATITSKGQTVELNGNTYYI PPRVVTTLKADAHVFGKLNGLQPLTVIRSDAVKLTSSILDSLVSSYESADDVFNAGFL DNIYVEYNGTSKNPSQNVPTDSSWGPKILGYASAYGTKKSKTVTSSSNLPAGPYFLDP SSGAVFEAWLLYSDVMGSFTQGLVSVGDNEYDVLPASLQGYASLTIGVPSRLYYTKTA DKPLAGVRLGVKDIYDIKGVKTGCGNRAYYETYPVANATGPAIQSLIDAGAIIVGKMK TSQFANGETATDDWVDYHSPFNARGDGYQDPSSSSSGPGSGIGAYDWLDLAIGSDTGG SIRNPSQVNGCFGNRPSWNLVSLDNVMPMSPLLDTAGFLTRDAQLWRAAAEVMYKDAG LKSYTKYPKSIKTIDFPVNASTPAEGLLVDFVDKLSSFLGGATVSEFDYDDLWESTKP STVAANTTLDSMLALTYPILISKQQYPLIAAPLYADYAAANGGRTPFINPVPKSRWDW GLGYPESQLDTEIEHKNTFTSWWNSTAQVFDEETCADSLILYIGAEASPTYRNTYRSM PGIPRGFATSRIANFAGIPDMVVPIGQALYNSTITQQQEYLPVAVDFLAPHGCDLMVF NLINELVEAGIVKQPGAGSTLYGEEATYY PEX2_039060 MLASPYEAVWRSLPRTNASGVSGLWEAVAACFAALQLVGYMMLA VVVGSPRQELRINITVSTVTAFVFVAPPKAIPPPLNLYASIINICLPAAFSVCYALAG ILIYREYGRYRAEGTPLLTDEEMQRRQLLRLLGERSTNAPSPDLVRNTYRFDLPEGRA QKDWSHLTP PEX2_039070 MSKRVTIFDPPAQDHSKALIENVLDLTPVVDLGRDVFTNTRPLW HPPGARGIYGGAAIAQSLSAAMLTVPADYAVHSMHCYFVLAGDSEIPILYHVERVRDG RSFVTRTVQARQRGRPIFTTTLSFSRVGSGGEKTVHHAVSKPNVPLPEEAEPGSLKAL SNAGGGPFESRKAGILNRTSPNQEDKRVRRYIRARGAISEEGGFQAHLSALAYITDSY FIGTISLVHDIPRFSSPAELEKLLNALKNPSDLDDEDITRALKELKEEEAAELRRRLE GALNRATTGKNEADHKELGMMVSLDHSIYFHNPRAFRADEWMLTEMESPWAGEGRGLA IQKIWSKDGVLIATCMQEGVVRLKQDKPPLSKI PEX2_039080 MAPYPAQPIRGRERYRVMMDVRKLDAQNWLTLDKNYMDEHQVRS QLLHEKREEVLRCLPESIDPCQEALEEVSDFLCERFPNMFEMSIEDGRKIVDNRMTGD KFTVSGRDPNEGSTEALEAAVRLTMEDLSILMMNEEGEFYLAASASLFPTGWTVNQRI GWTISQLHGPVPLWHQQVANSVSKFLARLTPRSPMERSNFFVEVKGPNENLTEILYRP GSLCEKELSSPLPSDILIRRERQTFRRLPRTGAIVFGVKTYLTPLDELPMAELDNLAK EMKSWPDHVGEYKGRNVWGAKVLEYYRKRVGEKKTSNEEKDEKIEV PEX2_039090 MVRGALIGLIHHQSLHLTHEKSQGSSALTLITSDIDSIESVGET FHETWARLVEVVTGTALLASHIQWFAFLPLVIIFACSRMSAYVAKHLENRQKSWNEAT QSRIAATTSTLEGIKSLKIMGMEDAIRSQILHLRNNEIQISKPFRWILVAYNASANAL GILAPVLTLILFAMSSKNDRLQADQIFTSLALLAMVTHPANMVMTLIPRAISVMSNFD RIQTYISQPSIQDQRESSPHGSIQQLAKIQDVSIQPASLANPIIHDVSQSLDRGEILI CAGAVGSGKTTLAMAILGEVTPIKGSISVSSKNIAYCAQGSWLPSVAIREAISGQPLD LDIEWYNTVIEACGLLSDFDSFVGGDMALIENNGMNLSGGQKQRIALARAVYSKYKML ILDDPFSALDPAVTNHIVQRLLSPRGLFRKMGTTVFLISNSKELFPIADRVLLLQDSR LHLQPQSHFQDPKASIGVSTLSENPFSSAPRHEKPKVAALIRKLHLNDAADEIARRTG DLKIYDYYINAIGRANSLLLIACTAGFAFGSTFAQYVLKWATESPRENLAFYMSLYAA VSSIAWASTSGTTWCAQMKVAVKSGAVLHANLLDRILKAPLSYFGETDLGAIVNRFGQ DINVVDKQLPPTLGNFNTQIFKLAMQLILLLKIRPVMTLTVPICAMCVYSIQRIYLRT SRQLRFLELESRSLVFTNFLDTASGIVTIRAFGWKEKFENENVRSLDLSQKPFYILLC LQCWLKMIMDCIIAIFAVILIAFTVLYRNTTTGADLGVALNLLIVANTTLLRLVQSWT SLETSLGSIARLKSIQDCVPSEDDIGGILDPDLQWPSSGHLQVKDISVAYAKSEAPSL SNLSLTVNPGQNIIVIGRTGSGKSTLMLSLLQLLQPKKGSILIDDINIGHLCPRTVRN RGFIAVPQDGFSIPTASLRFNLDPYHTSSDQDILWSLQRTGLWDKIYATTIISTSEKG NMNNDIRNLLDLPMSSFLPFSSGQLQLFALSRTLLRIRSSAPHKPVIILDEASSSLDS ETEAVLTDMLRHDLQGHTIVMIAHRVAGLTGAMRPGVDAIATMQDGKLQTVPLRE PEX2_039100 MSDHVAAEENVQKVMPATEQVVAPSHESHHSHESEDQDSEVDHE PIQERYGNPSYSRFRQVASKTIVSFGPNDPENPVNWRTRRKFLVLAAGVLQVMNSTIG SSICSNAIPQIAEEFNITNETMLVLPISIFLIGYIVGPLLWGPSSEYFGRKAPLLISF ILFMIFTLACAVANSYASLLVFRLFNGMVASAPIATVGGLFADVHDDPTLRGRLMAYF MACTTLGPIIGPWLSGFVAVVSWRWCFWIGLILSGATLPLIIFMPETYAPVILKRRAK KLRKDTGNSNIVSPLEIQSRNVREMLLITISRPFRMIIHEYIVSLSSLYLALAYAIFY LYFEAYPIIFQGIYKMSPGVSGLMFLPIGIGAVLACFIFIWYDGFLARAKARNASWAF IEEYRRLPLACIGGPLYVISLFWVGWTASPNIHWVVPFLSGIPFGMGYLLIFMAMLNY LTDAYETLSASAQSAASCTRSILGAVLPLAAKPMFNRLGVPWACSLIAFLSLGVSVIP FAFIRYGDRIRANSKFCQELKRIKEDEKLEWEREERLANGGDTLEPIPSRNTGISMTR IDTARTDKASIIC PEX2_039110 MQGFEWHVPADKRHWERLRKAIPDLKDIGVDNIWIPPGCKGMNP SGIGYDIYDLYDLGEFDQKGTRATRWGPKEDLQSLVQAAQDMNIGIYWDTVLNQKAGA DSTERFTVVKMDPEGYYFRVGRGKMRMIKVVNNLADRNTEISRPLTIAGWVGFDFPGR REKYSSMKYHWQHFTGVDWDDATRKHAIYKTLGRNKDWAKDVSDEHGNYDYLMFADLD HSHPEVRADILKWGEWVNTELPISGMRIDAAKHYSVSFQKEFVTHLRNTVGADYFLVA EYWRGHVGLLLGYLKRMDYGVSLFDVPLLGRFAAISKMAGGDLRNIFKGTLVEQMPSH AVIFVGNHDTQPGQSLETIIAPFFKTLAYSLILLRSQGQPCIFYGDLYGINGGPEPQP GPSCSGKIPILTRARKLYAHGDQRDYFNRRNCIGFVRYGNYQHPFGLACILSNGAASY KRMLVGRKHAGELWTDILGWRNETIVINNWGFGVFPVAAMSVSVWVNSQAEGRNVINR PFNENIYSF PEX2_039120 MVAITEDISAPRFAILQDLFSERISAQQAAGYLASISLADTSDP EGGITSLWSLIFKCAYNSPEHHDKLVNVLVQLSKLPDAKKPKGDSILLYDMQVWKDLP MLGWQFRDEWNATVPAGPPDSRQNAISRIISRDKFTARLMATKESVFAYSWFALITLR GALETPADQSSAGNLEALIPAAAAWISILGADIYQWKEEFDGALGKGGTLWKGQHGFC KERWQFWKERFGELAISEEIGDEARTAARDAQGAMEGIEM PEX2_039130 MAAPTAPKEEMSALNLYSRFAFAGAMCCAITHGAATPLDVVKTR IQLDPVTYNRGMLGGFRQVIQNEGAGALLTGFGPTAAGYFLQGAFKFGGYEFFKQQSI NAVGYETAKNNRTAVYLASAGAAEFIADIALCPLEATRIRLVSQPTFASGLLPAMTRI LKEEGIGAFYSGFGPILFKQVPYTMAKFVVFEKVSEAILANVNKETLSDGGKTGINLG SGLIAGLAAAIISQPADTMLSKINKTPGAPGEGTVTRLVKIAKELGIRGSYGGIGARL FMVGSITAGQFAIYGDIKRMLGATGGVEIGK PEX2_039140 MEIPQPLEAPGPIRPITCVEMHTAGEPTRIIWNGIPPLAGTLLE QRGQAKAQFDEFRRVLMLEPRGHYDMYGAILRPETELVNSGKAHMGVLFMHNEGFSLM CGHATIALGRFLVDVDEKVFPRRKELRYDPVSRTTTLNLHVPCGVVEVTVPTLGSGKS DPSRSVSFVSVPSFATAISCQIPVPEKYRWAELRGKTSVTADFAYGGAYYCMVSAEEL GFPSGLGEVKLQEMDHVTKLLKEAVVTNPDLRYLTKDIRTAEEGVLYGIMITDTRLGH VSAPEGTAAARAQLDASTEETGLYFFANQQIDRSPTGGCVAARVALAHTKGTLPAGEK RIYNSLVTRAYRGLSGFVGSVFDDAGISGGDQQIVRVCVEGYAYYTGYHSFVVEKEDG LGVDGFSVKDIAL PEX2_039150 MATPPVRQWGVTPPISTVLPTKDELSANDDLIAELKAQNNFELP TETERRKQVLQLLQRVTVEFVQVVSRKKGLSPAAVEASGGKIFTYGSYRLGVYGPGSD IDTLVVGPKHVLIDDFFAEFPPILQRMASEGAIEKMTPVPDAFVPIIKLELCGISIDL IFARLVIPSIPMNLDLKNNDYLRGLDEREVRSLNGTRVTDEILELVPQQKTFRLALRA IKLWAQRRAIYSNIVGFPGGVAWAMLVARVCQLYPQATGSVIVGKFFRIMNKWNWPQP VLLKQIEDGPLQIKVWNPKIYHGDRFHLMPIITPAYPSMCATHNISMSTKAVILRELQ RGGDMVDKIFMKQLSWQDLFARHTFFTHDYKYYLSITASSKTKEAESVWSGLVESKLR HLVGALDRKPIVAVAHPFPKGFERIHLIKNEKEMEAVKNGSTQHQAKGTKTAMTDETK DAAHQAAVQNTLENAEVPGPAEGKIEGTVDNDGQTVYTTTYYIGLELKPLEPGQSRSL DISTDSQIFKSTCTSWPGYQEEVMELAVTHVRSFDLPDDVFQPGETRASRPKKKVTKR SETAGQKRSIGELDGSTEGAAKRQVTSATPA PEX2_039160 MSQEQYETFKLGDWELQSGEKIEDAHIAYKTFGDPKFPAIVYPT WFSGALSDNFWLIGDDKLLNPNNFFIIVPALFGNGQSSSPSNQPSPGPFPKVSFYDNV RAQHELVTKHMGITHLRAVIGWSMGGAQAFQWATQYPDFMDIVVPSCTAAKTSVHNQV FLEGVKSSLIAVKKSRSAGSGAIGLNRETAEAHAWTAEEKDVGLKALGRVYAGWGFSQ AFYRHKLYETVLGFKGLEDFMVNFWEKWACSKEPENLLVLLQTWQIGDVSQQDPYNGD FEKAMASIKAKALVLPSKTDLYFPPEDSEYEVASMKPGIGTLDIFPSIWGHWAGGPGD SAEDVAWLDNKLTQFFADNEGDGVNSLAKKLQSTLN PEX2_039170 MLKVGSEFEKTQASRPDFRRDAEITYTKSPNPAWKEGDGANDNG ESLEKDHVEIDPNEEGRPVASNYKFLISGMVPRPIALVSTQSADGKTTNLAPFSYAQV INHDPPLFTVGFSGSMERAKDSLRNLSETGECVINIISEHFIEAANSTAINAPYGVSE WETSGLHQAPTSVVKPARVKESILSIEGKLVELKEFESRVTPGKKSGVLAIIEGVRFW VRDDAINEERNVVDLKVLKPISRLGGISYGRTTEAMEIPRPQF PEX2_039180 MSPPSPKKKNGGNPSAPRQIRFVATDGQPQTKRRRVNAAFDVPV NNQHVCLGYTESTAHLRTQSDSASRAPPRLSAPNELTSQHASRAIESSSPEPVPTTIP RGPTDNSTKTKPPGSMYISAFGDTPSLANKELDTQAIGDSPASGRTSVSSGSRTHVPY FRYFGPTAIVPGFKQMVVQVRGSRKSNPSTSSDSPSPLRSPKPSNIPTRPLANTADNR DSRDANIPFYDRDDTLPVSNLVSHLCELFFAHLGCSFPFLQRERFLPDLKEKKVDTML VDAVCSLAARFSIHPSLGPPQAPPIDRSQPPLDDKKWDRGLPFGHRAMSALVDSLPCP TLSAVQACLLLAYEQFGSNHDSGLWMYLGISIRMAQDLGLQKFQGLRYNYGKSGVTPS EVMTGHAGKLREEQYDDLDVHLTPKITAQPLVEERARERERVDSFWSIFFLDRVISSG TGRPVTLRDEDIELCFPLQSESQLPNGWPAPFPPLIRIIHLYGRVTDLINGIQDVKLV TPDTLKMLAGMESDLTGIYQRLSPRLHFNAANFQAYVKAKEGTNFILLHFWFHTLIVL LHQPTLLNSFGGSIQHLYPNSRELSMSSAKTIADILSFSELVDGKSFIGNPFTSQPMY IAACAFLMESAYYASPSSEARSNQPQPLLANQSSGFVMPTMESSHGTERKSTARHILL ASAAKENYQRCYKALKALNTYWEGTGYILTVLDQKAKGIVDPLLYAVEDIEFTEGIAP GQHLGTGAWRSGKTPADSGFDPERAAGVADIPSEGKWSPHIDPSQGLLDPLIPMINMP LTASVSTAIGWSLTGATNSSQPNLSLLYQMSTTEAESPPSRPTYSSQYSHSYPVLPAN VGEGPSSSYSQSIAPARAHEEMTPSLTTENAKYPNVQSRTISTDPSYYMEMNPTYPES NTRTIHPVQTTQSTFPGMLSSGLPNSHMDTQSSAYNYSIPPATAEQQSRNQMGSRDPG ADLQPTMDDANGMMIGSHEVDMNSIHHQDSFPFVNGEIIPWLEYLPQDVLSFFGDHQN YPLMSPDDVSQPP PEX2_039190 MLTDNTRKSQNAASRQPSHANPMAQLNDDGQQDVDCTEILSPQP FAPQGKGFLVSSLESSNEPYTADNAHMSSTQEYEYNSSSSSTRHSTSDVFGREMDDDL DQLKSRASSRSSLSSVPASVLIHPVDRMKQMPDMDIHEKITGYRIEESEASFGDFNDI PANIRTIRQREAAFRKPSSVRAMQMHTEDEADDDEYLTPPRRRQGLRSRSPGPSPLKR SPYYSPNASKQPKTRKEAPLVLLHCSLLPPSIPVPGASDPRNQDILEDELPAEYWKRW RRLQDRVGSGVLRDRGVLISHPEDLYDILEERLLESLELQRPRLHNGHFVGREDNSSG SGSEGDFSDIGESETDGEQGDECPDCGTHVRHGDSNRKWEIRVFAANGLMRSGAWAAA WRDMEKVDVEVGLWLPSDVRRGLERRLAEEQMAVVEHAQSQIPQMQMQMQMSPLVDSA HQVPPEILQSPRQSHARMISDVGSFQSDETTSRQVFPESGSRLDHMSEFRQEKKEHEV ALSTLLVNYIRILAADRRNIALILLSVLVAFLAFGSRQQQIPIYSDLGSFSLQSPDMP SFSVAPSLSPSVSLASSVGAGNALVGGSVDSVPTECGAASEREVISMSEIVKPATLTV SSPGSHSQPTQSLEPAETTAPLQLLEDSQAQLEEAADSSSSAVPIESPIMSLEPTEPV ETTESQLEGNTDTSLDSTVESAVVFPESSPSLTDSVESSILSDVSVESVLPESEDNAQ ILLDDTVDSPAASAEPSPSSTEFDESPVLSDMPADNEKPQHESEAELSLDDTFEPLAI PAEPSAPTDSIESPVLVNLATETAQPDTPGENEEQNEEDEQTD PEX2_039200 MSKLFIGGLSWNTDDNSLRQRFEEFGVVEDATVVKDRDTGRSRG FGFVRFSTDEEATAAMNAMNNQEFDGRQIRVDKATERAAGGGGRGGFGGGGYRGGGNG GYGGGQGGYGGGQGGYGGGQGYGGQQGGQGGYGGGY PEX2_039210 MPVTEITSKADFLQKILGSEEPVLLDCYAEWCSHCKAIAPKIEE FSNVYPQIKFYQVDVDKVEDVGQELGVRAKPTFMLFKGGEKITEVVGAHLVSIEAGIK NHLL PEX2_039220 MKFTLGSLSLWLLCLVQWASAATVFFPVTLTWANRSVAGVSRPV ISTNGQFPGPPLRINQGDTVEFLVDNRCPFNTTIHFHGIEQLGTPWSDGVPGVSQRSI RPNTSFLYKWTATEYGAYWYHAHHRGSLEDGLYGPIYITPAPSVQKPFSLIATNPAQL RAMILAESATSPILLSEWRVLTSEEIWAAEMASGTDSFCANALLINGKGSITCLPRAE IDALTTSVQKTSLGDELRLTDMACFPPNITETVFNFPHNYAAIPPTMFEGCIPSQGPQ EIFTVNAAQQYVSWDLTSTAGLLELTFSIDEHDMWVYAIDGRYIQPQRVNAVTIPNSN RYSVLIPLTQPAGDYTVRMVSASINQILNTTAIMRYQGLSQLTRQSNPWIQINNEPVT SDTVFLDESSVIPFPVLTPSNDIAQTFFLHINQVGAAYRWRLGNTSYGLELEEVQPLL FNQNSIPSDLIVRTKNNTWVDLVLQTDTILQPPHPIHKHSNKHFIIGQGNGTFSWNSV AEAVQAVPGSFNLQTPQYRDTFHTLPAVTGLTWTAIRYHVVNPGAFLMHCHIQVHQSG GMVLAMLDGVDVWPTVPPAYAIAAGFQ PEX2_039230 MEYFDFEGASSAHGSNQLGDDVTSIDIELDEAEEQAANFDSLVH DQTLEFSNDPVPEQFEVNVSQAIDPVGVDGVYPMFRAQEPCDYCRLRGLDCFVAKRGI MNYGCTCCISLWRECSFTHAKTPGKFLQTLHSVSENAYVPTGSLTGKKALKSVSYNTY PNDPDSRSQKSGARFSRKAISILKGWLRDHNENPYPTEQERDNLKQHTGLTRTQIANW LANARRRGKVHASPGSSSPVPGAVDIPMKQSVNTSLMTPLERWKYSPPENEPAAISDI TRALANPPFDPSRPRRAPSSHVRPRRPGSSNNESSHASSEHKLCAASASSFDSSISDL SFASAFSHRSSLSFGSMDRKERRRRRKASVPVNTLAHSKAKSARPFQCTFCTDSFPAK YDWQRHEKSMHLILDKWTCSPHGGAIEQNGIPLCVFCLATHPDDEHLETHNFISCQEK TVQERTFYRKDHLNQHLRLMHNAKFQPCMEKWQSSITDIKSRCGFCGSIFQTWKDRAE HLAGHFKNGASMAQWQGDWGFDPHIQARVENAIPPYMIDYEWRSPDPWATEQAKGDGT TLGLPVPTDINCYHRLSRELTTYIHNQKVRGLVPSDQMIQAEARRVIYGCDDPWNQTC ADNTVWLGVLKRDCGLQTPVNNNSIQFADLGMQPPFAIDGGLRAAPREINVLARAVCQ GAPIHSPAISSPSLRSHGFPGTGFSSAGPSRPGSLSGSYAGSAGMMSAGPDPSFSTDW ASSLPTTASMPGEATADPLVQMGFDPEFLQRLNDSYGEINPDDLEGLHLDRTDGQKSS EKQGWPNIGLLGQPTAPSLMGEESASDPAALLNSKVGHPPASNATHDDMPGYFGNGNF PEX2_039240 MGDPGISWRGNEGGEEGAMPTSEPTRDFPERDSHISLQSTESDF HIPDDAESLTLPTRRRTTKKVERLEMEIDRLTLYRKIDQIARKINTNQETTQYLLITN LSDNLRDYLLEKNIGGVRLTLDDQNILLRIMPSVQHGCIIGRCTIFLSQAMEIAGLSF ESDRWEMTGAATKQGGFCAKEPDVSMVPKPHPLSTIVGAWPSLVVQIGLSESQSHFYK DVKWWLHNSNHNTRLVLLLKIHRQPFWVDVELWSEGLEIDPQPESPVIKQSIRVKEDV ITSLEGSSLDIHLDYEMIMREARPSGQEDIVITQRMLRRICKEIV PEX2_039250 MDRDPSDSESSNYTETSYNPEYYNHPDSSIYCISCEWAISTEYP WMKRYRAYTTLIVHLTPGGTQLSDVCIAEYDWHEEEYNTSPKNRNIETFGPWYFMADS KPAKAFMIHECCWLLLIKHFANEEVNLDRLFEVCRNIPASAKAIPYSKLLIPTGILIF NKTYLIAPGKENLIEINEGPWYPLQRPVIKGIGDASKELSKTKGFKKGFSNKTTFRTD CFGLLAMEIRLEIAGYLSTVDFLSLRFASRTMAVLFELQSFWKTRFRVNGDRGFLACL ADTPQNRKSKSWRSIYRCTAKIEQQYLYLWALRRQWRNNRWLADRYSMVKGLDDQPGL QNCLLLGEVPWNGVSVEIRCDRNCRQGNDWSKCKNCWEEHVPVLQAVALENVTSLAVS ILPEGTKTYITGFDLISADFGTPNTTLGYRLPGSQVTVDLRGQQLQGFTVIAGEGGIY AIRPIFKTNIIGSWIGQPDGVCNSTQLVLEGGIKAISGKFDVSHFRH PEX2_039260 MDTNGGADMDKGYRFPGVLCHEESGEIDRHSSRHHDLHHQKNLS KTVKQRRRRTTRRISTAAVTNTLSVEEGCEIGDNTMSTVLGPDTGGGMDENGVEENGV EENGVEENEEEVEKPVESPASPGEKGTLLGASAVLFVPDFMMKPGLLGQFHWVDSIAT GIEQEDTTATAGEGGTQSQRTTPQNQATQDTDMLGDQESTTHGITSGSAPLGHNFTHP ID PEX2_039270 MAPSTTADLVAFSPAPPTKSIDGNVDALASMAHRGKGLPGIPKF SSHAETRQWQLEHMAAAFRVFAHEGYAEGISGHISVRDPEWTDRFWINPLGVHFGLLK ASDMICVDIHGEVVGGNTAGSINAAGFQIHSAVHRARPDVHAICHTHSVHGRAYSAFA RPLEMINQDVCYFYNAHSVYSDYGGIANEASEGQKIAESLGETGKAAILMNHGLLTTG YTVDEAAFLFCLMERSCKVQLLADSAGHKKHIVSDEEAAYNFKMASTPDTLYVEFQPH YQYEEALSNGSFKQ PEX2_039280 MSASKYSEKGPPSPSIATEPSSRIDDDFQQGTSVNISSGSQHLH RKLRGSEVQLFAIGGAIGTSLYVQMGSALPKGGPAGLFIAFLIWGAVMWAVNECFAEM VTYLPVPSPFIRFGSEWVDGALGFAMAWNFFLNMAFLVPFEIVAMNIMITFWTDKVPV EAIIVAMIVLYAVLNVATVRYFGISEFYLSIFKVFLMLGLFCFTFITMLGGNPLHDRY GFRYWNNPGAFVEHIVSGGTGKFLGVLSCVYQASFSICGPEYISMVAAETENPRKILP PAFRSFVWRILLFFVGSALCMGIVIPYNDTTLLAILGGALSGSGTGAASPYVIAMERL KINGLPSLVNALIMTSIFSAGNGLLFAATRTLHGMSLEGHAPRFFSWCTKAGVPLWAL LFSLSFCLLAFMQVSSSSANVMNYLVDLVTCCQLINYLCTAVTYRHFFSSLKRQGISR DTLPYKGRFQPYTSYFAMGGTLFMLLAGGYDLFIKGGWDVMWFFLDYGMIGFFIVLFL FWKLVFRSRYVWPGTADLSLGGIKEEIDNYESALVPREQGLTDKVIGKIFE PEX2_039290 MAKARSSRTRRSTLHVILQAQVRLHRDVAAAAELGALRVGDVVD HDALSVLCEETGGCGAQACTTGCDNDLQPRELGRRPSLP PEX2_039300 MASLSVITVVLVPVILLIKYLLLDPIFLSPLGRVPGPKVFALTK WRLAYEDWKGARTRTIHQLHQRYGPVVRIGPDEVSFNSLTALRTIYGPGSKYGRTGFY RMFDVYGRQNLFTFHSAAEHGQRKKLLSHAYSKSTMLKEPSASMVEEKARKYMALIDA EPGHVSEIFSTLHYYSLDNITEFLYGRYGSTSALEGSEPHRALIGDILDPSRRKLSWY TVHMNTVTKWLYSRTKRMETVVQPLLPMQKPATYTGIREFALQAYRSFRSDIETSEKE GGRPLEEAEGSSILERLWAYHKTHKKDGLDGLEIASECADHFLAGIDTTSDTLMFLIW SLSQPRNRAFQKKLRQEVLELSGESLNGHSLPTAEASDKCIYLNAIIKETLRLYAPLP TFEPRSSAADTVIDGYNIPADVTVGMSPFTLHRNPEVFKDPRTFDPDRWLGPQAAEMN RWFWAFSSGGRMCIGLHLAMAEMTTLAATIYRKYSTTIAPGFEDITPGITARFEVFYD DRFPKMLEHTCLIKFEELGGNA PEX2_039310 MEYSGLAIFPIPASIRRRLPRLYSSRAVSKTTELSGDQRRGLTS SSEPHMLCHSHFSASVVPELQRPSTASDDLDSFESGSVGSDTPRDDMGSTTKYETASG LRWNRVVPAFNLLRNAGYEAQQPQPDGRLARSLYVNALVYLLDALPPDLTPEETSMLQ RNIPEPVKVGLTTYPPPPEYMDAANHKIRPQPRSYLHKILASTIVHLFILLRFIIPYA KVLLCQLYEYERTHHITERIVTTTLEAADGLGKGSVNIGAAVCKFNEGRVGVALTNFA VWWMEGVAGGIYEGVGEGMVHLGILGKQADLDRLRFPPMSM PEX2_039320 MKTIGICIPTIEGGVIAHQEIGREAARRGIAYPQIVTHTPLYGN LEQALKSGDNKSLAAFLADSINRTAKAGAEFAIISANTPHVAFDDIVALSNIPVLSIL EVAADYCKKRGYKSVGILGTTWTVKNGLYNEPLQKRDMAAVYASDTDQAIVMDAIINE LINGILLENTTSELVRIAKELATRCDGIILGCTELPLVLTEQNCGIEVVDTTRLLSHA ALDFATKD PEX2_039330 MSTSKLPCIPSLRKQQLHLEFASLRHAASPGVYVSLAPGDPTLW NGVIFVRSGPYASAVLRFHLRFPDTYPDLPPLVTFATDLFHPLIVPLTTYTFSTGSAS DNPVSATDDERLPPGGFSLRHGFPHWFGRAKRSGLASGNTSRNVSGISASAATTGHAS SAGSVIGDGDESNASNPPVASAASEDGDVEVPRLAQVPAMDQFAERRDVVPVSEILDY IRSTFDDELVLDSLPVEVAGNPGAWHAWQAHRRGGPRGQLKRGSPQARLPGDWHWDGI WARRVKDEIENSHSEPMLFGGAARGGVDEMIPFRRSSLSASVEYDSSDPAASTRTPSL SDSLYNIDVVKIRQDHAAASRGIRKTGLDVNEDPFIDQTSRRRVDPRRGLMTQSGYQL APQLLVRWSSGSYGSIEPCLSSKSASASGAMELPPDSISKNKSRVGSARSLSNLLRPG SSGLLCPLEIPVAFATVRSYTGWPCMSTDSMSVWVSVNVSADVEPISLPESSSLAPLD IIILFDSVQQSSVSLLTPMVLASSVLTSNLLINSDRIAVACVDGSSKNGFELLLPLGF HPFETLRTALNEFSLRQLKKKTRRCSDAGISIRQASRLFHPSPRAAFCHLVFISAYPP ENLFISGVDTAIGIHTVSPQLCFPLDAANHPLGWHIFYDADADDPRSCQVHFMRKVSK VVRQLRTGLSPGALSDLKLFVEQGHGCQFESAMEDCHLARLRPGETWILKVRIGVPIE FYQETQLTEHPMLEDLIRQINSVLKAYSSEPAAQHVLSARLEHQHSLLPKPHTICLET HCTISRTPGALPRASDDHRKSSGLMSYELDDDAISISLGSASELS PEX2_039340 MASRIRDTYDYIICGGGTSGCVVAGRLAENPEVSVLLLEAGQHN KDLENVHMTGGWSNNFDAETDWNFVTPPMAGVDNRQVKLSRGRFLGGSSGCNGTLCIR GNKQDYDDWGLDGWSGEEFFKYMRKSETFHPKAWFQADRESHGHSGPLHTEPHDLAPI SKLLMDSYVSQGMPLHHDMFSTGDIAQGCGHVPRTVYQGLRTTGADFVTNKNHRGNIT IKTDTTVDKIIFSRQANETRASGVVTQASDGSRIYYARKEIIISAGAYCSPAILLRSG IGPKAEVEQHNIPSLVNLPGVGKNLMDHLIVFMFYETEKQGLTNDHHVYHGDNFDRTY AQWKEKKTGFLSTFPFGSFAFARLDDRLKDEPLWKNAPRNLGRDPMGLTPQQPNIEFF TTECYGGPKQYDQFPINNQHAFSMIAELFAPKSRGSVVLESADPSVNPIVDCNYLADP LDLLVLSEACRFGNEVVMQGAGTKDIVKGSWPPNLNHHTYKSREEWVPYVKQHATTCY HAAGTCAMGKKDNPMAVLNEKLQVRGVSGLRVADCSVMPTLHGGHTQMPAYGIGEKCA DLIKETWRMAGNVYPRI PEX2_039350 MDVFISRKRPRLSNSSEEARDKSSDPCEDTTDTKLAILLSLFPT IKQDELLDILISCEGSVENVIGLLSARDTAGGTPVGKKRAAITSTFGMQTSLSSHVFT TAEDGTMKPLHEIASKKKLPPLQKGKTLHLYSPEDIATYTPCTIIHNFLPAKEANELL LELLDESKHFSRYDFQLFNRTVHSPHTYSVYVSTPEEHRQHTSEYAYGGTYRSSVRQV TPQLRSVSRQVQKTVNDEINKRIRDVYPDGKKLQYQSPKEWRPNAAFVNCYDGPTESV GYHSDKLTYLGPHPVIGSLSLGVAREFRVRRIVPRDDDDTAEEDHDSNKTSPIPHSPR DQTAATARADAQGQISIHLPHNSLLIMHAEMQEAWKHSIAPAQTISPHPISGNRRINV TYRWYRDTLHPRYTPRCKCGEHTILRCAQRKNGTRGRYMWMCYASYTPGKEGCSFFQW AEFDDDGDPVWEKKTIGDDAPTLTNFSASQ PEX2_039360 MISSKQYHTQITPTLGDDSPIPVPKQYTSPASLFLKEEVFRLGT VPGLRSLPPSPERDFLTLSWGPIVYRTSYAPETKRLLPVFLRHLNNSVHRSLRRTLPG SDEEIQILTNTYSSKIFNSLDIYANMDEETVRQVFHDFKVSLRIPEIELPSRLRICLM LDDESLSHFKGILDLSSMAESDANVGGCWVKVVEENFPDSRIGDHPLAHFDMEYASYS VREHRESYCGWTMVALDALVEVFDGLRQMKCLVDYHQEGKVYLGEGKWSL PEX2_039370 MLHYRTQGYNGCAVKYSPFFDNRLAVASSSNFGLVGNGRLHILE LTANGIQPLKWFTTQDSLYDLAWSELHENQVLAASGDGSIKLFDCTANDFPISNWKEH AREVFSVSWNPVAKDRFCSSSWDGTVRIWSPHRPQSLLTLPTHSCTYSASFCPHSPDI ISCVTSDSYVRVFDLRTPASASNHLVTQIPIHAGPPAPAIPGHPGGPAPPAEALTHDW NKYRPTVLATAGVDRAIRTFDIRAPQQGPLTTMLGHEYAVRKVAWSPHLSNVLLSASY DMTCRVWSDRSDAGPGGDMDMMRAGPVVGAELGRMGRHTEFVTGVDWCLFGSEGWCAS VGWDENLYVWDVRATMS PEX2_039380 MAGKKAAGENSKKAAGNARKADAAASKKAAEDQKKALDEEKQWS KGSKSNAKKEDSESKKAEAARKKAERDALLAEEEASQPAKAKGANAKTAQKKTRGLDL SQLDDAPAGSKKESALSATGIDNALDALSLASKDTSKIDRHPERRFKAAYAAFEERRL PEIEQENPGLRRNQRMDLCRKEFEKSEENPFNQVHAAVNATKEEVAALRDSERKKVEG RLGGK PEX2_039390 MALLFHFLQAFVLLLLASAVAAEHTSNWAVLVSTSRFWFNYRHL ANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNADRAVDLYGDNIEVD YRGYEVTVENFIRLLTDRLDEDVPRSKRLGSDAGSNVLVYMTGHGGDQFLKFQDAEEI GAWDLADAFGQMWEKKRYHELLFMIDTCQANTMYTHFYSPNIVATGSSEIDQSSYSHH ADNDVGVAVIDRWTYYVLDFLETQVTSVTSKLNLGDLFDSYDESKIHSQPGVRWDLFP GGEQEGRLRTVVDFFGNVQNVEVENANATEPGSLKEDLAEIARLVEKWQRRDEEYSAI LGGSSGNVTEDLHSSSLHLKLKNTVGPTKMAEESSWGKQLVGISVVGACTAIWVAGSI LGRSSV PEX2_039400 MYLPRQLISHLYLQLLRSHHPLSPPVLILVALEPDALCACRILT ALLKRDYIPHKIQPVAGYGDLSRAGEELVRPMQTTNGGSGGVVICLGVGGLVDLGEIL ALSNPEDETEDMGGVEIWVFDARRPWNLGNVFGGLAGMGQPMAEIDANARRRGRGVDK GCITSAYTSKNGGIIVYDDGDIEEELRNEREAYYALLEMPEVDDEDEDASDDGSEDET QTGSKKRKSWSGREDDEDSEGDEPPHQRRRSNSGSSIASTPTRRKKTGINSSNSSRSV TPTTDSPSPAEAKQPSARSLKKRLINLKRRHDSVLQSYYSSGTSYSEPISSLIYSLAS ELGRDDNDLLWLAIVGVSSLELSGRTMTGVGISNTSESGGSAGWGGQRGEHIRQILRD EVHRLNPPDPLESNRDIRGEINGVIPTTARSPTDTSIRLSPEPRFLLVRHWSLYESML HSPYLAPRLHVWTENGRKRLHKLLAKMGISLTQCHQYYTHMDMELKRVLRSRLLKYAP MYGLDGLVPSEGSGSASAREGWGFVRCWGWKACLSATDVGVIVGAMLEVGPHETLASW DAKRLPRARDDTENSGITSESDLASLLPRFWSAYDALSLTSESPTILLESLPLAQHLH RAILRTGTSLLSKHQIRHLRAFRIAVVKDGPDVKLFTNPGALTKLALWIAEAIRVQEK ERGDSVKIGRKRAAGTPLVLAGLDEDRDLYVVVGTGGGGGVVDFAAMSKRQEERKKKK ELKEKKQKERSERRSKRAAERAEREEETGAEDEDSEESESSSESESEDEEDPRGKKHL LRNRFGIAFQEVVQETNARVRIDSFEHCVVEVQKDDLGGFLEALSFRSVHKNRKTPQS LTMSQQEYPVLPRESTLANLPAEYSTDAQEQITQILTTAPINRLVVLDDDPTGTQTCH DISVLTVWDIPTLTAEFQSTKPGFFILTNSRALPPKEAETLIHKICANIAQVAKTANQ KVDIILRGDSTLRGHFPLETDVAQSVFGPANALVLAPFFFQGGRLTIDDVHYVTEGDS LVPAGATQFAKDATFGYKSSNLRDYVLEKAPGRFNTDQLCSVTIEEIRTGGPQTVCEK LLAAPVGGVVIVNAAAESDMHVFVEGLLLAESKGKHFLYRTGAAFVSTRLGIRSKAPI SAAELHLPSPRQTGGLIIAGSYVPKTTAQLKVLTDRRGASGQLAIIEMKVEELIASPE SATQVVLRVVQETESHLRAGMDTLVMTSRGLVTGGDELSSLKIGSVVAEALVGVLRQI EVQPRFIIAKGGITSSDAATKGLNIKRATIVGQAAPGVPLWRCDEDTSRHRSVPFVVF PGNVGGEETLCELVEGWS PEX2_039410 MALSSVLSDALSVIAEPSLDDPILQCDNPHLQDLLFNDTEKALE LANSKLHSFPFKDVHICWHRLYTDASIVKACVIIITKCGLTSKARFETQHLVVSQLIQ DIKNSTEPKLSPDAPWISEVVAILDHVLIMSGAPHREKLVESLLSALQAATESLKFEY DDAGSPEYPTAKRRKSSPPLFPPNAIRNPHLEHPIPRLSAPSFDSIEHHIQNVRTPLV IKDAMEHWPAISTRPWSSRNYWWNRTFEGRRLVPIEVGRSYTDEDWGQKIMPFKEFVD KYIWQGKIAPTEATGNQPQVTNLDGETAYMAQHDLLTQIPALRNDISVPDYCYITPPG PDPGTPVYEKKKREREAKVHAQVPETSNVPQSDTAPSEHDIAEHTSDAGSLMGIPSDP IINTWIGPAWTISPLHHDPHHNILAQVVGTKYVRLYSPHTPDSQIYPREQEWVTSIDE TADPDPVSGALPTTRRLVDMSNTSKVDLAAIETSPAEYEQWEEMWPGFMDADYVETIL KEGECLYIPVGWWHYVRGLRAGISVNFWWGVKE PEX2_039420 MAHPRVYFSLPVRPFLPRRARCSRLFLQDLQKPCIARNRFQSTN SDPETPPQPSKNAVPDEVAPDLSHLDPSPEDYSRFIFQDKCRVKIHAGSGGHGCVAYL REKYVEEGPPNGGDGGSGGGIYIQTVEGLTSLHKLARRGIIRASRGRNGQGKSKGGKR GDDVLLQVPVGTVVREVSRYDPVEVEWARIKAAEEAAYLAKEEKEVWRDPDDPEVEDE EGFEETEDAEFNPIQHERWVLHPAAKPSDFLMVQFPKSYPRRQNIAAMEPKSPIWLDL SKPMDKPMLLAAGGIGGLGNPHFSTRVMGRPKFASRGEGGMMLELDFELKLLADVGLV GKPNAGKSTLLRSLTNSRTRIGNWEFTTLSPSIGTVITDDMKGRPLVESKVRRTHFTI ADIPGLVEGAHLDRGLGLGFLRHIDRAGILAFVVDLSLGDPVQELQKLWRELGEYERM RDADPDSTEEDDIIEWNPFTEDADSGHRRQALDREPAPILNTNPDGSLPPLVMTPLHL KPWFVVATKADLENTQDQFRALQEYLSGVQDGSIEHPSGHERAWKEKVCVVPVSAKRG EGVSRIPKLVMELLE PEX2_039430 MPTYKRKCDTVANNVYTTEQRMSIEQWLEDTTKRIEGPAISLGF NISPKRLGGLEQRSDMNKELLERDYGQGDKIVDMIGALNETVHRQEEERLEEESELQE NVLQENITRLQEQLSMQDSFQQHSGLKSRLSMHEKLLEVTDQQIHTQQKLIKHLLEAH ASTSRQLALISQKLSQQPEQSAQQPQVEEAEGQQSNPGGANTITDDSEYIFVSEDEAT LS PEX2_039440 MSLPSGVSVADDCISAFSELRSQRSSKKPRFIIYRITEDYNTVV IEESSFEQDYEVFRQKLVSAVDAEGNPAPRYAVYDVQYDLGSEGKRSKIVFITWVPRE TSIKVRMIYATTKEQVRRFLDVKASIHADDPDELEWKTVLKEASGGKA PEX2_039450 MFQRPACLQGRRSRNGSGDDFSTFPIRQLFVLALVRICEPIAFM SIFPYVYHMVESFHVTDNDRQIALYAGMITSSFTFAEFSAGMFWGRMSDRIGRKPVLI MGLVGTAISMVVFGFAPNLATAMIARALGGMLNGNIGVLQTTVAEIVTKKEHQPRAYS IMPFVWCLGSIIGPAMGGALAQPCDNYPALFARSTLWDKFPFLLPNLVCITVLVCGIV VGFLFLEETHPEKKHRRDPGLELGQWLVNLCWGSRVQLPDHSDVDVKEKYFDAPPGYE TAESSPCLRPVDDGASADCDLEGQKSPAPKAFTRQVILAIVAYGILAYHSVSFDQLMP VLLSTPRSDDDVVLPLKFTGGLGMATKTIGFMLAVQGVYSMIAQLWLFPFVVKHFGTL RTFRFVLLVWPPLYLAVPYLVLLPAKLQMLAVYVSLISKITFHVIAFPATAILLANAA PSSKVLGSINGVAASTASLSRAFGPTITGLLHSKGLNSGYSVLAWWACGLVCLIGAIQ SFWMEESPEPERFKTPQPGVSESEMKREVLSDFGNQDQESDELPEEEQRLLSLRSSVD HDFDISQLNLNAVDNFPKPQGDVTNDTNV PEX2_039460 MPNPPSPSSPVSSGKKRPYPSDTPSTQTDPATNTAPKPSAPTAV PSTSPAHSSTPASSASSSFRNVSACNRCRLRKNRCDQRLPRCQSCEKAGVRCVGYDPI TKREIPRSYVYFLESRVAHLEKQLADNNIEFKKVVAFDEEEAIKVEADGDAAQARSGS DGQAGEGSVAEKARWKNTSKEDADRPGQKEEGNNRDADDDPASEDNWRLHNLVSNIGM VSVQGTSDPRYLGSTSGISFARVVFAAVRSSLPGNMPERAAIRTSDRLPQSAAGTAGG GSSMRDSFFGLQTRPMMKRATFPDRELAERLVDLYFEHANPQMPILHRGDFMELLDKT YLLEEKKRSPRALYVLNIVFAIGAGIIFEDKPQSSDDENRSSRDRSSSGTKRPRLSNH QYQPEEYHASAIVHLESFLGSSSSEGFGGLEELQAVLLLASFALLRPVAPGLWYIVGV ATRLAVDLGLHYEDGAGIDSATKDGNQAQSRIDARERGRREWVRDIRRRLWWCVYSFD RLVATCVGRPFGISDQAISTEFPSMMDDQYITKSGLLTPPDGAPTYKHVAFHYFKLRL LQSEIHDVLQYQQTRAVRRRGPGAVILPHAELSSPFLQGFDSFRSWRYDVHRRLVEWQ DTAPTRPETGVRFSIELLELNYWQAIILLYQQSLTVPAELAGELTPADDVSSPSFSNP DEGDEEDHVYLNVAEAGQKVIRIYRQLHRVRLVNYTYLATHHIFMAGISFLYAIWHSP LVRSRLTLDEVDFTVLAATSVLGDLMHKCPPAEACRDAFERMSKATVQMCLSTTGFGS QVDMSRVHATSNTGSSFHPGRPRQPMEQRPRIGQGQPRRTTQARPSRPVPKFDMNLAD LFTDNTPLTDHSRPENRPGGPSYPQPESLAPSFQADQSSRPYGQRNQSMDHYLKYENP NSPQPHPQFYYSNSPQHSGSPGSNTHTHGLPPADTEGPQGMSLDFLDFGSGDTEGQGN MEGEANPDYNMMAAPSLGPNLGQNVGIDLGFGMAMDFQHDWSENPNYDLLEGYFFGGS GAGAPGGDV PEX2_039470 MVKSDVRKDYYADLGLQPSAEAEDIKKQFRKLALKYHPDRNPGR EVEFNAKFQAIQAANEILSDPSQRLKYDTDRLRAGYGKCYGPPKANTQRKTQPPTYPP RPTPTSASANSQYTKATPTTPSAGARRYASHARAGPQQFKQQDNAQTRADAFHGFNNM RGGQAPGWQGFDPSTGRATGGVPGPGAQRHPFGTSAQSTRPKSAFEAHNSHSNAQSFK KKQGFAPGVAGGDEPMARNTSAYSNNRAERTSSQYFRPAVPPTAKKPASPEPPQPKSR HSYTPEFERPSRSYAQAGKGEKTFFSSTGLGRSATMRTPSGSSHQNGHTGKTSPASGR SGRYRSASPSPRRNANNYDSTSSSEGEETRPKPKAVPKSRLGAHQKFADFYNPGTASP GNGMDFTSFLLANGLRAVELPDGSYELHSISRNSPLNQRTPADKDGSKGHTSDSAAFP KSSYRSDQQTNPSGSSSSVKYVRYTYYQLVSPFLTDTGISTDTVNNAQRPQSAAYGRS STSDLHKKFSADDWRDHLGQFDFMNSASPSKESLPRSPASQNRGRTNIRNGPTQASST GSPIPNPFGPTPLYQTSQSPQQPPTPFAQAKFSADSWSEQLRNLSWTVPEIEKAKQAA NGAPRSPKKQPRAGTKLRSAPQPASVATEADEAKETLNGQTPVSAGTAAPDVEEMDLD EDLPTPGIPSKVPVGRPSSGSYPDLASQVVPDAPPVKKSKTPSAEHRTPLFNLDNLRN TVPFTNTTGGGIENLEDFHTTLPFESQAKQQRTTRDDIRPRVLQLPNPPKRPWAPKPV PLAPTSKQLVLPRDKWNWYVSAMGTYMHEWNAFNGRMLTHFNARQEANETGLAPGWIS AVGDSTRLKINGADDDSIDGTTKKDLDDYHVDEFLIPGTSKGGFSAYLRGIEEDIQVR KHWDVACELHRECILDLGRLREWIRNGGKVV PEX2_039480 MVSIVLGSQWGDEGKGKITDMLSQDATLCCRAAGGHNAGHTIVH ENVTYDFHILPSGLVSPKCINLIGAGTVFHVPSFFKELAAIEAKGLKGAAQRIFVSDR AHVCFDLHSVVDGLEEKGLGGRKVGTTGKGIGPCYSDKAARRGVRVGEILDEATFERK LRTLDTSYRTRFGDLAYDVEEEIARFKEYRNLLKPHIVDQLEFLQEHKNSPNTLVEGA NALMLDLDHGTYPYVTSSSTGLGGAVQALALNPANIKSVIGVVKAYTTRVGSGPFPSE QLNEAGEKLQQVGREFGVTTGRRRRCGWLDLVLCRYSHAINHYTALNLTKLDILDDFD EIKVGVAYILPDGKRTTGTFPADPNVIEKIQVEYVTLPGWKSNTMGVQRYEDLPPNAR AYVEFIEREIGGVPVKWIGTGPAREHMIARD PEX2_039490 MLGTVRRFGVSHALRATSSRSISARLAQLPKWQIPSNLSSLAAT RSLHSSYPRLSAATAEAIVEETESSKPAILTEFSDLAAENLIHNKIIKNIIQPDRMNL KTMTEVQSLTLNEIVKGDDILAQAKTGTGKTLAFLVPTLQNILNDPTVDVSKVGRRSA RTAPSEIRALIISPTRELAEQIAVEAKKVAFGTGLIVQTAVGGTQKRAGLAKIQQEGC HLLIGTPGRLKDILSDPWTGVSAPKLNTLILDEADRLLDQGFAPDVEEIQSMLPDPTK VDRQTLMFSATVPREVMQMVRQTLKPNFKHVNTVREDEVPTHHRVPQKLVYTRGLENS LPALLELAQNWQTRRDNGEQLRPFKAIAYFNSTAETKVSADAFNAIARSSEFRQSSMG NMRMLEINSRLTQALRTRSADNFRKAREGILFSSDVTARGMDFPDVTHVVQIGVPRDR ETYIHRLGRTARAGKEGEGWLFMHRAEQDVYRKRLGRLPLNVDTALQSADADLSQTFS NDTEVGKIVNKISEGLAQCDGATKVKSYMGQLGTTTGNFNSKREAIQALNQMFTVGYN MQTLPELSPRLMQQMGLNRIDGVSAGDGTRQRREGGFSRGGDRGSRGGFSRGGDRGER SGFSRGGDRGGFSRGGDRGERGGFSRGGDRGGFSRGGDRGDRGDRSSRPRRSLDDSFG QDSFRF PEX2_039500 MAPINVVIIGGSFAGLHIAHSVLRDVPDAKVVLINPSTSFYWNI AAPRIVAKPKAFHPKQYLLPIKDAFAGYRPDAFEFLPGVATAIDIAAKSVSVTPNEGE PKILSYDYLVIASGSTTSATSGSLTGTSIPFKQSNHNDMEQLIESAQEHIAGAKEIVI GGAGPIGVELAGELAEAVEQSGNAGKVSITIISATDRVLPMLKSSASSAARKLLEQKK VKVVTSKRVIGVETPADDSSNWTVSLEGGDKLSADLYIPTTGATPNNSFIPAQFLDKD GWVTVNKEMRVQSTDGSTLPIFAAGDITNNSMRLSFKATEQAHVAAANLKAAIVGGAA IKTYDQGDSIMMLVPVGEAGGSGQIFGFVPFSFMVKMIKGKHYFIEKAAGALTGKA PEX2_039510 MSVNKALIFKKIPQGYPVAGEDLVIETAAYDASVAAPDNGVVVQ SLYTSFDPYMRGRMRPAETKSYAPAFPLDQPIDSTTIGKILRSNNATYKEGDLVIGYV PIQEYIALGEKELVRIRKLDNPLGIEDIRVFLGPLGMPGLTAYSSLYEIGKPKKGETI FVSAASGAVGQLVGQLAKHEGLRVIGSVGSDEKLEYITKTLNFDGGFNYKTEKPADAL ARLAPEGIDIYYENVGGEHLEAALDAMNNFGRVVVCGLISQYNSAPYPIKNIHDVLVK RITMRGFIVNDKGFGDVYTKEHQENVQKWIKDGSFKMLIHETEGIDNAAEGLVGIFYG KNLGKAVLKF PEX2_039520 MASFARSSLLRQTLAPRAPFLQKNVGVSQVVAFHASAKKQILPP LPQTVQGTMNDPAPIPATHPSEGSYHWSFERLVCVGLVPLTFAPFAAGSLNPVMDAIL CSLIVAHSHIGFQAAIVDYFPPSRVPKFRTTCNWLLRAFTLTTAVGLYEFETNDVGVT EALRRIWTA PEX2_039530 MTDSKEALLSHMEEFDTTESDPPPAYDKPVLKANRGAPLPRPPP LNLPVLNSLRSQRVILASQSPRRKQIISFLGLPNIEIIPSNAAEDLPKSLSPFEYVLA TATKKAQAVYEQEVNNEIKGEPALILAADTIVVDPSTGLILEKPRSEAHHMGMLRSLR EVRSHKVYTALVAMAPLASARDPGYAIESTVEETSVLFDSDVTDELIMAYVRTREGAD KAGGYGLQGLGSILIEKIDGSYDNVIGLPLKATLRVIETVMQRADDDGGLPGDGEESE GDE PEX2_039540 MNPDDEHDRSSSTPNGTSKQGSAQDKDKPRLTDQEKKSNHIASE QKRRAAIREGFDRLTELVPGLEGQGRSESIVLQKTVDFIHVKLQERHDLISEIESKGG RVDDSFRPT PEX2_039550 MAFDPLRGSCSCGRNEYQINIPDDVTDHAEVYFDSSRDNRRFHG TPLSAWLRVPLSWYQSHTRSFFPDESHSSIRRIHSPRHAPQTQRVFCGYCGTPLTFWT EEPLEESNFMSVTIGSLLVDDQRALEDLRLLPRDVDDEDSHAGISTLSDLAPAEETAS SSVIIPPSNDSADISRSLQHGRTGGIPWFEEMVEGSRLGRLMRARRGMGVSDDRSTSI QWEFSEWHDDGTGTGGFLQQDSDSGGHFRGNRKRVNQADAEIESPPKRAG PEX2_039560 MFRDESKSVVRKAVAASAHKNKQAERSHRTAIPEGNPVRSELST PGVEQGFHFNSDPQRVYLMRQLGNYPLEVQPSYELEATKHEAICYFLRSNAIPSLFWN GEMISNFLLQNGGPASQRAMKASVVATATAMLSRVRELPSLKDVSYREYGSALRLLNA ALADTEEAKTNQTLGAVILLAIYEVITSRAPEHIDSWTNHIKGATALLDIRGTDQFKT AAGLRLFLYLRYQITISCLQRDARVPESLLQCSEIAMYLDPSEAHGNRLVMIIGKLSN LRADICAKTYNNEREIISAALAIEADLIAWLAALSSEFNYTTHVSSPFDLAIRKQFRG ILPYDDQYHVYPTPWVCTSWNQYRSARIIVSEIILSHVRQISDNSSPASFSEEFHVQC RTLRLTIRRLAVEICRSVPFHFNVHLVNREANLPPPESYIGGLVLLWHLFIAGVVESP QHRLRRWVVKCLEMIGRTMGIDLALAVADIVAADPGVLHSVTEEEDSH PEX2_039570 MGAPEKTSLWHSFSSNHRKERKERKAGTTTTARTTVITPAHFPS WSRSRTNSTNTSNVPRKQSADLPPPYVEAAVPTITTSPPEEPESDSQYAFLGEFHTIF LVDDSSSMRGELWEEAKDAIAAIAPVCTKYDSEGIDIYFINHRPKSNSDSKYSSNYTY NYNYTSNPSRRPKLDAGGYHNIKTAQRVSEIFSSVRPAGGTGVGSRLFDILNPYTKLV EAKEAERRAQMDAPGPGFLVKLVEPVKSVKPINIITITDGVFTDDAESIIIKTAQTLD GPSCRAIPWQVGIQFFQIGNDEMARQYLEVLDTDLGSRCNDLHLRDIVDTVSWRNRAG ERLDGYGILKTVLGAVHKRLDKDWEC PEX2_039580 MHPEDKFMNPRKPPPQPQPIRLQTSAPVRREPSPGHSSSRSPMT GIHRSASQTHSATRKGHKKDSRSMSPPRARALLAALRQPAEADRKFEPVQLVNASNMV PQNEPVENSRNIPGIKVNAGIAIPSSSSPDKLGLSTIQSRRAMKAIAGEVAPDRLLTV QTRPQFRKPIPSRSAANGNTAVFDDNRGIREALQDIGSSGDLSTPTAAFTKEKRLPTL PNTPSSVMDEAVRAIDERDKAMDSEIPRSYFSSMTTTTVDSTDSHYVPEYSRFSEWST DTETDYNSHESTVSASASNHHQDESSAERWTTPDLSQSGDGATNTDPNTPHLTVYSKH SSPNSASGELPPWSVGLPQLTLSLSSPGFDCSGLGIENIDEVESNPKRHAALFSALES MEALAMSRSPNGSPILLPQVSRGSDTEQDLSPTERKVSETSLERIRSRRSNTSFQGNA TMQELMDELSYLKNLIQADMDGAPF PEX2_039590 MAVDGPQLTPVGEKSLPAKCKAQAVAYCPTMDLIALATEDEELR VFRLNGQRVFGGSFGGDPYLGEDEEDGEIRGMAWKGNGRLLAVACGDGSLRIISSYTG KTVHHYQTYQQKEEHSNPTENPAPKATCIGWGVNFTDSKAAQRHLHESAGQVSIDDLL APGVYPSKAAIVLKADLPRELALLDIESSLPKLSTLPATGGDDDVFSSRASLDAIFHS SKDTNDSVDVLSVGFDDGTVHLRIFDCFEIGSFPVGSSPGISDSCRILRHASHPLSST HSLLASPIKGDSRGPLELVTMDLRFITKSGRYLSLLASKTTQLQNLLRYIGQVQRQIE LEWKNAQELPARFLRSVNEDLQEKCQCDFITAIYHLVVTGHCFEPMKEFLTDIVGERG HKRWDKAVSGGYENIRRLTHECLLPALERSQVLLSRLVGLSKFHKLSDVLGLDTTKLN AIVETLDCLHLLGHRVLTHANEELGQFAAFSRWLRQEIHVLNSEPLSQTLEELQEKRD LFDVPPTVKYIKGALTKSALRNFIRQLPMIGVVQPPAPPSDKWLPGGHDRSFFDTFKS LLQQQRESRDKGGDGTSVDTPKLNDLTRRLGIQFEKVFGEIALTQRRGILHRSPLTLH SDCDQEVTDLTFCYEDSEQGQPCSIYVATRSATSKHQVYLYRVVLNSVGGVSSTRSTS LATLDLQNGEVRQLQFVNDDTLMVLWRDGKGSSHLLNFPFQPPSTQSQNETTDPSPLL LDYIECNSTQSAPKPTISATTLDLSPESPHASVLIHLFPLHGPKARPVHVDVNGRKGR RAICVLYGDAMRYEVLDLDAAMGDDDEEDEDYQEGDEEEDDDEEENFDSDEENNEN PEX2_039600 MTPLGRLIFSIAPSALFLLVAPVRVIQLYKKNDKIRWPSLQAVK MATITVTCLLKLTVLALWALHAEIRSRATIAAAALDLASAIMTGLLSPVEHVKSSRPS PLINLFLFFTTLLDGARARTNWLIAQRDFVSIPSIFIASLAVKIFLLVLESIPKSRFL LPPNEKRTPEVTSGNSENISLQQLFPVDEKIASARIDARLQAAWESSSKKKSYSLALA MARALWLPLSVTMIPRFFLVGFSLAQPFLIERAVNFVENKEDTNNSVGYGLIGAYDIM DTSIEKSSALTLMGTDVERIVATLKHVIGIAPDFIQVVLAVWILETRLGPICVAPMIM ALISAIASAQVAKVIRPRQREWMQAIQKRVGATTQVLGSIKSMKMLGLAAKMTDIVQD LRVTELQKSKKFRKVQITNIILGNAPGLLSPVVTFIGYAIIVKLSNGDPPSTATIFSS LSLLSILINPVSEITAALPNLTAALDCFSRIQEYIMSDRRIDYRTIKSRNYSQTLFIR NNPHTVDSAGVDDNSEFPNSEDRSEKVLASQDPTMPEMPDLAILVDHADAGWSEDVVV LHDLSVKIRPSTLTMIVGDVGCGKSALLKLLLGEIVLIKGSVTLTTDEIAYCNQTPFL TNQSIRENIIGALEYDDDWYQRCIDACALNVDLKDLASGDNTMIGSKGIALSGGQKQR LALARAAYARKKIVLLDDVLSGLDAQTEHQCFDRLLGRDGLFRRSMATIVLVTHAVKW LPYSDQVIAMSPEGGIIQAGSYETFATGPGDIQTLSLQKGRQVAPISWTDQDSEKNES VNVSNDCESDNATNGENRGKRDFSNLSFYISSMGKMSFFVFVSLVGAEVVLTAMQPLW LKWWIEASQEDPNLQFGMWIGVYALFGVLGLFFLGLSGGVLLIFIIPRSSKNLHYSLL RATMQAPLNFFTLMDTGAIINRFSQDMTLVDMSLPMGLLLAIEHFGNSLAEAVLTCVA SGYMAISLPFLAVALYFLQNTYLRTSRQIRLLDLEAKSPLYTHFTESLLGLHTIRAFA WSQTLVSRNTIHIDTSQRPFYLLLCLQRWLGLVLDLIVAALATILISLAVTLRQSMNT GLLGVAMVSIVSFGQTLSYFITYWTMLETSMGAISRTKQYMIETPSEEEQEVQDPPPD WPLESSIELREVSASYKNTDREVLSGINLLIPAGQRLAICGRSGSGKSTLISLILRLM DPDKGSILIGNKDISCYSREGIRSRLVTIPQEPWFLPGGCGTVRNNLDPLDETADEKH IYDVLEKVGLEQQVKAMGGLDAEMDQEGGTLSSGQKQLFCLARAMLMRRSKLLILDEA TSSVDHKTESRILSLLRTEFHGWTIVYVAHRLKLIQDFDRVAVMDRGIVVEFDTPSTL LADPTSTLSCLYEKDGHHS PEX2_039610 MAPTILIIGATGNTGQAVVETLPRLLQSSKALSEHRVIALTRSL KSPVAQKLAKLPGVEVIEQNWVEITSDWLREHQVARAFIASHNEPNHFAEESTFQLAA LNAGVKYVVRISTTAANVRPDCGAYYPRAHWAIEALLGSPEFANLQWTSLQPNIFTSF YLFSAVDFVKQYRKTGKQGTLKLMASKDAPVGVIDPSEIGVFAAHLLSQEDTTVHNKA KYVLSGPEDITGKQVVDLIEQHIGTKVENVSYQDMSFLDQMYEYQYAPKGQSKNVIYS VKYAPVTAWEGKCTASTTSKTVLEIAAPKITPAEVLKSLLEE PEX2_039620 MDARQVLQSTLAPDAAERSNAEQQLAHAAEVDFAAYLITLGQEL ANESSPAHIRVAAGIALKNAFTFRDQAKLREVQLRWAQSINAETKTQVKELALKTLQS PDARAGNAAATLIVSIAAIELPRGEWPELMGILVQNVASGNDALKQSSLTAIGYICES QDPDLRASLTQHSNAILTAVVQGARREEPNMDVRYAAIAALSDAVDFVRTNMDNEGER NYIMQVVCEATQADEVRVQAAAFGCLNRIMGSYYEKMRFYMEKALFGLSIMGMKSEEE EVSKLAIEFWCTVCEEEIAIEDDNAEAQQEGVEARPFFGFARVATREVVPVLLQSMCR QDEDADDNEYNVSRAAYQAMQLYAQCVQGDVIQPVVTFVEENIRNEDWHRRDAAVAAF GAIMEGPEPEVLEPLIKQALSVLLGMMEDSSISVRDSTAYALGRVCDCCPEVLDPEVH LQPLISCLFNGLANSPKIASSCCWALMNVADRFAGDDGSQTNPLSKHFEDSVKSLLTV TERQDADNQLRTAGYEVLNSFVMNSANDSLPMVATLSDVIIQRLEHTVPMQQQVVSAE DRILLEEMQTSLISVILAIVQRFEAEIKPQADRIMSVLLQVLTTLGAKSSVPDVIFAT VGAIASALEDDFIKYMESFSPFLYNALGNQEEPGLCSMAIGLVSDIARALNEKVQPYC DTFMNLLLKTLQTSTNQLKPAILETFGDIAQAIGTNFDTYLAVVGQVLQQASSVTTSS DLPYDMVDYIVSLREGIMDAWGGILLSYKGTSSITQLQPFIESIFQLLHIISQESNRS EGLMRSAMGVIGDLADAFPNGELAAYFRNDWVTSLVRETRTTRQYSERTIETARWTRE QVKRQINMGAGMA PEX2_039630 MVKTSVLNDALNAMNNAEKAGKRQVLIRPSSKVIVKFLAVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKCGVINPRYPVQLRDLEKWATQLLPSRQFGYV VLTTSAGIMDHEEARRKHVAGKLLGFFY PEX2_039640 MPLDTIYLTRHGHRLNWTIDFRTGAYKSQFPTPTGNPADPALTS HGVHQSHELAVHIIDPEFHPKPFRVYSSPFYRCLQTIQPSVEKLKRISTESGKSQHNG QIGSIDRHAEFDVRIENGLGEWFGATDFFDHPAHPTLQIMSTHFPTLLPEASQIYKPL LIPSRRGETITQLHNRVATTLEGIIADIDAEVSALEADLPPDQRTSKSVLICAHAAPL IAMGRALTGHMPDDSSEEDFNVFTAGLSTFKRRGTSSTASTIQSGDQEECSSQKPLAE GTTFIRASGSPPQWKNGRGVGGGWDCLANGDCSFLSGGAERGWHFDGEESFNTGPMAP PSEPPANAGATKL PEX2_039650 MATGLSSQPMVNGDKPGTITLHILCQSLPPPSRFTLENVPLSST IAQLKGRIEQSFPNNPQASHQRLIYRGKPLTVDDATLRAVVSSMEEGIDSMHLVLPPE PTHAAAPPASEMPQHLHLGNSAQASTSSSTWVDDSNPDPSVTTASMSSHSSIPRETDA TSGSPRVTFNQYVNASSFNRHSAATNAPEAYGAETSQRIFTLRRHIEEIEGQLDRRIM PCMENIILIRNQLLEIQDARPARQLPIPGVAELVARILDSQQRARLMEFMQQQHSQPS VVAQTATRNTLQAGSIQLFMLSSPMGDYHSGLLTQNHHLVSRPATQRAGAPNPAVANE PGAPQTPQVPPAPQPPNQNAAVVQNALRQAILNQERRGNNVEHAGLARHIRRIWLFTR LWLFCYLTSAPGTWRRYIFVSIALLVAFFSETNIPRQFATTIISPIQRHLEGLTHVGG PADRATQTGANDAAAEFNIWDQIRRAERAIVFLFASLVPGLGERHVQARTAADQAFLA EQERQARERQEQEQARERERQEQERAQASVVEDTGNAGTEQE PEX2_039660 MAKRLFTSSPLLHCPPAAPRIGAASMEVLSFRATARHKASLRHF SSKSWRQYQKDNNSENNRGGFGSRLGFALRNTKVEWYPIPVGLGIGLLGLLSFYKSQR AERDRLARDAAGDEWEDGKRPPPRPRISLSGPWHVQIMSTLPLKAMSRLWGQFNEIEL PYYLRVPGFKLYSWAFGVNLEEVAEPDLHTYPNLAAFFYRKLKPGVRPLDPDPRALLA PSDGRILQFGMIERGEVEQVKGMTYSLDALLGSATPAHADHSKRLTDQGRKETQKDVE NMAAHEEFARMNGISYTLPSLLSGEDGAPKQRASLDASTASKPTSEIQVQEDLARGDG TPWYAPKTAANHALFYVVIYLAPGDYHRFHSPAPWVVESRRHFAGELYSVSPYLQRHL PGLFTLNERVALLGRWRWGFFSYTPVGATNVGSIKINFDSELRTNSLLTDTAADLAAA LAAKRGEQYPGFVEATYRHASRTLDGHPLQRGEEMGGFQLGSSIVLVFEAPLGTPKSV DAGWPENAPSDGWTWSIEKGQRIKVGEKLGFVGEA PEX2_039670 MAIFNLPRSFQNVNAIAQAPMGNRFFISAHESHPPFFQLVLLVF SAVLEVVCVSLPGYIAARQGMFDADAQKLVANLNVTLFTPCLIFTKLGSQLTAEKLTD LAIIPVIFIVQTFVSYLCSFVVAKCCRFKKRQSNFVAAMAVFGNSNSLPISLVISLSQ TLKGLHWDRLPNDNDDEVAARGILYLLIFQQLGQLVRWSWGYHILLAPKDRYLEEAER DESGQSIIEQGQARYSDNTDQTDPDEPLVRTRSSDDLNHAQRATHTDRHFPSGDQTPV STRTYSYSKLSSTHSDDLDSDDTPSVIGPPPIGPFLPRQSSQGDILQFPDVEITAREA QEADKTRFQRCKASLRKSRDRLSQWREKKTNALHARLPPKVQKGLASTTLGLRRFLNG LWDFMNPPLWAMLVSIIVASVPALQRLFFHDGTFVRNSVTRAIESNGQVAVPLILVVL GANLARNTIPEEALADIEHPRDERKLIIASLVARMLLPTIIMAPILALMAKFVPISIL DDPIFIIVCFLLTGAPSALQLAQICQINNVYVGAMSKLLFQSYVVWILPSTLVLVMCA LEVVEWAAAS PEX2_039680 MAKSTSDIFMSIKPEHMQNIVSGSKNHEYRSYLLPSTIQHIWFY TTSPIKRIEYVARISPGKVPGEVPDDGGIGNAEFNTGLKESKYGYEILTLWRLKMPVS LEKALVEGFLKGAPQKYCWVSLDFLGRFLLDGQDLLFSRTVDGVQFTDGFL PEX2_039690 MQPVRCVRSWVKSLQLGLVTMAEAIRSGTWREHQYQLLQGAQQK SPYYYLQNTKRTFTIPGLAIAAMLVLWYIFSHPLLYLAALDEHDCQHPVARLVYDAKQ AFNETLGHQSKSLDEAVAEYRRRYKMPPPPHFDEWYAFAMSRNTVLIDEFDTIYHNLL PFWGIAPSTIRSRVREDLGYNNHVMGIAIRDGRAIHLGNGQGGFQRDATMKILDKFSQ WLPDMNMEFNVHDEPRVIIPHEELHMMVTKGYAAHARLNCNSSLLNLFSPGDVHDPIP PVQVSTTRFNNIERQETWLYSRLSCPLDTPARALDSNAPDNSSAYAVGPLGFVFNQTA ASDMCNSPSLRHRLGVFDHPNSFKVTNELVPMFSMSRPSSFQDIAVPSPYYYEGISQF DAETSVEWENKKHQLYWRGKTTGGHSRNGTWHNLQRQRIIGNLTHPQSPQYLMQPKSD SKCIAGGSDGWEVQVANRSQIKGYFNTHFIEIVDCDEDCHDEQMFFDDIAEPDPASEA WKYRYLLDLDGHAYSGRFYAFMRSNSVPFKLTFFREWHEDVLVPWVHYVPINKEGNEI PELIRFFEEDPTGQQIARSIGEEGQSWAARTIRNDDIDVYMFRLFLEYARVQDDQREN LGFLL PEX2_039700 MPGLSQRPWPIQNIQDEAISERPRIELHPQDHVYREPATQYLDW RVTSEFRRPDGVSKRVYLINGLFPGPTVEVRSGDTLIITVTNALSDDLIAIHWHGLHV ANAMDGAAGISQCAVNPGGQFVYNLTIPSDQSGTFWYHAHSGVSRGDGLYGGLVVHAP ASKSTVRGLLPQRDDNPLRYTYDRELLLLIGDWYHRPAGDVLEWYMDPGNFGNEPVPD SMLINGAGYFDCTMAVPARPVDCIDQQVNTSFIDLDPNATYRIRVVNTGALAGLSLIF DNHLLDLLQVDSVDVARSNKTNRNSIGTLFPGQRMDFVLQPLLPISEKQSHMRVRLDQ DSFNYANPALTPDQTFPINYRSDAVEGPPIEAHHLNIGEAPSAPSILSSIPPTAQQTH VVYTKIQKMARYSNKPFGYFNQTTWKPQQDPPIPLASIPRTRWDANQFAITTGPEPTW IDLVVNNLDDGSHPFHMHGHHFYVLAVHQAEFGWGSYNPFIDKIPPHLEPDLGKLRNS TDDARNPNTGYNSGLYDTSRAAFRDTVQIPSRGYAVLRFRADNPGVWLFHCHMLWHSA TGMVMLIDVQGDPAGLAAHAGSGGLCPAS PEX2_039710 MAFKSPICIAIAVLLEAVLVFGGSLSDIEHVVIFMQENRSWDTY FGTMPGVRGFNDPNVQVNSDGNSVWHQLVEPAQSNKTKTLLPWYLGYQGGDWHDAIQC MVAGSNGYKENQQSLNNGLNNHWVTKNTPWSWGYLKRQDIPVQFAIAEGWTSGDMYQE SQITATNPNRVTLVSGSINVPGSPQDKDQGGVYIDNNEVPAISWSDQSYTGCDDHGIN CYPLKWKTVYDFYEEAGVSWQLFQDTNNFDDNPLAWFQQFQTAPKNSPLAKKGMSFVG LDSFYQAAANGTLPEVSFIVGPSELSEHPPYMPKDGGWLQKKVVDAVTSSPKYNSTLL MISFDETGGFGDHVTPFHSPKNTPGDWMQDPLGMFSDIFVGPGFRVPFYMISPWTRGN RVFTERADHNSQILFVEEWLTARGHKNITTNQMVSWRRKHMSNLVNALDLDHPDYSLP NLPDAGTVDTNEKGEYTGTSNCQSRHKQTRPDVPYGEQSNTTDVNTLWFEEGFKEVVG YLTEGRYLVFEKNGAAITNPATGNRLVSSCTSPEHNNKSQRWVIHYNNDEESHTFTIS SALDGRWIGLDGALLPKNQNSNAAQVHFTFLGSGLGYTMQFVEKGRYIDIDKHGDLNA EGDHAKPTSGYKVFSVSFRD PEX2_039720 MKFTAAIVASLVGTSTALFSKDQPWGKRDYACVNVYQGIPDNST ISAGQTVRVHFDRKPTGRCPDPLNQYPGSDYSVWLYNNPVRKLDTISFDQSIKITGGI KEKAGLVNVTIPKDLPKVKDSSLWYLRIDTSLSTAPQMPSLFNAAGPFTVIRA PEX2_039730 MLTPEVPTRTCDQLKLFLFAGHDTTSILLQWAFHELARTPHVLR RVCMELDEIFGSDSYPNHIQAQILEHGENALQRISSTNLLLSRKSFACIH PEX2_039740 MASIGSLSDIQNEILGNDIAKRIVIKVRTQRLDSQNYRASANEV IGEVFPGWENDPRIRFLAIDVWDERTFIVVDINHLDYDFNTAHKIKNVFPVYVLRHVK KRGWALVRWPREDEPLGAKVMDLHNANGWDVETPFLEDHNTRIVYANPRNLYE PEX2_039750 MPSLEDHSAFKHREDQGQSTRPEEDSQQSLFHQVHEWLHHEKTR RQNRKARKAEATPHATNENKSAEEDDVLERPSSDTSDNSNNSKSTFSLDKLEKILLQY ATTRPRSAMGLHHKQPVKRQSRHRLTGLRRGSASESELTDVDGAPPGVEAFLDNTKTL AYTGGAAVEEIADSSASVKQAKDAEAWVIFKTEIVRIVHTLQLKGWRRVPADLAAEIE VVRLSGALTNAVYVVEPPKNLPPPKTDSNSLVSRKRPPKLLLRIYGPQVDHLIDRENE LQILRRLGKKNIGPRILGTFRNGRFEEYFEARPLTPKELRMPETARQVAKRMRELHDG VELLEEEREGGPMIFKNWDKWVDRCEQVTTWLDNELQSPQNEAKAALEPWRRRGYVCG VTWDVFRKAVDNYRRWLVASSGGTAEIKRQLVFAHNDTQYGNLLRMEPATESPLLLPA NEHKQLIVIDFEYSSANTRGLEFANHFTEWCYNYHDEERSWACNNRNYPTSEQQYNFV TTYLTHRPSSAGGPISPLASPTIRARAPVAIAPLDLDDVSDRPSSRLSQYEQSKEVEL DSEVRFLMQQTRMWRAMNSAQWVAWGIVQAKVPGMEEGIAEMLAARNGPSENGDGDDT KTPPVDADIDEEDDGDFDYLAYAQDRVMFFWGDLLSLNLIKPEDLPAPLLEHVKSRLV AY PEX2_039760 MADVGGWSTIESDEGLFTSLIETLGVKDTQFEELISLDADTIRL LGPVYGVIFLFKWTREAAGARAEAPLDGTYDQTATDNNLFFAAQTIQNACGTQAILSV ILNHDNPPAPQPAIELGEELRSFKDFTTGFPPELRGEALSNSEAIRSAHNSFARSSPF ADETARPQDDEKGADVYHFIAYTPVNGTLYELDGLQPYPISHGACGTAEFPEKVIEVL QRRIARYPPDETHFNLMAVVRDPRGRAREIGDVETLEREERKRAAWQWENTLRRCNFV GFIGEVLKGVVGVKESDPEGKAYGEWVEGAKRETRKKLEMRR PEX2_039770 MVGPGGGPPRKSHTKSRNGCKTCKRRHIRCDETFPQCRNCTKHN CRCDYQDAAATQSGSPPAPRRGPDLLLSPEIEMEVENWHRTGVPPYPELLQCPRSGWS GLSRSDLRLIHHIIGLSIDLHRRGLSGCTVWAQKMPNFLAISLGSDFVMSSILSFSAF HLAFLTRDQETKQLAFRHRVTALQGLQTALGSFSKENCDAILAASVLLSWQATDHQSW ASLQHGISSVLESMHPYWKQESDIAQLVENQRALSTTDLSMAGVYQPLDEDMVHLDQT IQALQMTQKRISHNLEHSQRLGELIEFIQQFRDDFPNQTAEQSFESIQTLRRWLFWLP PSMLRSSESEINALPILAQFFAVGISLDRFFPELGGAYLGALFISPVEEMYRIIATHN AADPFNTELRLALELMDLPRGIVARYRSRLPLSWSPRPSVDYSPGPPSPFHHNIHEYP LVASSSPASASPSYAAYTPPLHSPTAINIANSAFPVQDAYVSAPSHSLYPPSPQLLDN HDVHLGLSDMGHGHAHPHPASASIPHSAAYTPPYGDVLCAGLPRADSALGLNMEAYSP THPFELPGMAAPTSLWT PEX2_039780 MGFHRSSKGINIKDKHILTAYCQRESGESKYSELDLNEFIGVNK GQLAWGSHDFSKSSRNVNFKLEGPDNEPMLSAQIDDGEGNIQESKVNLGDCIKNVDGN MSFMECF PEX2_039790 MNWQPQQEPLRQLARYLRDSLNGANLPARAEAEQMLAQATSSPD YVNYITYIFSSPQAAPLAGINENEYPTVRFAAAVNVKTKIALAYSTISPQSLAFIKSA ALVTLRDTDRNVSRAAGNIITAMVLHGGLLAWPEIVNELLTTVANASGDVPMPAREAA MDTLCKVCEDNRKVLDRDYQGQRPLDIIIPSLLQFISVESSRIRIASLNAIHVFLPFK PQALIDSLDQFLSQLFRIAGDENTRVRQMVCQSFSQLVETAPEKLAPHMEGLVNYIIM QQQSQDDPELALDAAEFWIGAGEQERLQAELAPYMHKIIPVLLQNMIYDEEEVARLMD EQDDADAEDRAEDLKPQFAKSKGDRLNMSKPGEQPDSSHALEQQTDEGEDDLSEGEIE DSEFGDDPSGNWTLRKCSAAALDVFSTVYHRPVFEILLPYLMETLRHEQWPNREAAVL TLGAVADGCMDAITPHLPELVPYLISLLNDDQPVVRQITCWCLARYSGWAAHLRDPAQ KAQFFEPMMEGILHRMLDNNKKVQEAAASAFASLEEKSEDNLAPYCEPILRQFVACFN KYKDRNMYILYDCVQTLAECVMSELARPHLIEILMPALIGRYNYISDDSRELFPLLEC LGYISAAYGDAFAQFAPPLFGRCMKIIYGNLQASIQPTHAAADEPDKDFLVTSIDLLS AIIQAIDPQKSGELVSTSQPSFFELLRYCMEDENYEVRQSTYALLGDCAISIFPHLEP FLPTLAPVLIKQLDLDLIRDDDRHTGFSVLNNACWSCGEIAVHENAALSPYAEQLYQG LFTIITNEEIIDSVNENAAMALGRLGFCCADQIAPHLSECSGPFLKSMDKIDFTREKA SAFLGFNQVVMKNPHAMESSLLDYFQAIASFPARSLAQEEYRDIQVSFQQVLQGYKTL IPEFSNFLGQLPPTVAQTLRTVYQV PEX2_039800 MPALLRKLAIVAAVDGLILHPQASGPRHTAGSSNEASSIRIDYK TNKITVLPAGASEPLNGKDALEAHGLIGLLSVASYSFLVSITQRQQVAQIRGCPIYAV TNVAIIPVSSQADASRAITQAKKESSQEEVTIEASSDEDDLPDNETDRDEAEAEIGSL PASPTRDTYHARGTSVGSIAEDVIAKKVRFGRFAANWLSRKNLGLPRPGALEQDMPES PLDEASTNWLSRKNLGLPRPGALEQDMPESPLDEASSPPVDASETKHEAVDDALSEDT GAQSEPDRPKSDQTAELLPKLLRYTKLLFASHNFFFAYDYDLTRSLHTQEARKDQLPL HKVVDPLYFWNRHLMNKFIDHGTHGFVLPLIQGFVGQREFTIAGAERKQPSKDSVELA DGRILGEKHEAEAVETNASKRDYLLTLISRRSVKRPGLRYLRRGVDDEGNTANTVETE QILSVPEWTPSHPAYSYLQVRGSIPLYFSQSPYALKPVPVLHHSADTNLLAFGRHFRE FSRRYGNIQAVSLIDKLAGELKLGEQYERYTESFNAAGGIDGKPLQLEWFDFHHECRG MKFENVSRLVDRLKDTLNDFCYTIVTDNDIIQTQKGIIRTNCMDCLDRTGVAQCAFGQ WALERQLEHEGIDIDIGGDSSTQWFNTLWADNGDAISKQYSSTAALKGDYTRTRKRDY RGALNDLGLTLSRYYNNIVNDFFSQACIDYLLGNVSTHVFDEFAIQLQTTDPGISVQK LRQNAIDTSCKIVISSPSEEFLGGWTMLTPRQPNTLRTLPFEESVLLLTDAAVYSCRF DWETDKVLSFERIDLRSISRIHYGTYITSILTDSQANEATNVGLVIVYRDGDTNTLRV NTRSLQSDVDLSTLETTASANSEWDLVSWLRGSKPTTTRFVAFKGLPLSNPVASPRLG PSTGAVREMDRVRSVCLDIERAMLAGQGRNVEAVSVVEQSDIISLADAKKRTGWLEYL VYDLKKMVWA PEX2_039810 MAIGTSFVITKKGLMHACERHGFEGEGFSYLKSPVWWGGIVTLA LGEVANFAAYAFAPAILVTPLGALSVLIGAVLSSYFLNEILGVLGKLGCALCLLGSVV IVLHAPPDKQVETVDEILGYAVQPGFLFYCVAVAVFSTLMIYRVAPVYGKKNPLVYIS ICSTVGSVSVMSVKAFGIAVKLTIGGNNQFVHASTYVFAIVTGFCILTQMNYFNKALN SFSTSIVNPLYYVTFTTATLCASFILFKGFNTTDAVNTISLLCGFLIIFTGVYLLNLS RHDPDGHTMVNSKLDEDGVPTDGMASFQTRRSMQSRRSSSSAYFNGNSDREGLIHAYD VENGIGMDILTEASDGEPGPTFPQTETQTAVHTKRNSQL PEX2_039820 MEVPATGDSMEMASPYQGPADDFDIDIDLMEDHVSNMDSDMMGA DEFTSTSQPNELNNDAIYDADMADEPSEGSMIDADNYADEDNDIDVQFEEEPYEEEMI ETDEAEAVNIPAPVIHLEPAGSNGDATSTIKEDATVTTAEPLEAATQGPSGSVEFAPV QDEAQASGVEGLDMQAQLNTVGDDGLAEEPSETVISPETGGHTENSELSEEANKISQS AVSETEVTQVPNEDPETSQIKHSAEDSHDDDDAHLEAQPVSEGNHSVVGEDASLQQVT YIDEPLHPVKILYQDCEIALFPPLEGDLADTFFIEDEALAYENIGQIFKALREVLQKT MAGNEVLVIDIDTLGIQMTEDSFHTSQVTLHQILDLYVRLCRNDGTADPDALYLTLST KRAFPAEIADLNDAAIEGKKLSELHSELHAWDEYDEAEPGSDEDLGAHGAEEHEDEVY YTNEAQKELSITEVQTAPGPEEEQALDDEQSQVQPEDVPSAQPEFVHAQDANRSTSSE RSPEAAPVSEPHLAQPENEEADHSDVSHHIERDQEHDHDRGDINEEHYDSEGRQSDST ATVAALPSASEIKEHVQHVPLDVTADAGTDQNDDENFYGEELENDDLGAEEYYEHEDI GSVDDSEAFQKDITGSENIDFDAQEPADQTSVLDEEDADELDAPPTDEVVSEIILQDE NNHPSHDQPAPPLGSGLNDASDKKEQTPEPADDLLGIAVDLMQTPPKDIEDDALDHFE GIDYNEPEDELDAPVAADDESADDHEFDENHFGDYDTHFEESEAVELVGTDPSLTDPQ TNQSSSAKRSREDEDDWDLVETTVDTKRRRSS PEX2_039830 MVVLAASICTRGGKAVLSRQFREISRSRIEALLASFPKLADSGT QHTTVEQDNVRFVYQPLDELYIVLITNRQSNILQDIDSLHLFAQVTTSICKSLDEREI LRNAFELLSAFDELVTMGYRENLSLSQIKTFLEMESHEERIQEIIERNKELEASEERK RKAKQLEMQRKEAARTGRAAAPRTPSYPVYTPPARPSVPDTYDSYEAEKKQSFAKPIP TRGKGMQLGKKSKATDIYEKVRGDMGPEIEEESPLVAPQASTPVHDIPSARESLNADR EPIQLTIAETISATLTREGALKSFEVKGDMQLRISDPSLTKLRLDCQAVPTHGAQFRT HPNVDKALFTNSSVIQLKDTTKRFPANNSIGVLRWRVASSADNADILPITFTVWVNKG SDSTTVTVEYELTGDDSLRDVVVTIPYGTTEPAVSSFDAVYEVSGDSLDWNLGAVDES NASGSFEFEAIDADENEFFPMSVRFTKTKPFVDVDINSVSLLEMEGEGVAFSKEVRSV AENFLIE PEX2_039840 MSNIPFPSWNEQQSLFKLSCADSDTCNQLSSVDNPVSHLQRGES GVGTKKMAIPRLAEGAESAFTSPDNGRQNAPETSPDAAIVARLESFAAIPMLASLSAK VQAYEDVISKLSNRFGVSDEQLVNIALAAESAPDLAFNPETCLAAAGERRISWHTGSE PPPSRASSISPLESGDQTEEDFNRDETARATGFIGKSSEITWLQRLSKEVNSECEAWP ATLPNTDDDNGLPSPTLTPRPENPSEPWVVASNYYLDDLDIPTADQSDMYGVPSREMA GKMLNAYLTSVHPSFPIIGVSTFVPQFQVFFSQPSLKPGNKWLAILNLIFAIAAKYGQ LTNSDWKEEEDDHQMYFSRARALSLEDQILHHPDLQQLQVEGLTSFYLIASGHINRAW KLSGSAVRGALALGLHLRNVGASISDTSKEIRYRVWWSLYTLDHLLTIMTGRPSCVID SSCTTPMPVPFDESDFQKDEVARLIGTAVLRTSSGSERMPTNNSAEDLESMADSDSND LAAESETKMSRAEYLKSLPPCTSLYFLQLASLTSISKRMTVKLYSPEALQSPWASTEF TIKSLMLEIDSWFMNLPAAYDFTSTQTSQCPISQRMGLAFLFYSTKIGITRPCLCRLD QSSSEEEKTYEFCNKTAAECIEAACHMLTLFPDTPDAVLLYRMSPWWCTLHYLMQAVT VLLLELAFRAQHVPEKATMVSKAAKKALDWLSTLSKTNMASERAWKLCDGFLRRLAPH IGINVNDFPSTEESDSLFDVPDADTADSAAVAEEPLADDVAFDPSAAMPASVAVDAIS AELDSIACSPMDQSNSTPLGMPDTYDLDAPDLLDQFIKQEKDLSGRSSYDECFPYDPA TGQITGSFFPSGPNMELDMGYFWGDPVC PEX2_039850 MSPAKAQGHQNGLSGQNNPQENNEQSPIKVISAKGDLILEYIAP GNSSSSPTKHKWQVASERLTSHSPYFQALLDPTKFSEGRQFSAQKEAWNETQMPDSTS HHALPTVRLPSVHSTSMCGEDAIELFLKILCLDSFEETERAVFENWLKIQSTSLVARM IDLADSLNSPRAVQDVLQRIGYLYGRSKPALLARFNAALLSMKEDRIRQIIFISTFLN DTRLTRIMTHALLVVGSKPWINGLDNPEEESLRWKYLPNGLEEEIYCRRQYVLNTITD LQAHFLRVYGGLEETDTARSTANNRTLGAAFTASAHVLFQSRQFQCRGGFNNASQCDL FQLGQMIRFFSMRARTIFLGSTLIDPDFDSAPNDNDHTPGESRNDQAPGPPSDITAII ASLKQYPDYAIDEAHTGCGIRRRIMPALECIEKFVWDDRGLLGITPAVSDTTVSDPLQ PSKWMLWAEFTRKKHTVDISFARVTAVYYPSAPSKNQVTRSAPQEELGRLLFTAARRD WSAAGSG PEX2_039860 MSTAGDWSPENPILAYLQTLAATKKTLPYGQPVVAHASVNHIDS ASLVRLAADIGPYIAILQIPADVIDDWSSDTIEQLQYLSRKHRFLLWEGSKILNPLVN FMGRADAPLETRQALADLIKKSYTSGPLRTATWSNLATSWAPAAPVDQQENDILIPTL RLAAREAVATTAKIIQTEISAEMNNYSSGEEVEIAIPSTETSNGWKEFSPNSMGSALR KSSTISVTTESVTLHAHLHTDDGVPTPPQLARSVALCLPGTIDTAFTPEFRQSTIVAA CANSDFVIGFATEEPFFVNHRGNTIFELALFDGNGSAQLGLNAAKLATLPYLNEARKS LGVFSLVSPTLSLGFEFDPTFKPDGITPSGPDSETPYTAQYLYYVIKQAVALREKNRP EHEPTGLGKGTPVGPKIMHFPVVIIV PEX2_039870 MPGRVLPTFTSAEVESHNTAKSCYITLGANVYDVTDFLDAHPGG GDLILEYAGQDATDILKDEISHEHTDAAYEILEEYHIGFVSNVSTPGKTTTTTTTTVI ETVTETESGPVYTTTGMSREEDLSIDTDYSQDFKTHKFLDLNKPLLMQLWYSNFSKEF YLEQIHRPRHYRGGESAPLFGNFLEPLSKTAWYVVPSIWLPCVAYGITVGATGLGSTT AAASYFTGGVCLWTLIEYLMHRFLFHIDHWLPDNRVGLTLHFLLHGIHHYLPMDKYRL VMPPTLFVLLAAPFWKLAHAVFYYNWYAATSVFCGGVFGYICYDLTHYFLHHRNLPSY YKELKKYHLAHHFADFENGFGVTSRFWDRVFGTELVSPAPKGVKAQ PEX2_039880 MSGLDVEALLESTAAAPAEAPTTSEGRDDHSRADPSERRDRSRD RRRRRDHSRDRRPDRDLEGDEVMKSDTASANGSLRSRKRSKSRESERRRPRRDRDNYH SNGDFYRGGGRARSRSRSPYDDRHYRPNRRQREEERRPRRDMDARRGSPSRKSPELNE DERDRRTIFVQQLAARLRIKDLFTFFEKAGPVKDAQIVKDRVSGRSKGVGYVEFKNEE SVTAAIRLTGQMLLGIPIIAQLTEAEKNRQARNPEATAGNQHTAPFHRLYVGNVHFSI TEDDLTNVFEPFGELEFVQLQKDETGRSKGYAFVQFANPEQARDALEKMNGFELAGRA IRVGLGNDKFTPDAHANRPSGASSTNQSNFQGSSFSGQGGRGVQAGGSNNFDRAGGRE TEKGAGASALDDTDVAGVNFNNYSRDALMRKLARTDDAQPSVDDRQKFLRPKTETKPL PINVNMASRCVLLRNMFDPAEETGEAWIKELEEDVRSECEEKYGHVVHISLDVSSQGD IYLKFDRVQGGENAIKGLNGRYFGGKQITAQPVVDAVYSSLFSRTKAI PEX2_039890 MTLLSARRVTGRVWTSAGCYSFALLRRAQCYSTESVPPAQSTVR KQPQFRDYFVTHLPSSSLHPDPRGPSSPFHKLPRSASIPHTGDTPQSPAAFQALIDRE TTVVRIPLRNAKHHFGAVTSRGTRPSNEDTYQAGVIDIPAFAKRAPTSLTIRRSNIHD PLAHPRESRGAETASGDPQVFYFGVFDGHGGSECSSFLRDYLHEYIQNAAFDIELRSS LRPGAEVPPTTSELPVMQEGDRRRIGDLEKYLVQTWRRVVGGYFKRFKPPHFAYNGTE SIDPSIENHVSIEEVVEYAFLRADLDFVNAQAAKRDDDLVRAERPLNDDEIFHRPSMT RSPQIGGSARFKGGSTGSVAMISTPTPTPFWHPIAPSSLLVAHVGDTRILLCSTETGQ AIPITSNHHPSSPIEATRLRRYATSFVTDSFGEERMSGLANTRAFGDVQSKRIGVSAE PELKRIELGPAEFSFLVLVSDGISGTLLDQEIVDIVKESKTPEQGARDVVNFSTEVTQ EGDNATCLVVRLGGWERRLEGGVGSMGTKESREWRRQDSTDPRRSRK PEX2_039900 MNARTRRQKASLAAEESEDSPSNGSVSTPSKRASSKKRARSAAR GESKDSKENIFLFTPNLIGYSRVFLTFASLYYMPLHPRTCSFLYTVSCLLDGLDGYAA RYFNQSTTFGAVLDMVTDRCTTACLLVFLSSAWPRWAIIFQGLISLDMASHYMHMYAT LSMGGSSQSHKKVDPSRSWIMYQYYTSKVVLFICCTANEAFFIGLYLLSFSSPTLSPS LLQPVSDSQLSSAQPGNPAHPEPASLFASPWSAGALEMARANKLESTWPWIITGISFP IMAFKQFVNIVQLVNASRWLAEGDLAARRANRKK PEX2_039910 MAKKKKQTNTTRVCTVQGPRIRTMPGHQKPSFRTKTLMNRIQLP DQSFP PEX2_039920 MEDHTKYTARHVDTDLCFWRRPYIDNCVQVDLAKGKPENNIKKM EVLAETHKVQVQDIRGQESSYTLDKNGFVYLSHEIPELDRVSDEEHVKDTIIRKTADL VRKITGATKTLTFVHRVRCLAEDGSLLASNRAPAHSVHSDFTTRGALHHLKTLVPDKQ ERERLLAGRVLIINVWRPLKTIQRDPLTVCDWSSVNIRDEGIASRLTLPNGWNELGRY AFSPSQRWYYLSGQQPHEPLIFTQFDSFKVDEGGVTVPHSAFVDPEYSDSAARESLEI KMFAFV PEX2_039930 MGQRHNRRRTRRSSNRNTIHTVLAQHPTFPVDIKSTIRTPQNSF CALVSADNSPDACISRGSPAATLAPSWHYGYTAWQTRERPSRLESGGLEEAQYRLFGG EPGDDVSLCYRMLEYFGGLDYINSTNGHALGD PEX2_039940 MSSDYTYDEQGQFFPFFILTLTGLVTLPLTYNLLRPSKELENTA PRIKSDFKPKHADLIDAQKRKRLRKERRIKRIITVIVGYAVMAWMVYLIVVTARTVVK AYDPYDILGVSRSADEKAISRHYKRMSLIYHPDKIRPDPAKNETMEMLNERFVELTKA YKALTDEEVRNNYLQFGHPDGKQSFSIGIALPKFIVMEGNGKYVLMVYGALLGVLLPY IVGKWWYGSQRYTKERVLVASAGNIFREYKDDITEGGIISALSSGEEFNDMLKGVRAE SGLAKLEKQVLADDNSFLTAKDREALKQLDSSSRRKALALLWAYLGRIDLGDASLDAE KYEAAPVALALTEAFTAISLAFGNLGPIVGAFKTSQNLIQAVSPGSSPLLQLPNFTDA IVKSVEGEDSKEHLTVQRYMELPEAQRRSLTVGAGLLSDKQYTDAVSVAKQLPMLQPE RIFFKVMGEKVITPSSLVQLVVKARFIPPGSTSVPPVNPLDLEDIDPDEDDLEALMGR KPAKNRATKMVDGKKVEAKIETIQPPLAHAPYFARDHSPRWNIFLADGKQGKMAVPPF TFTTFDKPIFDEAGKPTFNVQTLKMQFQAPPQVGDFTFILHMICDSYLGLDNTAQITL HIDDPAKAAAVEEEDDISEPDEDSIAGQMQAIKTGQAPKKKSKDSDDSSESDTDGDAG DTSDTNTETEDED PEX2_039950 MSDEKPGIVSGSGGSPLSQPAHTLPYEVVIKELSTGLDEGLAPE EASRRLQQYGPNKLDEGEGVSLVKILVRQVANAMMLVLILAMAVSFGIQSWIEGGVIC AVIVLNIIVGFFQEYAAEKTMESLHSLSSPTGTVSRGGQTFSVPSSEIVPGDMVELRT GDTVPADIRLVEAVNFETDEALLTGESLPVQKECDLTFKEDTGPGDRLNIAYSSSTVT RGRGRGVVISTGMFTEIGSIALALRASGNKRRPVKRGPNGETKKRWYVQAWSLTWADA VGRFLGVNIGTPLQRKLSKLACLLFGIAVVFAIVCMAVNRFSSNTEVIMYAVATGISM IPACLVVVLTITMAVGTKRMVERNVIVRKLDSLEALGAVTDICSDKTGTLTQGKMVVQ KAWIPSRGTYSVGPSNEPFNPTVGDVTFASTPPSQLHHEREGTATENAEDMVSGNRQL EDFLDVASMANLSHVYKSEEGEWKARGEPTEIAIHVFASRFNWNRDRWIKKGQGTVWH QQAEFPFDSTVKKMSVIFSKITPQETRTMVFTKGAVERIAESCTSIIWEQDSSTPVPM TNDHREKIMQNMDELAKLGLRVLALAHRPYTTQTQLLEGADLNREDVEKDLCFLGLIG LYDPPRPETAGSIQACYRAGIVVHMVTGDHPGTAKAIAQQVGILPTDINTVAADVADA MVMTASQFDKLTDEEVDLLPTLPLVIARCSPQTKVRMIDALHRRGRFAAMTGDGVNDS PSLKHADVGIAMGQAGSDVAKDASDIILTDDNFASILNAVEEGRRIFDNIQKFVLHLL SENIAQACTLLIGLAFKDSDNQSVFPLSPVEILWIIMITSGMPDMGLGMEVAAPDIMN RPPQSKQGIFTWEVIIDILVYGIWTAALCLAAFSVRMWGFGDGNLARGCNKEWSEEIK DCELVFRARATTFVCLTWFALFLAWEMVNLRRSFFRMQPKSKRYFTQWMYDVWQNKFL FWSIMAGWITMFPILYIPVLNDVVFKHKPITWEWGIVAVEAVLFFIGVEAWKWAKRVF FRRRARKYPQLTPLEEIPEQP PEX2_039960 MSWQPKLRPKGFPHRIDDFAAVASLSELEHRARTGEDKRDQRVF RVKRKHVLKACDRCRVKKTKCDGKQPCNRCSVYNHPCLFRERKATQTKAYPQRLIEKP HRFVEMLESHHSLVVKALQKLYKFCINNEGFPGDPLAEAPDGHPLTHAILDRLGLIKQ AEENADEPDEDSEDLRYLRLLSTSTECSVTAEPSPEPTTPPEPSPTISRPPCPIPTPI SVPSRTATTSWQWDLQPVHQTSYSYPDSGYHDLMALQQRSSMNAPDMSADIAPHVDLP PASSGLPHHSQHAHHESPFPYILDGCCDSGTTQQDIKPVIARLPPGLLIESHTHSHHL AGNLSPEMMGDFHFPAHDSTFYPGFTPGWNFPSA PEX2_039970 MDPSILRELSKLDPAVPFRSSTDHLHHTWAKTFFSRPELYIRPQ TIPEIQQLVTLARRCRRRIVTVGSGHSPSDLTCTSSWLVNLDNFNRILHVDPSTGSVT VEAGIRLHALGTQLEKHGLTLENLGSIDSQSIAGVIATGTHGSSLRHGLVSECIDSLG LVLANGQLVRCSPTNNPDLFRAGLVSLGALGIVVEVTFKATPTFNIAWRQERYSLPRV LDEWSTGLWTSHEFVRVWWLPYEKGAIVWRADKTDLPLRAPPKSFYGDSLGYHIYHNL LALSSYIPRILPWVEWFVFGMQYGFRAGSTITEAVEPAREGLLMNCLYSQFVNEWALP LDKGPEAIGRLSAWLHGDTETARIPFSPKGLYVHCPVEVRVSDTSLNTKPRPFLDPTC RDGPTLYLNATLYRPYLRDPPCKERYYEAFEWLMREMGAKPHWAKNFHTLSSHELGTA YGSDMESWMKVRSEVDADGMFVGEWHRRHLPLELGQGIEAPEGERLPLLEREHERRRV GMSGVGDGVEWVGDRRWLVQAQAQRPSFDALDMEKSVYPLPPDHLSSPSSTATSEESF DLLARGEASILLPDGPGA PEX2_039980 MAVPNESSEDVNDFLQRIRELGERRDKEDDERTKKLEEEILQGR KERQARRAERARSLALTDDSSTLNIARLSASSISVRSIDPPEHLEPTPQMPQTPGPEP PIPSAVDSNQDTEASNTDKRRGSVPDFGIDSPSRTRSPLSRSRAGTLPWQQRPSSRDF NVSPGSTSPTRSPTRASHLRNLSTASDENTLSRLQFGFPRPSKDAPVLPPSPNREAES LAHSEKEEQTDSQTDVGAGHQEPEVGEESSTELDKVEALEERSSPSPGTGSRDSNMSH RFSVSSVSATGLGSPVHLSETPKLEPRQNDSSFEESVSPSPTQRRMSPERTRSTSPTK GLGGFVQSAMMRRSDSVSKRWSAQTPQGLGRSNSIVSNRSSVAGPSLSEMTPPTLGRI GREPSTLLQRPGSSHSEATTETTERPTTPADRDSVNFESPGKSFRPNHSRSASSATAD GSDAQSPFVSKTMDPRRWSPNKASWLESALNRPELPRQTTRPPSQPNWAKDRQSRGSV DLGRVNNFKEVTPLGLMRTPPPGTQTKLPGASGPSLPTSPIKDGLPESPLGFPLRKIG GSGPSLPTSPTKDVVPESPLGFPLKKIGGSGPSLPTSPTKDVVPESPLGFPLKKIGGS GPSLPASPVKEAVPESPSGFPFKKQSISGSSLHRNSASDTPSEFPFKRPGTAGGSAGG SPEGKLKETPPESPSGFPFKKPISSGSSLHRNSVSELPTEFPPKRPSVSSPSLAKESV PESPSEFSFKKPSISSPSIPGTPEAKLKEIVPQSPSVLGSPDAGKTKEETSASPSPVD EALNALSGGEPAEETKSASPAKIENESQELPAAEESEPQSTPTRRDPPDSLSPKPNFS ISTSSRGPISPKAKPQSPVLDFRANLRKREVVKDNGDNKEPEFKNVFGRLKKTETRNY VAPDELKGNILRGKAALNNTGGPKKTERVDEFRKSLVSRKDEMKAGGGSIRRNTAGEQ DAPPKQTEVVPEAIAMRQNMTRANSLKQPPVDEPMLPAKRFDSRASQDRPPLSSPTSS FKGIGTSRASQEMQPLPSPTSSFKGIGTSRASQEMQPLPSPTSSFKGIGTSRVSQETQ PLSPSPANDEPGWPLKDNSSWRASQESQPLSPFPADDPIDEEPEAIPAVSDYKQIPGA VSSADLEETREAVAPIEPSIVKMQEPSVKALRPVRQWPPAPVAEATVTPGLAAKSKLA GRINPALAGLLSRGAPVGDGPKKQQSTPVSVQSDPASPSAPLTHITKGRARGPKRRLP QTTDVSTPSARDDTKEVGAISCSEATPSQTPTIPVESLDIDPEYLLQPDENPVPDIKN SRSDSPVTEQLPEMNTPPQDTPERDTPQSGFPQALQTRSLTTDFDIFPSANLETTPRD PESPGEVSPSSGPPVPPKSDPPTSPLPVTPKPQWGQQNRFASSSPSPLRTSHKENQMD SPMSRQKSIPGVIVESARSSVSRPMSHPSPPVPPKDGDVMLPKPTDPRRLSRKMSAPS LVAQASEAREVIAGFFKAFPNARNRMDIDPQLMLMRKPDDVKIRIVKRQIWELTGDGR RQELPSHQEYILYQGSMYLCVHTFESGRSSTSEVYLWRGDDVPEASVENEQPFARKVA RENSSKLQVIRQGNEPTRFIQALGGIMITRRGSSSRHNSSALYMLCGRKHLGEMTFDE VDYSLRNLCSGFPYVVSAPFGKLYLWKGKGSGPEETGAARLIGMDLGLTGEFEEVAEG EEPEDFFDVFAGPREAASHMCQDHWRLKPKYNHFRTRLLRVDHELNPPTRFWNIRRPG SGSPVVKANDCVQEIEPFCYRDITEKDVYVLDTFFEIYVIIGDQASHKSADFASAVVF AHEYGILATSLQDRPFIPKSFVALGGVPDRCQSAFRKWNPRNQHAPFVFSLDVVIEAI RSPEDN PEX2_039990 MLSILRKARLKDKEMRILMLGLDNAGKTTIVKRIMKEDVTTVSP TLGFIIKTIDFMGYKLNIWDVGGQKTLRSYWKNYFEKTDTLVWVVDATDRLRVDDCRQ ELSGLLLEEVRMTSPPAIRKILRASTEPVFHQRLTGASLLVFLNKTDVEHCMSEQEVR ERLDLDSIKTHKWTILPCSAMTGTNLNEGLEWVVQDAKDRLFLY PEX2_040000 MAEEIEISLPFRGRNAVYRKPPNKFDKQKSMVVPNSSSSASAML HALESMNEMERNKLIQSIENLSWTQTWDLTKQFDKQRREKMPAQQPLFGMENESHSCP EMLPAARDGPGVDLNSSVYELLAKPRTVEGVEEPEPWATADPPDFIPNDGYEEIGKTV KCSNNVEFRTQRDARHKIQVKAYTKSRRIFPIVQNDILTFTSEYHNSGHEPESDWTLD IQEGDDLWHELKHRISSFDLPNRTVRNQFRSWWDQLPAGHQVDIYHVAFFDGTAMPDG QSSMFLPDTKHIPTPRNMKDELTRLHWHETSEGYVYNLGKINKKRKKKELEQQEHLRR TADYRAWLELPPDSKIVPPGIYLRPAEQCDASSILEIMNWYAQNSALSSETRSMEAND IGELLQFCRDNHFPFVVAARRPPERLCRNQIDPVVGYAYVEFHRHGKSADKHMGELHV FVQEGSKKQHIGRALVDMVLSCFDVTSGKSKDYEFDQTGTVQYRAGYGRPLTAIVCAI ATSPEAQEEHDWIKKWLEREFGFQEKCVFENARVKSGKSYDLRYMARPMGAPHLSNGV KGQPQVQAHPQGSSTQSTILNYL PEX2_040010 MAPQSAKRSSAKDDDFVFTLSDDENETRFEEEDDDNEMQGDNAP AADNKKRKREVEAVKPKNKKQKQLEKQQLKNAKKNKKNAEPAPAPESEEEEEEDDDAA ADATEDDGVLNPEFEFDVGGIANRGVTEGFDGWGIDDNEKKRSGDKKAVDIDDIIERR QAKKDEAAAKKQKKKAAEKEESASASEDEAASGDEMPVDFEDDELMADDAFGMGADGE EESDAEGPEPGSGDEDEEDDEDKDDNEDSDDDAASDNDSVATPVGHPDDMGSDRESDV ESEVDEEEAEKRKAFFAPEEKVDTKANMAKMTFQEFNLSRPILRGLAAVGFTDPTPIQ RKAIPVALLGKDIVGSAVTGSGKTAAFIVPILERLLFRPRKVPTSRVVVLMPTRELAV QCYNVSVKLATFTDVTFCQLVGGFSLREQENVLKKRPDVIIATPGRFIDHMRNSPSFT VDTLEILVLDEADRMLEDGFADELNEILTTIPKSRQTMLFSATMTDSIDKLIRVGMNR PMRLMVDAKKNTVSTLIQEFVRLRPGREDKRLGYLLYLCKEAYSKRVIIFFRQKKEAH RVRIIFGLLGLKAAELHGSLSQEQRIKSVENFRDGKVAFLLATDVASRGLDIKGVETV INYEAPQSHEIYLHRVGRTARAGRSGRACTIAAEPDRKVVKLAVRAGKAQGAKIVSRV VEQAIADSWAQKAEDMADEVAEVLQEEKTEKQFSQAEMQFTKGENLMKHGNEIMSRPK RTWFETEKDKKVARKLGAVELNGPATKKSNVKLSNKDKKRLDDAQMRHDGNLGWKKGK GDAESAKDPKKGKGDSWNGRASQDQSAPEERLLMLNVTALVRRVRDAPRATSSLEARV AQLEDALSKRGEGEEVRKTRSPSSAESSSSGPKIKIDPDTEDLTRAFDGLNVENDGRV SFHGPTSLFQLPSGVASETASTSHYVQELEGRKERLVNSAWRERAFEQMAAMPEPFQY LLDSHWCWIQPLWNFVYRPAFTRDMKINGAYYSDVLLNAILSHSVRWCKAEPQVGPLL DSYDGGAQFSHRALTGVFDSLKVGYAGIPTIQTLLLLSAQECGRGNRTQAWLYSGMAF RLLDDLGISIDSRKYSGSAHLSDEDIEIRNRLFWSCYFWDKVVSLYFGRAPTMQHSRV SPPRMILDDTAEIEIWTPHGVSFTDGAHYPPTQAHSTSCFMKMCGLAEILNQILIHIY DPIRRSTDSEFFDCVQEQAKNLADWWDELPDFLKLAAIDLPPYSPPSHIVILNCLYHT INILLHRPILCSRELLKAHPEAQDSNHLVQCMASATSILSLFDLYRRTFGDSHVVLSL AYSIYTAASIFLLEIQALKYAAPGTLDKLKFCIFALERVKAANPVITTALSLISLELQ RLQINIHGPMPAPIPETEQEHPQHHQSPPQRHPHPQHPHQSQSPSVGSSRSRHVSPGQ HHHHQPQASIATQSQTTGPENPSMVGTYSTFQHPGAGFNIPHSGDMPQLPPTHLLGGI PNAVMTMDDPGSYEIAPEVYEAFSYAQPITTNMTPMTPVFEQGWGGPSQ PEX2_040020 MVQEHKSFAPRGRRQQSPDSPDSADSADEYPPTFGRNDRRGWQQ PMPPAPGYAPSQSSGPSYTPYPPPPGHGPYVHHNPPPITSELMRIPHPGQPNPYGAPP PYGYPPQFQPGSHFLSDQSQLHPRHVPRQPPQMHNPMHNPMQPPMQPPMQPPMPMPHA MGPHGPHSPYPGYPHELMAYGQTGFYRDQPSYFGGPIPGMMPPHYWPPYPPVPSPPAQ PKPKTPPPPTPAPEPAPAPAPAPAPAPEPAPPPPPPPPPPPPVDTAKDEQIARLEKLI LDDRLDREAKELAKKQAIERAAAEKAAQDAQTAHDRKITQEAAALARADAEKKAAEDA AKAKEEAEKAAAKAKEEADKAAAKAKEEADKATAMAAAEAAAAATAAANEAAAKAAAA AAEAAAKAAEPPPPPPPPEKKQPIKFKDAVGRKFSFPFELCATWQGMEELIRQAFLHI EVIGPHVAEGHYDLIGPNGDIILPQVWETVVEPDWAITMHMWPIPEKPKEDPPPPPPP APEPAPVKPADPPAEPKKKADGPKKAKARGPDVPGSFAMWMLGGTNRRGGKGGPKVEK KPDAPPPAPAP PEX2_040030 MAGPVADIREISGSDLISALHLAQQAPAILGQESGSGVTSSNSS ETADYYARLEQLLLACLRTGDDESAHTCLNRLSLRFGPSNERIMGLRGLYEEATAKDQ SALEKCLQEYDNTLSQSPVNVPILKRRVALLRSLNRPSDAISGLIQLLDAIPTDAEAW CELADLYQSQGLGSQAIFSLEEALLIAPNSWNIHSRLGELLYICASEGDASRLLGRSV QHFSRSIELCDDYLRGFYGLTLASTRMLDNDYAGVSGQLSKKTLERLKAFALLKLGEI VESRSIDDQHWASSRSELIAAKELLNRQ PEX2_040040 MDKKATALLKLIYLEMFGYDMSWASFHVLEVMSSAKYLQKRVGY LGAVQSFRPDTEVLMLATNLLKKDIVTSSIPNMSLPLITLPHIITPSLAMSLLPDLLS RLSHSSPVVRKKTIVCLYRLALVYPEALKLAWPKIKDHLMDDQEDGSVTTAAINVVCE LGWRRPHDFLPLAPRFFELLVDSGNNWMAIKIIKLFATLTPLEPRLTRKLLRPLTNII QTTSAMSLLYECINGIIQGGILDGEENLQERDEVATLCVGKLRGMIVMDSDPNLKYVA LLALNRIVATHPTLVSMQQDVIMDCLEDADVSIRLQALDLAVGIVTSDSLQSVVNRLL DQLQQASVPAAELVDTPESPKAPTLWPNDYQTEVVHRILDLCSQKNYSEVVDFEWYVA VLVQLVGLLPPSESEDDWGHPKEQEAIPNLRMNAALRIGTEIRNVAVRVKGVRMEATR AAESLMFVDNRSTFFPSGSTIGDGVLGPIAWVVGEYAEYLLSPSRTLLSLIDISNASL PSSTLPLFLQAIPKVFVQVSQTSKPGDLWKSEMSLLLARVVEFLEALAPHPDLDVQER AIEFLEVLRLAAEQLTSDTEEVPFLLASVIPNLFTGLELNPVATSAQKKVVLPDSLRL DEPFSHDLPGLFRNLDSPSSPESKSWETSDFYHLPELSSPNKQTRDLAPIDLQPHASY QNFTGAPVESSITVQRRMLERRERFKDDPFYIAPSGESSGTSTPFHEALNTSNGEVLD IDSIPIVDLKLGDEQLSHVNFDRQRSRRPPVSKLVVAGDETFETQNSLTDTSKPKGAG DDHPTYKRSLLQVDSSSLGSLSLAKGPSPQVPADEGDTEMARAMQQVEEVRLRMQRES ERIQLEGTPAEGTLVKKKKRTKKHVSSDRDAGTVVEDPTEPATGKKKRKKKAQESEKI SGEN PEX2_040050 MAQTPQQRRANDRFAKTEAAKRGKAPTTIKPKKNTKSPLSASWV VLLAFVVCGGLLLELLRIVPDLWSTVVSWFTRIIG PEX2_040060 MSNLRVLCRDQPERTIALVSSEHALVFHYTSTDTSTKDSPRCQV EFADLGAVDLKGYRPLGYGYGTLGLVTLNEDVFVCVVTSSSQAATVRPGETVSRIDNV GFYCLNRSEYEYGLDHETRSQFAAEEGLSTDGKDVVTDHPFLALKKLLGDGSFYYSLD FNLTDRLQNRANKPVAFDIDTLDEDMLWNSYMISPLLLFRSHLPPSDKAKLDASQMLT CVIRGFASTLKVPATVSILPHVRTNFPSMLTIISRQSSRRAGTRFNSRGIDDDGNVAN FVETETILWVSPGIVFSYAQVRGSVPIFWEQAPGLIPGQQKIEVTRSSDATQHAFNKH FESLELEYGAVHVVNLLSELKPGEAELSSKFRQHISKSSTRQKEGDGTSPRRSLLRIT EYDFLAETRGPSGYEASSQIKHELINSLDEFSYFLSEDSRRPNKTPYDKDDAVSSSSV ILQQEGVFRTNCLDCLDRTNLVQTIISLMALESFLSQQGGRLSSDMQVRHSTIWADNG DALSKIYAGTGALKSSFTRHGKMSLAGALADARKSATRLYVNNFTDKARQRTIDLLLG RLTNQLPVYLYDPINDLVMEELNRRTPEYSSRKRVRFWVGTFNVNGRDEGPGTDLTPW LFPESDESDEDPAVFVVGFQEIVALSPQQIMSTDPSTRKVWERAVHDCLNSHSKTKGT GKYVHLRSGQLVGAAVLLYVKEDSLKYIKNVEGSTGLSGIAGNKGGCAVRFDFSNTSV CFVTAHLAAGFANYDERNRDYEIIDRGLRFQKNRSIADHDAVIWLGDFNYRIGLGNPS VRELILQRDYQRLYDNDQMVAGRVFRFYSEGPIEFPPTYKYDVGRDSYDTSEKARIPA WCDRILWRGSNLRQTNYQTADLKVSDHRPVWATFDCVIDIVDHALKESLRRSIYEEKQ GHGHTSLADSVSLLDLDDDEIIPHISIAPGLPPASSDRSRWWLDNGAPVKAMVQPPKQ GYIPNPQRKSNPFSADVDWVPSSSPITNRNTDPVQDTRKKPMLPPRRDTFDSPTRSLS PQAVQAGKIRPAVPRKPLSLSSQPKIETASPSQPTLWQDSPGSDVTADAGSTDLLSDS TSEQIEWKPLLQ PEX2_040070 MGLAGPRKSTKIGNDPNNTKWTRSTTGFGHRIMSSQGWTPGSLL GAKDAAHANLLTAASASHIKVTLKDDNLGLGARIGRESEPTGLDAFKGLLGRLNGKSE VELKKDEQKRDDVRLARYAALKFPEVRFVSGGLLAQEKEAEIPSPTPKDATIKKSKSN KKEHTKTTEDDETSSSESDAPARKSKSKSKSKSKSKSKKSRSRDETDGNESSSESKKK KKSKKRKADSEESDSSDKTSKPEVKVAATISRERRPMGRNVTRSRHIAQKKRAIMDDK SLNEIFMIKA PEX2_040080 MNGETRLAGRTSAPTSQSSRGRRRIHWAPLNIGLERRLQTFVVL CHTLTIAIFLTGFFFTCAIPLSWPLLVPYLVYISLFSTAATSGLLKGRSPFLRSLPIW KVYASYFPARLHREESLPSTKKYIFGYHPHGIISHGAFAAFGTEALGFSKLFPGITNT LLTLDANFRIPFYREYALAMGLASVSRESCENILTKGGTDGEGMGRAITIVVGGARES LNARPNSLRLVLKRRKGFVKLAIRTGADLVPVLAFGENDLYDQVDSDQHPIIHKLQML VKQTLGFTIPLFHARGVFNYDVGLMPYRTPLNIVVGRPIPVMQQPNRDKINDQYIDEL HSRYVEELMRLWDQWKDVYAKDRDGELEIIS PEX2_040090 MASMSTLQQPSQLSRLSNPPAGSLPPLPNPKPRKSTGSDTPRAA SPYQTSKLRTPSSPKPSLKSPLSTSNSTSNLNTARSSSGGRTPGSPDKSLRRTISIAS FPQPPKASSRPSTASSLSGIQGTASSVRPQRSSRLSTGTMSSYRSSKTPSLLNGSGEG KFISSGDARDPEASPTHSRSSSAQGSCSTSATTFEDADDAMGKSSSKPKEIKGNVLVS VRVRPDNNSGGETPRNHGEWSIDSRQSLISYRGKEGGDYFYDNVFNPQENNAKVYDSA AKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQGTATSPGVIPLAITDIFSFIRETPHRE FLLRVSYLEIYNEKINDLLSASAANAPAGAQQEEIKLREDSKRGVYATPLKEEIVQSP TQLLRVIARGDHARRTSSTQFNARSSRSHAVVQIVVESRERVPSGSSQDKRSGIAPGG VRVSTLSLIDLAGSERAADDKERRTEGAHINKSLLTLGTIISRLSENKDKQGNPTDGD GRHLPYRDSKLTRLLQPALSGGSLVSILCTIQFTSAVNSHTGETLNTMKFAARAKNNI VSHAKKAEEAYGGGGGDSGSRVLLERYRMEIQALRSQLDTQAKSQAEKELKWDEQQYE KEAQARHEEQVLEMQLARTALKERIEHLNRLILSSKSTGVNNHNSLSAFGRLSRMSAT DSGTRSLRSSASQSTLGAGHSSIRPISFASVNSNDPSSAMLYPGGSFGNEEEYDLGEF GDGKASLQRQVTALQADLGDKNRYISTLERRLLQARRSSHSRMSGGIKPANPTIAEHP DVSALVREKDMEINELRLQLDDKDRMLAALRSATRHRDLAAVTNDSQSPELKSKSGST TGDADSLAGTNGFIAQSESPGKRPLSGESEKGDAGKNMDEVSRMLEEMIQGRAESGHN AKNRSIGSDSRRVSSSAEVPSLNPTAPSWDPNLSSPFRG PEX2_040100 MALSPFGSRSPSMTVHSPNTAIRLRATAAQKSLTGPAAAAVSKP MQNGDRELTEQLNIDVRERYVKDKKVGEGTYAVVYVGHLRHDPSSLVAIKKIKVNAEY KDGLAMDAVREVKYLQELKHPNVIALHDVFSSKDQNLSLVLEFLPGGDLEMLIKDSDI HYGVADIKAWMGMLARGVWWCHENFVLHRDIKPNNLLIAADGEVKLADFGLARSFADP LFNMTHQVITRWYRPPELLYGARQYSGAVDVWSMGMVFAELLIRVPFVAGNTDLDQTS KICEAFGTPTEENWPGVSKLPYYIATDKAHLVPLQGRDFFMRQFPTAGPVGADLLMSM CALDPRKRSTAVQCLRHNWWTIEPRPTKNEDLPRKSGGTKKMGDDLTRRGGELDEGMF KSAARQLDFGMK PEX2_040110 MSVMAMRNSPLGSLTARLLKPVSISNQYIRSLHKNAPPLVPSPT PFVPDVPTFLTLIGREMSKQASKIPSWEDLFTLNSNQLRQAGIEPARQRRYLIRKREK FRNGLYGPGGDLETVVDGVAQLRVVEVPINARGLTHGGTQVALQTSSATLSPGMVKAI VNLAPDATTYQYGKKQLIKKFAHMKIHRGRQPMGPFLQPLKGSNGTAATISVQEGMWE DRRGQKVDGGERRRREVQNKKRLDERKKA PEX2_040120 MGLSATFLATFCEHCSTQSTYTLASVGALSFIALSVVINVLRQL FFKKAHEPPMVFHWFPFVGSTISYGMDPYTFFNQSRAKYGDIFTFVLLGKKTTVYLGT KGNEFILNGKLRDVNAEEVYSPLTTPVFGRHVVYDCPNAKLMEQKKFVKFGLTSEALR SYVPLITNEVEEFVKNSPALKETKGVFNVSKVISEITIYTASRSLQGQEVRDRFDSTF AEMYHDLDKGFSPINFMLPWAPLPHNRKRDAAQKKMTETYMDIIKARRTSGDKKNSED MVWNLMSCTYKNGTPIPDEEIAHMMIALLMAGQHSSSSTAAWIVLRLATCPEIVEELY QEQLQILGSDLPPLTHEGLQKLDLHSKVIKETLRIHAPIHSIMRAVKNPMPVEGTSYV IPTTHNVLSSPGVTARSPEFFPDPLKWNPHRWDEPGTETTKDEDEEQIDYGYGLVTKG TNSPYLPFGAGRHRCIGEQFAYVQLVTILAALVRHLKFSKPSADTPFPETDYSSLFSK PLGTSFVQYEKRGVKA PEX2_040130 MPPREKSSLKRGRATVDEDSQKKPRRSQRISSQVHLQDTPVDLD YLPTPVTGPQSAATETRNELTATPPESPHNSHQQISSQPELSHATSSPPALEDTQALS QFVYPPRAFADDVKDEDAEGVWGYLLPLDENGNGPLVLRKRDDCDNDDDTAKAKGKKA QKSKSAKSPGGYLVGRHPECDRVLEIPTISNRHFLIFAENKKGDMVAVLEDLSSNGTF VNDAIVGRNKHRELEDGDEVSILNEARFVFRYPRTRETNGFRQQYRILNQLGKGHFAT VYLCAERSTGDKYAVKVFERRLGDSQKSQNDNALQQEIALLMGVHHPNLLCLKEPFDE SDGAYLVLELAPEGELFNWIVNNQKMTEDETRGVFRQLFDGLKYLHERGIVHRDIKPE NILVADRNLHVKLGDFGLAKIIGEESFTTTLCGTPSYVAPEILQDSRHRKYTKAVDVW SLGVVLYICLCGFPPFSDELNTPENPLTLAQQIKTGRFDYPSPYWDSVGDPALDLIDR MLTVDVNKRITVDECLEHPWMTGNYPSATDSTDGLTGALGQLDFSKRKFQRERTLLSS INDAHFSEHAEGSEKPVKVFRNEAGKRIHNRPAKASQREVSPSGNRAPKDFINIGERG DPTLYDN PEX2_040140 MDGLSGGIRGFAFQKLAALAVEAPANGSELARLAEQSRPTSEPD GPLNGVLRQQAPTSQVPMGIRELDVLLALCKAASSVNDPEHASQLALQLSRYLPESHS QLFRSSPFLHGVKPSPWETLTNSLVLALLSLGTKYPDLRQTALDAVHGYLNNCAEAIN AVTPFQYSRSEVGRQGVIHESVTVLSIAVSLVGFMEASAEYTSIWHASEKLQIVDHLR AMLSEPFLIAVETASSTLRNANVAEHAFKDWRKYTRRYAAHGRPLSAMLVQEGYMRFV KSCAASLIGFQHLTDEQLLDDYMTGVGIAKSYDEADIALVERVTDIISEEIHLLEDGS DYLQVGSPWQQHLAFSVKGHALVGFLNCVILGEDATSNEVLLSWLEDTLVDSQQMSNV ELSVTALKCTAILARMSPNGASVARRCLLKFLAQGSVSARSTVAVASRCLAQILTILS EDAVITTLYSLGNALSPSTNVDQSSQDQLIGDHTGPGMNLEAYPKNASQTSLSANGED ETVTYRNVIHAIVTVAVTCHDDKISALAQSMLLQKIGKINVAVDAFIIQETAVLALSG GQAEFQLLLKFYARIYLDGVNKGLNTISDAVQCAMAYLSITLDPKSPLHRIYLVHLLE SIINKGDMPDFEYERHKDIIFAADDITPLLKPLALLVSSNGSFTGASRSALEYDEAIL TLFRDAWFNIAIHGISLSSSIAQRHYKELRLLAHHSPPLVAENHMDSLESDVELNTVL RRGMGPQRGIEQKKALISELPGRESDIKKLDYSRAVFLNAVVLVESLRASSGDSTKTL SYFLDPALATPEMVVCMNAVADKAVTCYLSLTLSGEHDDFSTPYLSKQLAGFLVACCH RIERVQSIATQCADRIIRECPSALCEKHSLFALLEILTVMWSSCLQGELDEFEWKPSL VSPMGIVKVELPDNYALRRATLNRFHERARTWVTTVLNIAPLDVKGLLQTYLSEYDDD GGYGHIAMGRSFALEMGSLIPQSDPRLGSINGYGSSNFNVASDFMALYTTRQKYRRPE IPSLGGLKGDNCSAYVEQPRVNILPESADSLEDTLSRLYGRSIAGEEIPLVEVRDVLR QAAGLICSTSKPRLSVVHYLVALPFQIFTKETVKLGVSLWLGVIHENPNTEPRILCEV IEAWERSIKRCKGLFDPTFEYLDPLHTKIELLPTDKDLMLRMQQKAQDTLSPHLRVLH FFESHFNAIRLGNLQDQQLFCRLIGSTVDGLSKTEGHPLAREIHFRIVLLGLRVLRHL SPRNGSASWKLKDQILSAALSWFKHPPRWSFGGNRLQIKAEDKILSEVTADLRGVAGI GANAQGSYKSLQAKQDLLQIFVESERSRLRVWLFPLDPERKHHIPSIGGKNPDDGPVS LLRLAWAENAGLAIQMAARFPSPKMQADVRSLILNFPEKVIEEPSALEIMFDNCLPAD VGSQLKYMLYWAPVTPTEAITYFMPAYGNHPYILQYAMRALESYSMDVRFYFVPQLIQ ALRFDALGYVDRYILETAKLSQLFAHQVIWNMKANSFKDEDSQIPDPIKDTLDRFLDN LISSFSDEERAFYEREFSFFNEVTGVSGKLRPYIKRSKPEKKEKIEEELRKIKVEVGV YLPSNPDGVVVGIDRKSGKPLQSHAKAPYMATFRIQKTRPRLIEKTETGAGDHHHHQR QLTNHSEPEQETYEVWQSAIFKVGDDCRQDMLALQMIAAFRSIFTSVGLDVWVYPYRV TSTAPGCGVIDVLPNSISRDMLGREAVNGLYDYFVSKYGGEDSIRFQEARTNFVKSMA AYSVISYLLQFKDRHNGNIMIDDAGHIIHIDFGFCFDIAPGGVRFERAPFKLTSEMVA VMSGTHDPAHHQGNSGINLPGSSHNPTNTQPYRWFESLVVKAFLASRPYSTKLAHIVS LMLDSGLPCFKPETLKNFRDRFVLDKSERDAAEYMRELVRKSYMSVSTKGYDQFQLMT NGIPY PEX2_040150 MKIPFLNKLSGGGTGTKSPPLTDLSGESAIADREKRGSNMDESR VPWVTWRSVLLGAFVSIGGVIFGYDTGQISGFLEMRNFKQRFAQLKPDGTYHFNNVRS GLIVSMLSIGTLIGALLAGPLTDRIGRKWSIFFWCIILHVGLIIQISSPAGKWYQFMM GRFVTGFGVGACSLLVPMYQGEIAPRHIRGAMVCSYQLFVTLGIFIAYCINFGTESMD NTASWRIPLGITFLWGLVLGIGILLFPESPRFDYRNGRVDRARRTMSKLYGIPENHRV IVHEIAEIQEQLDSEQGARGGIRGWLEMFQAPRMAYRIILGVVLQALQQLTGANYFFY YGTVIFNGAGIDNTYVTQMILGGVNFGTTFGGLYVIEHFGRRKSLIAGGIWMFICFMV FASVGHFSLDVHTPRNTPGAGKAMVVFACLFITGFAMTWGPMVWAIVAELYPSRYRAR AMAMATASNWLWNFLIGFFTPFITGDIDFAYGYVFAGCLFFAVIVVYFFVIEGKDKTL EEMDMMYVMRVPPWKSSKWKPPEPENRLTTDQLMDRKVAKKYNREEEGAQSRKQTEGV PGHSHAETAEAADDAGTGPSSHFS PEX2_040160 MANDPTSPISVPHAENRRRRGSIADIFSKPSNPSNTQAANQQSN QRRLSITTLGLSGSPTQASAFGGNRNFRRGSLSSSMGSNIPAEDALEDDQVTGTSPNS QFGRRVSFGAQALRDARAGSIGNGRNYPPPSAVGPRRSPPAGSAIVATRSSASTSTSP QDERSNASRRPLGEGFNWSEALRSRAERAPSLGGPVSPQAAQRSTGHHQRAASIASME QPSREMPRQPKQNKPDFFQEKILRGDFMD PEX2_040170 MGNVPPDKKADGTAPISDQGASTSARPPNVRHHSSFAEARYGTA PSPLNMSNMGYFLPGHHSQGYDQPIHQYPAAQGQGMMYPMPMAPYGHNSGGMAYGVPY PAYPPYAIPQHPGPVQHGTPYQHGTQMHNLSPGQVSPYASGYYPHSPYGAPYGHGVPP VGQIPQTTSPVRTTTSSPSKPGSLRKEADKRMADLEYDVSKTIVDGSNPMKLVQPQTQ PLLSDNSSPSQPAPTASSTPRGPPRKPKQSGHALWVGNLPPGASVVDLKDHFSQGATN DIESVFLIAKSNCAFVNYKSAAACVAALARFNDSRFQGVRVVCRLRKGFTAPGSGSVV VAVPVVNQAPRPRLEDIATSTSTAMATATDPDDDDSITPELSAAAPATGNYPPPARLV DRYFIVKSLTVEDLELSKQSGIWATQSHNEAAMNQAFETTDHVYLIFSANKSGEYFGY ARMVSPISDDEELALEMPSRPDPPPGGPDELDVTLTAATSTAPQGRIIDDSVRGTIFW EVESSEDENDNISEKSVEPEDPEEGQTFGKPFRIRWISTERVPFQRTRGLRNPWNANR EIKIARDGTEIEPTIGRKLIQLFHLP PEX2_040180 MGNVASRLDDAGNLFFKDQNRFSIASVTISNSRRRLLTLSPNAF PAARFAAKRDAGDDTPIEYIQDPDTPSAAPVPTFMLRLSNDEELIFNFTFIIRQTQTG NAPNSVNGVSTTMPEVADTSLMGLTFAHASNSKELDNLITREFHANPNLQNNSNVQLI GDYSTGGTPSVSFEWSWRWKPPKVTEDKGGGWRNSCSFLDYDQRTNRLNTLAHFSFWV HNSMRPLPSPQISSPKLELPVFPRSRISSTQSIVSHYSDAESTQTPFTIPPEPVEKSL PLPPPPSAPPPPPPAKVDLPHSRAGDEMSGVEDGPLFRATMKALESKTSNMRSKIKKV LKKAESAQLAQANCNEAMEAFLTSLNDASTSNANAIQPALDHYFEKIARQILNYEQLN TVQLQKLVIDPLVRLYNNDIKQAEAKKKDWDEESRDYYAYVSRYLGQRQDSLKEKKRA ESDSKYQVKRRNFELRRFDYSSFMQDLHGGRKEQEVLSHLTKYASCQAKNFLSAAKKV EGMTPQLDALIHEVDQADKEFQFQRTEREEKRRALENGSNPYLEPDVVAGPMSVPIIP PGTANGGPSTEVELGRADSTGSQIRGVISNTSSISSQANAGSVASPTGISAPALNSTV VSNAGQNRFKGIRDLEEQNSLGCDRASGQQRKEGLLWALSRPGSHIDPKGINKQAWHK FWIVLDQGKLSEYSNWKQKLDLHMDPIDLRMASVREARNAERRFCFEVITPQFKRIYQ ATSEDDMSNWIRSINNALQSAVEGQETSPPSASRNDAPTSRDIGSVLTGKSSSVSGHH SYSNSSSSNNTGVIRRTTVGARPGYVRHDSNTFEENPAKLLQVVRDADQGNNWCADCG SSSKVEWVSINLGIILCIECSGIHRSLGTHISKIRSLTLDVHSFSNDIVEILLQIGNR VSNMIWEATLDQKLKPSASSTREQRLRFITAKYVDRAYVEHLPSPRSRFATPDETLLA SIKQNDIQGVLYGLALRGNVNITDRSRNTHATFLALAAADPASPGAPPTTLAARSSAK AIPFPIAELLVQNGAEIPPQPPSIPLSPAAKLYLSQRTARTSAFSVPPPPLGGKSSAN DTLGSLPTIRSKDSSSSSNTPTLAESRDKDREKLSKRGSAGARFAGKVASLGIDR PEX2_040190 MDDSQPQSLHVHPIDKYGPKFVEKADKIHRRVTMNDQSKPAGGF DATPIPHAPPGYTLKINFHRAKDLPVADFGSFSSDPYVSAHMVVDLPTRHKQDPDLRF RTPTIRKDMNPEWECEWIVGNVPASGFQLKCHLMDEDPADHDDKLGIAFIDVPTLSED WAGFKEKSFKVKKRFGSKRVYVFTNVSAFATGHRKESWLVVSIECLGKTPGAEGGQVY TIGPNHWFKHFSPLIGRLAGIKDQVQSKDGSGKSISRYNFQAIQMQLTGPIPAELYHR YVEFKPFVAGMFQAQSLRGRILHKALHHQHQRIYNFDRTTLHGSFQTPCRELAQKFLE FAHYGQGGRIFTYVLTLDGQLRFTETGKEFGIDMLSKHTMHSDVSIYIAYSGEFFLRR RKHRHRRNSPEGRAERAENADNAERAESSTVPTDEFPVEEDEDHNEGEDLDQTEEGIP ISTNPADYELFIDNDSGTYRPNAEKLPLLQEFISSNFPGLHVTTLDCQADAERMGNLK NEQREFKKNAGNVITFLQQSSASSLSISSSEEDELNERSGQSKQRGDLSRRVHEMRDL RGQVMRWVEADEKPDGNKHKHRYFSTRERPVSTGAITTDPKTGTSQLAT PEX2_040200 MRRNLRPRATIPDSDDDSPFSETSLRNSSPLRRSNRSGPLRAGG SSLSRSRPRVIQDSEADEDDDVGLSDSVAPDNSALGRIAVVVPMKNESPSDSNSRTSL GNQSTGYSTPATSVGAAATEPDAKIPTKTSARINAADRVKRLQSSTLFLGTSQRGRKR SAAVLAEDDDDASDVPLAHAYKKRSVKRPTGALSVQTDFEASDTALANALQMEEYKYP RATAEQRLLVDKFIDEHLEYDSDPDSRPVFFSPLSPEPPGLLHSGAAYSDTSEDPLEG TSPSLLEEGVNDDSEADLPPTWEEQRKARRVERDRVNLLKRHPTIGTMWDVLKAQPII QPKEAKQPVSITRKLKPFQLEGLNWMIAQEKTQYKGGLLGDEMGMGKTIQAVSLIMSD FPQPDPTLVLVPPVALMQWVSEIKEYTDGKLKVLVYHNSDAKVKKLTPAEIRKYDVIM ISYASLESIYRKQEKGFSRGETMVKADSVIHAVHYHRLILDEAHSIKSRTTGVARACF ALEANYKWCLSGTPVQNRIGEFFSLLRFLQVKPFACYFCKQCDCEQLQWTSTKEGRCT ECSHTGFMHISIFNKEILNPIIEGKTQQQRKDGLDKLRLITDHIMLRRMKQQHTTSME LPSKRITLHNEFFGEIEQDFSRSIMTNSTRKFDTYVSEGVMLNNYANIFGLIMQMRQV ANHPDLILKKKAQVGFNVAVCCVCDEPAEDAIRSQCHHEFCRQCAKDYIQSFQDDSKH VDCPRCHIALSIDLEQPTLAEYEESVKKNSIINRISMESWTSSTKIEMLLYELFKERS KSHTPKSIIFSQFTSMLQLVEWRLRHAGFNTVMLDGSMTPAQRQKSIEHFMTKPEVEV FLVSLKAGGVALNLTEASRVFIIDPWWNPAAEWQSADRSHRIGQQRPCVVTRLCIEDS VESRIIQLQEKKANLIRGTLNKDQAAALEKLTPEDMQFLFRGT PEX2_040210 MFPCSSPDRFPETNCNARRSALTDYLASNNISAQQIRDDWDRRQ AETQRQPDQAEQESSNAAEESRSPSVLESPEDPVKKRKRQQAIARIKNSKEFAKRKAR FSGDYDDDEDDDSLALQIHEEKNRPKPGQLANCEICDKRFTVTPYSKAGPNGGLLCVD CSKKQKADEKKPPAKKRAPGIGRRQNQANLLDGLTPHGTQSLLETCIKKVADYIHDVE DFGDLPPSLLLRLGQILSRRRAVTPRTLDLFLRPHYTSIDLFDCAKLGTDDYHKILAS MPRLTRVNLRFTTPMKDQIFHYMMERDMKIEDLHLDGPNLVTDACWRQLFMKLGHRFL SVKLWNLDSAFDNETARVMCLHCPNLQRLKLKFLHKIDNDILEGISTLKSLQHLSLRF LEETETKTEPLLKILSSVGPQLETLSLEEFQSADDRLLQHIHEHCRRLTKLRLTLNST LTDKGLAALFTGWSNPALTYVDLNSLRDVDMTNPAGPEEPIGLASDSFVALMEHSGSK IQHLNIASCRHVSYKAFEQVFAKGKTYPNLKYLDISFSTVVDDYLAQCIFRCCPALRR LVVFACFKIRDVHIPRELALIGTVGATIKIDGITQTETI PEX2_040220 MAVDFTPIPGPSGIPFVGNIYDVDQVTPIKSLEHLAEIYGPIYQ LSTFGKRRIIISSRDLVDEVCNEDRFTKTISEGLNQVRNGVQDGLFTARFGEHKWGLA HRILVPAFGPLTIRDMFDDMYDIATQLAMKWARQGAEVSIEASDDFTRLTLDTLALCT MGARFNSYYKENMHPFVYAMTRFLLGSGKRAARPAILNSLLASENAQYDSDIALMQQV AKDLVQGRRDTPSDQKDILNAMLQGRDPKTGEGLNDSTIVNNMITFLIAGHETTSGAL SFLMYFLLKTPHAYRKLQDEIDVVVGRNQKVTLDHLSKLPYLNACLRESLRLRPTAPA FSVGPHPEKNHEDPISIGNGRYKVDKGDSIVLLLSKAMRDPAVWGPDTEDFKPERMLD EEFAKLPKNSWKPFGNGLRGCIGRPFAWQEMLLVMAVLMQNFNFSMANPGYDIQIKQT LTIKPKDFFIHATLREGLTATKLGRLLNNETGSSESGSSNEDIDKQKSQPTDKKPMHV YYGSNTGTCEALAKRLVSDAARYGYAAEAKELDTAIQKIPQNEPVVIITASYEGKPPD NAAHFYEWLSNLKETKLQGVSFAVFGCGHRDWQATFQKVPTQIDQMLVENGATQLCER GFADAATSDIFSDFDSWTESSLWQRIAETFGSTQIEPIPTSALHVKVSTKMRATILGH KMEEGIVLESERLTHPDAPAKRHVKFRLPEDMTYQPGDYLAVLPMNPPSVVQKALRRF NLPSDAILEIQKPDGLTSFPPIPLDVPVSAVEILSAFVELSQPACNRDIKLFVEAAVS DEQTQQELRALAASEELQVRRSSPLDLLIKYPAIDISIGDYITMLPPMRVRQYSISSS PLLDPSECTISFSVIDTPLAIDKDLPSEGRYLGVASNYLSNLNAGDKAHIAVRTSQNG FKPPKDTDVPMIMVCAGSGLAPFRGSIMDRAERIKARRTSTGQVDGFSPAKAILYVGC RTKGHDDIYANELAEWHELGAVDVRWAYSRPEAGESQRQYVQDLILEDHDDLVDMFEK GALLYVCGNVAVGDAVRDSMKKVYLKERRIRIEKGTWKGNPIEGDDESGLAEEWLNGL RAKERFATDVFT PEX2_040230 MRLLLPLLICITAIFLAYNPSIKDSLEDSFLTFIQKAPSLSQYL QAKDLVDQTSHPNLETPTAIVFPITDMSVPRAIRQAFLAIEQAEGAGARVRRSIGTAK LRNFSPFLMLDHFTIGKGAGFPDHPHRGQETITYLLSGGVDHEDFAGNKGTIGPGDLQ FMTAGRGIMHAEMPHENEDGSPNVGMQLWVDLPQKLKFCEPRYRDLRAEEIPLATVDD GRVKIKVISGQSHGVDSVRDLAYTPVWILDITIKPGGRISQPLPQGWNSFAYTLAGES VFGSNDSTRIVKEFTNVEFEQAGDFVEISVPDNAEKDSRIFLVAGQPLNQKVVQYGPF VLNTQEEVYQAMLDYQTASNGFERTRNWQSEIGKRMA PEX2_040240 MGHSASLSPWLVLACAVSSVWATKKPFNINDDILAYPQYQVTFP EEYVLESHAEALLQSQASPSANDQNNPQVYMGKGRTDPTDKPEDMNGSSFSYEEMILE DRRLLCQIPRVTNDDHNTTRDKEDNKAEEQKELARATDRGLELLREMEGKCMYYFSGW WSYSFCYQKQIKQFHALPAGRGVPNYPPIEDTQTHSFVLGRFIGDKSEEEKPSAKTDV AELHTKGGSRYLVQHLRGGTKCDLTGRERKVEVQFHCHPQSTDHIGWIKELTTCSYLM VIYTPRLCDDVAFLPPRQDEVHTIECREILMPDEVTEWEAIKEWYMVNQLADAATDAD GDVTQSELPIIGGIEVGGRKLVGMEGKVIEKGRVASAGEERVEVVARRENGEIRKTSK QVLKKYDIDADRLEEMKNLLEEEADGKDWTLQVVESNGVIKFQGLFDEDEEDTLNSKS EKPHEKVSESSVQKPKQETEVEKKKQPKPENSGSEETFKDEL PEX2_040250 MGFIGVYSAVYDYQPQGPGELEIHEGDLLYILEKNADDDWWRAK KKAGREDEDEPEGLVPNNYVEETRPAHKATALFDYTRQTDEEVSFSEDAELLVYDTSD PDWTLVGFNSEYGFAPSNYIEIIDDASAAADTPPPPSVRAEPAAPTLPQRPTQTTPEE TEASPAGSPVDVSHNPAAAAIASIIHKQHAPAAETTRDEPPPPSLPVRPSYDQVEERE ESPPPSLPRRPPSEQVSPPMNRYSPEPTPPPRPQQTAPDMGRDETHVVKASPPYNRAG DMTPRSPSGYHIYNINEMVEVMGKRKKMPTTLGINMATGIIFISPEGDDRQKEWSAEK LTNYSIEGKHVFVDLIRPSKSIDFHAGAKDTAHEIAAALGEIAGAYRAEGLREVLEAG EGSGPKKGQILYDFMAQGDDEVTVAVGDEVIVLDDTKSEEWWMVRRLKNNKEGVVPSS YVEVTGLIPKAPLMPNEPGLSSVEKNRMEEIRLSKLAMGKSRTDSMDSSDRHSKRDSK IKSKPDPTKVRKWTDRTKDFTVEAQFIGLQDGKIQLHKVNGIKIAVPVSKMSVEDLEH VEKVAGVSLDEDKPLSSIRPRVAVNKDSKSGASKAGASVQRSDYDWFDFFLKAGVGPH RCERYAQSFNKDAIDESVLPDITSEMLQNLGLNLGDTLRVMKVLDAKYGRTPDKSKSR NVSFGGEEVIGNGEDGGQGGLFSGPGGVLRNNTRRGRPTPNVQAGAVDPKAFGQGDEP KSPDSSASPPPSAAAEKPAPAGFEDDAWEVKQPKQPARPSAATTPTPPPVAAAQVPQP TGAMADLTLLQTPLQPTPAQPTAQPAPSMPALQPQATAVQSPAVQQPQQTGATPSLFA QVAQIGQHQQSFSPQQTGFQAQARQRPQAPQTVGQNSLLPPPPPPQRPLSAPQNFSQQ QNSFGPPPLQAQLTGLPQAGPPVAPPGQSLGELNQLRYQAAMQPQVTGFMGQPQYQNS MMPQPTAFTPQSQFGIQQQQQPFGNQMAPQQTGFQGLGPQPTGFGGYGQFQQPMQTGI NSVLPPALQPQPTGANGYGNQSYTSPPPVPPIPQQPTATPLSPQKTGPPPSIRFGVKP DGPKKLEPQPTGLRANLSQATPNNPFGF PEX2_040260 MVSTVHLAIFTIILGLTVILQTFTKKRANLPPGPKGKFLIGNLF DLPSSGTQEWMHWLKHKDLYGPISSVTVLGKTPIIVNDRDLAFQILEKNSIKHSSRPH LIFAGELAGWGKLVPNQDNTPLLRAYRRAITRVIGTRESAAKFCGLLELEARRFACRV LRTLEEFLDHNRTASGAFLLTVTYGYNIEPCSEDPLRVARDHVQTLTRFAESPLAFTK AQMDQGKDTECFVSTLLGQGEDEEIVKWAAVAIYGGAGDTTTAILEGFFLAMTLFPEV QRKAQAEIDELFGKPTLPSVTDREKLPYVNAIFKEAIRWYTVAPLGIPHRTDEDDIIN GYLIPENEIIVPNTWSFNNDPMIYPNPQGFQPERFLSEPSVLEPGDVSFGFGRRICPG RLIAETSIFLIIAHTLAVFDIRKPTENGKEIEPTVTLDISDIRFDISFYGPFFKDLPR RLGESAVLDAAAQALVSSHPFLHRLHVREVPRDVLMLFGKSVRALRECLDNPVEVRSP HTLCAIYLISICQAWLGKFEKQSASHGTAIAHLLRIADISRYKSGFERDLIVTLSVPV ILDGICNPRVQMAPSFWNDMMILIQQGSSPAPDSIPRSTTTLLSLSQFPGYLHHPHSH APEITAAYIKLQEDAQNIYLYLDQPTDPAGLSSPVLIRRSRHRATYTVVIALALLLNT ILRALDPENAVLAEESTFFCERIINEAELASRYRPIGAAYVVPCLVVALGTAESPQQL ARIEATLTDYQTDFGGFEWRELAMWLRAVFHSHRGFRELL PEX2_040270 MSRSTSQAAIALPPFRHFAATPNIYQGVTLLQDKQNGFGFARSN PRPAKPRTKGITEIRGPYYSVMGKRYLADILETYYSAMSQLLIKWHLTISRMGDHVDG LKFAGGAFSLLHEKPLRELVDLAHEHGVYISTGGWAEHLLTHPDPDTVFDRYLKKCKD LGLDVVELSSGFLSFPEDDWLRLIDKVHSYKLEPKPELGIQFGAGGDTPASGLESIGT SDPGKLVNLGRRFLDAGVKRLMIESEGITENVKSWRTDVVSKIMKELPPERVMFEAAD PKVFNWYVREFGIDVNLFVDHSQIVQLECLRTGIWGTADTWGKIRHKESECHFSDRPA RLLKPDAKDSTVLLSERMVASPQRETAMDRLLNSLEDQGMNMERQEKDEKEGTAPVPK VARLLRDGQGKFMYIGDSASLSFLQSLRRVVTSSIGRCEFTEDNSRHSMLEAFQSNPS TQPGALVAPPSNEEAQRLAGQFVLATSPLLDLFDLEEFHPRLANWVANPSGDEDTVSS IFYLVLAIGAQVSDIDQTVAEQYFGSGRQLAFSAFQETPSISTIQSYILVSMYMLGAC RRNGAFMNLGIALRAAYAVGIHRKDANALFCGRERRARERVWKSLRMMDLFLSASLGR PPATSDYDYDTREDALVSGESQHHLTAEQQLSAAVISLCRIFERILTDVYMKQVISIN VAETISNQHRAWVRILPTILRMQTERLEKKSMENSLAAAHVFGSYYWSIILLTRPFLI YRVAQYVKGKSDSSSDTRNGSSRISLFADACVYSALRGLDMVDDLSHYTSLPRRLPFL INSVFNSVIVLGAAFFADYDNLLPLEEGMNKAERFLGLFVPHDPHACRFFQIIKYLRA AVTEYVCRRNRQWMNRRSKQVDQLFGQVGGDEDRNSPTPGAPLFAGPSDAHSSASATA ISPTSADKMPIGTPISYIPSQPLLQPQLSTDNDVWEALCNGSDPTTALSYDAAISALT TSGIPVGCSPGGTIPSGPLPVSGPSPISDMMFPDNGLLYMAEDLPVFGIWGDEP PEX2_040280 MGAGAVIEPLVVIVLLFGGTWINRSVGSSSTRRYSRRNSNLRRA DSSDSLESGYSSQSTKDGLLGSRSHSPQAPENGWHKRQVGLLGLNFEVSSPNTIVFQD RLLSRLLRKFPFLVECWYWALVYWTYQLGRAFTAVTLKEDTVDVARRHALQLIVIEEH LGMFWETSIQRHFLHHPLVMSWINWIYSFIHIPGTIAFLVWLYYYTTVRNRIDEAQPG KPKGAVSGSPAGPLLYQARRRTLAVCNLLAFVVFTLWPCMPPRLLSDPTVDGEEADLA RSYGFVDTVHGVNGSGSVWTENRFSAMPSLHFGYSLMIGLTLITIPLPQHHRRTILRS RLTLSLRLNLPSWQRLVCVTLGFLYPFTILVAIVATANHFILDAVAGAVVCALGWHFN GVLLNLLPLEDYFLCLVRIHKPEPSLLDVPEEDLPTSETASEHARI PEX2_040290 MADDTPVSAPVEGDQPERATEQPTDSKKKSEDVTVSEDKPADTE AATDKKTDAEAEGDNPASSDEVPEAKADGETVEAAPADAEAAAPAEANGTPASAKKSS KNRRISTGATQKLSRKKSQSRITHLDAKPGQTYLARLRSYAPWPAIICDEGILPPSLL ETRPVTAKQKDGSYKGEYGDDGRRAHERTFPVMFFDTNEFAWVPNTNLTPLNPAECKN ISEKNKAKSLINAYKVASEGHNLQYFKKLLNDHQAALDQEEAEFEAQEAEKAAAKAAK EAKKGKRKSKGAETDVEMEDVDDSKKSKAPSKKRKKDVETDAEAEKPAKTPKSNTKLK LSTPKAPAEDTGKKTPASKTKKAPAKKGKAAPAVSDEGDSADAKESEKPIDPEELRKK KEKESTRSPISPDEPDQASLYLTVLFLRHKLQKGFISRDQPPKEDEMASMASYFDKLE KHSDLEVSIIRSTKINKVLKMIVKLNSIPRDEEFNFRHRAMNILSSWKNILDADTPGP ADKDEKPAVNGSKEEDGVETPKLETEEEKEPESKSTKDVDSPMPDADEKAPEPEKEEQ PEEKAVEEPTEEKSEEKSVEATA PEX2_040300 MIPPSVSCRACSTACSSLQAIVRDGVYSISTNPSTYTPSLFNPQ HVRFSSHAARLRPDSRLSLNLTRQSSRRAFRSTPTNNFENPSPSKLSYRVAVSSSGKG RRFHPIKNAYNFDPTADALGVSKDKNSVSRRRNRPDSGEDAFFVTRIGNRISDHQETN AEAVAFGVADGVGGWTESRVDPADFSHGLCNYMAHTAQTWHEPAERLRSKYLIQAGYD QVVADSTIRAGGSTASVGVALPDGRVELANLGDSGSVLLRRAAVHHYSVPQTHGFNTP YQLSVIPPRMRAQASVFGGAFLEDFPRDASVTNVQMQHGDVLIIATDGVFDNLNNQDI LKLVTSRMVMTGAWTATESGVGVSENLRALAAPGGLADALPTPSGSPLSKDSASTEPG PENAVTLQSVLAATIAGEAKIASLDYRRDGPFAKEAQRYHPGDYYRGGKVDDICAVVV IAIDDSVAAGEA PEX2_040310 MMHPSRQAYVEETEDTDMGGISYADLPMDQDYDMPTTAGGIPTE RASAILSQFERKRRAAAMVVPTDDTRVRARLRELGEPITLFGEGPSERRDRLRELLTD MAEQQGGVEIEMEEAEEEGEQQEEFFTEGGNDLLEARKEIARFSLPRAKARVARLKDE STITLRTHVKHRKAVKEKLQGFDLYGSQIAGDRPVSICRFAPDGQTIAAGNWSGGIKL LSVPNLEEKASFKAHTDRVGGLSWFPGATLPSSNVSPSTVNLISGGGEGNLNLWALDQ EKPLATLTGHSGRVCRTEFHPSGRYAASASFDSSWRLWDIETATELLLQEGHSREVYT VAFNNDGSLVASGGFDSIGRIWDLRTGRNVMILDGHIREIYGMDWGVDGHRVLSGSGD GWVKCWDLRQMKETGGIGAHKSVVSDLRWYKGVESASFLPSTDGGMDIDGAPPAADKA AEAPSPKKSGTFFVSSGFDKSVNIFSADDWSLVKSLSGHSGNVLSTDISDDAKWIASC GHDRTVKLWGIE PEX2_040320 MTQRRFASAETSTSAEADKITLAGAPDITNHYTIFPKTLPAGPP PGSAFAISTSDLRREFLQLQGLVHPDKYPNGAEKQLAEGLSARINEAYRTLLDPLQRA QYILREWHGIDVTAEDASTKHALDAETLMEVMEVQETIEEVGASADAEAQINALKKEN EERVVGCVGRLEEAFEKGDLEAARKECIRLRFWYSVGEGLREWEPGNTEIRLMHSS PEX2_040330 MESAPNFSSIILSPSFTFLVGPQHTKLTIQSGLAQHVSKPLHNL MNGPTRESKHRIAVLEEDDVETFAAFCEYAYTGDYSVPRPEREVQEEHRHGVVEGSPD TATWRGNYRTGSMSSTVPPPAPSPPPQFRHRGQGPYHQPAPEPEMPVPQPVSEPAPAE VKEPVTPTFAPEPEAPIDVAEPEPETETYHEAEAAPEPSAEDAPPADDEWAVPTEEPA TWEPEEPKANKKKGKKGKKGKKQAELVEEEPPAPNEPVSLTPPSTPPPEVAAEPAPEP EAEPIEEWATAEAAPEPEPEPTEYRAEPAEESASEPAAAPEEPAAAEEQPSAPEGPME DSWTQDRSEGTSITQAQRQRPMLDMSFANQQATSPCEPGLDLWDEFKSLQYDEPATSK ATPVETPSNELPYITFHAKVYVFATRYLIPALAQLCLRKLHRDLLLLSSTEFETADLD DSQILDGLAATKAQMILELVHYAYTKTARLEPISPTSATQLRENQLRRLVVHYAACNV KELARYHSAEDSVSATPSLRPVDAKAERIETSTSTSPKSLRALLDLTTELASDLVYRM M PEX2_040340 MSNPILLGAYPGHSQELHPPPSAPPSAHSDAPFVNSEKCEKHYP LSFRERLRHFTWAWYTLTMSAGGLALLIANQPNKFKGCREIGLAIYFFNLVLFSLVCS LMASRFIIHGGALNSLRHEREGLFFPTFWLSIATMITGLEKYFGDNPAPPFTTTLEVL FWLYCFCTFTLAVVQYSFVFTSHTYRLQTMMPSWILPAFPIMLSGTIASVISSRQPER AAIPIVTAGMTFQGLGFCISFMMYSHYIGRLMESGLPCREHRPAMFICVGPPAFTALA LVGMAQGLPETFRVMGSEDTAADGRMLEILALAAGAFLWALSFWFFCVAAIAVIRSPP TSFHLSWWAMVFPNTGFTIATITLGNAFDSSAIRGVGSAMTICIVCMFIFVFVNHGLA VYRQDIMYPGKDEDTSD PEX2_040350 MATSQPPLRFTSHHNLVYRLVLSTLTGRTVHISQIRSSSPTNPG LAPHEISFLRLLDAITNGSQMEISYTGTVLVYKPGLITGSTAGTGASGGMITYEIPSN CNRGLSYFLIPLCLLAPFAKAPMKVLFTGPGVITSSTQTGDMSVDSVRTAILPLYKQF GIFNNIELRILRRSNTGPTGRGGGGEVQLVFGHQVRLPKTLHLMNAGRIKRVRGVVYS VGVSGSNNARMIETARGILNPLSPDTYIFSDVASAPLVPAPDKTNPQAKKKIGLGFGL SLVAESSTGCLFSADVASPPQGGQAPEEVGKQCAFQLLETVAKGGCVAPAAATTMFTL MTMGSEDVGRLQVGRDVIADPNVVQLARDLSKFGAPGWGIRDAPDNGDGDVIVSIVGR GIGNVGRKVA PEX2_040360 MLPPALNIPKWLEENSHLLQPPVNNYCVYHPSTPATAGYTVMIV GGPNARTDFHINTTPEFFYQYRGSMLLKTVDNSTTPPSFQDIPIHEGSIFLLPANTPH SPVRFKDTVGVVMEQPRAKDAVDVMRWYCKSCKEIVWEKKFVCVDLGTQVKAVVEEFA ADEEKQKCKACGEIAALKYQEGEIVQPPTYPE PEX2_040370 MAHPDDVHVGDVVNVPGGMHGTIKYLGPVAGKAGRFAGVELSSE HAQRGKNNGDVDGRKYFVTSIPGSGIFVPMNNSKYVTRRSSNISTSTTPGRGGPVNFS KSVGAALATPRPRVRRPSLPRPESPRVPPPPKLSLAGLRTPSAASRTSGLSSAMRSPV KAPSRLSDRPSSRLSVDDDASSYGRPSDFRRPLSSETQDLRDQIKSLEKQLLDRDKQL DEQSGTLNEFQKTLEEMEGSEGQSMRTQLRERNERITQLTAEFDVHRADFRSTLDTLE IAASETERVYEQRLDEIMQQNKELQDRGEDVEVVAQQLKQLEELVSELEEGLEDARRG EAEARAEVEFLRGEVERTKLELKKERDHSVAALKDAHAAADGPRSSSEVDQKDDEIRG LKAIIHSLSRGNPSATGLGQNGSADHDHNPEHVTQLEIRLQEVEETTNRKDNRIEELE RQLQEMHLQSGGRRRSSTITLSPKQHRPSHSAGNVSNHSNNPNPNRLSDRTVVPTDWH DAPSEPRHHRGISNSSQSRLETMHESERSSDEDTMWCEICETGGHDILNCTSMFGSGQ NTAEQKNTKHDAADELTDEHPVEKTEPLQTKASSIHSDTPPALKTGRDVVMEGLKGIS GLGSSMGSSMAPIAGKSSGVIDESKWCALCERDGHESIDCPLDE PEX2_040380 MAQYFFDLLYTFTDCMCCFPSSPQLKINNRSFKLLRLLGEGGFS YVYLVQDKASSELFALKKIRCPFGQESVSQALKEVEAYNIFNSQPNIIHSIDHCVSTE SGSKFRSDGGDPGSKTVYILLPYYQRGNLQDAINANLVNHTKFPEKRLMVLILGVADA LRSMHQYRVKNGSASTRKAKGVRREGEEADEERTMQMKPKRRASQRIAEDEEDEENAP LMDDEVTRSQEGVEDGDLRPYAHRDVKPGNIMIDDNGQSPILMDLGSLAPSPIAITSR SLALAVQDTAAEHSTMPYRAPELFDVKTGSIIDTKVDIWSLGCTLYACLVGKSPFEAR SEETGGSLSMCVLGGDWRFPDEKSGASKGKSKSGESEDASKNDTPAISAPVKDIVRRC LTVEPSERPDIDELIELMKGVIDQMPEDDVAGPSH PEX2_040390 MGEVQYPKWLHDDEMAKLGHNSNEADLSQPINPNQTESSTMSNT FDDQTQGAEVTKMFPERVKQKTCTATTPTNPVYKSYRLTNSPTTVLIAGLKRGDLAAT TADALAHGGAKTIIYTGRSQSELQPVIDHINRKYKTVEMIFVTADPGSLGSFREAAHN IKKLGVSIDGFIGFPDVMAVPWELTEDGLESHFQKNYLCYFLLLNLLCDVMGPRSRVV LVTSSLRKEAPAPSWKDLEFENGENYHSLDGYSQSMLAIILFIKSIAKKYRATIAAFS ANPGNTKTNVQTYVAWDEIKSWLQRKKDAGEDIPVLLQQAPKSLAQGSATVLRGLLDP ELEVVPGTVTLVDVDHVLETRHLDRGDHDIVLIPTPSNDPDDPLNWSPRRKLMSTACV SVYTLFAGIACSVVYSVIKPLHEQTGLPISTLNEGSGYMFLLAGWGLLFWQPFAMQYG KRPTYILSLIGILGMTMWGPYASTKGQWLARNIILGFFTAPVEALPQISVTDVYFTHE RGTYMGLYAFFLAGSNYFAPVICGFIAQYQGWRWVFYYPSIFVGCAIIFLFFFCEETN YIRALPDEPSTVIPAESPKLSSEDEKEKYAAADMEAATGVSIRSGHTKKSYVKKLALW GPSQEQNTLFRRLWQCLYFLSWPVIFYAGFSYGSYLVYFNILNGTASIILGGEPYNFG SSMVGLSYLGPIVGVILGSLFTGRFSDWLTIKLARRNNGVMEAEHRLWPFLACFVLVP CSLILWGVGAAYEVHWFGLVVGMCMLAFTSTCGITLSVNYFIDSYRELSGIAMASVIL VRNTMSFAIGYGITPWIENMGYQNCFISAAFIGMACASVFLFMIKFGKTFRERSREKY WKLVQENWEKGMGN PEX2_040400 MSKPLVSHIYTADPSAHVFNNKLYIYPSHDRETTITFNDNGDQY DMADYHVLSMDTITSPVTDHGVVLRDKDIPWVSKQLWAPDAATKNNKFYLFFPARDKK GIFRIGVAVSEAPEGPFVPQDDYIQGSYSIDPAVFVDEEAGGEAYLYVGGIWGGQLQC WVESKDEEGEGEGKGEWVDGDEGGIDGQKKRLVFDESLSGPHEPAGEGVRALCPRVAL LKDDMLSLASSVKEVVILAPETGEPLLADDHERRFFEAAWVHRYQGTYYFSYSTGDTH FLAYATGSSPWGPFTYRGRILDPVLGWTTHHSIVEFQGKWYLFYHDCELSEGVDHLRS VKVREIVYDDEGAIHLAEEQNPVQ PEX2_040410 MENDPPTPKSPQSISWLSERTLSVSTASSTTTLADSLSPMNFSS PSLTPLDFTESPFPIEYPKVPLRESPNFHPHFLPLLRHLSSHLYPLVNCETGLEHPDF PPNMLAYNLLTSEQADNLARHFHQIYPPVPATFNYPVYITPWIGTAEEKTIDLPTRIK RLGRFFGLRGCEEDVDVDVDMDMDMDSSEHGNESEDENGENMSEAEAEVLRQMELEWQ QALQRAYAEESHRWNLK PEX2_040420 MADQNISQYKYSAMSNLVLQADRRFISRTHDEPTGDPESLAGRI GIREMGGRVARDDAPKSKKAAPTGLERGAIGEGEDVLLREQKKRHRGQPAQLRGQGIL SAADAFVEGLKYRPRTPATRATYDLILTITGSQLGDVPHEVVRSAADAVLELLKDEDM KDFDKKKEIDDLLGTTMNPKEFNELVNLGKKITDYDAQDEEEEMEGGLDEEGGELDER QGVAVVFDEEDEDERMGTVDEVRDEDDLSDEEEEDEQEKLALDDVAAAQDEDGDEMVI DGGLGRSDKAAEKTGLKVSAREIDAYWLQRQIGAIYTDAHTQHEKTDEALEILGGKDE DGSLKPLRDVENDLMELFDYDHPDLVAKLVTNRDKAVWVTRWRRVAEDPDARNLVEIE MVEAGQRAILDEIRGKEAGDELGAPPDKKMKFDLMDVDVPSGPTTDQKPAEGVEGGIL QPKRTINLENLVFHQGNHLMTNPNVKLPQGSTKRAFKGYEEIHVPPPKAKKEAGEKNI PTTELPEWARVGFGTSKELNRVQTKCYPSAFHDDGNMLVCAPTGSGKTNVAMLTILRE IGKNRNPETGEIMLDDFKIIYISPLKALVQEQVGNLGKRLEPYGIRVSELTGDRQLTK QQIADTQIIVTTPEKYDVITRKATETSYTNLVRLVVIDEIHLLHDERGPVLESIVSRT IRKTEQTGEPVRIVGLSATLPNYRDVGSFLRADPVKGMFHFDGSYRPCPLKQEFIGVT EKKAIKQLKTMNDICYTKVMEQVGQNRNQMLIFVHSRKETAKTAKYIRDKALENETIG QILRSDAASRAILSEEADSVDDANLKDLMPYGLGIHHAGLSLADRDSVQALFADGSIQ VLVCTATLAWGVNLPAHTVIIKGTQVYSPEKGAWVELSPQDVLQMLGRAGRPQYDTFG EGIIITSQAEIQYYLSLLNQQLPIESQLMSKLADNLNAEIVLGNVRTRDEGVDWLGYT YLYVRMLRSPGLYSVGADYQNDDALEQKRVDLIHSAAAVLEKAGLVKYEKKTGRLQST ELGRISSHYYIGHNSMLTYSQHLQPSITTIELFRIFALSDEFKYIPVRQDEKLELGKL LGRVPVPVKETIDEPHAKINVLLQAYISRLKLEGLALMADMVYVTQSAGRIIRAIFEI CLKKGWASVAKTALDLCKMAEKRMWPTMSPLRQFPHCPRDVLQKAERIDVPWASYFDL DPPRMGELLSMPKAGRVVCDLVSKFPRLDVQAQVQPITRSMLRVELTISPNFVWDDEI HGNAQDFWILVEDCDGEEILFHDRFLLRAEFAKSEMNEHLVEFTVPVTEPMPPNYFIS LVSDRWMHSETKIAVSFQKLVLPERFPPHTPLLDMQRAPVKALKREDYQALYPNWQHF NKIQSQVFKSVFDTDDNIFIGAPTGSGKTVCAELALLRHWSKQDSGRAVYIAPFQELV DHRLADWQKRLGGLDGGKTIVKLTGETTADLKLLEQADLVLATPTQWDVLSRQWQRRK NVQTVQLFIPDELHLLGGYAGYVYEVIVSRMHYIALQTENEMRIVGLSVPLSNARDIG EWIGANKHTIYNFSPHARPVPLELHLQSFTIPHFPSAMLAMARPAYQSILQLSPDKPA LVFVPSRKQVRATAADLLSACAIDNDEDRFLNADVSELAPLLERVHEQTLATSLSHGI GYYHEALNATDKRIVLHLFSIGAIQVLLASRDVCWELDITAHLVIVMNTQFFDGREHR YIDYPISDILQMFGKASRPGQDKLGRGVLMVPAVKRDYYKKFLNEALPVESHLQVYLH DAFVTEASTRTISSTQDAVDWMTYTYFYRRLLANPSFYGLSDVSHEGLSTFLSELVEN TLKELSEAKIIDVDEEDDSVSPLNAAMIGAYYNISFITMQTFLLSLSSRTKLKGILEI VTSATEFESIQMRRHENHILRRVYDRVPVKMSEVSFDSPHFKAFVLLQAHFSRMQLPL DLAKDQEDIVRKVLNLLSACVDVLSSEGHLNAMNAMELSQMVVQAMWDRDSPLKQIPH FSPDVIKVANEYKINDIFEFMEAMDPSENKDYATLVKRLGLDNKQLAQAAAFTNEKYP NIELDFEVEDAEGITSGEPAYLKVKIERDIEEDEEPDATVHAPFYPSQKMENWWLVVG DEKTKSLLAIKRVTIGRKLELRLEYVVPTPGDHELTLYLMSDSYVGVDQAPTFSVNAA EGMDEDESEEEDEDSIRGSSPELPILSPIRSDMAIRNMKFTPEVLLGAPRRSSAVPNA AGTLAVYTQTSYSFESHSKTNEIRVIDISSGRSALITNDPGASNPQWVDDSDKLVWLK NKGNGNTSFVIGDARQADETYTAGTVPGPVSDLKVVLLEPGKVGFAVTGKANTDGSLY NPHDAKKPHTTGKLYTSLFVRHWDSYVEPQKNAIFYGLLEQAPLSPARRRAGKYSISG LTNLIAVSGLAGVESPIPPFGGTGDFDISPSAIVFIAKDPNLNPATHTSCSCYYSPMF SWTSMSVSEPEPKICKVAGLQGAMSSPVLSSDGSSIAVLAMREDGYESDKNRILYVPN PWNGEMIEIFQSDDGEGHWDLSPSSVSFAHDDKSLFLHVEEAGRGVLYQLPLENVHTI KPDSLKRLTRSGYVNDAVPAAAKSPKLFVSSSSLVDNSLWTIIDPAHPDDVQMVSSNG RGGSAFGLSSSQVDEIWFKGAEDHPVHAWVVKPSDFKPGSKYPLAYLIHGGPQGAWND QWSTRWNPAVFAEQGYVVITPNPTGSTGYGQPFTDGIQGQWGGRPYEDLVKGFEYIEE HLDYVDTTRAVALGASYGGYMMNWMQGHPLGRKFKALVTHDGVFSMTSQMASEEQYFP LHDLKGPIWKVPENWAKWDPSRFTEHWDTPHLIIHNELDYRLTIAEGLAAFNVLQMRG IDSAFLTFPDENHWVLNPENSLMWHQTVLNWINKYVGLSSVSEEPDLSRGVASLSL PEX2_040430 MRVEPSYTHALKLKDLPQGVLKLEAYDDRVDEAPRYPTVVQGHR NNMQTFKNCVILTRVGGFYELYFEQAEELAPLLNLKLATKKTNGGPVPMAGFPFFQLD RFLKTLVGDLNKYVAISEEFAINAEDKARTGGLLFDRKVARIITPGTLIDEKFIDPSE HNFLLAIYLDVPSLQSQLKQHADNDLQSSHQHILSSVPQQVGLSWLDLSTGDFFTQLT TTQMLPSAIARIGAREILVDQNVQDLIGHELQMLVGHDHRLITFFQYPGAFKPVSEWG TMLESPVPEDARASFTPEEVAAGYSLLEYIRVQLQGSNLKLQPPIRRHLNESMSIDRN SLSGLEILETARDGFGKGSLLHAVRRTSTKSGARLLRDRLTSPSTSLQVINERLGLVS VFIEHVELRDSVIELLKRSHDSQRLVQKFAFGKGDPDDLICLSRAIEASKNVRQVLLD HNRLAVSSSTSDLNHSLTIMISRLFLDGPIALADQILAAIDEEGLLQKQRIEDSTAAE AANLAQKVTMDEATSSELEALPKKVRAKRGERTVMADTDSGPLDTWIMRRDASKNLNA LHADLERLGDEKTSLTQRLRDSVDSSALSLKWTPGLGHICHVKGAKISQKSLEDLGVT RNVSSTKSTRSFYLPAWTELGLKMDHVKIRIRQEEQTIFERLRREVILNLVKIRRNAA VMDELDVACSFATLAQEQQMVRPILNDGTCHKIVGGRHPTVKLGLEEQGRSFVSNDCF LGDSERIWLITGPNMAGKSTFLRQNALITILAQVGSFVPAAYAEIGIVDQIFSRIGAA DDLFRDQSTFMVEMLETATILKQATPKSFVIMDEVGRGTTPEDGTAVSFACLHHLHNH NQSRVLFATHFHALADMTEDFDKLARYCTDVKDMASGSFSFVHRLRKGVNRQSHALKV AQLAGLPKETLELAMRVRQEMKDKTPPPRVADEGQP PEX2_040440 MAPILSTNPTATLTPEPLTPENFAQFGTVVVSPLPRELNIAPQP SSLPPHDPTPVLANQNSALKYSPISPLLDRYTNACPSGKASEARMTMFCCFPRALRTV STGPQLPDREVFDVRILERHPFTNQTFIPIDLSAHSKVGDGEEEPLFLVVVAPTLKGQ TATAKNETGETVTIRDPPDLNNIKAFVARGGQAVTYGVGTWHAPMVVLGRRRVDFVVV QFVNGVGDEDCQEAAFGEGVVVDLGRKGQLGRAEKGPRLWSAKL PEX2_040450 MDFLIAFLGQFDVTKSVEKSSNNSHGQGRPSFKSTMFYDLNVPY SPDDPEVPHTLNFLAELGYTTVALSQTINGKLPPTLAPPPLPTNAPKSLQLLTRLNLT LADPAQNQRLTALSQAYDIVSLRPTNEKSLLNACTNLECDVISVDLSVRLPYHFKFKM LSAAISRGVRIEICYGPGITGSGLDARRNLIGNATSLIRATRGRGIIVSSEARRALSL RAPWDVINLACIWGLSQERGKEAVCEESRKVTALAKLKRTSWRGIVDIVHGGEKAKPD GPAPKQKGAPKVSAIKAKETAQPENGADNLKRKASISSEPVAEDAEKPLSKREIKRRA KKARFDAKGENAT PEX2_040460 MARVYADVNEHMPRSYWDYDSVNISWGVLENYEIVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLAGGPNVVALLDVVRDNQSKTP SLVFEAINNTDFRTLYPRFSDYDVRFYVFELLKALDFCHSKGIMHRDVKPHNVMIDHE KRKLRLIDWGLAEFYHKGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGGSNTDQLVKIAKVLGTEELFEYLDKYDIELDPQYDDILSRFPRKPWLS FVNAENQRFISDEAIDFLDKLLRYDHADRLTAQEAMAHAYFDPVRADAAALSHNNVTL P PEX2_040470 MAQNSTTQTPTGEPTAPVTSATPQITDAAADPQGQQGGPAGAPK VKTEKELERDRKKAEKNKKFEEKQAAKAKAAASKAAAPKVEKKAKPEKDKTADAYDPI AIEAGRLEWWEERDLFKPEFGPDGKVKPEGSFVIPIPPPNVTGSLHMGHALTNALQDT MIRWQRMKGKTTLWLPGMDHAGISTQSVVEKMLWKKEKKTRHDIGREAMVNLIWDWKD EYHKNIKNALRRLGGSFDWSREAFTMDPNLSAAVTETFVRLHEEGIIYRANRLVNWCV ALNTSLSNLEVDNKEIEGRTLLDVPGYDKKVEFGVLTHFCYEIDGSTERIEIATTRPE TMVGDTGIAVHPDDKRYQHLIGKSARHPFLDRLLPIVADPEVEPEFGTGAVKITPAHD FNDFNRGKAHNLEFISVMNDDGTFNKHAGPFAGMKRFDARYKVIEDLKEKGLYVKWEH NPMKVPRCSKSNDVIEPIMKPQWWMKMESLVQPAIDAVENGDIIIRPESAEKSYFRWM RNLNDWCLSRQLWWGHQAPAYFVKIEGEDGDDSDGNLWVTGRTEEDARKKAEEKFPGK KFSLVRDPDVLDTWFSSGLWPFSTLGWPTKTHDFENLYPTSVLETGWDILFFWVARMI MLGIKMTGQIPFREVYCHSLIRDSDGRKMSKSLGNVIDPLDVMEGIQLQTLHDKLQLG NIADKEIAAATRYQKKAFPKGIPECGADALRFALVSYTTGGGDIAFDIQVIHGYRKFC NKIYQATKFVLGKLGDDFKPQAGVKKTGNESLSERWILHKFNTAAKEMNEVLEQRDFS NSAQISYQYWYSQLCDVFLENSKTLLADEASAETKESAKQTLYTALEGALTLIHPIMP FVTEHLWQRLPRRAGDETISIMKAKYPEYLAEFDDPAAAEAYELILNTSKAIRSILSQ YDIKTQGDIIIQTYDATSEKTVSEEMNTIKSLGGKTLGELSHLGPENKNPPSGCVVAA VGSEAAVYLRVSKEVALEQEEKAQASLDKARETVRRSQGIINGPGWKEKVKAEVREQE EKRLRDAEGEAARLEEQVKEFEKLRLE PEX2_040480 MICAGPTWCIWVSLERRGSCLSFASSRYVLYLKSTIVVRFIYRH SVLSYHRCKYVTVAYFSMGLAIRI PEX2_040490 MNAYSIFREADPDGDSRPAFHNEDGVPLYEAPYQGLLNHILSNN DLASLFLYSDSPTTKVFWGAYEPPNNHPFILASSGGRVEVLKALVGIYLADSTLKEPI DSYLERIECSPMNDACAAANRDLMLWLLNHDPPLGSLHDRVCGDTPLFSAAQALGDQH DYNGTITARYEKQDQITRTEDFICFLLDLGCSVPNSDHYGPTYRETASFDLNQSRGEV VSTVLGAVIPHASYQMVSRLIAEGADVHAHQMWNTGSESIGWEEKATSLHIAALHWNL DGIQALADNLGDVGIAEMASTPDGSGRLPLHWALVGAKDRRVELFGRDDQEEITAHIT RTVEVLLKVNPDTLVLRDQGGLTAFDYAVTSETGLASILPVVKLLLRSSSVPPSVTGS RNHKGAHWTLLGAMIDHYARRLGSPSAQLLELLVLLLENGADARACNGRSQNLLHTMA MFRDTDCADIAIIDKLLEFVDVNHVDGNGNTPLHLMVRRLNRINTVRHIISQGANVNL VDKKGNSPLHETMHGTLVQRVFEDGDVEPIDPAELKSIRVEMIQVLVDAGASMDLPNE SGQTPLQVLDEMTAIETRRLQNRRGRGRGGRRPN PEX2_040500 MLAGVGLALLAGLGFLSSAIADTTCSATVPCEIGCCGQYGVCGM GPSYCASDVCINNCDAKAECNPGSWASEYVNSTNCPLDVCCSKYGFCGTTEEFCGNKT VSVPSCDASSQSITRVIGYYNSAAATRSCGGMAPYSVPQGVYSHIYFAFGNINPDTFE VIPMEPSDEALYTQLAALQLRDLDQELWISIGGWDFSDSDQATATTFSDLVAATTTEQ NVFFTSLINFMSTYGFVGVDIDWEYPVASDRNGRAADYKNYPTFLANLKKALSDYKYG LSITLPTSYWYLQHFDLTAIEPSVDWFNVMTYDLHGTWDLKDIWTGPYLDAHSNLTEI KSALDLLWGVNITASKVNLGMAFYGRSFTIESSSCSTPGCEYLSAGTAGNCSQSAGVL FNSEIEALISDQDLTPILYQDAAVKTITWNTDQWVSFDDEETMKLKAEYAKSVCLGGV MVWSIDEDDDSHTYSNALAAALGNKVNLNTSTGLSLTVSEKSTTTSSGSSQSSYCRFI NCGETCPSGFSEITREDNKKQLMLDATECLVSGLQTLCCPTSSTLPTCQWRGFNGGKC KGGCDDGEAEVGTITSGCRSGYQSACCTITDSTKPWSECAWTSSCEPDDTCPSYYDKF VVGSRQGWGGRKTCTGSKTYNYCCKSSIPNAFTNCAWTGHEVQFVNTAYCTDACPSGS IRIAEESVAVFMGANRPGKTKGSQPCYFGNEAYCCNGTTSTSSTRLSSTKFADETAYE FHYYLNAWLSEPTCSSAEQHEYYSSLYKRNVFERSSSFSKSMSQDLVYAYALKYLVIW ITSSRPRADLTADFNSLMSTYGYEDEAANITTLTDTLYAYGSWTGTPVWDPTALLAQT LCNIARSANGVESLGAASELLCEIQSSSSISKRMLDGLSENTHSENGDQPTVITAIRG VINEDLTFHYARWIQPTSESQGFAQVILELAFWIGPTPGVTPTAEMLETYGDSGDTVH PDLWIVFHLHIPRSRETFHQNYTVTGGMALGVSAMGVYHSQTMHSLGRNADPRAEFRY SSTYPSYNSGDMENYNGRTQSLRCPDSSRWYIGLDSTEHLTTSQGLPLTYATELNEFG MWLATEGILTNVNLQYLWPGLSRFPQDASLASNEWTMVPPSGSSLFNPVADAFNVNWG PDDTTVDIQGSTSDYTKK PEX2_040510 MRNRFVSFLGVASYFAAGNFHSISHGRLHAAKQIAHTRAVNTNS TNFARVAFFPNGTLSGLGLSSTCEDALYATVDCDDEISSVMTDGYIGSLDNETLTDLI CASTCEASIAELYDSVSTSCGDSAELIAGISYLSLIGQVWSSWNQSCFVDLTTGQNCN DVIAKFDNVTSQADIATTDLCSYCYVKKLEMMQADAYSSAYNDDFESEYKYVANTCNL TVTDFNATTSVFNASLPATTSTCLSGNTYITTDGDTCDSIALAQGVSAATLFYTNPNI LNCSDIITGTSLCLPLTCTDLYSVQSNDTCASVAVSNFISFSDVINWNSQLTWNCSNL VSPDPHWGSVLCASAPGGNYTGQALTTTSSSDATDIVDPPTGVTVAIGSTLDCGAWFV NEASLGYNCSSICLSNSIAMHLFVDANPSLNYTTCDSDLVTGDAYCVDPLTNWEYYSS NTTSISTVTAAISPTWPVQTGIDSNCDAYYEVQSSSTTASSTPAATTAISSSTPLTSN STSTTVTPPAATQSGIPANCIEYYVAQSGDTCATVETKHSITAAQFLSWNPAVSSDCT TGFWADEAYCVSVS PEX2_040520 MVRASVVCISHGGGPLPVIGGPGHGDIIHSLKTRVPKILKLGTP EAPRGIVCVTAHWSERQPTVSSASHHDLYYDYGGLPSEAYSLKYKAKGSPAIAEDVKK VLAEEGLAPILNMKRGWDHGVFIPFMLINPAADIPIIQLSILASEDPAQHLRMGRALS KLLDSNIAILGSGFASIHNNSKVVPLIINDTRASAKLIKGVGEWNAALTAAVTKERME DRAKALEGWRGFAHSYEMHPPGAADHFMPLLVCAGAAKDEVAGTYKDDFFGVDILTYY WSDIHV PEX2_040530 MKSYNGCWTCRLRRKKCDEVRPECRSCSALQITCYYGEEKPAWM DGGAEQIAKAEEVKHEVKRAAARRRRPHSMDVLETPMVSTQMEENATRFSDVELDMLG LPNRPRDPESRTHIGGDPSSCSSPTHTSTYTWSDGGAASTSHFNTHHNSAATTPSYNG LGRLFADTSAGQASADAERDRHFVMFYFDHFFPFLFPFYRPPLLEGGRSWVMELAVRN KTMWHTTLCLSSYFMSVTLDNTTSGHEFCKTLAWEKLLRQTDVTFRMLQRELEGITSS DAQDLIIETSRIMGSVIQLLKFEVSAGNFENCHTHLDAAIVLFRKIFRVRGCGANDGN EDGELLTFHGILTRMGDPLWTVKVQQSRAWNSDQAAFRFFTALLLVDDIIVSTCLEEA PRLQVYHALLLTTGGPGGKAALSLEEFVGCENWVMLQIAQIAALDAWKKSLRKTGQLD TMELVARAMEIKQVLVENLARLDAAAHAPRTPNPVDPFMLYNHHVLSTLGGGSTVVTR IWAHAALVYLSVVVSGWQPGSTTVRENVTRTIELLAQLPAPVLLRTMVWPFCIVGCLA NPDEECLLRSMVQALVPLRLFGATLKALEIMENVWERRDALEVDWDFAACVRTVGYVP LLV PEX2_040540 MSAQPVILILGSGPRVGAAVAKQFASTGYSVAVASRSASGGKTA EGYLSIKADLSNPSSISAVFDAVKAEFQSPPSVVVYNAAALTPPTDDTLFSIPAESIA ADLNVNTVSAYAAAQEAVKGWVDLPEDTKKLFIFTGNMQNVVVVPMPLMLNLGIGKSA SAYWVATADTLYSGHGYRFIYADERNADGSMKGMSLDGEAHARFFADLANGDEEVPWH ATFVKGKGYVKF PEX2_040550 MNGDAQPSNLPTIDNPLDHLDFAQLKADVTAFHSHLGLESVVDV ETLISGARLAKQRFRNVPPGLTSAEWETIGRENSEEYLSFLQTRGLLVTVMATACAAI TQGWQQSTINGSALLKWQDDLGLDTDSDTLLIGFINAAPWLSGSLIGTWLSDPLQERF GRRPALFFAAVFCVALVIAHCYSWKTLLLCRVLLGVGIGSKASIAPIFAAEAAPDRHR GKVLMMWQLFDALGIFLGFFCALIVMDSWRVLLATAIIPAIVLLFLVFMCPESPRFLI QRNRYADAYKSLLELRGTSIQAARDLYYIHAQLQTEAVIVWNPDEGQSWWLEREEIYA YQNWIKRSNFFKRMKYLATNSRTRRACTAALIVMLSQQLCGINVLAFYSSSLLHTDQT DSTKASDSLFTSLTIVKWFNFAFGLASFLFTLPAYIFIDSRGRRPLLLWSFVGMLLSM IAVGGFFKIAAHHTRLILVAIFSCLPFVFSYSIGAGPIPFTFSAEVFPLCVREVGMSF SVMVNFLGLGILVLFVPQLTRKFHGEGNLLFFFSGLNLLALILIFFLVPETKDVSLED MDSIFRRATKEHALDHLSSFYGRLSRQRDRQSIPLQDREEV PEX2_040560 MSLEYIQEKLREATRNPGTIEAFITYESVQKVWAGDQLEQFLKT QDPTLDKSEIDAARRDLLRMISILTGVVPRDWSGWSRFRQIFFPSDNVDADRRRDKNI LTFTEEELRVPSFLGDTNLATHFVGHMGTYFPIIFNDHKNDAYGKNPRWPLYKEEDVF REGGFGEVTKEIIPPRHIILGYVRDHLGIPELPYPDKLIVARKRFRDGRFQAEVKQLK LLRSSLSSHKRIVPYLAMFFVGKELNIIMPWADMDLEDFLNNRYREMPYTSHLLDELI QESSEVASAIEFLHENLQLENEGEDSRHLAICHADLKPKNILVFMREGSPSTGVWRIS DFGVSRVANRALSANGRHDSGYPTSLMKHPPKGGPYRAPEENAQRRSDIWSFGCILVR VFALGLDPASLAELDEKRKESPDGRALDDCFFRGEPPTLNPSVETWIGGLATRYGASH DPGFLERMQKLLRSMLEVDFRRRSSATGVRTGLHELYSAPIRSESNPPPSIQTTPRTS VSEPSSTSSRSPSSASSTGTVDRPRPVKDVSVLVTVIKSAGINQVRQTLQDEIDVEQC YEHERPLIHAIERSDATIVKELCEYQKLHNRKLDVRTLSSKKQTPLYLAVCKGDFETV RAVIDASDSNTDINTFLDELCEGKTPLMQAAFLGHAGVVSLLLARGADHRICVKEEKL NCLHFAVKPDNRAQADVIMAFKDKMDFDQLPPGTPLDIDGNPSKTPGYETPMMMHINL APAGSYQSLAPGSLWRRKFNALLEGKADINRTYNPGISYLKGTPLQVAVKERKALLVR VLVDSGSILPVNYKIPPGISHDMKKSLKKALKKRPGARS PEX2_040570 MTQVEQESAISGTAPTLVVRFLHVGVQLIGQLYEIHTLYNDEPV KEWDACDLHTQLTILSDSIGVGYPREIGPLSEQDQALDDLRASSHLTLEIILSRLKLI LDFGPDPPLHAGELKKFWPREDVKGLEERIISLRLELGVAISSVESSVTIREALLSLD ITRSEETPKDLPLLDQRREESERSSKPRIVTLFGKDATGVQNAVAYKEVDKVYTENTL FTDHETTIQDFLLEAIKFSSMTDREESVTVAHNKTFDWIFSSDEPTSLFQGWQSKNSL SDWLQNGQHKEEQRSQTGLMRHLLSQLLDQQKHLIPMVFPERWKHILSLSTRERVKAS ISWELPELTTALKSFLDHAGRKSNVCLFIDGLDEFAGDHQQIVEFFKDCVNSYTHVKV CLSSRPFPIFSAAFGKNPRLELHELTRRDMLHFAQDHLYSDPSISQLIIQDKQAASQL IDSIVEGANGVFLWVMLVVESLLRRGNYQSVSQIHEYLGQHPTDLDDLFTHFIFDFAS RDQMLVTSRLFQLLQARQEAFYATQKQDATSTSLWDFALADQFEEIMMYVPKHVQQAT EQDVIRICEVTKARLSKECAGLIVAHTSGLSTSMIRHNTPSPAQQLGCSKVSYVHRTV KDFFSLPHVRSRLLEPMLGSSFEPHISLLTSIILQFIRPLDEFYPNRQINDRWPNILL AFTHARLSANHWQSQVVLIPELDRVLCQHWASRESIEYDHWARSLFSSYERRKNLKFY DPFLSLSAKFGLATLVRERTRKDDSTLYGNGNGIPLLGHCIEMLASQRQTIYPLSNPE IIGDILRSGADPNQPYQDLNGKNQTPWLVILDYLREADRRQWILYYDTSENGISRLSV IVSLFLEHGADPNGLLVETKFDRSASALEVITAIYRKYAAPQFSQLRKVLKDKGAHER EGHDIMYQVYVKECDNFLSHDEPTSRSGALAKCYAFRVNGCSAILGYILQEIVEQIQW SDSWSIDHQQQSVTLATPATATADMRSRVLEDSLESTRRLAIISMLESWRDEKFPVYG PEGEVLLEIERCASALFGIVTYGVQLLCYVKDERGLRLWIGKRSERKQTYPGMLDSTA AGGLGAGKLPIEALICEAQEEASLSEEIVKMKVKPMSHLSYFHVRGNQAGGESGLFQP EIEYTYELELDPSIIPKPRDSEVECFRLYTIEEVLYALKWGQFKPNSAIVIVEFLIRH GILNVENEPSYSEIVSHLHRKLEFPVLIQPPY PEX2_040580 MKMHINEAKARLSSLHAWKLPKEPSSLAPPGVPSSKDSDPIPPG LQTWNGLDFVNYWFSDLVNITSWTIGTAPLLVGLSTVDAILIVMLSGICNGLPTVLNG YVGSDYHIPFPIAVRSSFGYYFGNFPVFSRAVLSAVWFGVNSMTAWICVKAGDVQGIF NQSAKLSGKAHKWLWLATFSSTTNSWLTSAVNMSDYSRFAKTKSRGQWYQALAIPVIK TVYAVLGLAVVGAGRVLYEEDISSPVEMLPYWGHTGGGRLLAFLCAILWMVAQISCDI SANSIPFGHDVMSFIPAWMTIRRGSLLCLLVGAWVMVPWLIVNSASKFLSFMSAYGVF ISPICSIMIADYFLIRRRKLNLSDLYHPHGCYRYRGGINWRAFVTEFSFAGINLPGVV NNLAPSVVVPSGLAHLYQINWFVNTFGSFFVYWCLCTLWPPLDSLDTKILHDFVEIDA GGNGKLNLVSEKEAINDSRV PEX2_040590 MDFPIISLKHFSSQFDEISENIFKASQEWGRMFDQARDFFDLPI DIKSKKILNEDPVGYDGHTATTFAASEGMSFGLPAGQLSKSDNIHSWWDRERLAETEA FKAQCNDLNLMMLSCFAVHMGLPKDFFEASHSQALPGNTFKLIKYPKMEEQPRDLIPR LSEHTDWGSLTLLFTESPGLEVRDPSNQWHEVPVIPGAITVNIGDALSLWTGKQLKST MHRISWEKLPRDQDRYSMPYFVHPNLDTNLRLSALPNDANGQPLKHRDYFKVRLRLTW GSVEQKDATKVFGDVDSKTFKYLSTLGVANAGILESHTVNL PEX2_040600 MPTEQAPPIIDISSFYSKDQAARQDLLEQVRSACKTFGFFQIIN HGVPEFLQEDILKQSEDFFKLPLDIKEKYNKEIGGFNRGYERLRAQNFEKKTEGDLKE GYYFGTNLSLDHPYVVGRKINMGPNKYPDEVSNPESFKMTAESYFAIMEKLAHDILKI LAATLNLDEDFFQGRLMHLPMREARIGAHTDFGGITILLQDMIGGLQVWNKESAIWVD VEPLQGALVVNLGNMMMRWTNDLYMSNLHRVINKTGKERYSVPFFFSGNPEFVVKCLP SCEEASGGAKYPPVSVEEWITGRYADTYGANNTKAMTDLSADAERAYA PEX2_040610 MSIIIPIAGVDVFVEIDGDGPHMIMTHGLGASTNVFYPLMEIFS KTHTVVRIDWPGHGHSSLSNTVEKVTMPLLVTILESVMNHLTISTAILVGHSAGGIVS MMVAARNPDRVDALFVLGAGRTRAVDRLSKSFTQQLSRAARSKGLHWHVDERVDYNIP SGTVGLSRALLRAITSRTDPEGYAQMCDALCDDTHVDPDYSSIICPVCVIGGLEDNIS PVHVTDELVELMTTSVKTPSRYVLNTGHMMIIEDVNGTALAIDDVLKQLP PEX2_040620 MADKEVVMLKTQASDDTWGTWTPDIVVGIDFGMTYTGVAYSCAP EWLPPKTIQRWPGKLPGELSNKVPTCIEYDNESGSIKNWGFKCDQEDGNADIKEFFKL HLAPHYHDDFPGSPSRQDAQRWFQDYIRCIYQHVISHFNTTIPHFSSRQVEFLFSVPT TWKDVRMVEETRRILHHAINSKTPNHRISVGLTEAEAAAVYAGNEHYQDVNVLKLISS RGEPTRLEQLGHVEGQPIGSVFIDRKMHRLICQRLERIREHLSIPPSEAAWKMTSGRF QRLKCTFGAESTLTPWLKLDVPCLESDSDFPEASVSEGQMLIAWDDLKLCFDTKVDEM SVLLDGHIMNMFNKYPDDHIKYIILSGGFGSSQYVRQRLVDKYGNTGNQNHPNAVGMQ ILVADEPQLVVVHGLVLDRIQQIKRGVVTFESRCSPISYGIICDKIYNSERHVGERVR VDPRDKNTYVIDQIDWLVIQGAPIPYTGITKAFQLKTDPGRESEPWRVSIVMSTFPLN KLPQNMCQNGVQRVCDLDISTENVTRKLKNRHWYSMGPTFWRTTFDVKVVVGPADLSF QLWSKDKRIRSSTHEPIAVKWMPAGEL PEX2_040630 MVDATQSSQGGNSSASSSLANEAAAVTTTTTSSSLANEAAAVTT TTTSSSLANGATAVTTTTTSSSSTNVGPSVETTSLLQSVIPTQPTSNSAARTPSSVAN PSHIPTNRTMTPVSLQSNGSFTSGTLAGAIVGAFAGGCILALLAAFLFFYFRKKSLQP REKGPDSSFVGENTGKASGQTITTFAGSSTTKSETPLPPVATAFESQYLDLSRYIPQP ADDNLVCMRIQSLFDQAGLHVENYYSRTTSNLALTQDSLARLSHYDSISLPTSLITML SNPRSQRAVLTHVLVESLLRAIQPGETEGSLLPAIYAKSPQKRGSEMLDTGKTPTDRA EFAWRMLTSFLYDSPTLPRGDIRKFAEEFTKAFEAYRNSQFAEADRLRHLDTISKSAA DLGVWLFLQPCSFKFRWTTDGVSDNKLVVLPAVIKVYDEHGRRLAVPETLVKEETVQT PEX2_040640 MDRQLTSANEQTTELLKTTDHVLIQLNEAKRLREVNDPGLNPSE REWIDCIIKDTADAAHEIAVILEPARIERETGNGKLGLGRQLRWLYRDKQRAQDKKNR LLICYQSLMMALNHLQRVSLPKTMTRPGFVHELGAEVPSTFVDELSNSQRSGSGISGV TDTTKSSMSPASPNEELQDMLTWRRSKGAQVQP PEX2_040650 MKTYGVSDTIISQPVTEHTLFFAGSTTKAFTSAAISLLVDDNIN FPAIHWNTPVHTILPTDFVLNDTWATSQMTIIDILSHRSGLPRHDWVWLANITLQEAV QSMRHLPFTASPRTEWQYSNLMYGVASHLIETVTNQSLQVFFTENIWLPMNMTETYVS LSEARAAQRDISQGYYVDLDGKIAATERIFTDTIRGAGNILSSVSDYAKWISTMLKRG PPFSQTGYDAFGGHFIVSPNPMEPFQTPTLYGLGWMSHAYKGERILFHEGSQFGYGAS VMLLPQRDFGLVLLGNNMDGVNAASNALAYHLIDEELEIPLDSRFDWVARGDAMINDG RLSDNILSELYPRIPDPPLASPINLSAYEGSYTHPAYPELRMSRNCTKGDSALNRTTP DLCASLVKYNDYSKDLEIKFFHVSGTYWVQIAVRWGVPSAARVEFLIGPDGLASWLGI EIDPLMANRGEKIWWRHVL PEX2_040660 MSNLKDIKDEGKAQGADSDDANATKLSNKESTPTIALGAIDKEN ATLRKTDIVNLSSELAMRGKNQKDMTSFKFWQTQPVPHFDEPSGVSDGPIKIIDPEKV SKKPDPLIEGFEWATLDLTNETELQELWDLLTYHYVEDDDAMFRFRYSQSFLHWALMS PGWKKEWHVGVRATKSRKLVASICGVPTDVRVRGQKLKVIEINFLCVHKKLRSKRLAP VLIKEITRRCYLDGIYQAVYTAGVVLPTPVSSCRYYHRSLDWLKLYEVGFSSLPLGST KARQVSKNYLPSSTSTPGLRPMEPRDIDAIHDLLERYLCRYDMNPAFTKEEVAHWLVH KASLGKEQVVWAYVVEDAETHNITDFFSFYSLPSTVIQHPKHQEVRTAYLYYYATETA FTKDMKAHKDRLLMLMNDALILAKKAHFDVFNALTTQDNPLFLEQLKFNAGISQLHFY LYNYRTAPVPGGINQKNLPDEKTMGGVGIVML PEX2_040670 MVVAEKQTAQIGESRLGNSTEIDTVRQEAALGHKQELVRNFGLW SVTSLGIVIANSWAATGGTIVTALMNGGPMALLYGLILVSIFYTAISASLAELASSMP SAGGVYYWSTVLSGKHGRAAGFFTGYLNACAWLLSASSMSSMLGNEAVAMYLLRHSDV SWHSWQVFIVFQIVNWTCCAIVCLGNRFIPLINQAALILSMTGLFATVVVLAAMPKTH ASSSQVWTQYYNMTGGWSDGVCFITGLLNAAFAVGVPDCISHLSEEVPKPHVKVPQGI MIQMLTAFTTSFVYLIALFYSIQDIDMVFNTNVGYFPTAEIYRQATGSTTGAIGLIAV LFLATFPTLIGTFVTGGRMWWSLARDNATPFSSYFAEVHPTLNAPVRATVAMSALVTC IGCIYVGSTTAFQALISSFIVMSTLSYFGAILPHVLTGRRNIVPGPFYMGKTLGMVVN IVSLVYIIVTVIFFCFPFVMPATVQNMNYTSVITVGLMALTALWWFVRGKTEYRGPHF SFEAAKQLTSVRAGEKSDAPAIALMQESTAATLDDHGRMG PEX2_040680 MQPSEYDQVLSDPQQVRERIIGSKIVIALEQCMLFVTWGVKVCL LILYWRITKNLPSNLYVKILAGYVALGFVVIMVTYYAVYCRPFSQYWALPVSNLQCAT YQHYSITQAVFNISSDAFMFAIPIPLIVKARLPLRRKMLLLCVMSLGLFTIIAAILNK YFNFASPLTTVYQIWYIRESSTAVFVANMMCWWPLLRKLFGLRAFQYHSTPGRPSRGT DNKESHPYSKAASSQSRASFSLTRPFRLSRLGLRSSENGLTLPGHNNTGRSSQEAINQ STGGNAEDQDPVDNIPLRVWGKGNERGTDVENIAIQESIARFEQRDHGAEVPLGDRIY YTREFDIRSDSRTRHFPT PEX2_040690 MAVAIASAGGVTSNDQIAPPVPSEGKDVSTPRRFPISSPTSDRE IPRAVDSLQPQIGSAPISTGPVLNMEELELELQWIMQTHKLLARNEETRKVWEILVLQ EALQEPFLMHGILGLSALHLSHLRADTSQAKWLSIAMSHKNVALSMFSEQLSNIDRSN IKAMMSFAGLVVAFGLGSALTSGSPEGPSLNSLIEIFTLSRGVQAVVSREPEFLMQSN FAPLFDVTPPNVSWPDHILVAFDRLERLNVQCGQQFAHHDTNVYERVIKYSRELAAFT LLQPTSMTFAGGFAIRAPEVYLSDLTSRQLYSLVVLAHYCGFLHMARENWCVGTWGRV VLGEIQQLLPSDWQRHIEWPVKQVWE PEX2_040700 MKALVASRSLPRQILNLASGSSFGQCARVRDLPTPQIADSEILV RVQYVALNPIDFKYIDFLAPNKSVIGCDYSGEVAEVGKAMAGRWKVGDKVAGFVHGGQ YPDIGSFAEYLKVDGELAWKLPDEISHSEAATYGVPAATAVLALSYLDISWEDISTGL KANSSEKTPILVYSGGSNVGLFAIQLAKRAGLHVVVTASPRSFDLVKRYGADAVFDYQ SPSAISEISKAYPNITKALDCFSEGKSSQFCAEVLSKGKVIVLLDQGKPKKLDIEYKF LMVYTVFGRQFSLLAPLGPVFPVVPNDHKVLSQFYADLSRLCHDVKPPPVTVTSGGFG GILEGLEKLRKGEARGTKLVVELSQ PEX2_040710 MTTPTTYLVTGGNRGIGRGFVQTLLQRAATIVIAAVRDPSSASS KSLQDLPKGAGSKLITVKLDSSISTDATEAVTQLRTEYGLSSIDVVIANAGISAGGAP VRQTTAANIAEHFIVNTTGPVTLFQATTDLLQASKTGSPVFVAISTLIGSIGSMEALA GFPPTQSPYGGSKAALNWFVRRLHFEEPWLTSFVFHPGLVETELAHAAVEGLSIKLSD LGAISVETSVSSMVKTLDAASRETSGTFQNYDGSVLPW PEX2_040720 MATLEQKLRAQYTKVIEEKCSNQSSQPQYGNDCEESYRKEKAAL EERLQAQCATSQSNAATKCKSEKYTMSEDHRKANKQLEEENERLRKENDKLAQKAKND QKAKEKLERDNEELEQENKRLKKQDSECAQKAKDDQKAKEELEQENDRLKKQEEERAQ KAKDDQKAKEDLEQENAKLKKQEEEQAQKAKENQKAKEELEKENDRLKAESRPNQQPN KIASQPNSSESELEAFSKKGCPSLHGERATVLGVTYEAFCGARPRGRHTGDYVNSRNL ADCMGACTVDRSCQGVYYETSLGRCQTTMDWEYPPLRWADSGEFSLVPVAPREGGIGT TTPDLPSLLIKDEHKDGASCPDSDGMIVSVGSLQFRVNCRKYQPKKHIEKTGSSGRVS GMLAICALNPACQGVSYWASSVYMIAEHEKLPERTKNSDLVKDYEWVIMLIEPRVAV PEX2_040730 MGMEWATKNIILSICTPITKYGHHHHHHHHHHHHHHLRHLDTNL RTLCNNLIHHHNHNHLHHRLDTNILILCLLILLSTLIRIPFTHNLPENQIVHQLPNLI HVL PEX2_040740 MSVFSSIKFATLGLLVSYLSGVVRGLDVPPAGGLGSGLAGGAGD KPLPGVGGNGGLGETVQGLGIDQILNLDLDNQKKLVGVGGQQGEAKIDVLAAKRAKEN NSTAEEEKQKIKDNQKNDKDTKRKAEEDLIAGRLNAFYAYGMQSVGQNVRPKWVLVFE NAFTAHAYFGQVMQDYQEYYKKSRENSKDPRPHPQIFIFPHGVGPDGLERVKKYKDYA NKLFFNPVDEKTMQLPVIPVQDKYGYVLHSTG PEX2_040750 MKIIDASTSSIVFLIYIDVSFAQVAYICTSNTLCTPVSLSQPGQ QPCASLMQQPVGGMQNPFPQFGYGQGGLCMGSLGGPSLPNFLPPQQPYFIGMPSTVNM GGQGPIFGVGQQQVPQSFNLNLYSQSFNLNLYSQSSSLNLYSQSSSLNLYSQSFNLNL YSQSFSLNLYSHQHRVIRRVSNNRVLFVLRDLPVPRGHRGHQGRKGHQDHKGHQGHKG HQGHKGHQGHKGHQGHKESKGPAGVSCTSGCAGPDSGRDKVPGGSLDTVGIAQGNGAR FQDTVPPVSGNGIANGNDANFDENLPVPTVQNGIGNGEDAGLQDPLLPVAENGIASGD DAKYDDNLPKPSDQTGIANGESASLEDAVPKPMVN PEX2_040760 MADLSSPVQTKADASKQASVIAEVQESDEPHRDISGDVIEDGLR RGLKGRHFMIIALGSIIGPGCFYGLGYGIYEAGPLGLLIGFSIVGASVWILMQSVGEI ATLFPVHGGFVEHCDRFVDPAFSFAVSWLYYFMWSVFLASDWNNATVFLQFWIPDTTI PVWAWYILFFVFFSILTTLGVNFYGETEYYFGMFKFLSLIVLFFISILADTGAFGNGY VGFTYWKEPYGPIRNGINGFGQVFVLAAAYYVGTEIVSVAAGESKNPQRDVPRATNSI LYRILFVFIVHPASKTASSPFTIGFILAGWKSSGHFVNAIILIAFISAANGVVYIQSR TLYSLALKRKAPSFFAITNARGVPYPAIIFSNMWGFLGLMSLQTTAGSLFSYFTSFGG TAAYIAWAAITFVQLRVRAAAKKQGIDAKTFPFKAPGHILVYWGNLFFNIFLLLIQGF TVFEAPFDYKSFIASYISILVFFLMFIGYKWWFKSQWSVIPTSYQNNSRYSHSNFSLG YHWIKFTFQTD PEX2_040770 MRSEVACLLALSGAALTNALSLEKRDNPAVLAVPMVRDTSRQLS KRSKTVGVNLNKERDIYVSYVGNVTFGSPPQSFLAYFNTWGNGCWLGSADDGVCGVYG ERSLCGEYGGYNLTASTTAKKLDEKFTYDDFGELVNGDFVTDVLAIGNVTVDAMKMGI DEDSEMTSNSLGLGYGNVSSISLTQALANAGTINSPAFSLWDQTILFGGVNKARYYDS LYTFPIVNGSDLAKAFRINMDGISIKETSAASNKFPLDAVFNTAYDMTYVPKFVAQAL NSQIGNTSVPDDYGQVNFSCSAVGENATIEFKFGELELQFYLSDFIAQNSDVGDNEGP YPGEETCYFTICENTDLQYEGSIVLGSNFMSKVYAVFDLENDEVSLANLVIWNTLWEA DAPVDIVEITSGKNGVPGAKKSSGSGKNGDSSKNGEAEAKKNLATHIKKGLRTGALVV GAAVMILIF PEX2_040780 MFLADRVTLKERLCQIKWGWYSMSMATGGISVLLYRTPHQFTGL EIIGKIIYIFNLAFFLAITSCMAVRFISRPVALKESFRDGNESYYAPTCLLAIATIIL GAVGYGTSACGPWLQIALRIVFWIYVALSTLSAIFHNWYLYHLAMASQQPFPIAQLLP SFPAMLSGTIASSIAANQPRDQAIPILIGGATLQGFGFIMSLLIYAEYQYFLAKHGLP ERAKRPQMFIAVGPWSFTALALIGMAKEAVKALPSRYIISYADPMSTGNTTVSTGDIA LVIASFFAIFVWTMAFFHLCIAAISILASAKMFGGAGAPPMSVVYWGMVFPNTGFIIA TISIGEVLQSPAILWVTSVLTVLQVMMWLGVGCATILAVARRQMLWPEGVKRGNEDEV S PEX2_040790 MDDPLPIPGTVQQVDLDHTSRLRHNNEHEDIVLIPHPSSHPDDP LNWSRQRKFLSSACQMSWCFLTAALISGLSSSYLLISEDTGISVADLSTGNGLMYLFM GWGTLLTQNLAQDFGRRPVLLVGILGSSLLQIWSTYMKSVGEWYANRILLGIFVSPQE ALIELCIADIHFAHDRGFHMGIYNWTLWCGAFMSPIAGGFVAERFGWRWIQYILAIIC LCFTVITFFGFEDTMFFRQVNIQEPAFSTAKDISDLEPSIESDSKTVSESKEPENPGV TPVVVEETYQIKTYTQKLKLWGLRHPSQPFNFFRSFFLSFRLLCFPTNIFSGLLVGSI LAWYNVLGGSLAEVLGSAPYNFTTEQIGLTYFASVIGVSIGCYFSGWFSDILAIKLAR GRNGIKEPEDRLWMFLIALIAHPLGCILYGVGASHHIPWIGVVIGMAFICITLPMGSG LAITYIIDSQKELAGESIVTVILIRNTIGELQCLARSINIFK PEX2_040800 MGPIKHKALINVDLGEGFGNWTITSDEDLLPFIDHANIACGFHA SDPLIMMETVRSCKKHGVKIGAHPGLPDLQGFGRREMKLSTDELTAITIYQVGALKGF LDQQGVPLHHVKPHGMLYGMCCRDYETAKAVFKGIPKGVKVFGLPGTFMEQAARDLGL EFMAEFYADVKYNADASLLIERKKKAWDLAEVRTRVTQQMETCTATAIDGSTCELPVK DYPISICCHSDVPGCLELVKETRAAVDTFNKAHFPKK PEX2_040810 MSAREHKRVYQVGGHWPLILPAFCSRSSDVPRLVSLAGRRRCDV SWDQPIVRISHLVRDAAERAKNVFLPHRG PEX2_040820 MEKLKTLLIANRGEIAVRIIKTAKELGIRTIAIYTAADATSNHI RAADEAVLLPGDDSTAYINGNSIIEIARSHQVDAIIPGYGFLSENVEFAQAIANAGMV FVGPRSEAIEAFGLKHRAREIAVAAGVPIVPGTQGLLVTEDEAVEAANELGYPVMLKA TGGGGGMGLMICKSVEEIRESLTQVRSRGETLFKNAGVFMERYYPESHHIEVQVFGNG LGDAIHIGERECSIQRRHQKVVEECPSPFVEKHPGLREKLASAAVALAKSIRYGSAGT VEYLVDDVSGDFFFLEMNTRLQVEHGITELCYNLDIVKLMLQQADRELCNLGGLDRGY LESLQPDKPSGCAIEVRVYAENPARNYSPSPGLLQHVEWRELSDTRIDTWVATGTRIS TYYDPMIAKVMVHDSNRAAAIEKLGDVLSQSTICGPPTNLEFLNAIIHSNKFRTGHTL TNFLTDFEFTPAVIDVISPGLYTTIQDYPGRPTAGRGIPQAGPMDPLAFQVANILAGN PSGIEGLEITLKGPELRFLAPACISVCGAPMDMTLDGTDIPMWTRLYIKPGQILSIGK LNGPGGSRAYLAVRGGFPAIAPYFGSKSTSPLLGIGGYQGRSLAPGDMLAISKLGAVE AEREISLPTHLRPIYSRHWEIDAMVGPYDEGYIVSEDIEMIYNTVWDVSHNATRGGIR LVGPSPRWAREDGGEGGQHPSNVIEYGYPTGTLNWTGDSPCIFPIDAPDLGGFISSTT IVKGSLWRMGQLKSGDTIQYRRVSLKDALRARVELEQFMESVSALVAGQCNMDIIKPI FAPTLPESTVSDNWGKALIYRTELSESGIPMTFRQGGDEFLLVEFGDGKFDLNYRCRV TALDQAFKDSQVSDEFVRQAVYKTTGCCNSLLIHYDGLKLPQGDLVNLLVSLQKTVGD LSSSNVPSRKFRLPICFESRAQQEAIQRYMGTQRPHAPFLPSNMDFVANINGITHDEL VNIFLSVEFMAICVGFFCGDTICLPVDPRYRLTCPKQNPSRVYTPEGSVSWGGSCMNI YPVDSPGGYQMTGQTIPCFDQLGVKPNFSPSQPGLFRDFDQITFYRVEKEELERDMAR FRAGCYKFQYEDVIFDMGAHNRLLEQTRDEVAEFKSRQATAQVKMLALEKESMDRWTV EKAQNNVPADEITLLREDPDILTLYAPLDANVWKVNFADGSVIRSAQVVVILEAMKME VSVSYNGDKTDGINESFRIEKVLVQPGDTVRAGDALVFLRNI PEX2_040830 MRAWSVLGFAGLSVASAISSVPVPTATTSVITTTSATSTETTTS ASTSTSTSPTSTTIVTVATDSSGQFTVIGDAINYAQSHGIPTVTVLAGTYTQAVTVSA TPTVTVIGQSDAADDYTQNEVTITNAGTVLTINNNVQQVSFKNVNFLNTASGSGAMVL KGNKYSFYDCQIVSTGTLGVTASVGLGIIANSYIEALDKILYGGANLYVYNTKIVPVD SSALLAYMKGTTQTSTSTLYNSTVIFDHVTVAAKTGSSISNVAFASANGPGVVVLVRN SALGSLIAATGAHVDTISQDGQNLFAEYSNTGSGAYASNAVTRAQYVSVLDASVLSEY SVSAVFAAALPGYASADTTWVDSSLLAAIQNADKVQSSSVISTPTASTVASSTALVAT STTAAATATSTFIVNPTAGPYKNVTAAIAALPNDSQEYTIYVMAGTYNEQISITRTGK TILRGETTFENDYTQNTVTISYSNGVLTSANKDEDTPIVNAKNTDGKGLAIYNINFQN TYPQTANTAALAADFYGTVQSYGCSFIGYQDTLLANMGTQVFSNCYVEGSIDFIWGFS TAYFYQSVIATNTAGSCIAAMSRSSSTATGGYVFDTCLVTYTSSYGSTYQNTWLGRPY SSYSRVVYMNSYLDKHINPAGWHVWSTSSPQTDYVTFGEFNNTGPGSWSSSRASFATN LTEAQADAYTLSNWVGGTSWLDMDAYDYVPSYNLTPSATTSATNVSTASAVWAHPSNG TTPPTGAVLVSVSGSVNGSYANLTDALASLPDDTTTQIIFIYAGTYEEQVPTINRNGP VMIIGYTTGNPGQSYADNQVTITFAHGLSVSPLPTGHSDAETATVATASTQIAFYNVN IINSDNLDGLESSYVTLAASIYGNHVAFYGVWFQGWQDTLLTGSTTGYQYYESSYIEG AIDFIWGYSKAYFKGCTIGAKKAKSAITAHSRASSTAIGGYIFDQTLFTAAADATVDL TETIYLGRPYSQYALVVVKNSYLTDVINPSGWKAWSTSDPRTSGVTFAEFNNTGPGNW ENNAAAREAFGYATLLTEDTYSLASVMDSTDWIDMTHWDSIDTPTAVSGTATTTATPT PTPTAIYDGTTPPSGAYIVSQTSLGTNTTVNTTVYDTIQSALNAIPTSSKVTPTIFIY PGTYEEQLIISKSGSVVFMGYSESTYDYSSNQVTIQYNHGIDTGADQSNSDGATVYAT GNYFEYKAININFVNNNGTQKDIATLGFAVKSSKYASLYGCQVKGNQDALLINGNLFM SNGYVEGNIDMIWGSGAGYFLNTTISPNEDGINLTADKRTTNTTAGGFVFDQCEIIPS TGAGSMSKISLGRPWNQYARVAYIDSYLDSCVEAAGWEQWSKSSPQTSGVTFAEYGNY GPGSSTLSRATFASQLTDTDVVQFELAQFFFTTSWIDFTHVEGTPFVPGTTTTVSSAA VPSATPSRAIISSAIVSTPLLTFTTTVDVISTSTTGAFITVTPTDTISTVQSTTILTL TPEDVTKTTTLKSTITSTNILTEPTITSTKTSIVTTDIGSTITPDPKTVTTTSKATTT DTVTITGKDTTKIVKSTVTSTSTISAAASTVTDKETVTITSVKTTSAKAGKTTSIATV TVGSEGTTTVSAKATTEVVTSISTKTATKKVTTTLSCDAPAKKIKRALIPRDNVAIVT DYVTEIDYVTKTVTTTLPAATDYMTDVTTKTTSLKAATVTNTITSIATKLSTSTIKAV TSIVTAIETTSVGKTTTLKASTVTNTVTSLVEKQATVTLPGQTVTSVSLKTAIVKSTV HLPAVTTTATVTTTVKSTTTLPGSTSTVIKTSTVTNHPSVTITNRATSTSTKVVKTTS TSTVTATKTAKCS PEX2_040840 MSSTPNMPENDARTRALAIPEIVTSILHQMDIRTLSTAQRISRT WKDLICTTLSLQKILSPRPISHELGLSTRVENPLLAETFPSILNTEEKDICMTVLIWE KYLAVREMFIRPKAS PEX2_040850 MAYLFRTTALGAFARLITGNKVMAFPSKKHEYCTGEDSSFAENQ EGNEEPWIPVTWVSDEDIESPHNWSTTRKVLVAFLICIYTFTVYCGSSIYVPSEEQVM EEFGVSAAVASLGLALYVLGYGIGPLLFSPLSEIPHIGRNPVYIITFGIFIVLSAIAA ICKSFPGFLVVRFLQGFFGSPCLATGAASLSDMFSVIYMPYTLAAWSGAMYCGPALGP LLSGFSVSIKGWRWSMWEIVWLAGFVFVLLVLFLPETSAPTLLFYKAKRLRQETGSDR FVTIESLRSKAVSRSQLVKLALIKPFEITLKDPAIAFANFYTSLTYGVYYSFFEVIPI VYPKEYGFNVGETSAVFTCVLIACIIGATWYCTWYRVFVQRGFERLDTFDVQETFLRP GLVGVLGVPIGMFLFGWAARASVPWPVPTLGVVIFCGCSFVVGLGIFLHLPLSYPEYA ASLFAANDALRSSFAAGAVLFGRPLYLNLGVGKGCTLLGGLSIPLVVGFWYLFMYGDK LRKKSKFTVHV PEX2_040860 MYDESPISTQSISGLLAMSEPASQMRRRTPLPLASVIEVENIMG VDNTLLDLYYEYFHRGHPFVLPRPNLQAKLASEWPSLRALLAVMQYIGSSYGESFSPR ENMPDDQTIDVVDGFVVQTTLLMALIKSMCAERAASEALLAKAIEQARLIGMNAKSFA NAAAENDPVLAESWRRTWWMLYLSDQNFSVIRYDFITSIHDTDHDVDLPCDDLNYCST DIPRTMLSSNDYRNREYALDTIHFSSFAYLIDATRIFVSSLREATQYENPHKAELLCS DLEASIVGWFVMLPPDKWQLAVQPVFLDQLIFQAHMMMYTALVYIHRPLSSLQHDPAE DLSSCGTPPPPLVSRTITTGTLSHKTHSDKLFQAVRNQNQCLTILPLGAAQLSPFLIC MIACCTIAYLVACKSGFTPDEVEVARSRIRVCLGTLKHYEDIWPRAKNILHELRVIAN ALMREDSVSLPPSTGFDLLAEQDTMAGDLFGGEWFNALGTMT PEX2_040870 MRASTDLPATGAITPPGESLDETLISFNKGPFTPSPSTEKENEI DGIWQQVADQCHCSLDEIEDVYACTALQEAMIALTFKDPRAYTIEHEYRLPREVDHHK LQGAWIKTAQANPILRTRMIPTGQLGCVQAVVQGSIPWEVQETDNGINGEIASPSWRA GAPLAYFVFNVTEHKLKITIHHSICDHWSVALLLRQADAAYRGEELSFHPFRPLVDHV QRTQDKANEFWGCMFHDAHEATMRAFPQLPTVGHSARPTERVERSFGIQDGKSSSSTV GTKIRLAWAILQSVYTGSDDTLFGAVNAGRAVSVPGVQDLSGPALASVPVRIKLCGQN TVSNALVAVQDEWAASMEFEHVGLQNLLRLGPGPAAACHFQTLLSVEPRDGHQLPDIF SQSRSTKLTYDMYSLILRCRPSTATMWIEASFDPAVTEPFQMKRILSQFIHIYEQIDT KPGLTLSDISVVSPEDLNDLRRQNILTKRPDVMPCVHSLIERRTRQQPLSPAISSWDG SYSYLALDHLSSALADRLSLHHVGLGSFVPLLLGKTKWMAVAMLAVMKAGAAFVLLEP SHPQSRLRNMCEAVAAPLVLSCGSHIELAAHLGVKALLNVNSFDSEQLHRNNTSRRSS VSPQDPVYLTFTSGSTGTPKGVIVHHEGFASSSMAHGKPYHFTPESRVLQFASPAFDS CIIEHFSTLIQGGCVCIPSTDDCQSNLVESMNRFAVDVACLTPSVTRIISPDSVKSLK VLMFVGEAVLASDVVRWEPFVHVGNAYGPAECSAVFSVQPSLKSSDPANIGFSTGGSG WVVHPEDHRVLMPLGCTGELLIEGPVVGKGYLSNPEQTAGVFVEAPPWRLQFGSVASR KLYKSGDLVQATGDGSFRYFGRKDTQVKLHGQRLELADIEYHLHRAFPKAHQTLAEVL RSSAPNTINDHRPEALLVAFICVPASPDADGNHEAEFLPPNDEFSKACAAAEASMSDV LPSFMIPRFFLPLSHIPLTPSGKTNRRHIQEQANKLSLEQMQAYRAVKIQPEAPSTSR EEKLQEIWAKTLNRTVEEIGTTESFFRLGGDSVSAMQVAAGCRTVGLKVAVADIFRFP SIKQLAERIQDSGSVSLSPAGEEDQTEVWFELSPIQKLFFERVPNGHNKFTLEFILRL SKPLPPPEIKRAIEKIVAAHSMLRARYEQRADGQWGQIIGRDVSRSLRFREHRVPSMN DRKALQTILSTSQSTLDIIQGVMIVVDVITTDTGEQFLGLMAHHLVIDLVSWRVLLQD LEDILNTGRTIQPLSRSFQQWCRLQGSYAKESLDPSKTLKTEIPRPSMDYWGSETILT ANTWADATRRTITVSKETTEAIIGAANGAFHTQPVEIIQAAVLHAFVQAFDDRLAPTM FSEGHGREPWDADIDISRTVGWFTTIVPLFLDVKKEDSVRRILQITKDGRRSISNNGW AYFASRFLHPEGPKKFQSHSPMEILFNYTGLFQQFERPGALLQMTSVHDDSLLPMAAD LPRMALIDVNATVMNGSLNLSFIYNQRLQHQDRLEQWISNCLQTLEQLPLELQQEQRL SAVDFPLLSLASEEQLHILLHQVSGRFDVSPSGIEDMFPCSPIQLGMWLSQLRNPQVY WSHIRWSLLPTPANSINVDEVKQAWQQVVDRQPILRTVFTDSITGQGHPVQVVLRTCE ANIKVLLGSELRAEGQVPSLSDEFLLNPKSPNTKGLPPHQLTVAIEPDGGAYCQLAIH HILVDGITEQRLLSEFHQACNGTLGAESAGSYSRYLGYLQTRDHRASESYWKEYLVDV HPCIFPSLGSKKHGTASSSLKSLPFSMAFGQNLRSFCQYHSITISSLLQVAWGMVLRV YTGSESVCFGYLNACRDIPVKDAHDISGPLINLLICRLSLADKSSVLSTLAENHEAYA RSLDHQHCSLAEVMHSLNRSGQPLFNTAMSLQKDAGSLFSEQAQKIKLVPEDGIDSTE TIDGDLTYWTHAVADAQAELVADTFHHIVLQLTDPKITDLSDINMSMGKNESQMLCFN YNLPETVRSCIHTDIQRMATAQPTSPAVDAWDGQFTYETLDLLSSLLAKDLVLMGVGP EVFVPVCRERSRWTVVAIIAILKAGGAFILLDPSHPVERLQEMVQVDFQCPVIITSSK YLEIAATLAPNTITVEDISQILHSAIRKDTIPLMTSPKSSAYAVFTSGSTGRPKASVI QHQSFLSASAAHTQVLRLDKKARVIQFASYAFDASIVEMVDTLLVGGCICIPSDTDRN QRLGHAIFEMQVNWALLTPSVARLLNQQHVSTLKTLVLGGEGMTRDDVHRWSPHVRLM NAYGPSECSVIAAAQPSPEYLSQDESNIGQPTGCIAWVAQPNRPEKLVPVGAVGELLI EGPIVGRGYVNRPEAMAAAFFPYPAWLCKLRGSSHGILYRTGDLVRRLVDGSIKYIGR KDRQVKLRGQRIELAEVEHHVRGCFPINDPEVFADLVAADDAKDAQLVVSIIQPEDSC DSQNFDAAVEQMLSRLRADVPPSLIPSAFVPVYRVPRLVNGKIDRKQLRHAASKALQV QISQGESKYQQRHSREMTQGERTLQNFWAQVLARPAETVGPDDNFFRLGGDSIAAMRL AATASEQGIQLGVSDIFLHPKLSDLARNCSLPEPKERTNSKPKELGDPVPSFSMLPAN HLEELKSQAVEQCNLPIEKIADIYPCTALQAGMVALTAERPGSYIAYHRFRLGSDIDL SLLKAAWETVAKHNQILHTRFIQSEIGFMQVLVQNSELHWVLGDTKEDKRLHWDVLLG QPLVQFEVIPALSEGSNKPQRLDLVITIHHALYDSWSLPLLVHRAQAAYQGQVLEPSD MTPFKEFIEYSVSQQKDALEHWRREFHELTAEPFPTLPSTSYRPQASKQTVRTIETGP VMDECVSRTTAIRFAWALVQSQYQSNDDVVFGIVSPGRAAPVNGIEGMAGPTIATLPL RVQIDDNSTVSRALRNLQERTIQLIPFEQVGLPEIAAIGPEAKQACSFQTLLVEGRGE AENLGTTYGPMEPMGTSSGDAASNTYAIQVAVMLKPNMVTVAVSTDETVIPAWQVEHM LDQFSHLLQQVHHHPTQLVHEIATLNDKDIQQLQAWNIGIPVRCPVSITDVISQHCEK QPLSIAVSSSNFSLSYKELDLLSTNIASLLHLRGASSEIFVPIYLDRSCWTVVAVLAV LKAGAAFVLLDTSHPQERLRNICAEVKPRFILTSPEHRHEAEALFQNVVIMPQSIDSE CASIPQYRTSRLGPDRALYAVFTSGSTGKPKGVVIEDGSFMTMTNEVSRLMNIGPGSR VLNFSSYAFDVGISEILGSLIAGACICVLTESERRGRLTESLQELRPSHAYFTPGVLR AMVPNDLGSLRTIMLGGEPLRSSDIKQWVPHARIISGYGPAECTVTFTVQSPIDSSSQ GGNIGFPIAGACWVTDPRNPDRVAPIGAVGELLLQGPQVGRGYLNSPEQTSTNFIPSP TWMRHGGFTGGEKEGRVYRTGDLVRYEKDGSLSFVGRKDLQVKLRGQRFELAEVEKRL QEIWPEDLTDIVAEIVTPVASMNSKCLVVFVASKAAEWASPVSSSPIPSLEIVATTNF AAQVPSVKRQLGDILPDYMLPSAFVPLRRIPHTTSGKVDRKQLRDSAASATRVQLESL FAEAPSDKRVPAMGTERELQHIWAKVLDIAADEIGAEDSFFRLGGDSISALKAASRAR ATGIAHSVESLFQWKTIMRVAKHATLVNAAETTDVMQTKASSMRHHIPYSLIRDHERA EIFASHSAPEHPLIKNNVEDIIPALPFQVFYITHASPVSMAQIFPVTLDIDRLKSACR HVVAHHSILRTVFVEANGRFFQVILRDVEPVLNVVHCDDPQAYVARESEQKVPPYTAQ GTMAVSFTLVTSRIKQCSALILRISHAQYDGASIPLLWETMKKAYHNEPLTPAVQFKD VAYKRMGDLNKAESSFWRRYLQGAPSDALDPLHQTGKTRVSDNDIVEKRQTAMPSLPP DMTMSTLVKAAFSWVLFEKTTQSDIILGQVVHGRGSSLLDANTAIGPCLNHLPVRIRI EPDWTVEDFLHHVQVQQLEITGHDQASFDAIAKCCTQWQPGSKMACLVHHQSDEATEP FEMGGVRSSSGRDWASSKLAHGQLAIISVEHGSQLELMVTATEDTMDQRSVEVLLEKL VATIQLFSKFTQCRLGRMNSRIHT PEX2_040880 MVANLAESKDETDKPASLGSYARILSYGASHGGIYIMILGLVCA MASGIALPLMNIVFGQLVGNFNEYFIPGSGITEQSFKSSVNQDSLRASGALRLEYTQA LFSLPMSKIDEMSVGTVTHAITALSNTIQQSVSDRLAILFQSLALLIAAYAIAFRYSW ALTLVVSSAIVFVILGFSLTMPFLVKGQQNVDKADEKHAALAAEVFGSIRTVFALGAE QPLFKKYTRWVEEARKRGLRMSLVSGIHLAMLFFAMYVSFSLAFWFGLKLYREGHIAN INTVITVFFSVLLVVTILGGIAGPLMAISKAISASGAFFGVIDSKRDDPPGIRDPELS HADIVFQNVTFSYPTRPEMTVLKGFHATFERNKTTALVGPSGSGKSTIVAMIERWYQL QSDEEEEETTSGHIHVGAHNINDLDVKWWRSQIGLVQQEPFLFNDTIYNNVAFGLIGS PWENDIEAVKMELITAACKQAFADEFIDRLPMGYSTAVGEGGITLSGGQRQRIAIARS IVSQPQILILDEATSSIDIQGEKIVQAALDRVSKDRTTIMIAHRLSTVRRADKIIVMK DGQNFEEGSHQELIHKQGIYHSLVHAQQLAPLTDLLDAGVSGSISSQKEEVTAQDYTT KEGGDPNSQDTPELEKGFGFFHSFGVLIYENRSHWLLYALTLIGAVGAGSGFSLQSWL FARLVQVFQFTGEKLVSAANFWALMFFILALAMATFYFMLGFSSNSISMFVVSNARMD YFYNLLSKPVSYYDREENSSGSLISRLSTDSKQLQEMFGPTGVFPLISIFNIIGCVAI SFAFGWKLAAVTFFAAMPFLFLSAFMRIRYEIKFETLNAAVYADSSKFATEAVRAFRT VTALTMEDAILQRYSNLLKDQRQKAIRKAWYATLIFAFSDSVELCAMALAFWYGGQLL ASHEYDPVAFFVVYIAIIQGGQSAGQFFSFGPNIAQAKASANRILAARRPTVGQLEQV PTGPLISSDHTPSPSVELQNLSFQYSSREVPTFVNLNLSIESGQFIAFVGPSGCGKST LVSLLERFYDCTQGTILFGGRDIRSIELPSYRSALSLVAQEPKLFEGTIRENLLLGLE APNNPTTEDQMIQACKDAEIYDFIVSLPDGFLTELGVNAQASLSGGQKQRLCIARALI RKPLLLLLDEATSSLDSQSESLVQSAMERLASKRNMTIIAVAHRLATIQKADAIFVFG EGPSGQGSRILESGTHHELLRRKGAYWQMCQAQALDR PEX2_040890 MHHLRDSLLGSLPRDAPSTGDHARRDQADTRQSIARGDFTEVRE VAFSNRTWVVTSRYCDIGDGVDSLEGQIHSLWYMYYELGRNISSESPEHEGIVLDILR IQGMGPLTRPSRGVNGIDIARTVDGALWNDLPFLAGDMTNFWLNNGASMSGTHRLNFA TFLAKLAATRAAKDRLCQVALLIFRNLFESSQALRTGQESDEEDLNRGIKQLEVFHVL PAAVAWLKIAGHNLLLLSEVYWNECPSDISRGGEEFLESELGQRSPTGFSPWRYMFWL RRLHEIQEEAKEANERALEELATNGIEYMVNKIKARNAEILRAYKNGGDALHQDKHLS CLTSLAGLEEPES PEX2_040900 MQITRIAIFLFAAMGAVASPIVAESRDVDAQALSKYGGECSKEH NTCTYRKDGKDHIVKCPSADNKKCKTDRHHCEYDDHHKTVDCQTPV PEX2_040910 MLREVKAKNPRTARILKAREPQLIEPPKKTLIFHGAKCPQALDT VLKTFHALTKPHNILFHKKNEKLHPYENSESLEFLANKNECGLVAFGSHNKKRPNCVT LARIYNSEVLDLVELMLLPPRDGETIPPINELVMDVGLGLRPMMLFTGSPWDDPTSTA HIILKSTLLDMFKGEETTQVDVEGLQYVMMVGAEEPQDGLSPVIHLRWYRVVTKRSGH KLPRVELQEVGPKFDFKVGRTRQAAPEVQKESMKQGKRPNEEARTKKNVIMDSMGDKI GRVHLGKQDLSDLQTRKMKGLKRRAGMESSDEEDEPSAEMMEVDEVSSDEEEESHKKA RKN PEX2_040920 MDALLSQLEALVLKPELAPLLSLVKGARNGVVYGSKVRFPHALV VREKTKLVLKATRQHARNLATFAIIYKASMIGLRNIPGGAGKEGRYDSFFAGLLGGYA VFGRQPGSISQQIVIYVFARVMLALAKLAVQPNMHPLSSLITSDSRTKITNNAYPVFA GMTWAMVMYIFRWHPETLASSLRSSMVYMYDSLLLPMILLHFLEFRGSETLFPMLTFI PEX2_040930 MSSSTNQHLPTTSSDLPSQQAATAPHLDPNAQLGNNGYAPNDNQ LAGLVEAATAAADQDVSQWAAAAAVAAAAGAASHHHQLDSYADMDLSENGFGDANFGT SISGARHMRVPSHTDHSQSSGLTRTATKKRKRNDDNLDPALAGAGLSAAQHQSHSSQQ TPHGYTGEGIDIRPEQQQSLSDARAVGIHSAAALFRQPSGNKKYTRPPISKMFSSLEI SPENFLHLQAAAKNYMLNDEHPERRDCVGQRGKGDTEMVKLRLWNCVRQFLEAEGNGE RFFGENVVNEGMGPRAYIWPRDQHKIISLVIPLLRRMVTNERQRQYAIETRKGGGAEE RRRRKTEDFSNLNSPRFSPEQHLQMQNQTSHRDDMSQSMPPPPQPMQQSAMDPSQPID LGLTDLLLDGYTVDWEEISRYYDTYNENFELDNLWSLSGLQQPDWRGLVAAVDSHYHV IHNGNYDCASACEDQNINRIIHADTTSSLQWRIGGGRNLPARDEFASSITRDVSRIIR ENLASRHGHPAQAPDPHFHQPFNPLPDSTPTTSTATSSHCQGQTSLRVSILQNGKRVL PRFDLPAGQCPHIDTVKQAILRRYPGQIPGLPVFQGVNEARESAVIDGWKVKVWLPDG LVPVQNQKDWTIAVLSADAVDWMDGELRVLVEVDEGGGQ PEX2_040940 MLLPRRAVIFLGVCFFFVLLLTCRSLSRPWRDVPQVIGLGDLVS SSYNTTGAWNTTRSHTNKLYAPPPNFIPGTPKPPGYEYSKVVVVTRTKEEDTSWIAEE LPDWDHAIYVADDPAAPLHPPKNKGHEVMIYLTYIVDHYDQLPDVAVFMHSHQFAWHN DNLFAGDAAQLLRRLNLNRVIREGYMNTRCGFGPGCPAWMHPGALEEDESKQEETMLA RAWGELFPDQEIPSVLAQPCCAQFALSRDRIHSIPRARFVFYRDWLLSTDLSDYITGR IWEYLWQFIFTGEPVLCVDESVCLCDGYGFCFGGNEELNAYREAETKKNDIQRELDNW MWLSDMGFDPPVEEDGIPEPDDPEGEKGNELFDQLQEKQQELVSTLLAAIERGKNPQY RAQEAGRPWKEGDGF PEX2_040950 MRLAAYAGASVVLATGVFLKALHQRSNFYSACVYLSQSSANLMI LTNLCLLITGFVLFWLQRLLYGPLRPIETEQLYERAWFAVTETCLAMTIFRGELGGWF LVMFISLLVGKVWGWIGEGRVEFLEQQPPANPRLFHARLATSLVLTVLFDVFMLRYCV HTVITLARPDMMVMFGFEFAILAILSTSTLLRYVIALTEISITRQQIKAKMQERRDEI RVARVEAIREHARAGAASPPDNLPDENDINEMEIDVPGWEEKGRWVFYLDLLTDLLKL VIYLSFFGILLTFYGLPIHILRDVVVTIRSFTRRIMDFMRYRNATRDMHQRYPDATAE EVSREDVCIICREEMIPVQPAQPQPAANAAGEPAPQPAAATQRVPDRLRPKKLPCGHI LHFSCLRSWLERQQNCPTCRRPVIIPQRTRGAAGAGDNNARGGQNGGIQPGQQGADAQ PRARVYQLGPFRVGFGAVRGDLFNNLHPQGHQGNAPQPGAPANAPGGQIGFGFGFGRR PHAPTPAPAPQGAAPVGSTTAEINEQLLQIEQRLNQEIATLRAATEHLNHVRQLRVEL ERLLAQARAVNQQAAGQPRVGQPGPLPPVTPGSSVLTTGHQYGANPGADVLNSGDPRL PEGLTLPPGWTLLPLQRLDNGANGVEQTVQPTPTTTVISPAAPAVTSPVAAQPPAFPV PVQGQTSGDVGVNARGSTNAETSPVNGISNPPVLQPTPTVPSVENRAESPSQEWTDVA PRGSFEARQASSIPTTWGSNEQSSNSVSGQAETQPESASNGKGRTASVEETPDEEA PEX2_040960 MVTAVLPTVTGTAFNSQAYRASVSSELTITPERARNGHSTNVGA SSASPSARLSGFVGMCAGCGALVALVVFLPLAARFEKMGLSPAQAIQRSYYVVAAVSL VICLVCFIGLRDLPGEKGKSWSALWKTSHHCDDEDEDDDDLSIHGSTLPYWKQLTTAL TLGFRNRSIGLGYVGGFVARASSVGISLFIPLAVNHYYRVSGLCDEEHEPVPGSGLGD IKKACPRAYIVASILTGVSQLVALIAAPAFGYLTDKSRRYNFPLLFAALVGIIGYIIF AMLPNPLFERPDGNPGVFVVMALLGISQIGAIVCSLAVLSNGILRVSIDNETLRKISE ERRVRGDENEAEPDEGQSLLAGSGNQRLEHLSHLKGSIAGVYSLYGGAGILLLTKVGG LLFDILSAGTPFYIMAGFNGVLLVIGIVCGGINHFRSSAGRTW PEX2_040970 MRFSTVALLTGATAAAAGNTATLLLPGFKGQDLEASVIETNGDA TTYKITCPKTASACGIAGEGMTAIAAPTSMQLKNIDLQGTIGTVSCNIAGTTYASCQA SAGTVTPSGTLAQDDLNWMPVTISATPTPTSTSTPTQTPTPTSTSTSTSTSTSTSTST STSTTEVTSTSTPAITSSSTLVTSTPKKSSAVISSTPLAASTPLVAAPTTTAVIGSGA PAASATAFNSAGQIAGSVWTVGGAFLALACAFA PEX2_040980 MSTILISGANKGIGRGLVSKYLARDNVTVIAAVRNPSSSEATSL SELPTGESSRLIIVKIDASSDTDGKVAVESLSSQGVSALDIVIANAGIFDTAAFVAVA EATTSQIQTHFDVNTLGPVRLFQATLPLLQKSSSARFILISSLMATIGGIKDIPLKVG PYGASKAAANYFARKINYENDEIATLAIDPGSVKTDAGNHAAQTMGYPGAFVELEDSV NAIVTKIDGLNKENGAGEFWSIDGTNPSW PEX2_040990 MDLSFEVYRGSPSGHVVSDTTTRILGPNEVYIEITHSGICGTDE HFLNSTQVLGHEGIGVVRLLGSNVTAVKVGERVGFGYVRKVCGWDQYCRNARIYGECD FDVGTFGHGTVWDVSCVFPIPNGYSSEDAAPLLCAGATVWNCLSHFGARPTDRVGILG IGGLGHLAIKIAAEMGCHVVVISRTDDKKEDALRYGAAEFHVLDQVDHSSFRPLKHLL LCGSHLLNQASLVPSTMV PEX2_041000 MSTNTTEIQNKDPATWPYAYLMSVPRFLWWERNVVSFWYLYSES QELDAMIMEINNSFDEKRNVLFQLQPEYMTPTTKMDDSAKEPIYLDQKKTVLSLPSLS TARFYKGIWEKHIFASPFEKVEGSISTRFMDPLQEFPFNPTDSIANVVSVGLQGESKM ITRISCREPPVDPVNVTTTHLVKSILLWTVPGTLTTPRILFQALKIQYVQGLMQMMDR PVIQPGSVARHPTSIERNLESFWRAFLSRCVTSFPEPVELTYIPSASISNEHVRLLSP CSKSAAAVKCLTVEVVDPGFYARVVNYADLWEGISHEQQQKENHADATSRPLTVSDLQ LLHTLISSFQKMVPPFPRGFGLTLRNVLAWCRGSRSEMDSFVLSSTAPFLHPRYTDCV IRIALMHRFAMNSPSLLWIYGVLARWMLLNMGLSVLSIMGIVPTTYSPVISVIMYLLL WNIGHVAVRAQLFPLTF PEX2_041010 MLPLGSVHDTPLKCLRTLYVHLLGIDEATHGRNENPAFLRELLS GLQILQSHFPQLLLVLPGRPFPFSISSEVVTHPIIGSHAVHVV PEX2_041020 MQRMTGASILDMVYGYKVEPSGPDPLVDIADLSNKQFSIAVQTG IWIVDSVPILKYLPTWFPGAGFQRTAREWRHNLTSLAERPYAFVLHQRSKQKDAASYV SRHLDLLNSPATPEEESVIKWTAGVMYAGGADTTVSTLLTFFRVMAQFPDIQRHAQAE IDIVVGSRLPTMSDRQNLPYVDALIKEVMRWHPIAPLGVPHMATEEDEYNGYRIPKGA VLVANIWSFAHDPEIYNEPMKFNPDRFLECEDGIAPECDPQKVVFGFGRRICPGRFLA DASLFLTISKSLAVFDIAKSVGEDGKEIDLPDEFSPGIISHPSPFRVQVRPRSKHAEM LIRSVELEDPWLEGDSKVFNDIQF PEX2_041030 MVHLGSALAVGLLGLTAAVSAHPGHNHRAEAAERRAFLDNASVH QRSLSKCTNKLKARGHENTNVMRRSNLVKAIRRRRGLEQTAHFLNARSLDSVLATDHN STLTGVSASTDPSVLFGSNATCILGPDVTQGPYYVTGELIRKNIVEDQEGVPLYMDIQ LINTNTCEPLEGIYTDLWHCNSTGVYSGIVSSGNGDSSDASNLDTTWLRGIQPSDKNG VVYMESIFPGHYTSRATHIHVLTHPVNETVVQANGTISGLYSSSSSHVGQLFFDQDLI TEVEKTAPYSTNTQELTTNAKDSILSEEADTIDPFMEYVYLGNSVSDGIFAWISIGLD PTTDTTVTPAAYYTEQGGVENESSGSGMGGGGSAPSGTSSGSRPTSF PEX2_041040 MIDFLCIYPMCLDDRRRNTRIELGTDLLSSHTYPALTGNHDSSQ ILMQSDCVPNSTKMMPEITSSDAESVPVPCIPLKRTFDQMDAAMEEASDFTRRLSPAS SIPAPVIRASGLPDIPDFLLLDSVIGADINHRKESILLSPVLAPIAEESSEDSDGEDL NLLIPESTASLAEIEFSNLKSDLLTPHSSPPAPMNPPTMSVPEVGHDRPIIAPSPTPS LPVNSLSPDNHDNNGDTSSVASTSDDSDSVFDDGQSENTSSYTASLLSDVKNYAYENG RRYHSYREGHYVLPNDEPEQDRQDLLHHVRNLVLNGRLFRAPLDNHIQRALDIGTGTG IWAIDFADSFPSAEVTGTDLSPIQPSWVPPNLRFVVDDAESQWLYSPSRPFDFIHARD LGGAIADWPRLMRQSYEHLRPGGWVELQEFEVMLKSDDDSIRLAPALCEFLERLTQAS EAFHRPMNIAEGHRQRLVEAGFEDVRDEVYKVPSSVWARDPVQKEIGRYNQCSLLMAV ESYSLALFTRVLGWSNNDTQVFLAGVRKDLKNPAVHTYCKLHVVYAFGARPQGACTIY TFCWSPLRHFPGPKLWALSRIPSNLSVLRGYNHLDILALHKKYGPILRLGPNELAFNT AQAFRDIYGTRPGGCFPKNRSNYIAPVNGVDHLLCAVDDATHARQKRLLAHAFSEKAL RDQEGLINGYVDTLINKLRSQVRQGTSIVDIKSWMNFTTFDITGDLMFGESFDCLKDS QLHPWIKLIFNSMKALAYIGVVNQFPMLKGLLDLLLPREVKRVGQEHFDLSVQKVDRR LASNMARPDFMSAILQNGLSEEKGRYLESDRIMTRAEIHSNGFILIVAGSETSATLLS GCIFYLCKTPHVMSQLVAEIRSTFKQDTDMTFRAVEELKYMNAVIEETLRIYPPFVTS LSRLVPQGGAVVNGHFLPEDTTVACHHYASYHSESNFAIPDKFMPERWLGPDPVFEND KKDVLQPFSLGPRGCLGKHLANCEIRLILCKLLFHFDVDLRLESTNWADQKVYFLWDK PALMVTLKDRFPDAGVSGS PEX2_041050 MDTTLPDASGPSRADMDAAALESTIAITTPRARVLLKVEEPPKF DLDTYIANYTGRTRYDRLYLIGTCSPLLSTDALKAAIAEARTSKDVSRYEKAVRALAE VAPSDPDASLDAAWVQETNRYVQTQTERLEHELRGYKNNLIKESIRMGHEDLGNHHYE TGDLAAASKAYSRMRDYCTTPNHITSMLFKMVNVATERGDWMSVQSNVHRLRNSQSKP EDAAKNLSKISAASALSQMHQGSYLEAANSFLSVPPELGDSYNEVITPNDVAVYGGLC ALASMSRDELQKNVLDSQTFRTFLELEPHIRRAIACFCNFKFRQCLDILEAYRPDYLL DIHLQRHIPQLYKRIRTKSIEQYMIPFSRVTLDSMAKIFAPTVVGGEARPTDISSPFV QELVGLIQDGVLNARIDLEKGLLVSNQIDLRAEVQRTTLESIREFNEEAHWRILHAAV LQAGLEVRPEKTEGPGLGKGARFPRGPGGIN PEX2_041060 MSQTKQVALIIGASRGIGRQIAIDLAKNGYAVMLSAKTTSDASK VTPFPPDPNSSQSTINTVEREIHEAGGHAATVTVDVRDAAQIQHAVEETVRVFGKLDV LVYNSGAIWWSSVENTPLKRFKLMQQVNPEGLYATVQAALPFFEKAGWKGRIVVVSPP IYSRFFRGKTAYAMGKVGMSVLAKGLAMDFVRQGRNEMAVTSIWPASSIESAATEHNK GSDKSYKNDLRKPTIFSDAVLAMLRAPHEIVNGLLDTDEDFLREKCGVSDFSKYSVIP GSTPRRIMPANFPVLEVAEQDDEGQRMDSTKVRAKI PEX2_041070 MLSMTMFILASAATLVNSQFDPTSVPYATREAWCNSQTSACPLL CLQLPGASGSPISNTCSPATLLYYCLCSNNVTPNATEYSQTIPYFTCTETNNQCVLKC NGDSTCQNNCRVQNPCGAQDPKRVNVTTTTAKAATTTATATPVNTLVNGATGAAPHMA SVDMSHVYGLCVLVGGFVAGFATLL PEX2_041080 MEPAPEEAGPASEPHPSSPHPDTQPFPALDTIHANPTATNTHSQ NGPPQSSASSTSTTSRPISAVVPPYWRRHERNASHASQSSLHGAARITLEDHTADPNS ETSRGLWASSVAIDDHVVVRGMTGVGSYVVWNCTVQTLDVSFG PEX2_041090 MTTPTEFHETTAPKKKRTRRARKENTPGPVTMKPKKILFVDEIG DSLVDQLCEIAKRNDNDDNLAASSISLLDHLRSNITARPAASSCSSSGSFHTISYSSP ATSVNSHELPLVTPSQVPTKANVLHPTTDSLYTKAEGRDQDAVFRLDDFKTMTAIQQI AALHGRVAHMGILDHSYQFFVNKSRTAALSFKTQNGVAVIGGDPLCNKDEISELLSEF AAYRQRHHLSIAFMGASESFLKDYAQPKSWTTIHFATERVLNPQTNEVILENSGKRIL TKSRQLTNKNKGGITMGVYAPAVHGINQELQTNLITIYDAWRAERNASASPQAFITVY DPFAVPALMTFIYTRTPDGNINGFAALRRLGSGGYHIDPCIAAPGSVNGISDLLLIMA MALLRRAGVSYLGLGVEPLQSLTPEDVSGMPWPCKKFTRGLYGHAFQRLPIGGKKAYH DKFRPDSTQDSDLYLVFPSGIPSPRHMLAMTHMANISLRKMFRADVESFVLTRKLKAG GKESPAEETDTGKGFKEEEVLVLYSPCVR PEX2_041100 MASGCTTSDPDGNGPNGDRERDDKSFHLTPLETLASVAVAAAAP AITPTVEPPTMEAEEPTVQKIEDIGEHTGHNTGDNAGDNTGDDTDDITEDDIREAISQ VMHQTALEGLQFAIPRTSTSGNGEGSSSAPAYRQHDCIVARTVNRHAPYLADVPDIIL GQVWIDHIDSMMGVRTQSQTDEMRFCIEHTDRIIQLANDVLGRQRELLADLRRISSEI MGFGDKEGGSGDGDDTVANGRSIVEE PEX2_041110 MITNRPATLADIPQVRDINHWYIVNSCSTFTTTPPPISHYEDIL RDLMRRNLPFYVVVSDTRKTPDGADLILGYAYLSPFRGHLLSYAPTVEMSIFMRYDQQ QYGYGTIILRKLLRLVQEGEVEHRCEERVGDIPRIGFGSSMGVMKTSLVQNIIAIIPY DTEAPADGERLRKWYMKWGFIEKGQLENVGRKMGHWIDTVYLQWTMPEPTDG PEX2_041120 MSGSEITSSSAPVGDLRDGKGNEFIKVQNEDELRLAQMGHKQEL DRHFSLWSLIGLAANCTISWTGLGLGLMTAINAGGPGALIYGFILVFILQSFVGASLA EFVSAYPTEGGMYHWIAAIAPKRYNSLLSFATGWCTVFGWIFTTASTNLIYATTAMAL IALYHDGLVVQPWMTFVAYQILNILTAGVVMFGNRFIPAINKFSLVYLQLAWFITMVV VAAKAPLHNDSKFVFRTWINETGWDNNVICFITGLVNPMYSLGGLDGISHITEEMPNP GRNAPLGLAITLSIAFVTGLSYLLSLMFSVQNYGSLADTHTGLPLAELFWQATSTRGG AFGLVFMVWIALGPCVIGSQLSTGRVFWAFARDEGLPLSNIWARVNPKLGSPFNAQLC VTVITGLLGCIYLGSTTAFNSMMSSAVTINNLAYVVPILTNVILFRRTMRRGPFFMGQ TVGMTVNIISVAWLVFAIVFFSFPYQMPVTVSNMNYTCVVVGGFLTIELIWWLIAGKS RTQADGLPPFKAITIPNVKRLSKGWDLKCWESDIHLALRNRNLSAVISHHLPQPTHDH ISYDNWLKWSQLVNKWLIHNLDGGFAILLESIRPYLDLADETYLAIRDLRFPDKEDIV SEFIKLWSMRRHHFHSIAAYVGAWRAQYAATKVMLHEIKGEMPELCSYINYQIGDPRA TSGKMTYDEFDGIVNGILRTFF PEX2_041130 MEPPCIPDNRPHKRHSDMSSTPTIVEPDGFGAHFKPSAHNSNSS RSHSPGQAYEPLAFGQPAIGRPPMGPQERSMPRNTNGTSNGIHNGEHSFRRPSIDQES VADSVATLDLGYHTIGQPLRREKHSSASTGHQPFDQRSLGALSLDQQSVGTQSLGHHS IGGPPRSYVSSPTSSTRDLHSSASNRSPKSSFVTNELPILQQTNPNEGSDQFNPIFEE NEEASFDLVAPYEGDAAPLHTLERQADLMFCSDHMLAILSNPRYLARFREFLAQERPG SFSTLTYYLNASKSLKAIQYANSLVRLAVDVPTSGVETAEHPVGPTVNVALEQRVQVA LDALTAEELPAFITSTCINITGKVVEERVRGTLPDKFKGTADALGEVFCLTDPSRPDN PIIFASGEFHRTTQYGMDYVLGRNCRFLQGPKTNPNSVRRIREALKAGRHHSELFLNY RRDGSPFMNLLQMAPLCDSRGNIRYFIGAQIDVSGLAMEGAQMESLQNIQAQKENPET GGQIIQESKSEFQELGELLSPRELQNVREHGGNLFQPIINEDPNHRLFLQDSDTESEI HTPSQGPQNTTPGPTPSLSLTGVYRNYLLVRPYPSLRILFTSPSLQIPGMLQSSFLNR IGETGPKRDNIINAMKTGRSVTARIKWMTKFNDQGRHRWIHCTPLLANNGQIGVWMVI VIDDDDEHSVRWQGNWPTNY PEX2_041140 MSPNGQAYLAKPDAPEGATRFPQARLAPLSNHRTIFVSGTACCR PDGTWPGVIENLNGTYTLDIGKQTAAVLDNIDNIIKGATDGKGGIHNLIDAVVYILDM ESQYAGMNEEWNKVFKTRDSAPARATIGVRELPDPRMIVEVKAVAVVDV PEX2_041150 MSGPKSQHYQIDDFTFEDGTGPTSIQLAFLDINPTADKVALVLT CFRGRLQSTLNFSHGTLKEHRVIVVALFGNGESSSPSNMANFPPSVNYQDCVRAQHQL IHSHLKIQAIDVVVGFSMGGQCSYYWTLMYPELVRNAVIICSSARTSRHNYQFLEGPK AALEYSADYRKGDSTRPSSKPLGGLRAFGKAYSAWLTSPEWFEKEMYQSLGYKTLSDW DKDTAGTNYHNWHPDDLLAMVGMWQRGDITLISGDVSLQETLSRIKARVLVMPCSTDQ YFRWEASETEARVIPHSTFKVIPSVWGHLAGLGCNPADKDFMDEAITWFLKEQ PEX2_041160 MSSLFTDSLFGVKGYVAVVTGGSSGLGFMIARGLVRNGAKVYIV ALPSEPIEKKVAELNELGKEFGGSAHGIPCDVSDKQAIQDLATQVGQQERHVDMLISN AGIRRDPPVQCNVLEASLSELQASMWSSRHSDWADTFCVNTTAHYFLSVAFLPLLEAA SRLELPGGRVGRSEGRGVVVMTSSCASMHNATNVDLTSYATSKAATDHLVKLLAAKFS RFYVRVVGINPGFVPSNMNPVGEEGNLFSALFDRVPAKRAGIEDDIAGTILYLVSRAG AYVDGISLCVDGGRILLANDVSISRYLGGWAHLTALFHRIDRHTQDIIMIHHSTQRYS RLLLKRERKYIKILHLFSLLWEAITTMSIVSISDGVGSKINEKSLRSFAAQLHIETLD DKDAEDYLTLLRSLEAVLRSIDNATDYIAPDLLPQTTLEHRNFWKPAPENNPFNGWSH QCDIRSAVPTRNLLGGRTVAIKDSIYVGGLPTTLGVPQSLFAQGNEYPISPIDAVVVS RILGAGGIIKGTSTCESFCASPLSFTSATGTVHNPLLYNHTAGGSSSGSAVLVAAHRL ASRSGSSMGQTVELAIGTDQAGSVRIPASYNGLYGLKPTFGLVPYTGAGSMSPMIDHL GPLAAKLEDIAVLLEVMAGYDGYDPRMTPESPLVHQVKPYVELLLQTRQEIHSTSRPG HKLRIGLLKESFHMPGVAADVRDIVYQAAKRYFEAVGASVIEVSIPMHQQGPAIWTAA TRPSMSSYLCQGNPSGHLSFLSPHAQIKWPPSQETYDTLTCTNPAVVNIMLSEKFARE SSKAGLEAKAHRMVFALRAAYDSALEHVDILVTPCAPTVAMPHPDPNGPDGRRASVLD RLGVAVGLTSNTCPFNVTGHPGLNVPCGFSTAEGHPDVPLPVGMQIVTKRWADERLIE AAALFERGREILESKVDGLSPKGDCCDSSR PEX2_041170 MDSKAHGTIDWLGFQLHQLKEQEHAGPVMTTVVVVLLTYALYTV MYATDIPHIKGIPEIPGAVPMFGHLLKLGEDHATVCEKWWRQYNQSVFQIKLGNTRAV VVNSFDDCKKMLLGNQNAVIDRPKLYTFHGVISSTQGFTIGSSPWDESCKKKRKAAGT ALGRPALKNYYPMLDLESYCILRDMKKDSGDGQIEIDVRPYIQRYALNTTLTLCYGIR MDAVYDDLLREILHVGSSISLLRSASENLQDYIPALRYLPNNEKNARSKNLRDRRDAY LDLLLNKVREMIKNGTDKPCISAAILKDQETKLSGVEVSSICLSLVSGGFETIPGTLT SCIGSLSTEEGQEWQDRAYEDIKRHYPDIQEAWTGSFKEETIPYINAIVKEAGRYYTV SSMSLPRKTVSEVTWNGAVIPPKTMILINAQAGNHDIDHFGPDAGSFNPERWLKTVSP PTEDESIGLGHLSFGTGSRGCSGQYMASRLLYSALVRIISSYKIVASKENPPNTDYVE YNQFKTALVAIPREFKVKLIPRDTAVTDKCLDLAEQRTREHYKE PEX2_041180 MMPDNGIAPAASAAPSSATARARASCSRCHKRKKRCDRALPSCK NCVSARVGCSFEDDDLQTASYPIVYVQGLEEKLRRLGRAMAAKEQNTPQVAQATPILN NNHLYDGFEMPEITMVDQRCSPQGVEGSPLGNASTLAGNAAGLIQGLLVTPRPTPDQT STFGQQLTALSLEATAERHLGSTTGLSFANLTQMILRRLAPDKADFVFNNHQDDTTRI NLLDLGSPSDPFNESFFQSLNESISPYSLLFGDLLFTDFAGSGAALDSLAWPSDETHV RRLVDFYFSHSHTLYPILDRSEVMDTIEKIHQNPQNLATQTPLHAFRIWMVLAIGSTA YSSVTLTEESESMLFYNKALQYSEQALGGDEMSTLEAITLQVSYSFFNQLGPNTWFLV GTAARLALGMGLHAASSYRKFPLNVQQRRKRIFFSIYMMDRVVSITLGRPFALHDDDI DVTPFEDADEEFIHADCISPQSPLQPSLMAVPLHILSLRRIAGKISRQVYGNVKDTTL TLQEREAILASLHQELLDWRRSMPFPLPDINDSVPHLNTTWYDFNYYTHLAMIYRPSP LCPVSDLKRIKMLEMAASMSLRQAFSMHQQQRFAYNWLNFLALFTATLSLVYAITAQP DDLSTVLRNTRAIADLELATQLFETLGFKFLAAKEIQDMIAKISRRYKEVRALKDTEP NVAY PEX2_041190 MITWSSIARTFQRFKLPVPPGFLVTTPEQARHVVSVISKSTPSS PVPRATETNIPSDGPSMIKAQVLAGGRGKGKFNSDGKSGVRQVYSPDEAFKSATNMLG YYLTTAQTPEDGLRVDKLYIYKAMSIAQEFYLAMTFDRQHASPVLLISSSGGTDIESN VDKLHKLWFGLSTGITDEIDAYIQAELGFSDLEMKDIHRILVRMVKLFKEKDATLLEL NPLVRTEEGEFICLDAKFGFDNAARYRQEGIFALEERSPEQEEEHQLAEMGLSYVRLD GNIGNIVNGAGLAMATNDLISLYGGKCANFLDVGGAATKETLSKAFGVLMRDRRIKGI LINIYGGIVRCDMIAEAIVAAASEMGGFKCPVVVRLQGTNSEKGLKLIEQSGLDNLVV EADFEKAARMIVKQTTGVEL PEX2_041200 MAEKIAVDSNYYALTQDWEPEDFQSETTSIASSIAKGRLENGRR YQALKEDDYWSPSDEQQFEAFEIGHMMFLVLDHDRENPLHHAPIGKSPQHILDIGTGK GTWAIDMADMYSSATVRGVDIFPPPVTWMPPNCVLEVDDVLREWTWREPFDFIHMRLM LGAFTPDGWDQLYKQCYDSLTPGGWIEQIELDVRVYSDDGTLKEDGVLATWGDNFIGC SERAGRSLLTQETMRGAMEKAGFVDVQEKPYKIPLGPWPRDKVLKEVGQLQYAHWVAA LEGWAMWLLTKFGAPTPWTQQEVQVYLSKVRAELRNPRTHAYEYARRVWARKPTAEEE MADSPVKIEPEL PEX2_041210 MESADPDVPALQARDTKPFALRILPLGASITRGYKSKDGNGYRK WLRQQLRYAGWDVDMVGTMKSGTMHDNDHDGHIGWRIDQIASHAKLIIPQQPNLILIN AGTNDALQNYQVKTAGKRMDSLLTYLFDNIPDTTIILSTLTFNGKKPQLGTDISTQYL KLAAERRARNESLVIADMSTFIQWNQLVDNIHPTAAGYEEMASVWWAAIQEAEKEGLL KAPNPTSTGTAAISKAREKLLDDSTADPSLPAYTAPPQPTIKKVKNSSSRSYEWHIWA VAFQMIIICIGFCYV PEX2_041220 MVRFLTDKRVAPTPATKPEQKQDLLVIGAGLPRTATSSLQAALE ELNLTPCLHMAQIIPHTSRQELLIATTHIKDTATRQKQLHTLVSGYAAVCDMPAIFFL SDLMDMYPDARVILTTRPNAETWAESCRESLGFFFTRWFAVLGLLWGTERLWYRLNMR ILEWCRERFGAEEDIFSLGLYERYNEFVREVVRERGKELLEFKAENGWGPLCAFLGRE VPGTDFPRVNERKTFAFIKRVLVLKGVVSWMVLGGSAWLAWRYGLALFLYLVASLGI PEX2_041230 MSESFAILRQRRSDELAKLADEHLQHDLQSADRDKLNAAASSIS LWTTVGSAVGVSLGLLAAIRLRSTRKAFFSAIRAQERPTKVVFEDGRTESIPDLTPLL KPTTLGDVATYFFASAGGLFLGGELGFAGGAAKGTRSINADPESKKRIETAFRRFRAD VLRKQADALDKGEQDHSLF PEX2_041240 MAPHEGLVHPKEYDIKDSNVELIGSDLDHRVKYNSALTEPAWQN IGQAPGLTIWRIENFQVIPWPKEKTGQFYDGDSFIVLHTYKVGDDKLGHDIFFWLGSK TTQDEAGVAAYKTVELDEFLNGAAAQHREVQQHPSDEFLALFRNYSIRSGGVRSGFTH VEPEDRVEVTTLLRVFKHPGIARLDSLIVHEVEPTWKSLDENDVFVLDKGDKIWVWQG KKCSPMEKAKAAQVVNDMTQAKHVDVEVLSQLESRSKIFVDLLGGKEAAPSTLEAPRP GRFAKKGADESTRSRKLFRLSDASGNLSFDIVKDGGRVDRSDLDGNDVFLYDTGNRLW VWQGSGASASEKAMWLKVAQFYVRKIQESQESSEAYLTPISKVAQGHESPAFLKALEV PEX2_041250 MSKIYVGNLSWHTSDESLRAAFGEFGNIVDSIVMVDRETGRSRG FGFVTFSSAEEAEAAINALNEQDLDGRRIRVNLANARPSGGGFGGGNGGAGGGRW PEX2_041260 MTRISKADEHPTQDTKSQNKEALSMESIQSLDEFESLAIKHLSK KAWSFNYAAAGDLISKRQDQEVYRSILLRPRVLVNVKECDLSTTITGQRVGLPIFVSP MAMARLAHPTGEAAISAACGVFGAMHIISNNASMVPEEIIAGAAPDQVFGFQLYVQTD RTESEAVLARINKLPAIKCIVLTVDEPVQGKHELGPRGFQQYDEVQTEAPGEPSPPSA DVRVAIPSISGPASDAEWEVTLQWLAKHTSLPIIIKGIQTYEDAELAATYAPQVKGII LSNHGGRVLDTAPPAIHTLLEIRKYCPEALEKLEVMVDGGIRRGTDVVKALCLGARAV GIGRPAFWGLGAGGVAGVERTLQILAQETRTCMGVLGVRRIADLGTRYVNARIVEQQI YNGDSI PEX2_041270 MSASRKSDAVFLQAFGSSTYVWGLKPQSSLLAATRATVDGMKKE GGLPASKLPKTIEDAIEICVRMGERYLWADRLCIIQDDADDMKNQIEAMGEIYSSAQI VLVAAYGDSMDFGIPGIGDPRKAVQHSEDVLDLRITNVIRDVKDDPLDVWATRGWTYQ EAVLSNRRLYFTNTRAFFECEQLICHEDQFNVEQSRNELFSTRLIIPEDRSRFQSFTR HLRHYTSRKLTYRSDAHKALYGISMSLYKGTGAFINGLPVVDFDRALLWYPETGTNVI ERHQTQGEILPTWSWSSVMGLFDPVHYRASDFYGTLTPWYHINGPVPSSGSIAALNSH PDSEPDDDWQLYMAIAIKEGCVGDVSLDFSLATDNFPTVRELFNTRWQDYHLFRREAI PLTIKTSGLPRGISTEGVIATRSHTALLRVTPRPLYSFDIINSEGDIIGDFCGDAAKL REEALSPGYNSCAEFEFIALSLSGIRPISEESIQKNYVDVDGNSLNKVPIVNVLMIAN NGGFAHRRALGWIYLIDWARLRREWKTIVLE PEX2_041280 MRHQTRGEFIDRPSTAKGIMQNLLLSALAFSAAADAYGSGAAGW DAAYSKAQKALMKLNETEKVGIATGVGWEGGPCVGNTYPAGSIGYPSLCLQDSPLGIR FANPVTAFPAGINAGATWDRSLLYARGAAMGKEAKGLGVHVQLGPVAGPLGKNPDGGR NWEGFTVDPYLSGVAMEETIQGMQDSGVQACAKHWLGNEQEHSRETMSSNIGDRATHE LYVWPFMNAVKANVASVMCSYNKLNETWACESDALLNDLMKEELGFPGYIMSDWNAQH TGVNSALAGLDMTMPGSDFSTPPGSIFWGSNLVQAVANGSVSQARLDDMATRILAGWY LLDQDKGYPEVSFSSWNGGKATVDVTADHATVVRAVARDSVVLLKNEEHVLPLRQPKS LAIIGQDAIVNPNGPNACADHGCNNGTLAMGWGSGTSQFPYLVGPLDAIQVQAKRDGT KIIQSTTDSTTAAASAAAVAETAVVFINADSGEGYITVEGNVGDRNNLDPWHNGNELV KSVAAVNNNVVVVVHSVGPIILETILAQPSVKAIVWAGLPGQESGNALIDVLYGSISP SGKLPYTIAKQSSDYGAGWTNALDDNFVEDLFVDYRHFDKNGIAPRYEFGYGLSYTTF NYVGLVVSISAPPGPSNGRIIPGGPEEIFDSVGTISVTIQNTGAVTGAEVAQLYLGLP NSAPSTPPKQLRGFQKLNLQPGESGTATFELTRRDLSYWDVQTQKWVVPRGTFSVYVG ASSRDIREDGKFTVTN PEX2_041290 MAWWDSSKPYWLENLVPIYTQEIYNFRADLESEIEILVNHPGHQ HIVSQRLTMTARSMCKIKMLASDISVYFPDHPFVTRKRLGFFQTTFPRLCDFIERTLI ELSRTLMKDLRSERSVAWQLQDMLDSL PEX2_041300 MTFLHPKPTFTFDQLWKLEKGFWDSFLYPANLKQTQGNASTVFA SDVQGRVDITRTFDGDELNREYIFGLFADPDHVSLVGVPIAYNITQFAANDNIASATT VVTFNATSFGVLIPVTIDTWIEFNTDGKIAQYDATFRWFEYLLDFLVGGVATKINATE PDQAVAYVADLLATTICDTHDKHCTGSNQQYEDTASCYDFLTKTVRFGKAYELGRNTL LCREVHEHMVQYRPDVHCSHIGPSGGGYCVDDMDYMQTVLQRYFNDSWIPFGYGTDQN IWLAN PEX2_041310 MERRRPSCSDSLSRSEAQIRLCPEKFDESSTDDGQKLPPVDGGF HAWMFLAACTMLEALIWGFAFAFGVFQKYYHDHEAFQDSGMVAVIGTCATGISYLSCP LIIVAMILLPQWGRWFSSIGLIMMCLSLALGSFSTNITHLVLSQGVGFGIGGCIAYSP SIMYMDEWFVHRRGLAFGITWAGSGVSGIIFPIGLEKLLNRFGFETTLRVISVVVFVL AAPFIYFHRPRLPVRKTVDYQRLNFRFLTTRIFCFYQLGNIIEALGFFLPGIYLPTYA RSIGASDFLSSLTVTLLNLASVFGSVTMGHLADRYHAITCITISTVGSTLAVFFLWGF STSLPTLFVFSIAYGLFAGCYSATWSGITHEVRRVDPSADATIIFGVIAFGRGIGNVV SGPLSEAILGVDSWKGSAAAGYGSGYGLIILCYFTN PEX2_041320 MSSPIWLITGASNGFGLGMCLHVLGAGHRVIGSVRSKTKAATAV EQIEQAGGTVIELDMTESQTSIASKIHPLGRIDYLINVAGYSILAACEDITDKEATLQ MTTNFFGPLYTLQAVLPAMRAQHSGTIVNISSGAAQDPLPACSLYSASKAALEAASEA LAKEVAPHNIRVLIVEPGNFRTNFVGALAEASPDPTTVSPHYDDPVGVVMRKFLTVHG KQPGDPEKGVERIFEAVTGTGMAGPLAGKITRLVLGGDAYARMKQKCERLSNDLSLQE EVSLSTNYV PEX2_041330 MGSNQIRALYQQDECELDILSWQQPVGIDHSYVDQSANYQGQTL PSYMPHSTGGMLNGYSNVWDSLPSDPSGIPTEVFEDQSCNLNQATYGFVLPAQDIATK MPRSTEAMTTPYTDAHCILPGGLSGITPVTHAKVWNFGFAISPEVPMAIQSKGLTASA PRNELSHWTQIKEYPTSSPEDPTPPIYD PEX2_041340 MSAKDSLGITSLDLDLVTEEIDEEAAEEALKQILNTPSEHSFAI EEIPELNDPRWDSVNENKNEDDDLTQRAFEQAFDEAKDPVKHAENIQEILSFMGEQTK DSNFPWETVFFDLHELDDSTKSELHAFSRSCKIEGDAYPQFEDYDEPRCRNRNAWRRE DGEIDKKWQLLVNDLCELSNGMIIDKTLTKPSGRWDAPVAIVWNYPTWTTTNVCWSQV LDCCNPCLRMQYAKLGPSPYIRTQNRIPIREQWSNLGVNWARYPNWEEIEAKCLQFCR WLNTRSKIIILLGKENALTPRDRLVEMGDSLESVRVKICCNKQFKLYGEEPHFEIIRH RQTKEIKHLVFIGLHTQTFFHDIPLEFRAYQDISWNSVCGLVGIPVPRPIYFLRHAYW FNKRPDLRMRWSQLCRARILRHAEINQENLFPEHAVRAAFSATLALNPTFELAPDKNG SYVGAIIRMFIAKAWAKQSTEEWRRTPEAAKMFGTSISNLLDTVSISKRQATVRTEKW KTSDAAKRQLDGLLRGARSPKKFGAWTARLDAFFQTKQVRERVAADPQTLTTIGLHSQ QRLKDLRSDPKARMISYFKSHVIWYSKTYPKGLRFRGDGGTQRDTFPYDTVDHPAVIL HGSWSKTKRKDFEA PEX2_041350 MALMNVDQMTPSKPVEQTLEKTPDVLVTPCDPWPAPYYLEGGFR RVTPYHYTYNTNCKERWRGRQLVEVFTSEFRDRKPEYYRKALEDGLVQVNGVTARPET ILKNGQVVSHTLHRHEPPVTSLPVGIIFEDDDLLVIDKPAGVPVHAAGRYHFNTVVEI LRSERSSEWLPRPCNRLDRLTSGIMFIGKHAKAADKMAAALKARSVQKEYVARVKGKF PDGVVVCDQPIMQVSPKLGLNRVRATGKEATTKFRRLAYYPPEAAKETDSSSDDERPV TPTAELANESEGYSIVHCFPLTGRTHQIRVHLQFLGHPISNDPIYSNRRVFGPELGKA EVSAERDEEIVARLSLMGKTEIPDTVSYRTHLTAAPNVPPNTDPVLVNEIMHREQMAA AEDYAKRKGERLSGDLCETCGTELYSDPGPHELGIFLHAVAYADRDGDWGYRSPMPRW GLPPAGMEGPRECPDWVPAPEGEEIVIGHGTIPAGLGDDDRAADATTGSVLLEGVGLV NISEAARMTESREATEAAVPTN PEX2_041360 MPSLPHRYGRWVLILGPVILILLILQFIHADFGSKTTQDVIEPA IELTVDTHNETETITDPNNVPPSCPTDTGMDSVLVVVKTGITEAQDKVPVHLRTTLRC VPHKIIVSDFEEDIAGIRTQDVFLNVSDTLKQNNEDFAIYNRARAGGHTALTSQDHTK VANGPSGMSDNPGWKLDKWKFLPMIHTARRAKPDAKWFVFLEADTYPIWPNLLGWLAH FNHEEKLYLGNQMQIGSTIFAHGGSGFVLSHAAIHAVADFHKSHIDEWDGITDQEWAG DCVLGRALAAAGIGLTWSWPHVTTQSVWEQDMLHEAFAKTPWCFAPFTFHHMTPADVD RFWEFEQQWFVDENSNLLTYSDIFRNLIRPTLADHLDNWDNFASAGDDKEDYKGPKTP TSFAACADYCAADPECIQYRLTADSRCTTSNAVLRGKPQPGTQSGTMLWRVDAALERM EQCEKVSWVTN PEX2_041370 MSIGVAIIGSGLFAKEQHLPAVQAASNFQLKAIYSRSLKSAQDL ASGTSEVDLYSEDSGSDKSYANLLARSDIGAVIIALPILVQPEFIKKALLAGKHVLSE KPIAKDVATAQELLKWYKSTIDTTKVFWAVAENFRYITKYLFAAEQVQKLGKVQNFRV NVHSLMDENNKYFHTAWRKTPEYQGGFLLDGGVHMTAALRLILGPTERLSILSAQSQL QQSFLPPVDTVDAVAKTESGATGVISLSWGSSFDDHIFEVACEKGVVTLNLDDVTVNG EKHHVEFDGRGVGPEVAEFANSIVSGKPDIRQSAEEALADLEILEQMLRSGEKDGEKC GSPTLLRENLREKFSRLNSDPTTLERPARPSNTDKMAAAKQHIPIVKKRTNRFNRHQS DRFMRVGASWRKPKGIDNCVRRRFKGQMAMPSIGFGSNKKTRHMMPSGHKAFLVHNTK DVELLLMHNRTFAAEIGHAVSSRKRVDIIEKAKALGVKVTNPKGRVTTEA PEX2_041380 MLLSPVEPPLQPSHVVMSTTTSLTTTLVAPVMKGVAISENQCPM CWGEGFPQNGSGAHDRIQELEGQVQALTARASATAAKLSEYEDEIRRLRSSQPQAYHT PRSSSSLGRPPSQPDHSSPQRPTSSGSQPQTYHSRLTNLASLLPYRRGSATPTTSSGA VLPSTPTALSTPLTHMSPANSEHTAELQDALTREQRLRKAAESQLSQASTELEELTVQ LFSQANEMVAEERKARAKLEERVAVLERRDVEKRSRLEKLENAMARVERLRALVNHQ PEX2_041390 MAPKNKAQKMSMSTFLADESLGSWADEMEDMPLPSKPLLTIWAA QPLTSTFSRRPAGDSAFGAGSSTGGYERERGGYAVREPLDLPTQPPYTCHVGNLSFES TDADISEFFAGCGVTNVRLVEDKLTKAPKGFGYVEFETVEGLQKALDLSGSSFQGRSI RTSVAEPPKESRLEGKDLDWSRRGPLPELPQRRAPERSSFGRGMDAGSDAGSDRGGRR SNFESDGKPRDFNNWERKGPLSPSAGGPPREGGREHSNDGPGSSFRRNEAAWGEGRPQ DEGSRPPRPEHVRPEPTPTAADMDNQWRARMRPDETPKEPISPASPSATPAVPAAPAG RPKLNLTKRTVSENPTPTSASGDSKASPFGAARPIDTATRERQVEEKRQLAIRQKQEA ADKAKAEKAEKFAEKQKQLKESAPAVDHNGKDVLETPKGGGNFEILQRAGEDGELTAD KETEETAAAAPVEAKKPSSNGWRAPAPEAGAGGDDEGWSTVSVNKRNNRRGQTGRGFA PEX2_041400 MGDQIKDVQDFQSSALLSAAKVGALSGTAGLIYGGAAGVIRSPN PMIHSLSCGIHWFACGSAFWWLRSNIIKHHYQDKASLKERTYASALCGGIAGGSVTKL MGGRLVPGAIIFSLLGYCGQSVFNRVDAWQMENAHKTSKPLMQRMAESKWIPLRSLSD QEYRDMLSEKLLSIDAEIALLDEKIEELEKSRPVPASSSK PEX2_041410 MTLFNPTSILVGFLLLYLSSFFIFAIVRIATGISIQRIGYFSLR RIAYTPKEGIHIEIRGLGLSLHPPSFAQPTWISIRLAELKVTVESTALGQGKREAPRG ILENPGPSKSSEDCATPETGGRSKTWRTLTRIKERVKRLHRQINWLALVDLTATDTIV HIVNAGQIQVGSLSLSVDTRSKMVERGKLFRRKKDMSHEQRPAEWIMNAQNVLLNVDG REPTEILDNVGVNLHGLLYKDLDGLRDASVALKIGRMHIPYDDVNAILQRIKPAKKSA QGPATTETDEEMSFADFVDELEKPGSRDDAIVQTVADSKEFASSLLRGIQEIQIALSF FRVSKGIQSLSAGQKPVYLNVVSHELGIDLHRMDPKSPEHRMYFRRNDVAHQALLAAI SLSVSLDDESGETDNILYVPMATTTIKTTLPSKTVSALDDENAEERNTNVLFANLVVT SPSVDLQPQHVSRLLKLAQSRASSPRAKKRDNHRLISRLLPKATIKLSVHEPVVRFVL PIEKESGTPDDYNLLISSISSISLDIESSHSSEGGAHYSLSSIYRVASHKFYYQTPTG NKHNLLTNENLELKAHLNATPEVCVVASGSLNECSVHMIDADVNRGIREVLQQFITQM KSQKRVPMPANDQKASVLRRVPPWLLQFQFEATGSSVEVAGVDSTVSDISRGVSLQLQ SWTAEYKAQKTEKNVGSIARRRTPSHSTIGDESPFRFPPTSPPKAIHTQRGASDGRRL AFHARGFDGFVIESEDYLEPEPFFSMPRFEIALSTHSDRLGPVLHVTSMFKGIYLQYS LYRFYCLGVAISVIQDVLTPLPQKHSQSAESKPRAPASISLPPPPAYKWSPKKELVTY DMRATVVQLKANLPSDPQIMIQIYGLAGASQRLSTPYVKASLVRFHAEAPKLKGIWAR IGSMNNVRLDFRKMKMKHGNTLVEEKSIDIWTDFIRIGVPHHMVMHRIFDNLINTSKA FKQLHHRFKEGCKEFGSKREPEGPKKVPRISLRSKALLFELEDDGFEWKLGCIYRVGL IEQTQRLAREDAFQLKSHKVKESDQRRATSRLRAKSSHRTLRSERTSHETRRSRSADE RPRSSTQERGRGRKYRYDTEGATCLSSEQKISVDAAWGRLQQYNAQVWKEKIDAALMF QGTSIKEVRNLFSGADEPPPDVKETETILAIPNRPGLLSALISDVNLVIDKPSFAIDE FPSYLNRIGKGMPMSMQYGLLIPMSFNLEMGEARVNLRDYPLDLLHIPALRPGQSPRL PSWSLRTNFVIAEEWRDHESARQVPVELVPASEGSDGSPRDAFKLHVWRSVTPVKTYS DPVIQINTSLPTSISWGVSYQPVIQDMMKIIEGFTKPEIDPSERVGFWDKIRLSFHSR IKVLWKEDGDVHLRLKGSRDPYVVTGFGSGFVMCWRRDVQLDVHTSDNPMEFMTVTSG EYVLAIPDYSAEARWANEATTEELDNSSASSEQKNAAHFKKVVMKLSGDVKWAAGLVF ERDVDNDARSFSFRPHYEVVLKNPKFVKPSERVNYDAYRGFRSDHIHLSVSIIAPQNR DWAVDNVQPSSSYNTIHLTPRFFTHFFNWWSLFSGIMSLPVRQGPLWPGVTKTSKKFN RHLATVKYKILLAPLFVAHIYKHKDREDYAERVVTATGLKVRLDCLKLDIHQRREQIK THAAGRSKQTRTSAMRINQAQIDMQSADFRAVSASIEGTTPEDVERNKDDILSSFQQP VPSVDLSRFTIPDHNLDWVDMDDFVEPDWILPQESNPRTQILPLAFTPRFTYSRNTDH GDVGPDETGYSTFGNEPTHECVMSETNDPRRVQIELVKDRLAIVEAQIRNYERTIGEM ELKLMKDVDNNVDLKAEHELFLRQSESLARRRRFLTTTLNRLERNITRDERTPYGDTL GKHVAPSTASFRTGRTGQDADSTTDGRSSGLDGLYSSANDEFSSDFNNRFMIHNVQLK WNNSLRNIVLRYSHQVSQRRGFIYYMSRRAVKFILDIVEEQDKNKRKQPKMSKTQTRT SNYEEEEEEEEVGQRIEQLLNDAKRYVNVEENDPPESNPQLDSNTQSSPTSDNSSENI VPEFTPQNSYHLRLIAPQIQLLSEKNQKSVLLVAAKGMELKVVSIMDKARVSDDVSGL IQRRFSLEMDGAQFFVATQKKLMANLQFYAGNKYGNAPGSAWPPWLTLEAMFDFELNP FGFSRIVQKTSATLRYDKFNNLRLKYNEEVVKGQQGPHPDEQEDRIDSISVDFPHFRA ICDSAEYYSMYIIVLDLMLYSEPLEKVRNERLERIMLTSDFSDLRGAPEMVFKLQARI RQLEEIKEYFQINAKFLDKQGWEDRLALERDLSQCEDELFFMMKAITTSQRRMEPSSS RAAGVHGLLRWSISASEVVWHLMKEPSEPLIEFQLRNASYERTDNTDGSNHNLVSIER LFGLNLLPDAIYPQIIAPYLDGARTLDDFMLRVKWHMLEAVAGIPVLDNFEVSMFPLK IQLERELGQKVFEYIFPNVGSSAFEAGGFSPFMIKNMKPLEGSDEEDDYESSNGAPLT RSTSIDGDSSSMDSLAISKGPGSIELRLQPTLSLSDETKSRNRSGHLKGLVMTPIHQD SNRLGVSETARKTGRPSTTGGLSKKKSADSLRMLTKQPTERSLSSHSAGEDSRKKFGM TKVSNKGGKSKEPIDDLSQMISRASNFMTLARVKVNDVVLCMSYKGKGEHNLEDLHDF VFRLPVLEYSNKTWSNLDLALRLKKDVIKALISHAPAILGNKFSHHRPSKQQQKRFRE LASSSQLLPNTSNMINPSSSQAQSLASYDSNSDYSESRSRKSMQSNASPLARTTSLDS DVQGTNYSDPTLHDTRSASEVDVDSRWEASRRFVNAPPPERPMTSGNIVTTIRSGKND QDDSHIKTIRSIGRKLTFRK PEX2_041420 MEEGTGPTPSEPPPLPYSLHTRKKSIAFFWTIFVIDTLAQPLIL YWCLWYLTDLSPNLVFSIVTASLGGVSVFEYFYRLHNLFRKKSRARPLNSRVSWLDFF QINFTIVWLILAVELVVGSVPEEPYVRLIAMVLPTVMFYFGIVYLSLDIFRMMGFKAP FRISSTPKGSVMPTALYALIEDVVAVDGGGGQIYRYALRTRYLSSPYFRRMLFEMNCF WSGGSIICAAAITAVVFTVQENVAFTLGWTLPFAWAIIWTLITIPWVQSDLRREKKAW AENRGQGGIRWVDDISAPTARTRFASVQANVWPWTRDNQSPPSTSSDHPEKVPDNVPS GVSDGAHDVSNDASSSAPREGSHVTDGTYDGATIGSPTAEPSTEKLSV PEX2_041430 MPGVQHLIDFVLNEVALCGNQGAKLSDLLQAIDDFHTQLQDVTE IKQNIDHRFKAKVWSWLTTNPEVSIGQHNEWNHLTLNEAEKLDSRTTENNDSKVNDAA DQNPDLPPLRIYVSDERAWVAITGHPPDESKVLPLEFALLSVIASRKSKGIVQPDLVK LSGQDKRSVPKRTDALQKKGYIDKRPVQTKTARTSLCTLQRFYRHTTGDQKKEEPQSK NMIDFDSFNTSLFDILREHQLIARNDLKRLLGFDDHWRWKVLSRALRKWERIGVVQRV RAESQYERMHPCVKLLRDPTEQDLALFHEFNFDVLNKHGVRAKGNKLTPDQDQDMEMA GPSKRSPSPGEGRMDMIKEHVGDSARIVPSWTPDRNLNNQIFDIIERTGTTGITNQAL NWTCFGSFYKRPAESMVHRLVDLWQVAQPLHLRHYAIVRDMAMKKTIMFYVHYAANNF AKLVEAGQASWEAVEIPAKKAKSLKIVAPPFGVLPQLDEYGFLKKEFPKNMLKDPNAT LLDGIMAVKPPNYLLSSSDPFVVELPNGQHVIRTRTDKLPPGSKQQYEPRFRPKGRPK GRLNRATLEKRARESEARESKAKEPKAKESKAKEPTVTDPTVTESEAREPEASGSVTE SEAREPEASGSEPRQSQAMESQVEPKLELSQGYGWEYVEESPRAKRAKIKHDNLKGLS KQEKFEALGMDESWTEYKALVMDKPTPGVYVTPRGRRRPAGKARGRPKQSRIAIFKSP NLASIPWFVKDMDDSSDDDKVQDAATSTRASESLAPEAAHSVINSEGPAITPTPTTSR GVKRTLPDQSADSPAPSTSFKVNEKKRGRPPKRSRLHKAQNESNEGPLKDANEILPEA VVPAPQESSIKASTPRHRDKSASVHILEDEQVGTPSKRRRVASSDRSGNDPTTLQSPT PRPSDIPTPRSGSMGPQKFNFSAHGTKPAKKPRVRNRINIRTMQISEEGESGPLKPLV IGRGGSISVLRRKLIMEIMEKADGVYPAGTAMWYPFVTAWMKFHPNEKPDMRTINTAC KQLIDAGQLRQLTFSGRDTRKVMVTRTLLLKPDISPDDPLVKEMQSKILATDFHESRP FFAANVEVDPNLTRNSGRPHGAPPRAQRFSFPVEEGAHVHLQQKPISIVNLEARRRRQ AQANFIKRLEAQAKAVKAAEEEYELPDLPGVQRLMTLARPPELDLDAHPHTSIIRPYV RTGTRGPGKHPKSIIHPKRMMKPISAIGAYAMLMNPMQQFDSTTGTFSTGSCSKRRRG RPPMGTRPPVSNVEESVDELSRLAHDEPDLPEMPKAVKDQVLLSRFNQKTKRILKWEL HHPVVFEAKLEGQEFIRQTIQDGFKPAPILGDIRFDIDMPPPPPKQLQIEPELPARRS EVRRQRESLPQPPPTPTPIPTPKDETPKRIPKPRRTRAPRASRAPVTDRRLAKLDDTA TADKEAQSATPKRVRRQRFVRPISEDLKQKLVVALVVVRVLAGGAESRVIDWALVIKA FPDQDAAFIEARARQILNKNRLQITKMHRDFQECFLEAYEKDEVPRIDYSDLDGYDWP ALVEWASTRLDVSPSEQAPDLPATREQFNSVFELREDALTAGDELYQAVHGVTINHKR NLMARNPFAVPVDDETRTQLASRKAAAAQIEAAKTWVRANIVTAEETYRPNESSDILQ RFGDRLITTATQTLINERVISSTTRGRITPGRNYDISEYFLQTLSRKRAVESTQLRRA AHFKTNMLDLQFRTTGSSDVEYTAEDGDILALINLYAAGAIQMRPRDAPRAKFGLTDG GYETRQMDKKRFRFPIEVTPTAAYRYGNPVHGKAAATILPPAPSSSDTKMPPRNPLWY DINGEFVRRLWDLVLGPVLGCLVMRPGIKAGNISNMIKPTMGTWETVLMLQWLEEVGI VKSDGEGETACWRLQESWWMILS PEX2_041440 MATLASSPPTSPSWPKRRPRAWALRCERYCCAAASCFPLAFVYG ITTWAVYVAVSIGIRPSRSDWIGVPSSIVAFSLYAILNFSYTVAVFTDPGSPLSTSRG ADRHEYSALPPSEHPEFTSYTVTSTGESRYCKKCQCPKPDRAHHCSTCKRCVLKMDHH CPWLATCVGLHNYKAFLLFLIYTSIFCWVVFGIASVWVWTEILNDTQYMDTILPVNVV LLAILGGIIGLVLSGFTAWHISLAIRGTTTIECLERTRYVSPLRKALDRHRNEQAPNN NYWGEPGEESISTRLQGYGNQIIDAHANAIPGVTRAEEGEEDMYPVPRPSGPPDGSGN GLTPAQQALTRSYAEMERQREHSRYEEYQNDEDNEKMPSAFDHGWRRNLLHLFGDRPL LWALPICNTTGDGWRWEPSAEFLEARERMRQRREQESTEEQQYYRELYQRNLDNSNSW REGAAQPQRVPNRGSTPDRPPTSVSMQTLAPRSPRPRPGDSDYGDDVEGGGLLDPGAG RQQAARPFLCSMLKAFDLQHNYVPLPNNIIPAKPEQLSSSPSNNPEVQFNLRTYSQLQ TPIPIPYKSANPKMSSPSTRRLLKESTDLHKNPSPYFTAAPISDTNLHDWHFTLAGPP SPSPYAQGLYHGRITFPATYPLRPPSFRFLTPSGRFEVNREICLSISGHHEETWQPAW GVRTALLGIRSEIFGSESQGQVGGMEGSDELRREYARLSLGWSCRECGVGNLEAMREL WGVCRERGVEVEGEVAAVDGGVGVGANAGVEGQREMVEAETDAPAASSSRNSGNEDQD RGDGAGESAPPAESVPGPSASAPVPAAQVQAQAQLSTIQAPAAAVIPTRTSSSQLDST ESPSESVWLDRAIIGVVVALVLLILRRVANVDDL PEX2_041450 MASNEYYHTNIPQPPSYDQAIPGKTDQTPQTVPGVGYASHSSNH DDPSTPYHNRESQQSLASDNGHYQTAGRATDGDNYAENIPLKTNTQYGNNPDWMRQQT QYPPSPGGLEDSRQRDIRKKKGFFSKKIAWVTYILTLAQIIVFIIELVKNAQLTGSVI ETKPTFNPMIGPSPYVQIYMGARYNPCMKNVEGIQNSNHTLAWLCPNATSTTVTGTGC SLSDVCGFSGVPNPHVGGSTDDQPSPNQWYRFIVPIFMHGGFIHIGFNLLVQLTMGAD MERMVGMWRYTLTYFASGIFGFVLGGNYAAQLDPSDGCSGALFGILALYLLDLLYDWP QRESPVVELIIMLFGVGVSFVLGLLPGLDNFSHIGGFIMGLAIGMTIMRSPNALRERI GLARQPYVAMSGGAGQAGPEQKTTSLIDFFKGKRGLTSNSTETPGSTNGPLNFFKGRK PLWWLWWVVRAGALVAVLVGFIMLIVNFYKYPSSNCSWCYRLSCLPVNGWCDQNNLP PEX2_041460 MLLFILLAFWATSSCGEAIGIHALRSSSTPLIKSSTTATSSSIA VPSTITTGPVTTSPVPINTCSYYNETESRTLWNDPWCSMYAGMVDLVYWPTDNNSYPS TFYDHKHDYTYSSPSVYMVVSTLYGWNPCGLLGPSTSREVFAFDLTEVSTLVPYTALT ETARRATRQLYLSDLGVKCSTEYNKTELATQTHPMKSDDTRCNPFLVVPAAVKQFGYP YRLHCGVANNKFGLFDPPYAIPPTDELIPTTTTATTDSETENTTAAASASTKATAPTN AEATESATTADATATADPVNTSSSDSSPETTESATADPESPESTSTNDASNSVGGDTV VSNPGNTDTAAANTGDSQISTGAAVGGDNSQPTATANLPYTTVTGAEGQFNPTDYTGG VMSTTSTQVVSLGAGGLEVINPDTGVTITSAYGDKVPVTTAVYNGQTLTLGGSAATVT NVVVVVSPGLAGPTGATDAAGAGANGGAVSTTSTQVVSLGAGGLVVVNPDTGVTVTSA YGSTVPTTAIYNGQTLTLGGSAVTVTNVVSASPDAASQTGAADATGSTTSNQVVSLGA GGLVIVNLDTGITITSVYGTTAPIKAVYSGQTLTLGGPAVAITNAAAISSSSVNRTRA ITATTASSSSHTNVSSISSGHTDTTGVTHATNSGNTPIATSTTAPDSAANKLSGGVVG LLLTLLASIWV PEX2_041470 MSSVFFGSCDSTQPVFVRLAPEGNDGPWSSFNVRVGSPEQDARV LVSTASPATLVVLSQYGCSEAVMKNVPSDCAVSRGLMFTPNETSTWGQLGTFELTGGE SGFEANLGYVQPALFGLDTLGIGLVDGSGGHTLKNQTIGGFATAYPFYLGIFGINTQP LNFTSLGNFSSPSYITTLKENNVIPSLSWSYTAGAIYRLKKVYGQLIFSGYDTSRFTD NSVTFTMADDVTRDLVVALQAITYSGENTESLLSSPINIYIDSTDPNIWLPDTAVDAF ESAFGLTLDSTTGLYLVNSSHQSVLLETNAQVSFRLSDVLDGGDTATITLPYNAFNLQ AEYPLVENSSYYFPLKCAANESQYTLGRTFLQEAYLSADYERRVFNVSQCTWNEGAEE SIVTILASSATTTSESTKSPTSSSSSDSDTSSSGSSLSTGAIVGIVIGAVAAITLIAL GVFFFLRRRKQDPGNEPEQSILQFPASQSVSDLEFKHADENAFAIAPIMHGELSGNDT QIHQLHANSGLRPIRDRDTESPAASAMSLVSPDVSFPSPDVMSLMSPDGSLPSPDYHT DHKAPQLYSQRNHNRQPLMPINCNPEPDIPILNSQALVMAHFCRATKALTPATIGAKK RRRRQRREQEEITARRQQPQAKLLDISLEQEFTAMASALVPFVAPIKPPQQAAAQPTR PAEAAASPVGVAHQPTAGPVGN PEX2_041480 MEDPLIQALPPATDYLTYLTLLEYQLTPERLPLLHTLLQDEKLT TNIGWDLVKLLLPMLPASTECLQDVARLGNPREVILRVSESLMQLQPEDEDEDEKADQ GLPLHILQFNCLLGMLSVLHTRIQTKVPSRFIATSLQAALEAYTTMATNETTLAFLEF FREVSPSKRPAPPPRAASESSVLRVAAASAPDPEAEVSSPSPSADNETLLVRKFIQFG LLELLKSYLLSFSSPMDPGMSWTIRMQEHLHPDLRLPAAQSQTEAYGSTKELKERDMI MGKLMALSRDVGIDNEELLSIISGSPTDQTAQLDFDDPPTNPGQIPLERHGSLLLLAA RAAGTTLFASGQQPRRVSIFPELAQIFNNFVGGQTNLDEVAFGQPHALLDSLLALTVY ASQQPIKTPSNDTEFKDFVVILTACTARQTHGIVRQIPAAIVQSHPAPETRFKLIYKI LEDDHLASVRDSAIAWLKDELLRSPTQSSDNIFQDPLYFWALFPALFKPVTAANSASD LVASWSRLTQTQGPPLHSALNLYYLLLSSPSLRDQLHLEKTVKFFRGHVLNPLRQVFR RFEDDLTAKGGEGVIEAAVGEEMCQIGNARSVGLIGLTLDQIEETASDAFGSDEADLG EYSDAEEAKASEIRKKIDAWN PEX2_041490 MSRAQVQKRSPGGGCVVMKSPGGGCVVMKSPGGGCVVMKSPTPN GCAVTRTPSVCDIMKRSPGGGCVIMNTAEF PEX2_041500 MSKQDDLAYGGYYQGAERGSGDGSRGLGDTFKKLRDTYKNHGSS HGQANQTYNPSGYQGQSYPTQTEPQYGYDQQSQNTSGQHQNPNYHGKPQKEDKLAGFL GKLQGTVTELGSEFAQKIGTTIDPQAYAEYGPSKPNTEHRFGSFAPPREHNDAKWYVD GCSYFWAVSRALENARESIWILDWWLSPELYLRRPPAKNEQYRLDRMLQAAAQRGVRV NVIVYKEVTQALTLSSSHTKHALENLHPNIAVFRHPDHLPDRQELAANIASTFQNMSL DSASLSKMSKDTLKGLYGMNDDVILYWAHHEKLCLIDGRIAFMGGLDMCFGRWDTNQH ELSDLHPSDVSQTVFPGQDYNNSRVLDFQDVVHWENNQLDRKTMSRMGWSDISVSLHG AAVEDLRRHFVERWNFIYDLKYKVRSDTRYARLALHGRPASSTGPSTGPSTGQQQAGS PQPNLYPSGQASPQGQPPAPSWQTKPSGVASPTYPPPPGQTSSSPSQSQSQSQPQQQQ SAGAYQPYNSASTSNYPPPPAQTSSNQHQYQQQSTSTSYNPENASTYPPPPSQSPLGH QSQSAQTPSHDPSQYSYTGNSFPPPPPGPPPAQSPANASYQPYQQGQQQGYQQGQQQG HQQEQTPYFPPPPGQESSHSNTRGIDDYQHGGDRERGSLAPRRLREDLTQYGSSLRGQ LAGQIHQYQDRLTTYGRPSSSQGRGNMSCQIVRSCAKWSNGSQLEHSIADAYCAIIRN SEHFIYIENQFFITATGDSQRPVKNQIGAAIVERILRAARAGQKYKIIVVIPSVPCFA GDLRDDETLGTRAIMEFQYNSINRGGHSIMEMIAKEGFNPMEYIRFYNLRNYDRINNG SIMAAAEQQSGVNYEDARRQYDLNTAGPGGYAPSTARSAFDTSAPFQKYQQAAHQVQG SQASSNRWDSVSECYMLGGEDIRKVPWDGHPDAEINAFVSEELYVHSKVMIADDRVVV CGSANLNDRSQLGDHDSEIAVIIEDFTPVSSSMNGKPWTASRFASSLRRQLFRKHLGL LPPQDYQRPDANFEPVGVPNQFDFDCPESKVVADPLSDTAQSLWNSRAHTNSEVFRKV FHAVPDDSVRNWSEYKEFYEYYFHKTEKKAEGLEGAARPARFQWGHVVSDDFAPGAEG AKQVKELLSQVKGTLVEMPLMFLIEEDIAKEGLTLNDLTEPLYT PEX2_041510 MRRAQVRQAQQAYRSRQQSQLSSLKARVEQLEDAFDHLSQTMHA FDEQVIRNGSQWSQPELFRTVQLLRDDIVSHFKLADIHFQEPQKSQTSIPPQAVVGQP HAQVASEVPMGSLKDHTLESDFWKLFLGSSAGMIPSNNTQNLDNQPNDLASIPMTVSI AETHTIQYATTPFTQRLFRGCAESGHRFLSNLSYKDEDMWEFGLLLQRMPRAEIRDYF ARVIKLEPCQPIVDARFPYVSLGGAGTHFLQPYSDDFSDSFGLFRTTNGVSHVPADED WFDVHDVERYLLNQGIGIGEFPSSALTIGYPSSPAAGNSNLEPPQGELSNSMMMVVDE YKLINRLSQLPVALGCVAGFRRSDIERVVSHNVRWVPVGVTSSPG PEX2_041520 MDQKSFKVVIVGGSVAGLSLALMLERNGIDFVVLEAYGSIAPQV GASFGVLPNGLRILDQLGCYDSVLKMAEYPVDTLHFRDSQGQPFRTFDKLEDTSIRSH GYPIIFLDRRMLIQVLYDKIQDKSKVLTSHRVQSIETGTSSSTVTTTTGQTYTGNIVV GADGIHSKVRQEMWKAAEKIDPTWIDPAEKSALPATYACIFGISKGVEGIEKGTLNSV FNEHFSYLVPSGPGDLTYWFLVRNMGKTYYGEDIPRFTKEEEEAFATEHFEDQITPTL QFSALYKSKVASAYSPLQEYVYKRWHFQRIITIGDASHKFEPLTGQGGNNAMETAASL TNHLVAALKNCQSDTLSSADISKVFESVQQQREDRAWGLVKAAHARQRLECLETPFLK FMVKYVVPRFPKSVILGKWINTYAPAVSLDMLPLPHRPREIAYFDERFRTPSPRGVVS ILLYAAYFLLTWLGYRQLSAALRANGTMALVRQAIQSQSVVLPGGVEAPLRQVYTGLG PVDLILKVVVTIFMPAVSNFSTPEQPLQVLYFLTSMMPIISIWTVEGFRPRNKWTLLA TPSLWGVLYQLRGIGLIAPLYFISSTFVSSGIAYFSPNTRTLPESTARALLPALILGF VVPTIMLFFPLADALNTRQVFIALWQPAPVYVVILTQIFSRVIKSISSSTITNTDSAT AESKPNRDIPYLQTLYAVVGGIAACFHMALLLSWAALGTNFITKTFIPSEAFAQVTTI ADGVFIFFQNDFLLITAATLLWCLASVWDLYRIGVSNFSWQVALVGLILGSLAIGPGA TVAAVWYWREEVMSRTSFRRHGPGL PEX2_041530 MDQGAHSTSILPSVPSNERVVFQPDLLYWNMTNLDSANAWAALG RNGSILVKNPEQYGLLPGIENENGYDVFPVSVFHQLHCLRILREGFVALLEGKQRHDH VASHPDHCFDYLRQAIICSADLTLEKARVDDDGHRRATDGWGTEHNCKKWNKVEQVKL EYQSKYAF PEX2_041540 MDPNQYIAEVELGDVEEQETLLPSDQRISFDTISTKHRSIQHYS AVLAGIFFLLSSFAVVEIWRKPSEAKCVKRLNGWSPMLDAVQYQRVDFKDESNVSFMG IPTAHLEKKWDDLWRFGSLGIPETYLPYLNKSVSEYDWHHLPSELGGGIQAYFEGFHQ IHCLNLVRQYTYRHEYDYSHVHAFVNPHIDILEHVEHCLEILRTKIMCEADTTLYSAA HDLERGSNGPIHTFYSRWTRSEEMSVSDDRSKPSNSLWIIRNEIWRSVTITKQFLPKS LYALVD PEX2_041550 MKTTTEHFVIHEPPIFDSTPQSLKEEALKIINATTLTWNLVVAG VQIAEASFENTIWPIIQDENAKFEKQRLLLFYGSTFPVKDVRDASNEVSNMLTDAEVE LFSRRDMFLLVDSVVTKAKTQGSAQDDESRYYLQKLHRRFLQTGCGITGDAPRNEFKA KMKRLSHLERDCNRNLNEETTGIWLTPNELDGLPDAVLGRLKEGEGSQAGQLWLPTKT PFSAPAMKNVKKESTRKKIYYAVQNRMAGSVPLFQELVLLRDETARMLGYPNHFARKT SDKMVQGPQVVADLLSEIRETVAPLATSDAEELLQLKKQEAAAFVETANRLFHWDISY FTERRIEQTETRESALSEYFELQMTLQKLLQMFQHLLGAELRRVDTADRENLIWHEDV QMYTAWKVDGVTDEFLGYAYLDLFPRDGKYSHAGHYPLQFGYQRPDGGRFYPSSALVM NYVRPLPDQPTLLSMDDVRKLFHELGHLFHALFTRIKHAGLSPVDRDFVEAPSIMLEQ FFWIERHIKDISYHYSYISPSMMSAWMTINGKSPDKKPPQIPPVQLDDDQAAALSQRN KGVKVRAQLNNLFFATYDMLIHSPASREELLRTNLTELFNKTRSSISGTHGGEILGEG WEWGHGQTVFRNVINKYDAGYYSYLLGTVFAFDIFETGFRQDTMSKEAGRRYRDMILR VGGSQPEMKTLRDYLGREPTTRPYLEWLGAKPRDI PEX2_041560 MDNMKSTWRTWDRDQWKLPHKIFEHVNVYHIELNRDVPIHPKED KIPYVSDWSLNRWVLVHSGVPLLAHQAFTYFTGYNFHPIIAFLYYYQASRLFTTRELR LLRELGHTYGFLDGDKHERDGVPDVGVSKALISVLLAGLVRPLMTVWLTYDANKPPAS LSWAWLFVEISLYGIILDFWFYWYHRVMHDVNSLWKFHRTHHLTKHPNPLLTIYADSE QEFFDIAGIPLMTWFTMKLMGMPMGFYEWHVCQMYVIFAELAGHSGLRLHASPPNPVT WLMRMFDAELVIEDHDLHHRRGWKKSHNYGKQTRVWDRVFGTCSPRIESMEANIDYDN PVGMPIL PEX2_041570 MSLATLDTTEHPNLPAASTTLFRAKAARKLTFEKIGEHLGRNEV AAAAIFYGQAKASPEDIEKLADLLLIPQQPLEEQLNGFPDRGRSVEMPPKEPLIYRLY EIVQNYGYAYKAVLNEKFGDGIMSAISFSTKVEKETDEDGNNWAVITLRGKWLPFSRF PEX2_041580 MQHHKANADRHSYCMKCDLDFQSQQTLHLHKIMSDRHFACPECC LELRSEAGLEVHMRNNHHECHEIACKGCGCKYKSAAAVMKHIEDKECPVLALPEKARE GANYDLRGASSVLTMGRDSPGPQSPPSDSSSEDPDTDGGVLLDLSTPRMEGKRPTRGT EDATNPDDNWPELGALTPFKEKAGSSSTESPGGVLLNDIFNTTMRLGNPLPSTQGATE DSTQAGPSGSTLVIQGACPAQYGPPTRCRATAHRKIQGVDPESFWNHEKSRYFCNCGS SFLYVTTFEYHLTMEDETINDCPRCFKRFRTLAALVAHMEARYSKCAFRVTTGQIEQE LSEVTRGFADIPQMIGDEMEPGEIPFDVSSQPEHNTPGSSDADIASLSAGDVSSNPGP VCSNTDDVSSNAEALSSNGDDVSSNAEAVSSNAGPVSSNAGAIHSTVESLVDDLEGIV FSDTYDEDYEEEL PEX2_041590 MFSNGLISWFSSKESTPNPTSIATSIENHQPISPKAPTTDRMVT EQPNSQENMMKLRGGGAGDVCCGLCAGLLCFECCENCC PEX2_041600 MSTPTTPDLNATREADYKRFKNYAAYTFLIGAPILIALPPRKLD PLTVLVLCSFGASANHIVHDRTGRSIVDRIDARISRMQGSFSSLPSERAQEIQERLRA ARDAQLRRAEHEQLKARILSLSDKQRMAPDPVLKEEIEKLKARQAQEEGLVQRVWMGG ESEGWKERRLREEQKALSEGKGYGDLIQEHIWDVWTWGGKDGKAGEGVTDETVEKKD PEX2_041610 MNRYNGDSSFVPNGPRSYQGNGGARNNRANNNRNDSPYFVPKES KPYQGNGGARNNRANNYNGNGNGNGNGNGNNQWSPRNGNGNGNRNGNHNQNNQWNNHQ NDTGNVNPTNQGMGNANQNGNPNYKGKNFNPNYKGKNYNPNFSRPAPADVGQARNSGR KRQSNNNNNYNNRNQSNQNQSNQDMDVQMAEAPIDEPNDIEMPDAPPLSDPRIEAFTM GVLAVKDIVSLPLFHFQFNPFAFKQPAVQPSAFNSSAFRPPTFYPPVFHPKVISSPKL QGNAEYSGLANPDLAASRETQQVVINLSSTTELHPCPHRLQSTNNLWTRPKTSGFLNR GPKTPSTDDTQHKPQTTSGPGVDGAARAPSTGRTRSKTEKKLGEGKGYKLEHVQ PEX2_041620 MNPTVEEVSDDGHATPNANPNANEPPVPWSEIFSRHEAVLCSHL EMLSMVKDQIAPEVNGFQTVSSMVNKTVLAMNQLKIARKHMMSRTATPSTSNSSNSSN SSNPTQSTDTEANTRKKRRRISRDNDTVRPGPGPTDEMRAPKRYCNSSSQPTPEQDGQ FASTSLGTEDISAEVQRRLKIKEEQRLKKENPKADKRKRESLASNEGESPIAPKPRKK RLRLENGHKRYGDLVDNDADSPKKKRRKSP PEX2_041630 MPEAIIPPQKRVLGDAANNSNGILKSASALKKRKLDNESSVQFT PSSQAARRKIGSTQPQKSQFEEEVLEKLTQDIGDLKENNSEKDQQWERPALGDFDETK ENICFQQIDAEEAMLMGKPAIRLFGVTEAGQSVCLHVTGFEHYLYIAAPVSFTKADCD PYKHFLEQKLGQSFPAISSVQLTMRENIYGFQGNQKSYYIKITVTEPKLAARLRSALE TGSGSMNYKGMWSGADGILTFDNIQYLLRFMIDTGLAGMAWVEAMAGKYRLLGQSQRL SNCQIEACVDYNNMVAHPPNGEWAKMAPLRVLSFDIECAGRKGIFPEPNMDPVIQIAN VVTRYGETKPFIRNVFVLDTCSLIVNTQILEFEKEEKMLDAWRDFVEKVDPDVIIGYN IANFDFPYLLDRAKHLKCTGFPYWTRLKGFKTEAKDANFSSKQMGNRDTKATNTNGRI QLDLLQLVQRDHQLRSYTLNSVSYEFLKEQKEDVHHTMITELFNGTPDSRRRLAVYCL KDAYLPQRLMDKLMCLVNYTEMARVTGVPFNFLLSRGQQVKFISQLFRKAREQQLVIP NSKPQDEQDYEGATVIEPKRGYYGVPVATLDFASLYPSIIQAHNLCYTTLLNKSSIEK LNLKKDDDYIVTPNGDMFCTTKVRKGLLSQILEELLSARKRAKKELATETDSFKKAVL NGRQLALKISANSVYGLTGATVGKLPCLPIASSTTSYGRQMIEKTKQEVEARYTIANG YSHDAEVIYGDTDSVMVKFGVTELADAMKLGQEAADFVSSKFITPIKLEFEKVYFPYL LINKKRYAGLYWTNPDKHDKMDTKGIETVRRDNCLMVQNVIETVLNKILIDRDLDGAQ DYVKATISDLLQNKIDMSKLVITKALSKRKEDYAGKQAHVELAERMHKRDAGSAPTLG DRVAYVMIKGASDSKGYERAEDPIFVLENNIPIDTKYYLDNQLTNPLNRIFEPILGEK KAGQLLTGEHTRSITKAASNLGGLMKFAKKTQTCMGCKKPLSSKDEMEGAVCSNCRPR LGELYTKTLTKVSDLEVRFGRLWTQCQRCQGSLHCEVICSSRDCPIFYMRMKAKKDVE DSQKDLSRFDFDAGAW PEX2_041640 MFRSAAEIPSDSDSDSGSEAGQIQESGSSTPRHAAENQSRSPKK GKTKQVPKDSSSTDADSSISFGDRSALPQDLAGVNVDQHANIMTAALLEFYCQSRAAD ILNAQRGSNKPFNRHSPEAQYLGKKLYKFKSQFLSTHGILADGIDREEMGPSRQSYRD NLDLLSISALEEMNFHESDARSPILGSGEDRALVTKPSTRRPSIEDVDSPTLFRRNTE PTSSFRKQLPAKKVDFLPTVPHGPMNFPNPSIPLFGSSPVGVPLFHSPTAPPYNQLSR YSVEFQELKILGRGSFGEVYHVTNHIDGQDYAVKKIPLSQRRLEQLQFGGQNQLEVIM KEIRTLARLEHTNIVRYYGAWVEQAHHSHPPSAEYHPSHADYDKSENNLPSPDSPNES SMGIVFGHSENSNPESQSSSLREDHSFTESVHRWDSHGTASSHQSKKSSRKGLDEEDD DIESIPRTFDGNTSLGQTSTFGDTDDIFTDGLSQDQSKLQVQPRYRPGQQPPAVILHI QMSLHPISLGAYLNPQAAPKYDDCSLARRHCFHLLPSLKLVMDIVSGVEYLHSKGIVH RDLKPANIFLCAPESSTADICVTCSSSEASPTQFCRPRIGDFGLVADISHINEPSQGT MTPYREGPKIQRVVGTEFYRPPANISAPSSDPSSPVDYFDEYKIDEKLDVYALGVILF ETVYRLNTKMERQFVLSDLTRGSGQDPSERTIFPADFAAKIDYGSTVLDDGISVADSL MTCIKSMLEPKSDKRWNCQEVKEHLRAMKKAVRRFEETQK PEX2_041650 MTHPAVLAVALLGLQAGALPDRDDPAILRRACPDYTSYASTPHA PYSGGPLNLPFQRPAEPCRTFSSPAVEKVIDDITSRLVDKDLAQLFRNAFPNTLDTTI RWHTNGSTSSGTNARRDGAQWTGVQTFVVTGDINAEWLRDSTNQLTNYQKLAAKDKSL YSLILGAINTQSEFVIQSPYCNAFQPPALSGIKPEASSQKDTVHPAYDESFVFECKYE LDSLAHFLQLGTEFYENTGSTEFLTDRWYKALQTVLGTIDAESQPTFNTQGQFVQNQY TFQRETTIGTETLNLAGVGNPLNSDTGLIRSAFRPSDDATILGFFIPPNAMMSVQLQK TAKVIKAAGGPESLVADLQERGTKLAKAVRDHGIVQHPKYGDVYAFEVDGYGSRILMD DANIPSLLSLPYLSFLDKSDPVYQNTRKMITDKASNPYYLVGPQFHGIGGPHIGLENA WPMSLLVQAMTSDDDAEIIGNLNLVRNSSLLGLVHESINVNNIKDYTRPWFSWANSVF AQTVLKIAAERPHLIFGINAKPYTIQRKANFNFPLILTIYPRWDPHTFVPIALGIILD NLIRYVIGHVLMSILILETVEKQANGSELGNKKPPSSRKLFRTIAVLYRKGGLRLLFN GIGSACTYWVIHSSVAKLSSILLPSPVAYIVASVLLAETRFLWTARIILPRDQLHLVS ESRDRQRWKALVIPTLVYAMADAVMMHVPALFDSDLALSDEEIAIAGLSYIVGSDILI AGFMLFAHLFLLLPSYIVLILVQASLLPPACETLVFASTPQRRGRRVGEIFEVNRGPL KAQEAVRMVGVVPLLWCLELHGKMCLCLFGVAAVVHSAVYYMK PEX2_041660 MDSKLYLAENVLNERRPVTYRLLSRALKVHVNRAKHILFEFHRN ENAKKPQTVHATYVISGIQRAPEPAPTNGHADDEDAMMQSSPYLPSSMPNQDASSDSI RTTSIVLAREEDLEDAKSTFQSISTIHVYSLEPTALPDLNVLVDATREIASTHGQEDP LECGKQWGMIQNRNVKRRTGARPPPPAAPAVKAPAAKSLKPSIESTVPAKRPLQKEAS SAKTEATKSDEPKSEPSSVTNSQASSKPSGKAAPAKQKGNLFTSFAKAKPKTKASAPA EPAAPSAEDVVLDDASEEEAEELFPDSTEKAATSNRENRKEREERLKKMMDDDDDDEA DDEEMPDADEEPREPTPVEQAPPSKPVELKEEVTVQGGRRRGKRQVMKKKTVKDEEGY LVTREEATWESFSEDEPVPKKKPAVNVPKAKAGKAAGQGNIMSFFGKK PEX2_041670 MDQSFELADSTDWLETPLSLLAPFESSLRCQVCKDFFDNPVITS CSHTFCSLCIRRCLSAEGKCPACRSSDQELKLRRNWAVQELVDAFKLARPGVLDLARR ENTRLTRGQEEIEKPTKKKRKVDQVEEEQLQVPETSSQGRRTRSQRSINSSAEERTVP QIVPEVIEDSQDDEEYIPEDGLVACPICFRKMKEEAVFPHLNIHQQEEEAPKKTPTKP ASFGSLKGAPQRGLTLASKQPERLPAINYSILKDNALRKKLRDLGIPDWGQKQLLQRR HTEWMNLWNANCDSKHPKSKRILLQELEIWERTQGGHATAPSPFTTSNNVMRKDFDAN EWSNNHDDDFKRLIANARKKSEAQARSTIPGESSSSSEHREPQPQQGAGLLVNGAGAE FSPDTDANHVIDLSR PEX2_041680 MSDLLKYILTHEEAFRRNRLPSLYSDFTPQKKTNPDGYAVNVAA WEQALNRAAKRGYTSSRGVRVRSGSTISAKSGTATAKRKKTDHLILRTDESLLRDLES PEWGRPVALGTVFDEAVRNNSMIPLPVYKTTAGLLQKKSQWRLIDPGVLSPWNVMSWG ARQLKGFVVGSESDSAPKLQVQELVLVENLQEAADLAVKKATGGNSSKLDLIYSRESF VEEFAAILNDATELSDADFDVLLLYLSRDSSAIAYDGKTIKFKSADESPEITQQDTTI ASIKTLLSTMSKQVTTLEAKIAELTVSAKTALANKNRISALSALRSKKMAEHNLQQRF NTLMQLEEVYSKIEQAAGQVDMVQVMQASSGVLRGLHTQIGGAERVEDIVEELREEMT KVDEVGSIMNEAGPVIDEGEIDDELAEMEKSDREAREKEEATITKNRLAELDSIKQAS DEASRRARAAEDADSALADNIIDRLSNMSVEDRPMQAN PEX2_041690 MPSCRALGPDSEYITDWVSHGSGPGVTVYGFPSKGGIYVLHDCL GVELDFLRHDRFQDTPRPSKSDPDYKAKEDAHCDDMRRLGATWWRRLFLAYEKEMTGE SETEEFTRVGWPASGGVWVLHSTVAEARNMGSGIIHNANSMEERCKVIEQLGGIFYAD PKDCPYLDLP PEX2_041700 MTSPGDAAPVKPPPSFSPGVARVASTSPSRYPGDHETTQSLDAE LAESQSVSSPTPLSGTIADLPLRPGSSHSRSGRSSTPQLARSSIGSPFDGRSDGSEDI RSLIIRSFSPTIAVHASEDTDDLVRNKGFKGGFWELIRPFGETVAGKVVIRDSIGSSR AWEDYGVRFADFRGIGGRAPASRDSGPLAQMEEVLTKQLNSSDGMLGASVRTKDVLGY PGTTPLSKSFLQQLLCSAPTAPHETFGHPVANVIAISSRNAAPLETLRQLYADGTTGD KQLPDWVNQEYLRYYVLVHDEERDDITESIKLYDQMKRHFGLHCHLLRLRSSQCVVTD DDSMQVPQCEWLSPSEHLSGAGEAEALVDLGTDGTPYLFGSDATAIKAFIRELVVQSV IPFMENKVAVWNDQVASRRRGISGRFMSMSRRWAGFGSSSRSSIGGSSGGNSGNYDPT HHSYSPDSPEAILRKMADYAFMLRDWKLAASTYDMIRSDYGNDKAWKYHAGAHEMCAV SMLLNPLATSAKIKLESVDQMFETACYSYLTRCSDAPHALRCLSLAVELLKSRGGSAT ESAAKWAMRVMDFGLVGSVGQILYTERVAACYASKTPVGAAKWGGRRRKAGMWSIAAA DQWLKAGQPTLASACLEEAERLYADVLQADGVFPMPEMQSFVDNLRHAVKVEYLEARG FDARDEPATDDLLGTEETSEKLDMRHHRRSLIGPPVQLDAGAFNTTQGPLDPESPSND DFERA PEX2_041710 MRNPESDPDFSERVSKRRRISSPAREGSYATMSPAMPTIELTPL ESTLRTLLLDVGKYITEQQIAKGGSAAEDHSETVLRFTGGWVRDKLLGVESHDIDVGI SNMTGYQFGMALKDYLDIPEHLEKYKKGPNGEMHDAIVSLHKIEANPEKSKHLETVTT KIFGLDIDLVNLRKETYTDDSRNPQMEFGTAVEDALRRDATINALFYNLNESQLEDLT ERGLDDMKKNIIRCPMEPYQTFKDDPLRVLRLIRFASRLGYQIERETEAAMQIEDIRE ALKLKISKERVGAELEKMLRGPDPRGALQFIDRLQLFPTIFANHQDEVKADTSTWPLA YNTLARLLHPEAGDSEELQAVTKRIREILFRDETTIYYAWMIATFAPWTSIPGRTGKG PKPLPPRAVEVGRDSLRSDNKTLSALRAAALHYEETITTKTSLLANEISGTPAEIRQR IGLQMRSWNKDWKLCILLAILQENMAHRDFAEVAGEYDQFLAYIVENDLEGVCELKPI VNGDEIMKSLGAKKGPWMSKAVNMALEWQLLHPESTDKEKVLEEIVAMDKLRKEAREA LVQNPGKMPISFFPAVAATKCDLAQLWQDVAAYDHSTHLNICESLVTVTSYIDYWDGT LPNDQGPRPLKPAEAKAVNNLFDWASAAALPSSDFAIDFDETAEISDEIIKAQNESRF RSELALELILVLNKPLAGLPNGKPDNAADILLAGACFANKQNPWATSDSYNASSMLMN VWVQDTRRDNTFWPAIDFILRERIRPLFAKTKNPAITSAGRKNFHPQTLPRFGASDPD ASAKPWKNADVYVGAVLAWILSQYQPEDKPQFEAHFQLFVPTILAMVDDNNLPFKANG CALITRLLQPIQENGSDILRRTNLTSVFEDAVTPCLLSLPSITPEDRSLGLLGAAYPA LLSTLKTAYKGPTQSEKDKEDYTTGLAKILRSNLISSFHHVSSGTPASGSTASFPHPR LSTFLLETITFIVNELGIHTTKYLQELIPVLYTTLSNPFGTAHPPLLLAAATATQAVI KNAHPRIWRWRGEILSGLSSCWLHIAEDSRDSVAELAKLKRVLQQTLQVLKLVLLNPV AIAGDAPEPEQVQVKENVEKEFQELVDADAELKGLFV PEX2_041720 MESFDDVSLIGLVSQDTPFHDEHLPIPSGPSDPNLAKQETTGGP TPTQTTSTRSSSHIERTDDAGIIDDASVVSEFLLQPGARIEEEEEGDGEDEEPQVATS FEREASPTFAQKHQSASDSEDEGINRMHKFTLYETATRFYMVGINLAETRFRILKIDR TSDSGELSITEDDMVYNKREMVQLLDAIDDGNKSSGGLKQKCSAWALLGFIQFTGAYY MLLVTKRSQVAMLGGHNVYQIDETELIPLTTSEPSHLKTEKHSEEARYIAILNNLDLS RSFYFSYSYDITRTLQHNICRDRKAHQDGHPQPSSHDYHSMFIWNHHLLSPAAEALKN PYEWCLPIIHGYVDQSKMSVYGRVVYITIIARRSRFFAGARFLKRGANDLGYVANDVE TEQIVCEQTTTSFHSAGPRLHANPHYTSYVQHRGSIPLHWTQENTGVSPKPDIELNLV DPFYSAAALHFDDLFRRYGAPVYVLNLVKSRERTPRESILLKEFTNAVTYLNQFLPED KKLIYEAWDMSRAAKSRDQDVIQMLEDIAGNIIPKIGFFKNGDDAESGLQLQNGVART NCIDCLDRTNAAQFVIGKRALGYQLHALGVIDDTTVEYDTDAVNLFTNMWHDHGDTIA IQYGGSHLVNTMATYRKINQWSSHSRDMVESFKRYYNNSFLDAQRQEAYNLFLGNYAF AEGVPMLWDLPTDYYLHHTDPKSYLDRKKPNYISWYTPEYLKAREFPPLPFHPKAPLS HFDDFWLEYYRPLALSSLSKVFSLKMKSTSRYLPPVRPGHPVPDLSPFVPRIPPEQIQ RERIPQRSVKIQEPSISRSRASSNTTPIPESPRTWAHQPPSSEKHPPLTGIIKEPAFK IPHVSRIPPINPPDAHSNPSKAEIAQWTLGQLVSDSLSPSVTGAEAEEYERYINHPLK VPLVVTSEDEMTASSLQDHGYNLDLLEYANKCNVEESALEARAGINMLAYAEFLDVSE DGLTVVGEDYEKKRYKRYRQWLRGKSLFKQRVDP PEX2_041730 MNHKSMRNYQPTKRRLIQFVGSPLDDVVTKNGPNGQKWRDTIEA VCNSIKEADSKIIFANIRGANSHLSSTDKGDTQDVISVSLHTKSGTSVGAIHIHLDGT WKLFGTCRESKARHAAKIKSANIPGYISTRSTP PEX2_041740 MEYLPSLQQEFDEHKPSLFELLAEQQLSDLLPPSLRYLLAVATH RHPRYLLRILNSYDEVYALLSLIVERYYLRTFGGSFTENFYSLKRERVLRTKNGEIPR AQVGAAGPVREALKLHSTDIWKNLFIMVGIPYLKRKLDEGYDIHAAPHASLVTSGGPR YNPSDDLPANPSLRQRIFFYYKWFLRNVYPSVNAAYYFSVLAFNLAYLFDNTKYSSPF LWLIGTRIRRLDTADHRAIAAVLDPKPGPSTGRSQRPGGGLLGLLSPQNIHTQLLGSL RYFLPASIFALKFLEWWHASDFSRQLARKATEVLDLPAPVVAGLTSPAERRKSSIQDE KDKAKKQAEQEKKNAAGDLKPALKPPRRHQPPISATSYLPIYTVSLPPADPPSANTCP VCMGALVNPTACQTGYVFCYVCIFHWLNGEHQRQLDFMTGEGAGAPWEEEIMGDDEEP KKSPSGDDAQPGSRKRSGKWESGKGRCPVTGRRVLGGTEGLRRVLV PEX2_041750 MSRALLRGAACQTCRHEVLRSFVSVSGIPMPRSSPLSSRSISNP RAFSAVTLLRSDRPPTSNQFDSHLSEPAEPPAHSETNTPASSQHVPWYLQEEAPVIKE RSLTEAHLPKIPDDSPEMLSTLLEYTYKDLGLDGLKLFDLRGLEIPAALGASVIMIIG TARSVKHLNVSADRLCRWLRSQYKLSPYADGLLGRNELKIKLRRKAKRARAASAAGAM IDEKDDGITTGWICVNAGMVDKGATTTQLSDVGIEGFGNLDLGTSVVVQIFTEEKRAD VDLDGLWEATLAREGRKNTRESTEDLSKTGAAPPRSMSDGFGSIPGQRRGFHTMRRLA LSAMNSTESGLNAGFPSMGTSSSTLPGGAAAVASQLTPTSLLQILAELPADSARNELG SGPDDRQSTLFLRLFYTNHAARFSAQEKATFRLKLLSIAVSRQHPAYTKDALFSTFSD FLRDGYDLPDDLGFDVVSALLTPKTVGVITEQSKTHSPEGDMELALLVLDRLSLRGVP ILNMKIFNLLYQAVCAPNSASSSLNKLHPEESSPSSSAVQRTESQKQILSRLSKILAA AKVPFDAVAARQLMVTLFQCGDYDGFWRLWRQFPLKGVNRTQEDYVQLFKLHAELGEE VRARECLSTGIPLMNRESPAIVLQGPVVTSIMHCILVADPTLQNQDERASPSFYMPLW TECQEALAREN PEX2_041760 MDLDEPVYFAQQEKVAATILCCNCGAAIDGTLSAGALCSDCIRL TVDVAGGVQREAVIHCCRDCERWLQPPNSWVVAALESKELLAICLRKLRGLNKVRIID ASFIWTEPHSKRLRLKITIQTEAFQGTIVQQQFEVEYVIANQQCAGCQKSYTHHSWEA SVQVRQKVPHKRTFLYLEQLILKHNAHKDTVNIKEVHEGLDFFFAKRNEAEPILSVPS RSKKSHELISMDIHTSNASYKFNFSVEIIPICKDDLVALPIKVARSLSDISPLVICNR VGTSINLLDPCTLRTTDLPSGTYWRQPFPNLADTTELREFIVLDIESTGHSNGRYLLS EATVARASDLGSNDTTYFTRTHLGGVLHVGDSVLGYHLSGTQFNNDQFEAIENSHQYS STIPDVVLIKKHYVRSKKSKARSWRLKRMAREHEEEATAAVVNVPTTKRQEQEQARME QDYEMFLRDVEEDQELRQTLDLYKNRQYQVRADQMDEDGDDDMDDEEGHAVPKINMDE LLDDFDELNMQDNE PEX2_041770 MTTRKHNDFLDIAASDDEGSGSDRGYDSEANAAESKGRAVKRRR TTTTQDFSQQSDEEEDEDDKDLEQKDEDNEDEEGSASLDDSTKPTTKSAKSLKAKGKL AKLSKLANGKAPKKARSGVIYFSSLPPYLKPFALKAMLEKRGFGGITKIFLAPLLPSA AGHRSRSNKRKLYTEGWIEFESKKTAKICAETLNATIVGGLKSSWYHDDVWNIKYLSR YSWEDLMQSVQRERSERESKRKIADAREAKEAKMFIAGVESGRIADGMAKKNEEKMKR RLEAAGDGDKELPQPKAAQSTRRRFHFQQNEVVKGSKDGAMADDAKRVLGKIF PEX2_041780 MSTGPKDYAYDPNKSPVSTPQSAPMSETAQLGSSPIGGATKPIV SNKTAAMDKPESGDNKIKKRSLDYVIRSGIAGGLAGCAAKTVVAPLDRVKILFQASNP QFAKYTGSWTGLAAAIRDIKRTEGFQGLYKGHSVTLLRIFPYAAIKFLAYEQIRAVII PSREHETPFRRLISGSLAGVTSVCFTYPLELMRVRMAFETRQSHRSGLVDIWRQIYNE RVQPPSTQSAAAAESSSVAVAESASSAVSKVVPRTGIANFYRGFSPTILGMLPYAGMS FLTHDTVGDLFRHPSVARYTLRRVTESGNPADRPKRPQLNTTSELLSGAVAGLVSQTS SYPVEVIRRRMQVGGAVGDGRRLGIAETARTIWLERGFRGFWVGLTIGYAKVVPMVAV SFYVYERAKGSLGIS PEX2_041790 MVLFKRKPVQYLPQTVIEDDSSEVWVIPETNEVFTSYEPYLQRM DFYKQRRFICEITGHSGLTFFEALRSETEESREVNNSFPDALREPILRRIQFSIVSRV DSLVDEIYEEFKSDFYPGEAVLILLEDSSRLHGTIRDKANFAEQLYADGTIKTPAFAR YLVKILDRQNEEALLDQDHITRDRKAFTKLMLRGFIKNNVTRESWTGAPWLVKPSVAE TYKIPIEVPKHLQYGAKVAEKKAMKKADQDGFFGFFSSQQLPELKPAVKGQKSKLSAH DMAKSREAQYQEYQRSLNGNPSFLLPSNPLRPSKPPLVGDRKLAGLPAVVIKNEPRAP SPPPIKYPIEDLDLAPNPEKQHRPTLQFMMVGESEDDGAENLLPDDLEPETVGLLLET WDTLNVYCEVFELDSFTFDDFIQAMRFSSDEVDCELFVEVHCAVLKKLVNSSNDDGAV QISLPDFPVDNSDESEEEDEDEEEEEEAEEESPEPHVKPGRMTTRGSLAKEEAVIIKA QINEDEPEETQIHRAGEMFEKYGWIDRLRKRDFRNGGWQLVIVGLLHQLSIRPRSEQI CNTILKHLAPLDREPTQDTVEEQYQTLDINLRTKVLQMICMLSLETKAIRNYLEECSN QMTELRKEKIEYQKARKAGLIELRQLHQERKAIQPEPEKAGKSPTPVPELDGLDDSRL LEGDSEMVGDTEDEDIPRPRSLRGGIDRALERKRKQELEKERKEILAKQPKGSKQYQR VLKKIDEQKANIEKLQEQIETVENSLRETDCPRTRCLGLDRFCNRYWWFERNAMPYEG MPESSTAEAHYANGRLWVQGPDEMEHAGFIDVTDEQRKQYQKHFQTTPAERKKHEEGP THVSNAREWGYYEDPEAIEQLVEWLDSRGNRESKLLKELLIQQEYIAKYMNNRKAYLA ETPDRAESEDIPAKRVTTRTKTYLDVNESGPRCLRWKNTTALSEHGHLHVDPGRPAKR KRVSDDTRVTKAVKQTKQAKPLTRTRAQRKG PEX2_041800 MADLSPSLAQCAIVAAAFKVLLFPAYKSTDFEVHRNWLAITHSL PIQEWYYEKTSEWTLDYPPFFAAFEWLMSQAAVYADPAMLVVKNLGYDSWQTVYFQRA TVILTELVLVYALSRFIKSVPLPNKQAAHVASLSILLSPGLLIIDHIHFQYNGFMYGI LILSIVLARKQSTLLYSGILFAVLLCMKHIYLYLALAYFVYLLRTYCLSLKSVFRPRF GNIFKLGFCVVGVFAIAFGPFAQWGQLLQLKDRLFPFSRGLCHAYWAPNIWAMYSFAD RALISLAPRLGLPVNADALNSVTRGLVGDTSFAVLPEVTKEHTFILTFLFQLVPLVKV WFNPGCWDTFVGAITLCGYASFLFGWHVHEKAILLIIIPFSLIALKDRRYFSAFRPLA VAGHVSLFPLLFTAAEFPLKTIYTVLWLVLFLFVFDRVAPVPERPRIFIFDRLSLLYL TVSIPLIIYCSLGHQLIFGWDRLEFLPLMFMSSYCALGVVGSWVGFMVIYFTA PEX2_041810 MMRTLASLALIAVGATAQLIESSSFGAGQTISPNRHSIPGWAIG GEGHEPQILSDKLILTPPYPGNTRGSAWAQTPVSQSEWSAEFQFRASGPERAGGILQL WYTKDGQSRIGTSSIYTVGQFDGFALVIDTHGGRGGSVRGFLNDGTIDYKSHNSPDTL AFGHCDYSYRNLGRPSIVKLKHTSSIFEVTLDDKLCFSTNKVALPAGNTFGITAATPE NPDSFEVFKFILESAASQGSTIPSNQGSTPQQPIRNQVPDQPAQPIKPGTEVTMNGLA AQIADLSGRIQLSGKATNIILQELKNQALKADQRHEEIIQKSLAQDRQLAQFDTRLAH LEQLIQVVQTDVQNKDYSGRFNQLHETLRSSHLSLSENLQGHLLSVITASSPRMGFFI FLLIAFQVVLVISYVIYKRRRANMPKKFL PEX2_041820 MDTVRATVRRVSKRLLNKKTESEKAAKEKQDAAAAQPLPPAPTG STQSERRQGSSKPAQRRKLQKKPPGPSQPTTQYRTMRPIGEYPSTAEYRAAGVAPPTE RAHRVATVPTDVPRDEAERLSPVSGVEYLTTDKSHAETVESEAFASISSGVQILADEA LHTITTPAEAATPTLPSADDTNKYVTVLMSLPLRPSDKYDVAKLRAVMKYAIIHATEN GNEGSAVALLYFWSNAVDDEFQLSLVANLANGDGADEQLRLALQSVLNNSIPDAHKWY QKYLRATANAADIPSCSESSLPPANSVNAEPSFKVSDIYRDTSGPRIEENFLNGKSNT APLKRPKKPCRVNENAYKRKRKWEIDSDHGEKMRAVRARLQEETYSEARVRYSAIRTR IGPPDAIQHPYNMDNIDTGIEGDIDELNRSRSLPAPGSILDIPIVKGPEPEAPSIYSP SASLIGRKTGKTVSRRQKEKAKGPEIPQRARSLSVDTTVSSLSSLSNSAYSIRFNDWS GGHEPRQMPNSIEPPENSDDCHQCGKGGDLLCCDTCINSYHFECLDPPLDPKNPPQGE WHCPKCSIRNSFSTLIAHSKHYKKTEFQLPQETKEYFQGVDEGIVFDNDYARNLKHQR YYKAAPHLPRLTKAPKQDGPTIYANPMLLREYDNKGDCIRCSKCGFTSQGTRPIITCD YCPCRFHLDCLDPPRAHPPNPKVGWMCPNHVTPDDMIATKEINGSNERTRRVRRTKNM AYIDCDIMLPDDPNQSLFDDDWREKRARFLGGDVVLNFISAVKDDHHERENEYARTVE RKCLDLTKQLTNEYLNRAESAGITSNITQNGLPADFAQNVSYAVNNMIAGAPVSTEEF DAAAALLSMATSQPPLAVGEGHIAEAAGPASPVAQKTLSRIDEESTLGSSHAATSPPP EISHPPKASSDAEESHHSDSELLPRIPVFNRNKRSRTDDQGSAGEPAQKRQYTKSK PEX2_041830 MAEDKAPEVDYTLNNPDTLTKYKTAAQISHNVLEAVAALCVEGE KIVEICQKGDKLLDEEVAKVYKGKKIAKGISHPTTVSPSSYVTPYTPLSSDAAEAEIT LKAGELVKVQLGAQIDGFGTIVCDMVVVPKKDSPKEVVTGREADLILATHYANEVLLR LMIPQGLLAQGTDEEKKKAAAQKAPTQAYMSSLLEKIAKTYDCTVVENTTSWMFGRNE IEGEKKIILSPGNGVRGDGVPEVGEVWGVEVGLSLGSGKVKNLDHRATLHRRTTTTYG LKRPSSRQTLSEVVKKFGTFPFSLRQLDDEKAAKVGVVECVRGGVLRQYEPAGETDGS PVSRLLTTVAITKNGLTKLAAAKPVDVEQIKSDKKLEDEEILKILEQPLARSTGNKKN KSKKKTKTTAGEE PEX2_041840 MSSTKLPIRPWAERKQLLLNEFEYETRHCGETFICLQEVLNNQL GDILAGLNQDAEPEEPEWEYIGVGRDDGHEAGEYSPIIYRPSVWEVLHWETVWLSETQ ETPSKNWDAASIRIVTIGVFTHRGSQNTVLAMNTHLDDQGSQSRLEAAYIITGKISEY SQNKFAKLISGTFLAGDFNSEENQEAYQELTKSLLDAYKEVDNSRRYGNHITWTGFGY EDEPASRIDYVLVRPAGTHGQRLAVIGYAVLGNRFDDGVLCSDHRAVIVDLTLT PEX2_041850 MPSPEVTGGSLPSEQSFGDLQSGAQNSSGASDTGDQGPDSIDLG TSRSTNGHTPDTIAEGKQSAKAMLAASGMSASSEPSSEGSNGTPHSNGTHGSARKRSR DGSALQSTEVSGAMAVRARETPLDKILLEQYVDREFQHSAAAAWQNPSQELQKNKRAE RDFYLTVRRENQLNPAALYGVGYEGFGNPRTDLRNQHPQLLYPTHRRRPGNRKTRELR ISRRDAKTQNEQMEDLVPIRLDIDWEKVKIRDTFTWNLHDRVVSPDLFAEKLVEDMGL AVESSVPLTRMISQSIQEQVIDYYPHLHINEDPLDPQLPYTAYKNDEMRISVKLNITI GQHTLIDQFEWDINDPNNSPEDFALCMTDDLSLSGEFTTAIAHSIREQSQLFTKSLYI VAHPFDGRPIEDPDLHTSFLPTPVASAFRPYQSAKEHTPYLYELNEADLERTEISISR EQRRQKRSINRRGGPALPDLKDRQRTIRTMIVSSVIPNSVGSMDESNVIKRTGSGRRR GMTGNRGDDDSDEFDSDDSSVGSPAIGPNLAQGTARTRGMRGAASAAHAALRASLGQS ATPEPVYHEPRVSARRQQYREESVDDPDKLIVRFKISRDKLVDLRNGRRIASLQPSAG QASRTMAPPSDKQSRLHPPPRPQQTGAVDAPNPPQPGVSGPPPPNWLAAGLSKLKQSH PNDSFEGVMRYSAVDTETLAPVANPNNLQAGQNIKYQYLPRIRCHDCPGKLYTPGPGM TVDNFEVHLRNRQHKERVEERLTKSSGSGANPDGGSASASPRIPASASPALGTATVPG THASPSPAGGSQP PEX2_041860 MPSRSRPGPPEVEEDSGLRSLSFNQPLSWRVGRSAIPIADLLER LQTLAQELRKLDQEEIDKESLRKVSQELASGNLLAHKDKGVRAWATCCIVDVLRLCAP DAPFTRNQLKDIFTCIVSSIIPALADPSNAYNAQHIYVLGSLAEVKSVVLMVDLDHPD SLIVPLFTGCFDIVSGSSKASTGEEVAKNVEFDMTRVLVTVIDESLVLAPEVVDIIVA QFLRVDPRVLDNPNKKGKRPDAPLDAKQDTLLLKDYPAAYNMAKAICQACPERMTSHI SQYFNNVIIDASVPAGQTNGSKHTRKPNLDDSDEEGEDIKELSKAHRLIRELWRACPE VLQNVIPQIEAELSAESVALRLLATQTIGDLAAGIGVAGPPPPPPMDPTTYPPVSLVD YDKTIPQPNVLLTPVSPKPFSQVHNSAYEAFLSRRLDKTPSVRAAWVTVVGRILLTSA GGSGLHESEEHSLVRNLASMLRDVDEKVRVAAVDTVGQFGLSQIVHKLSVDGGCSSPD SVLAILAERVKDRKPHVREHAMKILARMWAVAAGDIEENTEPVVSLLKDAPSKIFDAF YTNDQEIHILIDRVLFETLLPLPYPPIKAKLSRGSSNQSQKQKDSQASEPEQETDVDK IRVRRILTLLRGLDEKARRVFFVMLARQLSMRSAVTLYLEACEKYNGGVVDKDEEQIK TQLSKIIESLSKTFPDASRASTDLWKFAKVHDRRSYQLVRFTMAAVSDYRTVVKATKE LQRRVQSANNSLLLETLTPLVYRCGSLIFNRSHIPAIMSLSRTDENGLANAAQEMLKQ ISSQNPEVLEAQVQEMCKDLEAQAPKASSAGDGSAEDILKACAGFAKKLPAKLPKERK FLQALANYALYSSSPHSAKHAVSILMATADRREMYAKDLVHKCVEKWTYGSDRFLTRL AALSQLNLLAPREADEESDAIISIAINQILLTNRTPEPDSEYNWSETVDDETKAKEWA LKIIVNRLRAKDGADNEADFRAHAKPVYETLNKLVVGEGEISKKKDTPAGQKSRLRLL AAKSLLKLCASSTVCDGLLTPSDFNAVALVAQDPLLQVRSGFINHLKKKLVQKSHLSH RWYIVPCLLAFEPVHSLKESTLTWLRSRAAFFAQQAQASGKRTEQTIFMELIFSRLLS LLAYHPDYPSEDLDEATKLGDLTDFSQYILFYLSAVANEHNMSLIFHVGQRVKQFRDG ITKSDEISTRLHTLSDLAQATIRRFADVYSQQHKFGGAAGATNILQTYPGKMGVPSSL FTSMSSHREAQDVADKNFLPDELDDLLDRVVRIAMKPRSNSTHASQGGSSKKRKPSLD ANGKTSVAKKARKEKSSRPARKSTSSISAAAKPKRKSKNDDGWSSDGGAAATTPASSR RRSVRGTAKPEVSYIDNDSDDADMEMAEWDEPKEKDDGEDDAEESENEEIQATDDDEE LSEIDEPEVSEKEPTPPPAKKRGGRAAATKSDVKKPEAKKPEASLPSRRSSRRG PEX2_041870 MEHTSIEEGVTNNDHDKSETDVEKAVVDAPKKPDNSNTDPNLVD WDGTDDPEHPQNYTNLRKWVITLTMSSMTVWITFASSVFSTATLVTAKEFNVSTEVMI LGTSLVVFGFALGPLCWAPLSELYGRRIPLFSGYAIFAIFQIPVAVATNLETILVCRF LMGLFGCSPLAVVGGALADIWNPVDRAVAIALFSVSTFMGPVLGPIVGGFITDSHLGW RWTAWITLIASSFFGIIAFFVVPETYGPVILQRRAARLRTETNNPDLHAFLDLHKPTM SDIVTKYLFRPFQMLVQEPILLAMTIYLALVYGILYLFFEAYPYSFGEIRGWTHEGVA ALPFIGILMGVILGACLIIYTTKTRFARKLAKHGRVVPEERLVPMMIASVLLPIGLFW FGWTSSPHISWVPQVIAGVPIGLGILVIFMQGLNYIIDVYMMFANSAIAANTLVRSTM GGAFPLFATQMYRDLGVAWASSVLGFITLAMIPIPILFFIYGSRIRAMSKFSPKF PEX2_041880 MSSEPDHTHDKKRVHLQDASGAEKKEELDTSTAILKKKKKPNSL IVTDAVNDDNSVIALSNNTMETLQLFRGDTVLVKGKKRKDTVLIVLADDDLDDGSARI NRVVRHNLRVKHGDMITVHPCPDIKYAKRIAVLPIADTIEGLTGSLFDVYLAPYFREA YRPVRQGDLFTVRGGMRQIEFKVVEVDPPEYGIVAQDTIIHCEGEPIQREDEEGNLNE VGYDDIGGCRKQMAQIRELVELPLRHPQLFKSIGIKPPRGILMYGPPGTGKTLMARAV ANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRDKT NGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDP TGRLEIMQIHTKNMKLGEDVDLETIAAETHGYVGSDLASLCSEAAMQQIREKMDLIDL DEDTIDAEVLEALGVTMENFRFALGVSNPSALREVAVVEVPNVRWDDIGGLEEVKREL VESVQYPVDHPEMFQKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGPEL LSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKSRGGSVGDAGGASDRVVNQLL TEMDGMTSKKNVFVIGATNRPEQLDAALVRPGRLDTLVYVPLPDQESREGILKAQLRK TPVAGDVDIAFIASKTHGFSGADLGFVTQRAVKLAIKQAISADIDRQKEREAAGEDIT MGDEEEEVEDPVPELTRAHFEEAMKSARRSVSDVEIRRYEAFAQSLKNTGGGSFFRFP SAGEVQENDTFGEAGNDDSLYD PEX2_041890 MSDSEHPPGEATDIQAGKAPAGSSCAKRQLLAALITTDVTIRRL DLLLSEADGQERVLATVNYVASMLHHLTASAPWIALQTRLGILARLKGRVPTKNLTPA SSNSKFAALSSLASETRYNLRLFGLLPLWIWGAETLKSPPADPILHALTVLQVISNVI YQLLENVGYLASKGVVSKRFVDKYGGVAKWDIWSTRGWFGHIFFQFFVLWRQSVLRRR RLAAQRAAAGTVETKDTKAEDSEALRLEIRGWRKSMVNNVIWAPLCLHWCVEKGIGIP DSLIGLISFGAGAWSLHDCWAGTAHLKA PEX2_041900 MYMLGIIRQLQKVQRGQFICVLRIVVFDILLLVPHLAGGEQIVN LSEHRVMIFDILVDVPSLLAGERIVMLSEHPSLTVSIDLATGEFTNPLTEPKSTVATG ESGILPNKTKATTATGRFMVVVMAQSEVSSNVTNKTCALIGAYVNCGFIALGGDERFH PEX2_041910 MSVKFQEETIRTVTGGGKAEDLVHRVGERLTGGNTAAGYLQAYL LQLQKNPLRTKMLTSGVLSGLQELLASWIAHDVGKHGHYFSSRIPKMSLYGMFISAPL GHFLIGILQKIFAGRTSLKAKILQILVSNLIISPIQNSVYLTSMAIIAGARTIHQVRA TVRAGFMPVMKVSWITSPLCLAFAQKFLPEHTWVPFFNIVGFFIGTYVNTHTKKKRLE ALRKRYDQRRDPGYEKRDFP PEX2_041920 MPPYTTTQKHQIAEFVSCTRTKDSVAIKFLKACGWSLPPALDSF FAAAAGTSAVITSELTKTFESYRDDPVDSPDTIGITRAIDFLGDLKVELDEVTCLAIA ELLQSPSMGEFTREGWMEGWLRVLCDTMPKMQAHAKLLRERIPQEPHTFRRVYRYAFP LSRMQGQRNLQFEIAAEQWRLFFTTDHGGVAWNTATTPWLDWWIEFLEERGKKPVNKD LWEQVEVFMRKSLEDEEMGWWSPDGAWPGALDDFVAWVQAKRGKGSEMEVE PEX2_041930 MRSLTEEETRTLFTKLASYTGRSLNSLITPAEDGSMSVFRLQGS RVYYVNKEIANLSVSFPRETLLSIGTMVGKFTKTGKFRINLTALDLLAQHARYKVWIK ANGVMPLLYGGSVLKAHVARFSEDLPENAGVIILDSNDVPLGFGVTARSSAQIAKLDP TSIAVHRQADAGEYLREEDTLFTT PEX2_041940 MARGPEVKRRRLSPPADVEDSSSRSKADQEWDVEQDYERRPRKN AKKAGENARLPIKTSEGFVQAEVQPEDQGEETDSFLGTDDDEEDGSEGEEEEPEEEAP KIPVKVQILQAKEELAKMATLINEDPEEHISLFKTMAEMVSKKSTPITVKKLALASQA ALYKDVIPGYRIRPLSEEDQTGRVSKEVRKLRDFEQSLVSGYRAYVQKLATLIKPAKA DGPTDAGLRSFAISMACTMLLAVPHFNFRSELLKILVNRLARRQLDADYVKCRETMED VFAKDDDGTVSLEAVRLLAKMLKARDFHIHPSVLDTFLHLRLLGEFHLKASRDRVDRE EEEETPKGKKQKQKREFRTKRERKVQKERKEVEKDMRQADAVVSHEQRDKNQAETLKL VFGLYFRILKLRIPSLMGPVLEGLAKYAHLINQDFFGDLLEALKDLINHAEREEMGVE GDQEVVNEEDSEDEDNVTIAESAARDARRRTLLCTVTAFALLEGQEASKAAAGLHLDL NFFIKHLYRSLYSLSMNPDVEFNPDTALRLPDPNSSAEGKQLTESRSKNKVNFQTPMV LLLRCLQPTLLSRAHGNPPPSRLASFSKRLMTTSLQLPEKSALATLTLMNQVAKYHGR RISSLWHTEERKGDGVFNASAADIEATNVFAGTVWEGELLRLHYCPQVRESAVEIEKM MISSSK PEX2_041950 MAFTSSSLQINKGWDGSLTLEILIDTDSEGPRGRTGRPETPSSS ANSSRSRRSNLSQQVHFEEPEMCNPLASPRSDVTVTAVPVPVPDKSTNPRVGVPSTSV SESSVQSLDPLPASHDKRNAFSQRKTNVSAPPPSHLSRPSLRSSLRSSLRSSSIPPVP PIPASILKNRPGNIAISELKPRSSSAPPSPPKMADSPNVPEGNNKPLPVSPSTRYDPH TPSPRTPIFRKDGMRRPSLSPLERATGASSTGVSPSTHRSSLTLKDLPEALRSLQYKY ETDFKQLSKKIDNIDKVEKKVEDFVMMTRDYIQDQMDAQLERQSEVTFELTKAKLDAT EAKEQVEEVKEQVVEIKEQIHEMRLEINGLTSSFNDLSAKVDMCLTGIYDNTEEPFVV YQRRKNTEIDEDIQDIGDTTVEQAAQILFLRQMLIRIQVALRVLQREHGLNISDEVDK ILPPTPFPVRDTVPPTGILRTVASGSAATPTAQAATPAKGPAPANAPAPANAPAPAKS ASPPKTAPPPKTTPPASATPPASASASGSATITPPGSSTVKPSSIPRRSKKRSSKKSS NASIGSTVAKETSTTEEETAPPVPTLPHGIRLPLDAVRDAPPIPLANIHPLFRAQFRA GNAEGIPAAAILRTEEPSGPGSPTETRKDEARK PEX2_041960 MASVQEPLLPESNVLPKDAPVEPISEDLSESTSTLVEPDSTQQS KDSTQPEVPLTAAEKKKAKKAKKKQQKKQESISSIAAEQIPESPVAVSETQTAEPAVE ESAPVEAPKEAEAAAEPVAEVVAEEKPAAESTTAEPEVAEPVAEPITEESKTEAVPET AAEPIVEESKPVEEVAKPTTEEPTIVEAVPEVAAEPIVEETPAVEETKIADEVAEPIA EEKPIAEEKATEAVPETAAEPAEAAVEEPKATEVVPETTEAAKEETPATETAVEEKTT EAVPETTEAAVEEKTVEAVPEAAVEEKATEVVPETTEAAKEETPAAETAVEESKAVEA VPEVAQEAPAVEESKAAEEVAEPTAEAATEEKPIEAIPEVVAESKAEEAVPEAAVEEK TTKVVPEVAQEAPAVEESKVAEEVAEPTTEAATEEKTAEAVAEPIVEETPAVAESKVE EAVPDIAQETPAVEEPKVEVAEPVTEEKPATEEKVVEAAPEAVAEPVVETPAVEESKA EEVAEPAAEEKAVEAVSEAPAAEESKTEEAVPEIVQETPAVEESKPATEAATEEKAAE AVPETVAEETPAAEAVVEESKPVEAISETVAEPAVEETPVVEESKTEEVAEPATEEKV VEAAPVAAAEPVEETPAVEEPKVEVAEPVTEEKPATEEKVVEAAPEAVAEPVVETPAV EESKAEEVAEPAAEAATEEKTAEAVAEPIVEETPAVAESKVEEVAEPAAEEKAVEAVP EIVQETPAVEAVVEESKAAEVAEPTTEEKPATEEKAVEAAPETVAEPIVEESKPVEEA ESVEAAPEPVQETPAVEESKAEEVAEPITEEKPIAESATEESKPVEAVAETVAEPVVE ETPAAEAAVEEPKTVEAVPETVAEPVVEETPAVEESTPVEEPVTEKAVEEPAVEAEAE NSADTTATVPEIATEAAAVEPAVEVSEPKEEVISEPTKEVVEEAPVEQTATEELAAEP VKEAEVAEPVPEQTVDAAKETTATEPAAEAVEEPAAVVAEEATTEKAQEPTEVESVQE TTEKVTAEEPVVEEPVAEIAKDLTPEETPAVPEAAAAIVEENAAPTEAIPAETAKEVA DEQPEATKAEEVTEQTTEEPVADKAVEEPVAETSAPEPVVEDAPVVADAPVEEAAKEI AVEEPIKASTDAPAVADATADEAEKVEEKQPEVEAVAEPVVAEQTETAVAVEEPVSQD AEPIAAEEKIVDEPVTVAETVEVAATPEPVAEVEAVIAEPAVAETAAVEDKAIEEPVT AAEVVEAAAPEPVAEVEAVIAEPAVAETPAVEEKTIDEPVAVIETAAEPTAEPVSEQT PEVAPAVEEPATEAPATEKVEDVKEPATVEPTIQATEVVEAPVVEEQVVAEPAKVEEP IEAAAPVEQIPEAAPVEESTKELEPETTAVEEVAIEQPAVVAEEAAAEAPVVAEVVEE PAQETAKVEEPVPEQSAPVEETVVATEEPSKVETVEAEVVAIPEPTVSAEEPEVVAET SDVKESIQPSTTDVETKQEETAAPASEEASAKDTGISAEILGAGAAGVAAVALAGAGV AALAHKEPVTKAETQPQVSAADAQPADSKPTPFYLSHQQSAISFETDPALAALAGDRE ALLSKLNQPSTDQLSTATQQATVESAPESQPAAEASKGTAEPATNVSDAKPAAENTLT PKDNDEDARPASQNRSVTAVSLNGAPDSWLKAILRTVFVNFFGAIFSPFRRGKASN PEX2_041970 MGIQPYGTGLMDTIWLVLLAIPLFFFLLQILFTLAIWRAARFDP PDYEEPEKTRRPTPPPLRDETRMYGYYEHEPTVGDWFRGHTPTAPQIRRYIWSLFPFL HWIWYYNVQWLIGDLVAGITVGAVVIPQGMAYAELAKLPPEYGLYSSFMGVLIYWFFA TSKDITIGPVAVMSTLMGSIIIRVQAVHPEIPPPVLASALAIICGAIVLFLGLLRLGF IVDFIPLPAISAFMTGSAINVCAGQVKTVLGEKAHFSTRGATYKIIIDTLKHIPSTRM DAAMGLTALAMLYGIRSACNYGTRKKPHKAKLFFFLSTLRTAFVVLFYTMISAAVNLH QRNHPAFKLLGNVPRGFKAAGVPKIDVPIIKAFLSELPAAVIVLLIEHIAISKSFGRV NNYTIDPSQEFIAIGISNLLGPFLGAYPATGSFSRTAIKAKCGVRTPLAGVITAVVVL LAIYALPALFFFIPKSSLSAVIIHAVGDLVTPPHITYRFWRVSPIDALIFFMGVIVII FSTIETGIYCTIGVSLAVLLFRLAKARGQFLGYIQVHSVVGDHILNSPQEDPNTEFDD DAERSRRIYLPTEHEGGTNPRVKIHQPAPGIFIYRVSEGFNYPNANHYTDHLVSHIFK ETRRTNPQAWETTGDRPWNDPGPTRAERKILMAEEAPTSPLPTLRAIILDFATVNNVD VTSVQNLIDVRNQLDRWASPDTAQWHFANIHNRWTKRALSAAGFGFPVVSDGSGPRWQ RSIFNVAEILDGSLHGSVAQSQIGESEDWSKDVEAGLKVQQSSASSSVMGVSEDDIQV VRTDQREAKVNTHRRLGRSGVGTGMAAVDGINRPFFHVDLTSALKSAMADL PEX2_041980 MPTGLMTSRQVNDELMSRRVLPITIPIVLNPHINGLDSSR PEX2_041990 MAATRVFTSRMASVMAQTSKVARPAARFQLNAATKRTFTQKAPA FGAPKRIQSPTLLQAKAFQTAARRQYSSEVASAMVEVSKNIGMGSAAIGLGGAGIGIG LVFAALLMSVSRNPALRGQLFSYAILGFAFVEAMGLFDLMVAMMCKYV PEX2_042000 MPPDGSTFTALNLPPTFELPQCMEYFTLRAGPNTDLWRKPPNGE TSTAPIVFTSLRHAFVVAEVTVSADWEMEWDQGGLVIFAGAAPQSFSSEVDGPPTFRN GPRSPCKWVKAGMEFSSGTVNASSVSATADGADWCLSPLAQSAQAGLAIHSLRIKLER VGYALWIWYQVPSASPFALSPGAVGNSWKKLREVTWFFYGVEDKFVHVGVYASRPMGL SRGGTMWDAMHGMTLDASLPTGSADGLVVEFEDLEIF PEX2_042010 MQSRIGIRRMRVAPDLEMGTPKKAKGKSRLGMPLSKKQSQSIGD SNRAVGLTGGTRVVYLWTDLTISLRETLQKRACIASATDGPRASSQVAGHYASAEDPS RCDGASERRIKGPFRPKGPEGHTKQGIGRMTHELGGTQIRGAENCLGSSLVGGLTGYR ENRQIGFRF PEX2_042020 MAPRRGGGFSSSYYGDNNQWSDMTWLSLDNYRGKSFFLTQFAFD ILSLLAFIVFFIWACRIRDRSLPLKGLICALTSFICSQMSIIAWEALYVAGAEVTMYY LISLMLWDFFRVMAICFTFYVFWNLIHSSLGLINASGKPHVAVSIVHYLFLIIIFVLS FAEWGLCVGSYVRSVTSTYDETLQLIWTHVNGATDILCWAFSMEILAWIIFVVVKAGN HVFVSKMPAVAIITAATAWFAVFAVAAIIYIRYTLVYGDYYSWPIYLNAVATILKFVF WVGTYTGILLCCAKWHKLDDEQKYRVPQYQSRYPPDQFPPRYLAQYPEGQNPPIQQQP YSVAPYLDHSAQPQTHPHHVSPQQG PEX2_042030 MVCSKCQKKLKATELATPGVKRKNDMYYGSPSSTLGGGADKGKA KATLGATGIGKSKLLSAKAKNPYAAYASSCDNCKVKTEQGKKFCQRCAYQRNACAMCG KNLAGKSAKDQPIVQGQKFNMA PEX2_042040 MSSGSTGARRVASLLRPSIIDSGVCRSCQETIGRRNYSSAAPAI ESTSASTAADPAVKPAYIINAGVVLSRPPQITRELEPFEKAFFFYQKRLNERLALPFT KYFYFKRGTPADEDWKKKIQERRTAARDIGTYNAYDSDAWNDELLLGAKESEPEHQVE ALVQDAESTANATSQDTSKKEEIPRPYPRITEADKKNDQKSLDRLLSRTLYLLVQSKE GHWKFPSSPVESGETLRLAAERTLAQSAGVNMNTWMVGFHPVGWHSFNPRRSKKADNA TEVEVAGSKIFFLKSRMMAGQVDLSVNTQGIKDFKWLAKEELAQYLNTQYYSNIKNML ADR PEX2_042050 MDVTAVTFPDCLPSILNDISTSCFVSIDFELSGVVFKPHTPQSR PQTVQERYVETKAAAERYQILQVGLTTCHEDKENATYTLKPYNINLSPITQHEMDVNR DWTFGSRSMEFLLANHFSIDHMCTFGVRYLSREEEKLAIRRATEKCQSRNPVQVADIK KDDHESLEFLEAVRISVNEWLAQGEKRREWLNIPPPSSVQLIPGPIPTGLSSMQKWLV HHLISDEYPNLTSRGASTFVQIELRDPCNEQYTFETKLKVKKERVRKHIGFRWIAEAL VGGSLEELETDTFHPLMKIIEQPTFGIQQLSDKVKNRLKENRPVLVGHNMFCDLLFFY RCFLGPLPNTLAEFQTVIHDLFPVLADTKYLATHGCGSLNPMSSLEELNATLAGIESP RIEMDPRFSKYKFRTRTHEAGYDSMLAAMAFIKLAGDIQRSPSQPTVKPRPQTTTVPS LVAGIMAQPSNQPVPRSEFSNFFDVDTETTPTIQPAQIARAGTSLADVSSGRIARLVS QGKLLPRLGDVFWDTYGNILRVFGTQERMVQLGREEPKKEELLVEI PEX2_042060 MANGPPMSSHSHAASSASPTKLCSKTYKKASQLYLTRRLPEALA NLQPIITPSAPEDQHTNGDNSTPVAPIATAAGTWRIKVWNLYITLLSAIVDLGAEEGK KQFGQKEWKAIASQVREGAIWETVVEVGYQGHEGSVDAEVVYNLATLLLTHSSSQSLN QQRLETYLSSYGQPNLDLTDRLQDASDDYEQRPMRTTSGADTPKDLTARVKIIELFTL HVLPRNDEWEYSTEFINLSEVLDDERKDLFLQTLEGLKEEKERGEMRAVELQRAKDAE LERQREDERREAEEAAAAAARLQSNGHKRNTSEVDYGIEKNRSNGSPKGKGARQSTDK SPNGKSRTSLSSGSKNVKKQDKVEPRGRPTRAVATGLRNVFRHIIQTVSGNPMSIVRT LLFVIGILMAISRQGVRDRLRRVTGGAWQKVKATAGMGVKVSYI PEX2_042070 MTLSGQTALITGASMGIGEATARALAKAGANLILLSRSEDKLAK LTAELRKHYPQIKVIYRTADVGNYEEVDAAVDSSVKELGGIDILINNAGLAIGAPNAF HNLKISDIQTMISTNVNGPMYVAHSVLNRSMLSRKAGTIINITSVTGLEVPPFTGEAV YHSNKAAQEAFTNSLRNELNGTNIRVLALRPGVVAGHFHAQRIGHDQAQYDEFLDGYT VGRPLIANDVAEAAVYMLNQPLNVSIKALDVVPSAQRSLTVFDRQWNERNGQ PEX2_042080 MKGKKRALKGKTEEGDKNHVLKDALEEDGKEHALKDEVEEEDDD DDKKKVLKDETQGELPYATRIEAIRALSAHPMKRRAALIKVAAHRIHRHVLRHDARSN YGDSDNTDIPPCLPSYHTPMTNDLRNYDIQAMEAVCDDVQAVLNRTQWEVEMLTDQMM ELEPKSKTKLEIEEKQEDLSAWL PEX2_042090 METAPDTTPPRWQRALKRIASLILHQWLIIGIGIVCALAYCFPN VAKQGGTIRSEYSIMYGVIAIIFLISGLSIPRQKLISHALNWRLHLIVQVISFLFIPA VVLAIVHIILTADPGEKIDRAVLAGYIFTACIPTTIASNVVMTRSAGGDDAAALVEVL LANILGPFVTAAWTITLIPKMAVFDPWRFGGGNLGSMYKEVFQQLGLSVLLPLFIGQL VRWTWPDRTASVMQKTKLPKMATFCLLLLIWATFSSCFATGALQTLSTQSIVFVILFN MILYITLTAVCFFCSRPPRIFSSRRWSKPIFKRMSPEETIAVCFCGPAKSTALGIPLL YAMWQPVDLFLKAKTSVPVLLYTTEQICVAHFFVQLFRWWHARIIEKEDLDNSTGDDV EVGMTEVSPGDHAGRVHEHTTV PEX2_042100 MSAKTANQKRTSPAVNLIAGGGAGMMEALVCHPLDTIKVRMQLS RRATAPGAKPRGFVATGAQIVQKETALGLYKGLGAVLGGIIPKMAIRFTSYESYKGML ADKQTGAVTSKATFLAGLAAGVTEAVAIVNPMEVIKIRLQAQHHSLADPLDTPKYRSA PHALFTVIKEEGFSVLYRGVSLTALRQGTNQAANFTAYTELKAGLQRWQPEYSNSQLP AYQTTLIGLISGAVGPFSNAPIDTIKTRLQKTRAEPGQSAVSRIMVIAKDMFKTEGAR AFYKGITPRVMRVAPGQAVTFTVYEFLKGKLEGSNWAFVGGNFEE PEX2_042110 MQSGITVSSELHDAFARFNSDSSTFCLPVTITAETLTPLAPISF QGSPSENAFFSALPQLSSILQPKTPIYLLLRRPSTGSTAALIALTYIPSNAPVRAKTL FASTRSTLSRELGTEKFASTVFATEEDEILGQDAWRERDGEGPNAISREDMMGEKERE LEAVRKAEAEARSGTPGRDIGIGGTFRPASGSGMRVSMPVEDDAKAALRDLQDGGLVQ LTRYHLKKWAVWMGYVRLEANMKQTVDVRTEKILLADSQSGVEANSVATHISSSSPRY SFYHYPGSDVIIFVYTCPTGSSIKERMVHASSRRNAIAAAEQEGLKIEKKIEAYGPDE ITGDRLQEEVTPPRDQGSARGFARPRRPGR PEX2_042120 MSEGPETLASAVAVPSQDTIQQSPELTAKRRKSSTAEYDTKRRR LSSTDNTSPQSQRRRPSSPPPTTDESVGTKLTRPRGGRDEDRKRGQRLFGGLLGTLSQ SSSSAAQRRRADIEKKQQEKLKSQDAEYGELKKRRKEQRDEIRRRETPLYEREAMQTR HSNMLALAHFHKTQAKPALYYKPWQPRTGDDAAIKQQIEEAEATIAREVAEFEARYPP EAFAPKQPTQVEAKPTQPTQAETKQLAGEEQPQKSEEQQKTDDHPDQPSAPEHEADPT DSKSKETAQGAPDTVGVDINDQTPDLAKTDETTANAEESAVQDQHDAHRDDDGGEVVE DNEDTVIY PEX2_042130 MSRHHPDLVMCRKQPGISIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KSFRNQ PEX2_042140 MLRERQTPSGPTCANQADRAYVDQIVARVYKNYQLLHTEARKLD IHCAGSSSITMNSISIHDAKESINQAERVRVLTFLAYLFLPFSFIAAVFGMNVLEFTT PNPPIWLFFCYLMPYHHDLCFDSYLV PEX2_042150 MQITKIALFLFAAMGAVASPIEAEAESGINARAENGANVLYTGQ CFKKDNICKYKVNGKQNIAKCPSAANKRCEKDKNKCTFDSYDRKVTCDFRK PEX2_042160 MYDLRDSLLSPSPRGTSHSTTSEVRQTDQESTRQSIARGELKEL RDAAFSNRTSFINSRYCDVGDGVDSFEDEIHSMWYMYYQLGRNISYETPDHEGLVLDI IRIQGIGPLTRPVRGNHGVSIARTAEGSTLWNDLPFLATDMTNFWNSDFPTMSGTHRL NLATFLAKLASTRVGNDRLCQIALVLFRNLFEERQGLRSGEGSDDEDPMRRMNQLEIY HLLPAAVAWFRHAGHNLILLSEVYWSDCPSTFSQGGAKFIESEFGKRSPTGFSPWRYL YWIKRLHEIQEEAKEAKEEILAEYATDAIDYMVGIVEERNSEVLRAYQNGGDALHQDK HLLCLKDLLKSEATENEESKESAE PEX2_042170 MPLSISDIESLRAASTPLPTTISARVSSDSFKSPEAHAKPTSRE LKGVFSEESRHFGGSALRQSASTTGNHDLIALGTGRPTADYYPWNSITFNGISPEFGN NVGTNSQTVNKLDDIYNLSTALNYGYSAGSPPLVRFFTEHTEIVHDPPYSDWRLFLSC GSTAAFEVAFRIFCDRGDTLLTEEYTYPGALEAAALIGIKSRGIPMDAQGPIPEELER VLSTWDDLSSQSPKPKAFYTVPSGQNPTGATQSLERKLAIYDIAERHNLIIIEDDPYY FLRLGGDYRPSRTNEPAENGNKAGEGNSSSKFLASLIPSYLSIDRTGRVVRLDSTSKI LAPGLRAGWVTASAQIINKFIAYQEVSTIAVSGPSQLMLWKLVEQSWGHEGFFKWLGS LSQRYCERLNILLKACNQYLPKEICSWVSPDYGMFFWIKVDWHKHPLVQQNEQTNIRL QILDVEDRVVKAAFKDGVQVTKGSFFSVNKHDDGLHFRITFAAASEKDLDAGVRIFAN ALRKEFSLGSC PEX2_042180 MTRNTSSEDSIKTADYLFTRLCQLGIRSVFGVPGDYNLRLLDFV EPAGLHWVGNCNELNAAYAADGYARINGLGALITTFGVGELSALNGIAGAYAEKTAVI HIVGSPARNIQQSRSLMHHTLADGDYRHFAAISSHVTTSQVNLMDSRMAPEKIDWALK QAIIYGRPVYIEVPDDMPDVFVSASGLKNPIAIPSAPRANHESQLETRILSRMYEAQR PLILVDGESRHLKILELIDQLVKSSGWPTWTTIFGKSLVNEQLPNVYGIYSGSLGVPE WKDYVDSADLILNFGPHHTDTNSQSFSTIARKEVTITFSESTVQVDNDVYRDVSSSFL SRLLKDIDYARLSRPTEPAKLDVSVQPEMSDLIVQKSFWHYINLFIHEGDLILTETGT AAHGGRNFKLPPNTRLLTAVTWLSIGYMLPAALGAALAQRELREGNDSRAILFIGDGS LQMTAQEISTIIKEKLDIIIFIINNAGYTIERAIHGRNQAYNDIMPWNHSHILSMFGA DTSHAAKNMFSARTYAELETALTSEEIQRGHGLRVVEVFMEREDVQGALLKLLNKQIA SEQN PEX2_042190 MPVDKIQASGDSRIEYKTATLNGHNYSYILSQPKSGQYKATVFL IHGFPDISMGWRYQIPMLVDLGLRVVAPDCLGYGRTDAPDDFTPYAHKSCAADIKALA THLGETQIILALAYRIALWHPELVSHLFTVCVPFPQPMAKYISTEDLVRTLTPHFGYQ LQLKSGEVEKAIQSKDEIRQFLLAPYGGRTEAGESGFDVTKGILLDKIGQLKPSPLLS EEELEFYTNEFARSGVHGPLNWYRTREVNYQDELAILDRQIQVPTLFIQALRDEALPP HLGKAMPQQFPQLTLKQVDTSHWALWEKPEEVNEIIGTWLKDHSVADGRSDKL PEX2_042200 MTSNRQYDLVLLGPTGYTGRFCAEHIVQNLPTDLKWAIAGRSAQ KMEPIAQELKALNSDRLDPDVLVVQLNSTELNELAQKTRLVINCVGPYHLYSTPVVEA CAVNGTHYVDVTGETPWIKVIIDKYHETAKANGAIIIPCTGVESAPADLLAWSLVKRV REDLSSSTRQINSTIKEMKSSGPSGGTLSTILTIFDGFSMSELSKTMTPFSLAASPPP KNIPGESILEKLFGVRSIPDLGTVTTSPSGVCDMSIVHRSSSLMPELYGQNFFFRQFL SVRNALIGVAVHVGFLVVVSLLALPPVRWLVQKFVFAPGTGPRREDSANDRLEYHAIA TADSASPQRVFGKITYHGGMYPFTGLLLAEAAMVVLNEEEKIKKVSRGGIVTPATLGQ DYVDRLEKVGCKIETKVFQY PEX2_042210 MTKRTKKVGITGKYGTRYGASLRKQVKKMEVTQHARYVCTFCGK NTVKRKAVGIWECKGCDKTVAGGAYTVSTPAAAATRSTIRRLREIAEV PEX2_042220 MVELSDSVGGTVTSSYAVQDRTQVAEVDPSMIIEYVEDDLTDFQ PCTICGQADNEDVLLLCDGCDGPSHLYCLGLDEIPSGSWYCQQCEELRALGPAPEVSA RPSRAIEQRSRRTRAQQRRLQNRTQTNSLHWARVWQSVWDHLNLDLDFPFDDDRSAER AMQQRRREEANQREFQAWQRRFEIAERQGGGSRFRDTASLFDLDHARPSRPRVPRVPT PEPESLEEMRAWNAFERAREIENNPSAARKRKEPTMSPSPEPTEPERKLKRPRTRRPQ ELAARAQQTQNGESSRAASSNVRPSGDNPTGPSFLSSLLKEVEDAPSHSYGPSASTSV APADHPSPGPSSPSISPASSRYSSPLLSAATPPPFSRNRAISPLQLSSPAQPSSPPFS PEVSSGSPSNGAKEDKPQRQPGRPRSRIPQRALCFGASRSPDSSPTRAGPSLALKADI QKMVGTGLKPHYKKKTISKEQYTNINRSISRMLYERVGDKETLEPDERTNLETEAKHE VQNTIDALKIKSKDKQKQPMVATDTDGDL PEX2_042230 MAAAESGVSCNPPQDETKNANTVVSRDVEEVTHSYRPSRWQSNI TIISCYIANFSDGFQNTLANPTNIIFKQVLGANEFSSDMKTRMSNSVIVGAILGVVVF GYTSDMFSRRAGLLVTSSLVAIGTLMATLALQVHPSSNMLWYFVVVRGIAGFGVGGEY PPSAAAGIEESDWIMRKYRGPMFVSFTTLMATLAGPILMIIYLITLIATDNNLVIAFH AIYSIATLLPVSIIVLRLFMVDSSLFHYSNFKRQRKSWRLCLLLARRYWWRLLTTSVA FFLYDFINFPNSIMSSTIISSLVKDHNVRTTAIWQVILAALPVPGVLLGAWLTNAIGR RWTGILGFAGYVVLGFVIGGTYSKLSQNIAAFVVLYGLLQAFGHMGPGATIGLISSES FPTAMRSMGYGVATAFGRTGAAVGTQCFTPLQDRAGNSSTFYLAGGVAILGMMVYYLL PESGDLDLEKEDKELNEFLMQHGFTTDVKE PEX2_042240 MGSIEQPNFCQKNKDLDYDVLIIGAGLSGIYSLYRMRQLGLRTK VLEAGGGVGGTWYWNRYPGARFDSESYSYNFSFSQEVLDEWNWSEHFASQPETLRYCE FVCDKFDLRRDMQFDTRVAAAHFQDDTKSWLVTDEKGKQYISRFVVTCIGILNNYTLP NIPGVLDYKGKAFHTARWPAEQVDFTNKRVAVIGTGATAIQVIQEVSKTVGHLTVFQR TPNWSLPLRNAPLTPEEMNEIRSRYPEIFRKCAESWHCFMHVPDKRSTFDLNPEDREA FWEELYAQRGFAKWMSNFGDINTSKEANALFSEFIANKIRERVHDPVTAEILIPKCHG FGTKRVPMETRYFEAYNQPNVRLVDVKSNPIECVTESGIKTKDESFEFDMIVYATGFD AVTGSFTAIDFRGVDGVKLKDRWSEGPRTFLGLFVESFPNMMMVMGPHQMFGNIPRSV EYAASWVARFIEFCRDRGITFAGATQQSVLDWTEHVHTCAVGLLANDVDSWMTGVNKN LAHKQKRIIARYQGPAPGYRKRAEEVVTREYRDLVLE PEX2_042250 MADSTQQQPLVKGGHMQSPSDLPVTYTSSTVPCVYEDTIILAAT HPSIKSAHPSRDGWFISDFYAFNYLLKGQGQKQTWLTAADPKKLIQKYGPYLHGNPYE ERKTCLDRDMLDQDQLTPVTVVRSNEMIDRFLLEARLASELAKRTGAPLLLLVFCHGL SNYHLCLNDGDQLKGLSILALKAVLEPGARITLVTTACYSGGWATTPELNITSMAAAS ERQIDPQEELQNALSNAWGASLSVGRTCGSIFASTLFQTLSSATSPLLDSRESSNASD PMSLQPEEPNDQQTLSYNSFCQSILDTCQDSVTRLGDMQYFTFSAQDDRWEYSWTGRT GIPLAHFERRWKQMKSYPYTGPEDIRLLRNQAPGNATFTVSDPNKTGGVRTATDGEII ENMTALIAQHRIKEMAMMFHATCPWDWTHGREVAWGGTLFGFYQLGIFPESEAEIYST IRFRWEMALLADFVVEMFELPMPRNEMCIMWDWYSWMFELRAKTSMSDSEFNQRWRAV ALPLNHCFQFPTLPDQGPLFMRPFKYLVAALLEANKPHHEISGISNAIQEFLQNAEAF HRQRVLDSPEVGRRGREWLRSVGRRVRKSLSPRKSKRSSTTESASC PEX2_042260 MSSENPDMGEESKANSSVVNPTTSSSDIQHTLAESDQEKHEDEK GLAAEPSYITGLRLFLIMLTIFMSTLLAALEIGIIATAIPGITDDFHQLNDVGWYGSA TFLLVGSSSPMWGKLYQYLRIKWVYLSSVVFYLVGSIVAASSQNSLSVIIGRAIQGLG AAGTLGGSVLLISLVAEPRRRPALIGSWMGVFMVSTILGPVLGGVFTSEVSWRWCFWS NLPLGGPIVIMCLLFLRVPDHIKPVPTTWKEIILQLDLPGFSLLLGSLVCFALALQWG GQTKAWSAGVVIATLVLWIAFTIMFFIVEGFQGSRAMVPLRLLRPRMTWANALWCYIS NSSFYQVMFYLPIYFQSIHGKTAIMSGVDTLPFLAFFSLGAVMSGGLVGKTHYLMPFQ LASALIMVAGMALFYTMGVDTSQARYLGPQVLYGFGLGLGNQIPMTAVQGFSKPEDVA SSTGIMLMCQSISGTYFVLVAQSLFANRMLQTLESSNSNINTSLALGTGAAEIQHLFT GEQLKAVNNAYMVGIKDVFAFGLAGAAAAVLLALLIPQKQLPGHQQKKTEESGPA PEX2_042270 MERLANLAIDLVITRDKFHGSIEGLECIMIESMYQANIGSLRRS WVSNRRAMGIAQLMCLHRSDHRTQFEVLDPNTRCHPQLMWFRIVFLDRQLSLLLGLSQ GSLDRSMASDVLLQTDTPMGRLERIHCVISSKILERNALSSHSTPHDYSMMKPLDLEL QKAAMGLPSKWWLVPTLNAGLTDSQALFWDTRRLFAQVLHYNLVNHLHLPYMLRSSSA GRKYEFSRITCVNASREVLSRFITLRRFNKIAYSCRTIDFLALMAAMTLLLAHLDSHS EAENLLAHQYLSDRAMIEQAQENMQEVNSLNSDAMSAQSAELLRRLLAIGSGPLDGSG RISVQGLTTETVPTDQSDDDVVTVNIPYFGIVKIAGGDARKEAPRNQYPQQRTDLTYN RLPQFQSLNMPCKTRPENSHTADPLRHGGVVEPHEMPNAEVIKPPVDARCDRLEMSSM SPNPLTATGTYGSVSNSVPQINTLFSDSFFQNPGLTAGGQDWAFQGVDMAFFDNLINN VLDSVISRGLGRSLAMELIASGCRHLAFIPRSGDTKPGAKAIVEELSETVAQIKVFRG DISEEASFLNAIQRCSQQLPPIQGVVQMAMVLRYAIFEKMTHDEWTASLRPKVQRT PEX2_042280 MIFCSSISGVCGNPGQAQYAAGNAFQDELAHHRRALGLKAVSVN LGVMLDVGVIAETGAHNFKILEQVLEKGPPARAGPSLASKLSQAGKNKALAAAVKIIT SALVQKMADTLRIPPSEVNPKRAMYNYRVNSLVGWR PEX2_042290 MKRAASLLTFSLVALSVQAEQTQTCERTKVAVLGAGMAGVTAAK SLSDNGIDDFLLVEYQDRIGGRMHDVSFGSGLEGHPYIVEAGANWVQGTVTSEGPENP IYTLAKKNNIITLETDQDSTTYFDEHGPADYGYAIREFQEAVHKVTIDAGSLLKDNIQ DRSFRAGLRLHDWDPAKNDSYRQTAEWWLFDGEFVYTPSESSEVFTSVAENATFNYFS EENLFVYDQRGFATIIREEAAQFLADSVTVWTDQGCIDADYAIMTFSLGVLQKNVVDF APQLPSWKKDAIHGFELGTYTKIFMQFPEPFWDNTQYLIYADPETRGYYPEFQPLDLP GVLEGSGLMIATVVNDQSYRVEAQSFEETQVEVMEVLRNMYGSKIPDPTHLWYKRWTQ TPWAYGSYSNWPPSTSLQAHQNLRANVGNLFFAGEATSQEFFGYLQGAYFEGKHVGEF IASCLQETGNCTITDEQQKYPVLTGVTPYNLYNDEHGWFVDTVS PEX2_042300 MHEIEMLKSKVRQLENELAKSTKSHTETSAPTPVSDIETTHSHL AGTFHIHNTSLPSNEGQMVRRSFIHKRRLFGQSHWISSMILFRDIVEMIEPYLMTEGS NVVLGLQKCKRLGKRIKAQRTPQWPAPITTDLPSKDICDALVDCYLRTSERVYRVLHI PSFKRDYVTFWDSGTRYDPMFLVQLKLVLAIGCTTYDDELSLRSLAVRFIYEAQTWLA EPEYKARLGIQFLQAHILFLLARELLGVDGGMNWIAAGTLIRTAIYMGLHRDPIQIPN LSEFASEMRRRLWNTILEVCLQSSMESGGPALISVEEFDTEPPRNFDDHDIEAEEPIP HPENQFTSSSIAIAFRKTFPIRLAIAKFLNDFGGQGSYEEAIRLDATLRASYKEMRRT IQGYFIQPSQFEVLMLDFVIRRYLLSIHIPFFGPSFLGTTYAFSRSVVIDTALKLFNC SRIALPSTASSLLTQSTTPNQIDFGRLTMSGSGFFHTPFLHSSFIIAIELKSQFLEEE GLGPVSIRPDLLSVIHDAKALSLKYIKIGETNIKGYLFMSLVSTQLDALMQGVSKDEI PKLLIKAAEEAEDKCLSILEPMAAKGQNENIGEPSEAESTTSLPDMINDWDSMMSDGN FNFDMEPFEKVLF PEX2_042310 MDDQHLTPLASKKLSDDTLNTSENDEKSICDSDRAPDGGLRAWL TTAGAACTFFAALGFANSFGIFEEYYLSHQLKDQSADKVAWIGSLSAFIQLATGAVGG PLFDRYGAWVIRPAAILYVFSLMMTSLCEKYWQFMLAQGVLLGVSMGLLQFPSMAAVM QYFDKNIAAALGAAVAGSSIGGVVIPIALSKMLNSTSLGFGWSVRIIAFVVTPLLAFS CLTVKARLPPRRTTFYIPSAFTKVDFCLLVSAMFFMFFGFFTPLFYIPTYAVSRGMDA TLASYLLAILNAASTFGRVIPGVLADRFGRLNVLSLGGTITGIIIFCMNKAESNPALI VYSIAFGFWSGTIISGASAVLSILVPNPRVSGTYLGMALGVSSMAVLIGPPVNGALVN KYHGYFEVSMLSGTVCVLGGLLALVIKTQTPQGLMGRV PEX2_042320 MSSRLREISEDSAASHDTELSTDARLDRATEEMLAVTEALPKYE DILRRTLSVRSISSTSSSVAERMHRTKFLQNTAFVVIGRGTCGTIFQIPGTESAYKKG SDKDSLWNDANLTNTACRAVIETKTCLQEIFPNVSIPRVPIVTAWISENDLDNWWGEN LSRFPEDTQVGYLFQVQRILPLPESSRQALIRLYFPKRMHRFACEDPDNKPCLVRPYL GQRRGEWEFSRPALSLQNFPLYLDQIEELQLEAIQFSEEMAIGLAIVHWKACLDGMDM EFVLGSAATNGELPTVVENFRAVKPFDVPAGDFKRRQVHLWMLDFDKADRLNLKESWK SCCDRMVTAVTANDPYFPNPAATGTLEHNLWDIFERAYLLAASNILPTQKGLPKNAIQ YPGLFLKAWKERATELAKDTKGDFVQFG PEX2_042330 MCSSKHPLSKARKTQVLLSVISWPCALAAVFATCHSPQAWIELI AITISGTTPVFMLSRYRKSGSQSHSLLEIATDSLVALLLLGVYISGIIILSSQEIGAW GDRQNYKLARGIPQVYSNLSCLLLSLLYLRTFAQGSFHQWIKPILKARRINYTLCPAC DQSADATMTQNPDIDVTAAEQERPSVSGDSLQVLYTDDIESQPLLPESSLAVEGKQTT GIVINN PEX2_042340 MIFEALQRLGSKADRLLMYPHDFLLSEDDSSPEARLLRFARDKY AVKLKPVAVMRKGGGGAPWSSSYTKLLAFNHTEYERVLNLDSDATLLQSMDELFLLPP SSVAMPRAYWLDPKDQVFTSGLMLIQPSTAEFSRVMDEVSSATSDVDDMEIMNKLYGD SALVVPHRPYTLLTGEFRSNNHEAYLGNVDELWDAEKILQDAKYLHFSDWPVPKPWIA ATHDVIKRTQPPCEVDPVTGQRDDCRLREIWLGIYKDFMARRNVPQAPPSFNSISYQV Y PEX2_042350 MLWEHSRPFDSDISVTLDNDSISLPPYGTWGYLDWGYADAVPLY AKARRGTDWITIDGVNVPDVDLITISAGWQTYPGGQAYPLQVGALSLGGPDINQTFGD SIKINTTFITSYLYDQEGSTNIPSYSYGMHIGSASLGIPGSLLLGGYDQNRVMGDVSA QSFASGSFPIEMYDMSIGVAEGGSPWNYSNKTGLLAQGNSSLDSGLKIIVDPVSPYIY LPKSSCDAIAAELPVTYHPGYGLYFWNTSDSQYDKIATSPSHLAFTFSKNSLNNEDIT IKVPFALLNLTLEAPLVKMPTQYFPCMATNSTPALGRAFLQAAFVGVNWLGGKWFLAQ AHGPDGSFIVNTVTIGDNKSTISGSSNSWKDTWKNTLKLIPTDSNSNTTKTDTGTTNS KGLSTIVKIGIIVSSTVGSVLIIALSCGLCIRHRRRQEKSLNRDQNSATKGPFDTSSS AHQFNYVEAPDNKWNPLYELHHSNQIYEMRSERGPFYELNSDKGPIVEIDYKKVVQHG LFELPERTSVLVSNFIGKLPS PEX2_042360 MWTTTSGLSGRSLRLSITIAAVMGFSLFGYNQGMMAGLLNGDEF VNSFPIIRLPEDATPAQEHYINVIRGAITSCYELGCFFGALFSMFFGDKLGRTTLIFI GANVLIVGALITTVCFTGKWEVGQFVIGRVVSGIGNGMNTATIPVWQSECSGAHNRGF LVCFEGAMIAGGTFIAYWVVFGLSHAADTVQWRFPIALQIFFALTVGLGALLLPDSPS WFVNRGHDKEACEVLAKLNGTTPDSDKTLHDFNVLKTDVESSKNSQSSWKAVFTFGKT QEFQRLLIGCSGQFFQQFTGCNAAIYYSTLLFQENLHMEKNLSLIMGGVFATVYAIAT IPSFFMIERVGRRNLYLIGFLGQGLSFVITFACLIKENEQNAKGAAVGIFLFITFFAF TLLPLPWIYPPEINPLRTRTVGAAASTCTNWICNFAVVMFTPLFASQSPWGVYLFFAL FNFLGLIFGFFFYVETAGRDLEEIDIIYAKAHVEGKMAWRVAQDMPKLNFEEMVQQSR DLGLDTNDHVAHEKSELGLGSDSGQEIEEVREK PEX2_042370 MAVLDLLYDEELFPKHLREVLEHLMDTSDKRECTQQWVECFTTT AKILRNGKISNGRDEIQEMIQRSWDNVQWRNHKPRKVYVLGKGTHDVMVKGSTEYQFQ DGRFHEGKWGAEISFVETDGEWKIQAYTVVFV PEX2_042380 MTQFKVALCRNYVSGEKWVQDIVDSWYRTIEGLFPGAAIDLFHP IEGTPFPNAQDYNLIILTGGTFNLTQEQVDPWVEDTFQFINTTTRDHPKTKILGICWG HQVVARALGGKIGTVKTERVVGVQNIATTQEGKSFFGLDKENIAIHKFHKRVVTIAPP GYIPLATENEILLSDAHNVLTFQGHPEMIGDMAKEILDADTGAFTSGLSKEELGEIFE SVKRPHDGDALFERIAIWARS PEX2_042390 MPRDLLMRHRRLDHHESIVEPCTVNLNQKDATVTEASTAAENLD PNSSPVETRTMNFNQNDTPETEERLAPSLDTSQSSPTLLLPVTEPAEDCSVIPSASVP ELPFEHSHYTGLMSHDPISNFDFNSTYEFEMMWNNSNDIADFLPATFFDTDFSLSDIW QTDNIRLNNSMAPVSHTETSIQHSIPSNSDGSLSFISLPSRLPPLEPDSLSSRNERLP HNELNSPTGVDHATDTETPLPWVISALAYDRIAAGIRKYENILPAGFLIPSRHTISRY ISGYFRGFHEHFPFIHPPTLKMDKISPELLLAMVAMGAFCRVEKRKGYKLYLASKAII LHQLEKRTRLSLAGLARGLSNTPSNRSSGSNPANIDGRERYDPRYSPSKTTTSPLGLQ TLQSLVILIAMAMWADMPAVQDALSMGSQLAMLTREAGLGQGDEIIGRCAWSDWINRE ERRRTLFVSYILLNLCSISFDVPPLILNQEIRLSLPHCNTEWVNTSAAEWQYTRETYG HTERQFQSTLADMMTGTDVHHEEPLSALGNYALINALIQSIYFERQSTTSHTIRLDIV KKFESALQAWQRSWEATLETSLDPESPRGPLGFNSAALLRLAYLRLNANLGPCRNLLS QDPQSIALIFTNNSPPLFTRSLHVDRAVLQCIHALSIPVRVGVAFAACTQSVNGSIQH PLCTLECAILLSRWLGIISDAIEKSGLESLREDERKLLGMIASLIRETDWADTLESNE ADSYCIRRMAATVVRLWAETFQGVHIFEIVRVIGETLSIVAEILERRLEPQEKPTFIG PEX2_042400 MAANIKTVPTAEFDAVIQTANKYTEGLRSGSSDAVAQAFHKDAV MYGFINPPKPDMLAGPIGNLYTFVRDNGSAPNIKTRNDVLAITQTTAVVRIDMESDAS GADYTDFLTLIKIDGQWQVIAKVFHKYA PEX2_042410 MAQKRIPATMKALRLINYNENYQLRTDVPVPTPGPGELLIRVAA AGFCHTDYQVYQGVYGTQLPFTGSHEPAGTVVKLGSDVPGDWKVDDRVGVVNFREPCN TCNGCRWRMKTYSSLDARYCENKTMSGILKADGGFAEYMVASHYALVRLPNELSFEQA APLMCAGATVWNAIKETGLEKGSSVAIVGIGGLGVLAIQFAKARGLRVVAIDNRDVGL KLASEVPANLRPDITINFNSHEAIQQISEFTDGIGLNGAVVCTDDVSASDWALHRLQP RGVCVVLGLPESGFKFDAFNLVFREIVVKGSLHCPVDEAKKMIKAVVDNGVVSHLTIL TLEEGEDIPERAATYSFTGRLVIKI PEX2_042420 MGTKDSSTTVKLDTLPRQSQDVEIGIVRPILASDVDLKREANSA DQPNEAEIFLAEHNAEWGQYTAGEAKSVLRRIDWRIPPLIAITMTLAGVDKILISNAT LYGMTEDTVASIFYFGYMAATFPANAMLQRVPVGKALGCATIGWGIIAMLMGATKNFA GLLVLRLIMGCFEAPIFPCITTLVGMWYTKKEQPTRTAICFAVFSTLITGTVSYGIGH AKTSVAPWRLLFLVFGPVTILWGGVLFIFLPDSPLKDGFMKGKERFIAISRVKGNMTG IENKELKWDQVQEAFLDYKTYALFLFYLSSNVPLGGLSTFAAQIVSGLGYSSLETTLL GMPTGMFQTFAGLMVAVPQRWLKNKRWLPDENMTGKLVSYYFFFFFWGPYATVLSLPM ANVSGHTKRLTVNGCIFVAYCMAMVIGPQLFIEKEAPQYATGYNCILGFEICAILSLA VYAFGCMIENKRRDQREGKDIQVSTAHQLSDLTDWQKKGFRYIY PEX2_042430 MAIGTLNFIAISSIFTTLLVKYKASPGPDFQFYKNTRFKECAAV VPDANNCTAITTFWNGEGNHYISETNYGGNGNPSYDWCQIASCFNDYKVIPSSPNDSA MGLTALYSWLNIIMASIGAVKVIWKSSPWFKRHRNPKPCRGLRELSVIDWLVFIWEVC GPIVGWWVSFAKLITNPVPKVTISLIAWTTAWRYSSQVRLHPYSCALGRSPGIKRVLP WVFALIAALQWGATVYALQAQGNRAVYDSYTCLATQVASAPGTSTCSAEELCSKSSLF STKPFLLPGDIAQGFPFGYLVLCSGCVFLPPFIAFVNKCHDSKNSFIEYYHRFSPVLC LALVAGCAMAATGLMYPITLGQYWNQRHPDALIAIDTDCHAVHVALSPWRFYLDVHQY ARGLRAARLWFGV PEX2_042440 MASHSSLRLSDAFQNAKQDFLDSLKDPSLFNSISTVTSIHDVYA FTSQLQEDQSKRHGLRNLRKISSYLDRLKQFAGVIEVFVSAKPEILALIWGPIKLLLQ MSDNLTKSFDAIVEAMAIIGNKLPLFESYVMLFKENDRVADVLVLFYKDILDFYEVTL NLFTAKRWVFVFDSVWPRHKAKISVVVGNIEQHCLLMTDQVTLENISGAHKARIEDMN RWQRNFEFQERQDFKSVEDYISPRLYDDELDRLQRTVYERTGRWLQREQTLKKWIDTS DKSTKVVWLQGIPGAGKTHISSIIVDKLRGLSHTTLFAFLSYKQGQTSTVSIIHSLIF QLVIGMESFDEILKQDLRAKLFHTFQSSQRNLKSSTKFARQTLIELLKCVGPTYIIID GLDELPKSDHRVEILKELLEMLNVSAETRLLISSRAQDEIAAVLKATTKIIRVDENNS GCIQAYVSVKSESWLAESGFDEHACSEIKGMFLYARVVMDNIQMCHTSDQVQNELAVL PESLDEAYARVFQRLDDFPFSSKVMSRRALGWIGCSPIPIKIQELSFALGLDPDGKSG LPRIESVLNIVRLCGPIVETFDDHVYFVHFTVKE PEX2_042450 MPQFEFTKSEIERHGWDQCDAIAPNEQSEPDPKRQCIEHSWRTH ESVLDPYSLFLNLDPITNTQDPEVSLSSEYIWPGFEDEVPTSNFDLMDFSNLKNEVFS DLTQESSGFYLQAPELSDATQSLPTPNSDSDFPDLQPKMFAAPSTLPEDVIFPPQTFP SKLYDTCFGMIITNASCHSLPNDTQDFIPVSIQVFGDVLKMGDDKTNRKFGLLHSPAL AKLAREFTVTFSAKCETTKEQKGKRQSLGYKDTPVHIVLYGFCEDMSAVEETLSEGGL FLQHPTEGDASVPYRNPQFLMPPGTEMPQIEDLANGSVSAVTKVDQSFDEKWASEVFQ AFEGVDGPAEFAPVEPSPRLKTMLKEHQMKALSMMTEKERGVIEEADFPSLWEVLRDF DGNVKYRHAVTGMTQNTRPQPPNGGILADEMGLGKTLSVLALISWYLDGLPLERTEPS TTLIITTLSTISGWQQQISRHFWSGQIRTVVFHGPHRDKLTSGLFDHDVVITTYDTLR SEWSSGPQNSVLFSNPEGWARVVLDEAHHIRSHSSQIFQATCQIHARYRWCLTGTPIQ NRLDAYAALISFVGVDPFTGPHGKAMFTQWLGNPIHTYGQNELGIQRLRRLVSATCLR RTKSHVQDQLRLPLRVETEQLVELNPGERGIYDFLKARASSLVVGKLTQRSQMDKTRW GTMLSLIGFLRLVCNHGEHLLPEGATNLYHSQSLQAKDFQFDATNFELEGLNEDLTSL RPGPPLTPIERDVEAIKHDYRPSSKVTALLRNLQNEQRDNNLLSDKRPVKSIIFSFWT KMLDLLEVALQANNFVFQRIDGKTPHEKRSLALKMFSEDSRCTVMLASVGSVAEGVDL TAASCVHMIEPQWNPMVEAQALDRVHRIGQDRDVTITRYIVKDSIELYVQAVQQTKLK LVRQSFSDETPDQSVLSEKRFQVSDVVKLLCVRRCH PEX2_042460 MNEPRKRISPHNVISSYFIGPKSENMGNFRANITAILDQIEKTR SDYQPNDDVFITEDVRTSPEFQQITQKFHEAVKKAVTLLGKHSIPFWHPRYEGHMCTD MTMPGLLGYFMTMIYNPNNVTVEASPFTTVIELRAGKQLCDMFGYNTNPEESNLPLSW GHITCDGTVANLESIWVARNLKFYPLTLYQAMKEGSLGFIADSFYVTTCVGEEKLFKN LGNWELLNLRPDVILGMGDALYEQYGITSKFLESALTPFSIQTTGKDVLEREFNLTKP TKYFLAQTRHYSWPKGGAIAGIGSANMEGVELDMDGHISIEALERELNRCLAERQAVY AVVAIIGSTEEGEVDPLYDILAMRRRFQDKGLSFLVHADAAWGGYFASMIPRTFMAPG RPTREGDGEDAVISVPSLSLKCDTIQHMIALKEADTITVDPHKAGYIPYPAGSLCYRD GRMRFLVTWTSPYLTQGSLENIGVYGVEGSKPGAAAMAAWLSNQTIGLDPYGYGMLLG EAAFTSARLSAYYAAMQLKQPREKEIPYYIIVPFNRLPIERDGFDILSKEADERRELI WNKVLTKDNKEVSNNPAAMSWLREIGSDLNINAFALNWYDAKGNINTDLEEANYLTRR VVNKLSITRSTADPSKIPLFLTSTQFEPALYGKCAQNFMKRLQLEPCAQDLWVLRNVV MSPFPTERGFIDQLMQTLEETIIHEVQTCRKRNSPTEDQIEFLLRGTDEVFLDFQTGF HRATKRQQIILAVELDDNVKKQYIALREKFPSKDIGFESKDPVHLENLMCQIHNTHDP RPIIDGRIGIVEGEDYLSSIECKVTMNKVVKSRGLNSKFRDDHYPRNFMPFYLFGSEE QHHISHMLLQAPNINLSSSNIQLNEELHDEVALRLGEGDGLILALSDYREETMHPFPL KNDDPIITSKGFFFRKGQTFDVKVYLDPKPGTAYGPGLLDELSTLVGRGKMTLGDDVH VDVQVLNADPFEDAEVPDIPWESELDEITNVLNSGQ PEX2_042470 MPQKESCPKGYSQVPQDTNQEAFVHIRSSTKSKNAFDFTFEAVR PNLFRATFSSTEHPIPPYPSVTKPETNLRGANVSTKESASSKTIDVAGVTASVDWEYT PVVKLSWAGSEKPLHEDVPLRSYVADGEGVANYSVHDRECLHVGLGEKAAPMDLTGRQ FQLSATDSFGYDVYNTDPLYKHIPLLIKASAEGCVAIFSTTHGRGLWSVGAEIDGLWG HFKVYRQDYGGLEQYYIVGKTIKDVVRSYAELVGFPILVPRWAYGYISGGYKYTMLEE PQKAHLALLEFAEKLKEHGIPCSAHQMSSGYSIAETEPKVRNVFTWNRERFPDPQDWI AKMHQHGIRLLTNIKPFLLSSHPDFQKLVDAGGFFKDAEKEPGYMRLWSAGGATGGDG AHIDFTSAEAFKWWYDGVQSLKKVGIDGMWNDNNEYTLPDDDWTMSLNEPTVADAAAK KVKNSVGLWGRALHTELMGKSSHDALQDMEPKLRPFVLTRSATAGTLRYAASSWSGDN VTSWENMKGANALSLNAGMSLLQCEGHDIGGFEGPQPSPELLLRWIQLGCHAPRFAIN CFKTSPKDSDVGDVIEPFMYPEITPQVRAAIKRRYEMLPYIYSLGLESHKFATPPQRW TGWGYESDPEVWTKTLKAGEDQFWFGETVLVGGVYKTGIDIANIYLPRKTGVFDYGYV NMNAPYQYLASGQWAEISSEWKKSIALIARIGGAIPVGKSVHTRVPGDETAASVAVQE IDNYRGVEVFPPKGTSHGTVFATTWYEDDGISVQPSTAAYTIIYSSTEEKVLVKFERD EAGFTPAWKDLDIILHNGDQRRVISSTGDEIILKGVDSRGRVVYTLKA PEX2_042480 MSQPKGQQEEFVESIEPELSVVVPHHDNGTGIQPKGDQALQLIE EAGHLSILTPENNAKVLRKIDLRLLPILLGIYFLQQLDKSTISYASVFGIVEKAHLHG QQYSWLGGSIYLAQLVFQPLVAYLLVKVPLGKFLAASCLLWGIALTCMTAATNFGELL ACRIFLGIFEAGIAPAFIAVTQMWYRRREQPVRLSSWYAMNGVVNMFGSLIAFGLGHI SSSTFAPYQIIFLFFGLVTVGFSAVIPFFMPDSPVSAKFLGEEDKLLSIERQRMNQQG VESQEWKWDHAKEAFLDPKSWFWFALMFSISVPSGGITTFGPLIIKSFGLSQYDTMLF NIPFGAVQLVATMGGAWLATVWKMKGPVLALLCLPPIAGCVMLLQITHDNAHKGPLLA GYYIISVYPAITPLIYSWSAGNTAGETKKKVTTAILVPANIPPIQVLGPNLYTTNEAP LYRRGLLSNLAMFCVLIGLTGANTAYLYLLNKKHEKRRVALGKDAKIVDQSMQIIQAV SDDTKEDLPQQTGDDNAWKDLTDLQNEDFVYVF PEX2_042490 MAIDLELHEAFDELIQRLALQNEDMAYALPHQMFEEERALMWNA RTWFGLLVLEHIFRVDGGKPPGIRLKGNARRCRVLLGHSSSTILDLRLFSQVELNILR ANVGDALGGNTSLDGQSIADYVHDMKIELDLWFDDWLRIIDGCAAAAEEKPSLLVALR VQKCWAEMMLNCKALRSMGVQNVAAMSTTERMILLTAKASARRHLRLIIVDPDFYLAK LKYAMDFVWAKCAFSFLLLLKLSRLIPERDGENQELLEQGNRLVDELSKAGASGTQSG AGNIYLQILKVSIEKYGRALMETQQPSSEGPTATSPFWELFDAQADLQWFVPEQFVSE WDFPGLNLFYFPTAWQDFFGDFSLAM PEX2_042500 MAIKVPPGQSPPFETIDNKHHGGIIIVTAAICLMISLVCLLIRV YVRIFLNPPWGSDDIILMGATSLLAADILYLLTLYLSKCCVVAIYLRLTPRRRDKNIL WAAFGLITVWLIMSVLVIAVNCEGNKPWVVPGEQCYNLFSRWQATTALDISTEILLFT FCIALVWGLQMHMSHKIVILVCFAARLPLIIFSALHLSALKEYVTTENPTFSAVRHTV FTQVHLNYALIACTAFCLRPFMNALTTHYGTAGDSNLGSSSGYSYGYGSRGRGNTDPY TSGRSRDYEMAAVKGRPGRRTSGMFKERPDVCDGAENGTVVCEAAGPAHSRETRSEGD RGSMRSGSDGSTKMIIRKDVEYSVSVHP PEX2_042510 MSWDKDPPTVTMSLADEAPSRGRVAAKWRGTQADQADMSALGRE QVLRRNFRFISIVGFGCTLIATWEVVLTLLAQGLTDGGTAGLIWGFVIVAFGFLLVFL SLAEMASMAPTSGGQYHWVSEFAPPSCQKFLSYITGWLCAMGWQCAIVSIAYLAGTII QGLMVLNNPDYDSKRWHGTLLVIAITLFSIIFNTFLAKRLPFVEVLILILHVCGLFAI IIPLWVLGPRRSAKQVFTEFNNGGEWNSDGTATLVGFSTTITALIGYDCAVHMSEEIK DASETLPKAMITSVCLNAAFGFLMLVTVCFTLGDIDDILATPTGYPFMQVFYNATESL PGTNTMTAILVLTLTASTITEVATASRQLWSFARDRGLPFSEFFGYVNPNWNIPLNAV MVSLVVTILLSLINIGSTTALLAIVTLTIGAMMSSYIITIGCVLLKRIRGEPLPPHKW TLGQYGMAINIGALCFLCPVFVFAFFPLTSRVDAETMNWCAVMYGGILIIAVVYYVFR GRHHYIPPVALVRREM PEX2_042520 MTPLVPIALLILTSYLIYHHVINPYLLSPLSSIPNGHLTSPLSS RWIDRKRSTGTEVLTIYALHQKHGPIVRLGPKELSVNSLHGLRIIYTGAFEKHAFYNE VFVNFNTENLVGMTHNAPHAHQKRMLSKTYSKSFLQESSDMRGISKVMLWDRLMPILK KAGEGGEVLNVLPLFQAVGMDFTSSFLFGLRQGSRYLFNIPEWKIWLEEYERFKYLSR DDRYMGFIEAWCLSFCDRVEASKKQDIFSYEEELPSTNPVVYDQLCQSLLTQKEHDPR PLNLAIASELLDHLVAGHETSGITFTYMMWELSQHPELQVELRKELLTLSPSLQYPDT DGDKPLPSPSAIDYLPLLDAIVRETLRVHSPAPAQLPRVTPTTEKGTSLHGYDNIPGG VRVSSTAYSLHRISDVYPRPLEWLPRRWLEPGDKIHDMRRLFWPFGSGGRMCLGSNFA LQEIKLVMAAVYSNYTTVIVDDEGIEQDYAFISLPRGRKLMLRFVPIDE PEX2_042530 MRPEEQPRTIVILGSTGNQGRGVVECVLREPGLEKYLVRAVTRD IKSARARQLLEDYQTPDERLSLVTGDVYNAESLENAFSGAYGVFGVTSEHAPRRIEKE EEMNHELQAGLNIISAAKLCQVQHFVFSSLPDMKQATNGRFQKLFHMDNKYIIEQWAK PFFLTNLKRRQYSRRENGVVRFCPPIPGDKFVEWLDPAYDMGVFAARVFALGISKTKN KNYVVAGPKIRMQDFATIFTRVTGQQAIYSPTTLDEWADMASEAVGPGFREDIRQMME WASIMPDDKICYGALDPAEDPSWEDLGVRASSFEDWLNRTAWTGP PEX2_042540 MGVHVVTGVSRGIGFEFFKQISEDQENLVVGLVRDKAATEKKIA TELGNRPNVHILHGDITKYTTLKQAADDTTEIIGERGIDYLVANGALLSQTNVVGNIH LFHLFLPLLLKGKIKKVIKISTGLADLDLTNDGEVEIGTLCAASKAAMNVIVAKFNAQ YKKDGVLLVSISLGVLEVGRYVDWTPEELQALAGFVGKIVTYAPHFKGPVTPEESVRQ VRSTWEKASIEGGFGGAFVSHLGNKQWV PEX2_042550 MASFTFYFIPDAWVPYAELMRLDRQSGFWAFYWHYLIGLGFAIN IPPLSSEIDLKALVLLAAHLGLWATVFRGITCTWNDNIDQDFDRQVARCRLRPIPRGA VTTVQAHFFTAVQIAVGASILYPFGNLTLIHATVNFVLLFIYPFLKRCTNYPQVELGF GLSYAIFLASAMVGKDPLTPLLDSSLDLSARVLKVIKAPLAQSAASLYVAGIIWTVIF DTIYAHQDYLDDLKAGVKGLAVRLGRKGTKPACYTATAVQLFFLVLAGQLAGFGTPYY AISCGVTALLLARMIWVVDLEDSNSCAWAFGPGSGYVGTAICTGLVVDFFSKKYGY PEX2_042560 MAFFQTVETPALTYEQPLGLFINNEFVKGVAGRTFETINPHNEK PIVAVHEGTAEDVDIAVKAARTALNGEWKQITPSERGRLLTRLADFLERDIETLAAIE ALDNGKGVTMAKGDVNASAGCLRYYGGWADKIYGQTIDTDTNSLTYTRHEPVGVCGQI IPWNFPLLMFAWKIGPALATGNTIVMKTAEQTPLSALYVAKLIKEAGFPAGVVNVISG FGRTAGAAIASHMDIDKVAFTGSTLVGRHIMKAAADSNLKKVTLELGGKSPNIILPDA NLEDAIEWVNLGIFFNHGQCCCAGSRILVHEAVYDKFLELFKKRAEQNKVGDPFSTET FQGPQVSQIQYDRVMGYIQDGKGAGATVVTGGDRHGSEGYYIQPTIFADVTEHMTIVK EEIFGPVCTVQKVHSEEEAIRVANNTNYGLAAAVHTTNINTAIRVSNAVKAGTVWVNN YNTLHYQMPFGGFKESGIGRELGSYALENYTEVKTVRVHLQSMEDSRRRQLHSCDPCR KGKRGCDAPKERQKSAFSSCSNCTRWKKECTFNWISSKRVDSRGSRKRIKTGTASVAI IGSNDEAPDEILRAAQTHPTNAGELLSPPETLDYTFSSSSSHPQNHFIESFQNLNSTT DQSQSFPWTMDIPYDLQVNSSNQLASPDSSVESDAPTEFFDLAPNSIESTYGSLPQHG YASTTQMISTVPAWKQTRYKHLSEAASDGSLNPEQQWSFCIASENTAKQSARATMSRN LVRIYHDSMENALSCWLTEHNCPYSDTISQVVPNREMKAWGPNWSNRMCIRVCQLDRV SSSIRGRALSAEEDTKAARALHLAIMSFASQWTQHAQKGTGATVPSAIDHDERSIREK VWNEARQALEHSSHIPSFRIAFANIIFSLTQSPLDKTQEVSLGELLENDRAPIFLETA NRQIFTFRHKFTRLQREAAPKVRELGRRSIDPTITNVREMPQLSEAPQLDPLLTSHEH RTTLDLMFWLGVMFDTLSSAMYQRPLVVSDEDSQIASASPRIAESEDQIDLDHWNMTR SKTRGKQDVWGDLFLRSSIERQGSSQDQPRWPCSYEEAASVLSEATPVKVLLYRRVTQ LQTLVYRGASPDNIENVIQKTLAVCQHWDSTYQSFMVDCVNNHELLPSRIQSWYVILD GHWHLAVMLLADVLESIDKCALGSDVAREARKTTHLVATLRTNNALAVGGLARASIQG QDSFMNPHFHDSLSEVAFLVEPWTAVLVHCFAKAGYILLECFDTSGDQNIYTECLRQN CEFLIRALQYLGRKSDMAFLVARSLSRSLEAKLALA PEX2_042570 MSIPETQWAQVAEKIGGPLVLKQIPVPKPGPDQILVKIRYSGVC HTDYHAMMGHWPIPVKMPLVGGHEGAGVVVAKGDLVNTFEIGDHAGIKWLNGSCSECE FCRQSDTPLCADAQLSGYTVDGTFQQYAVGKATHASKIPKGVPLDAAAPVLCAGITVY KGLKESGVRPGQTVAIVGAGGGLGSLAQQYAKAMGLRVVAIDGGDEKREMCEQLGTET YVDFTKSKDLVADVKAATPGGLGAHAVILLAVSEKPFQQASEYVRSRGTIVAIGLPPD AFLKAPVINTVVRMITIKGSYVGNQQDGVEALDFFARGLIKAPFKLAPLKDLPKIFEL MEQGKIAGRYVLELPE PEX2_042580 MPSQCVDGHRKPPKYLNAGKKKAAKVGLRRSVTSLQQTPHQHEN ISNIKSYDKEITEQQAVSERYIKDYRSDPFEEVFDDVIDSNFFYTERSSFRLGREASE GNIVKENDNFTTFVSDAEFATWSERSSSVSEDSEVGTPYQALEDSFGANLAKLQSYAR EEPCSGFAISSGWSSTFFPHETEQ PEX2_042590 MQILDLPNELLLLIGEFLDDEYCLNTFLQTCRRLYSILHHSLYE FNVRHSHACALEWAAKNGSEATARYTLEAGASPHAAYYEEWLPMALACIHGHEAIVRL LLDHGVDPNWSWSWLREPSIDAYRDDEGCPLILAAGRGHESVVRLLLSHGVPPDVRFE RLDGLDISPLSVAARGGYLSLVKLFVSLGCDVQVGAWWGASILVNAADKGHCEVVRFL LDTNPDLQPPQEASHALLRAAGRGHLDIVELLIERGMAPTPTMRAWPLGPLIEAAQRE YHAIVDQLRRSMDVLGFIAHGEPDDDTHRQLLLVSAACGWEDLIKELLERGCSSDFPT SEGREWGMEGKLRGSRYLSIRGYPLPLALAAHRGHHGAFELLLNHAIESDKSLLNGGE PTPLLAAIDSSQKWMVNMLLDHGANPNHRVPPNNVPVFFEAVQTPEILEVLLDRGADT GLKANYGVGEVYYDSVFVRALRTGSIAAAQILQQRASFIESTLGTDAYPKSFIEAAAH GGASMIEYLLDSGYEVGPGSPQIGKALRTILSRSDTSSLTLLFERGLIGNLITIDNKP LMGVVDSPSEDWDAVAATMDILLAHGIHVEGGPYSPLHDIVDRKMSNSAQLLLDRGAD PLQTHEKLGTTPLGEAAQRGSKILVRIMLKDLDQRSILLEELQAKLIKAELQAELGQK EGLAEDDVRPLLRRFYWRKKYQEMPTFRGESIGNLETTP PEX2_042600 MWFFTWINWLFHRIFCHRVEEPEEQETLPTHSIWKLLLKYLLKY LIAVGAAVNVFLNVWTLSRQTIVSWKCTSSYLEFLWVVITLIPVCFAVVAASFEWYNY TQSGLQWTLGPRFFYSLSNIAGAVHVLFGTVMLSSVLFIGTLDALGVVGRLTASTVVC QFITALELERLEDHITEQEKKKPHGQPGPGMRAEELQKERERKGEFISLLQMERCAIA IEGSSTRS PEX2_042610 MSPTTAPMTFYDIALAPPVTKSAASPNPWKSRFALNFKNISYKT TWVPLLDISSVRGPLDIPASRKFADGSPYHTLPILSDPATGRIVGDSFEIATYLQEQY PCSGAGDLFPAQELEFVFVHDMGPYPPIAEAQVSDGPAVAYAKFNTNVDALFSANARV IAQGFPFDPAVAEECKAMFSKRVGVPWEAMAVGAEERIELFKAFEEALGELAKLYTTD VTGPFILGTRASYADFIVGGWLRMSRAMLPGEEWEALKGWHGGVFGRLDEALEVYSQM D PEX2_042620 MDRRIPPSELTDANRLVADNMINVFRVDDKTVVKLCDPNRLSEA EALKFIRSKTSIPVPEVYNAYVDETIDRGVIVMEYIEGEVLRDVIQDMDDTRRQKIIS ELQQFMSELRSIEGNFIGSIDGSACEDPVFCAEQGGFGPYKTENEFNEGLIRAMTINE TNSWVNHVSKLIRAMPSHTTVLTHADFSPRNIIIRGEQVVGILDWEMAGFYPEYWEYI KAMYHPDWQSRWVGDGIVDTVLKPFYLEHAVMLHMQEVVW PEX2_042630 MSRKPYPNPLVIRPLSEEHTHTIISLHGRGSNAERFGHELLVST NLQKRLPTVKFVFPTARKRRSTVLKKIPIHQWYDNYSLEDPGQRTDLQVEGLYETAEF IRGLVTAEARILGDENHSKIILWGLSQGCAAGIFTLLGGWADTSEANPLGAFIGMSGW LPFEQQLREILPSDESLVSAGDNSQNIQSDDDSCEESESEEESERDAYSEQGFNDNLL EESSSSRDDFDPFKEEIEEDSAPRDDFNPFEDDEEEAPLVIQAINHIRDILALPMISG NTPPSEDSHPSSLSHLQTPVFLGHGAEDPKVSAGLGEKMTRILSNGLGMDVTWKEYQG LGHWYRAEDEVEDILSFLRDRVGLPVISGSSLAKGTEQEK PEX2_042640 MEQVRKTFFDPLASSKARIREILEELPVGSAHPFSPERLLLCRT ETGINEIDISSYPDDFFFNAVDMGEMGEVLLRRMAGFQSDLGIFEHFQILQAPASTFK VPIVSGEIMILAVSQRTPTYYDFCFADASCNTCCWNSTATFPGIAHSGDINCGKDLLQ YVKIKKDTLTEKELCVFISGYNMHPITRFLGTHPALLVADHADKTLYIVPVPLDAATI GDATICCPLVIKREGDSLVCSILSTATTDLNKSCSGKKLESASFLEAITRADFTTSDT SPTVTRNLTPIGDSTEEKTSSSLESLHEITKENAVFTTDLATLPSFLDLEKAQIIRFG AGIEFRLPGEELPTETKPSAVVLPCIFGSQLEGPFLLATGTAPSNVPFIGEIAIRDYY KQLKNAVVVVDDRMTDNARNLASIYRINALFIVQLSSNPGPKNTDDIMSLLNSANINA VTALAGPQSLVLIQISDRYYFYRGIANRAHINTSTLEFGSDVTSILESIDIEFILESR IERVVTLGHATPIILPTSGQLVQPRNLQRLFEELSIDQIKELEEDILAAVPQLQILLS EKELQELSKSLVSVLSSRIDNATATLRKNYTNYLTQEYKPTDPESAKKKNSMLGKLRK LTKEMQIALGPLISSLVNIISCQTTSKRTHDLKRLVRQTQILANVEAVKSMTFDTLAG FLETHAGDMGVMLLNIDTLSYSQLLGNLKDTAINASSCCDLDSRVLYLEGFDAGIIIE QSQSNHNGPLQSQDGPSHPILALPYLSQESGTGSMLAWVCWDEFVNLESPYTVRWMEK CNEPHIAALRILMRSTLSQAVSAREHQIEPNSKETTHLMSALLMGAMSKLAAMRTTAP IVSQEAGDTVTRLMRGLFGNLLTIAGSGVRPQSMVWQLFGLNPQYNVPKSDVDWIWYE TVVALYPYTGWPLEQFNENLEKLLDKAIVRVVTKSEEVDSVKLNRTDRIIRASKLRNI ELHHSRTIITVLMRTFTAEESDIATIATRLLDQLPKQLERQSKGYTRIIQYLHHLANG GQRRAHDDLILACTYTARSAAFGSIKIKVSEACKNKEWAKMKDSCQAILDKHAELASL WQVKPDDLKVQNMQAYKHLLGADFGDDIDEATKKSLEFSRKVNGDAEKYRIPWQIGKK GQFGDNIEPLDEVSLHEILTGEEPQSLPTIESAEQSVKNTSTMIEREAEGGFAKFESS MQSTFITTLQGDLSAEIVCGILNVPTTAMRVFIKALDPKFVWEDLGKNYKSTILGLLN ERSNRLESRPTKKLLELAGDKTKLQIDGCGRRVVSDRKGVLWSEVYA PEX2_042650 MAYPKIEENTLRTLYGELAGVLLQLSNPSFSRIGSLNQVDDFTR EVASRPLPLNSNDLIRLGCLPQSELPSHETTYTSASSYFEALAEIHIAHLIHQINDAI ESADDCRRKFVGRHLFRKLARERKLTKRWVEFDKGPFKLWCDDLRPGNVLINNDLKIA GVLDWEFTYTAPIEFSYAPPWWLLIERPEYWPQGLEDWINVFDRRLQKFLQTMIEYEN AAGTKEEERLSGPMRESWEIGEFWIAYAGRRSVAIDAIYWHKIDQRFFGPTDNVEDAW RQRLDLLSDKEKEEMKLLVAKKVKEMESRVLVWDPDEYTLCHIDIAKTHAAEQEKKAE EQKEKGKKDHPKTEDNKVEVHAVEASPSDLDVEQIVENLAELSA PEX2_042660 MGFLSGKLRSKPQRKLSEPTYETPAYGQQPVIPSKNQHEPNKYG PHFQKGPQQEEIYKRRANGERIPPGHPDLHDRAHSFHQPRQHHAYSDPFETRPGVWSN QMDAKHKKYHFAGDIKDPQALQNHVDTHEAHHLRVGPKHPFIPAHGPMLKTGIAAAQA MVTRENLVVQGREGFVQKYPQAYKTEMALERHDREVGNRRRAAEKKRTEHTTLSANYD KWAREAQKQR PEX2_042670 MAKPTLEGLPTELLILILLEIPDLVSLKSIVLSSPIFHQAYLTV RQKALCRIVKNQWGLLLGDAMAATRSRGLQFNAHKQEAIAMLDTWRRKEEINDLALSS SIPIDEPSNLEEILNLVYLHKIFHFFLKEYETKVTRPPWISKDQWENSIIPIELSHTE KHRFLRALCRLQIYTNIFDEYEHTTVHEIKYNNWRHSPKGDLCFDEGAYRVFFGTMPP WEFQEIGCLWAYFTTLFDHIYTEISAGLHDLVEKHMIKDDWQRPYFEFLPEDVQPPWW GGVDNLGHVENIWRFSHSLASMGPEFVYRLLHGTPLIQRDMVMLNGNDDLLSFPNMYV TEDYMIPLMYPADRHAVQDYEQLWSTLPYIEQPNLGWKQVHVLPDTPGQTFEEAIDFQ CARKSVWLWGYAIFDDERLTAWKAPLVQFRLGEEPEYTV PEX2_042680 MGHLLQSFSEHLQGIGQAIASISSSIVDHVTLKRLRGNEDSDEE DDDDDLDSLDIDPFDAVLERVKVKHIGKHVAAIRAKTQPGNKKNIPVVEVGAHKLHGE NIYYVVKFADGIKWMLKVPAGETPDQFGEDDAEALRSEALTMIMLRRETTIPIPKVFA YDNTWTNKLKVPFILMEFIDARPLSDVWHDQESPEEVVQARRTRCLQDIAAAYLQLGK YTFNENTPLRFDDQDRLIGVAPVKEDPFSGLKEAYVSHLDRREGGEENDGLGRGLLKL LRMFADWTPEPSDGKSFVLHHANPNIFNFLVSEDGSVRAILDWHGAGPKLPSIGNEAY PLWLMRDWDPSVYRWSEEMEQGINDEDCYWEDSPDKLEFYRNVYAQCIANLRPESENA KITRNSPLFVHLMMATRGSMFSLATSVKIVEEVIKRVEKDADDNSWPPSFSPSNDNNK DDDDSKNLSSTKDQDAAGDHDAPNETKANAEDDDIGADIDGEDEDEPVIMYDLLEGVD RDGLSEHQQKVLRTGFDALFIS PEX2_042690 MAPAATGGKKQKKKWSKGKVKDKAQHAVVLDKATNDKLQKDVQS YRLITVATLVDRLKINGSLARQALNDLEANGQIKKVVGHSSMSIYTRAVTAE PEX2_042700 MPPNETLFLESTTRIQKDSIHSFMDFQVWDFPGQLEYFESAFDL EDIFGSLGALVWVIDAQDDYLDSVARLNRTILTVQQFYPGINIEVFIHKVDGLSDEYR TDTFQDIVQRISDELSDAGYENAPVHYYLTSIYDYSVFEAFSKVIQKLIPNLSTLENL INTLANNCGFEKTYLFDVLSKIYIASDTRPVDMACYEMCSDYIDVIVDISELYSWDHP VRKRLGDQIQEAESHVVLHDQTMIHLMEMNKYLCLVSVIRNPEAKDKRGLIDMNCRTF QDALNEVFSRSWEEGNGPDKEPNSKQNSSSDETNQDHGISLLDIIRVIVTLLVACGGL SYYMTSSESVLWGYRPWYTRWPVVKQWVQGPVNLTPSQLSLYNGTDTSLPLYVAVNGT VFDVSENRMIYGPGGSYNFFAGRDATRAFVTGCFKEDLTNDMRGVEIMFMPVEDVEEE GVTAAQKKIRREKELRAARARVEATVQRWTGFFANHAKYFEAGRVVVEDGGVEGEPWP LCESAQKQRPKRSAMVEKEKS PEX2_042710 MVIDSGRDSVSYNVPAPTAPAEDKPKTRQMPVTLLSGFLGSGKT TLLKHILKSPDHGLRIAVIVNDMSQLNIDATLIQNHKVSQTTEKLIRLQNGCICCTLR GDLLAELAALTKRNEVDYVIIESTGISEPMQVAETFTAEFSSAMLEAEDQIANDDVDA KKILNEIVELGGLHTMARLDTTVTVIDAFNLLSSFDTAEFLSDRYGKEEIIPEDERTI SDLMVDQIEFADVLILNKVETVDQATRDKLMHLLKLLNPVAKILESSYSQIDVREIIN TNKFDFVRAASGPGWLQSLHEMTIQSTGNGDRLAPKPETLEYGINNFVYTARRPFHSR RLFALLHDKFIILQNNEVEEEEDDEEEEEDEENEDAMDTDSDSGSMEDFEQPDPAVIL KNKRTNPAFGPVLRSKGFFWLTTRPWQFGEWSQAGGMMTLGCGGPWFAEVPDEDWPED KDVRESIQNDFQGAWGDRRQELVFIGEGIDTVEISALLDECLLDDKDMKKWEKVMKNK KMSRDEKTEKLAKMWEDGWEEWPSLEAEEEEEEEEEQKQGKHRISDYLGHQHGGKHAH GHSHRTVAV PEX2_042720 MTDLLGTYTKPLAFLGLFTLGKTAFSLTRQAITYLLPSTLQRYN PTKTNWALVTGATDGIGFGFGQELCARGFNVILHGRNAEKLERRRSELQALFPAVYVA VIVRDAQNLTADIDDVDAEVRDIIRRSNETAGSDSQGHPGRLTVLINNVGGETRPSTL LKEYTFEDVQATISRNATFAIQITRVLATQLEENAPGLVMNVSSLASFGMPYISIYSS TKGFVDSFTKSLHAEFAAEGKKIEVVGLRVGEVRTAGFDVKTNLFVPEARVLAAAALD RVGCGQEIVWAYFWHWLQGLSFDYLPRWMLMKVAVMKMKAIRADLDAKVKSS PEX2_042730 MTTLIPREPYTQDELARLYPAALKLQLVQVFLRHGERTPVSSRF TNTGLSPYWPYCGVARRMVQMASSSKDLSEWNGFQWRRKMESFGSNDQSVITTGPGGS VEAMCLHGELTDKGRETTYALGQRLRHLYVDQLGFMPQIKSDSEDMYLRATPIPRALE SLQEAFWGMYPASARTLDFPPPVIVARQINEETLFPNEGNCKRFRQLARLFADRAASR WNDSEQMEYLNSLWSKHMPEESPKVAVDAHPRLSGIMDTINATDAHGPATKLPSEFYD KKGRAVLDRIAVEEWFAGYGESSEYRKLGIGALMGDVVDRMVSTAVEGGWRSETAASG SGNPETGKAIKFAMSGCHDTTLAAILSSVGGFQNQSWPPFTSSVAVELFSQAPSSDSN AGVMLEEFSNPSIAAKKPGVFSQLFGKSAPKQPTTSDTARAPLESLAEGARESLQKHY VRIRYNDQPVLIPGCAAKPENHLPGDETFCTLDAFKEIVDKFTPKDWQLECTANLGTG LHGPDDREKAPAGF PEX2_042740 MKFFYQVITTPTADTPGTAVCLNFSDKRYFFGQLSEGTQRACTE RGVKLSLLTDVFVTGRTEWANTGGLIGIILTLADTVSTSMAAVEEEHRKKAARRAQRE VEENIKLKPRTPQQHGRATVEREGEAVLQRGNLTIHGAASLTHTLATSRRFVFRKGMP VYLKEYDSETVAKQKSTGAEDPFEKPSWFDSNIKVWALPIKPHASARPRVTQPRSQSP RKRSLDEFQESEQTEDHLDQRTKNLIVTQSIVQDMFNSTWKMDALHETPLAEVKMPAA IFIRNPETKDLEQYKGPVPGGPDALPDIKVLVRKPWPGATVDSLPTTSPSKEAVCYIV RNHDIRGKFDPKKAQELKVEKGAKYAALTRGESVQSLDGKTITSEMVLGQTRAGKGVA IMEVPSPEYVEDLVTRAEWKSPAVTTELQAFIWILGPGVAEHPKFQEFVASMSHCKHT VSSTDHCPNYLAFTSSASSTVRLARLKSDSYAVPVHDNVSLPQPGTPNANSESAIAAR KNSPLQPLEPGFIINMEPNFGFNSDEVMSRFNPANALHTIPRSVEQRMEVIRQRVAKP EFQKKLHAQRTQWPGADAEIIALGTGSSVPSKYRNVSATLVKVPGYGYYLLDCGENTL GQLKRVFEPEEFREVLQNLRMIWISHLHADHHLGTVSVIKAWHTENFKNGSGSAVSRE TDMAKILQEKRLAVVSDDAMISWLEEYSQVEDFGFDKLLTLAARPEFNGSQTVTTFSH QLPSGYRHTVNFTDKFSPLTPLLKSATGLADLLTCRVKHCKGALAVSFVFSNGFKVSY SGDCRPSDKFAVIGQGSTVLIHEATFQHDMVGSARAKRHSTSSEAIEIGRRMQARAVL LTHFSQRYQKIAFVDKRNPGKFQSSRDAAAKEPADADIPFDDPQDEAVGSNDTPLSDD INSGKSSQRFGGYTGPVAGAMDFMRIKVGDFALAQAYAPALEKLIELLERAATEESER VKQTRQEEENTRKAKNNKKWAKQMATATAAALAAAATETSEVQTAPTRSVWSASESEE GWETSDYEECS PEX2_042750 MATLAEHPMQPVANYDQELDSFINFDQLTYTSDPSRSKVMVSQP SVASTEFSASDARSASFASSGQSPLAFQAPSHQYDEHRQQTGLPPGALSMSYSQVPMG FNNGQGFPVNAEVYAGHHMKREDAQFDFNTAPGRNPSEMEIDPENMNPSPYFYPANPG HKNQYVDPNALGGHELAQAGPSTQVGRMYPGMHQQQAAMAKAQQQKQSEMVRPQIQQR PDQVPDVMPQARGPRNPDPVVEERISRLLQQMRQNAMANGEGSPSPSNGMPQMAKARK DEADMDEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYIGQLESEVAARTNE AHEVRLQNRALYEENARLNDLARMLLGSPHFSNFLNDMGDTMPVQPQQQSQQQPQQQQ QVQQAAPQPNMQAMPKDTNANRGQEFQMQQPPQANMVMVPNQGMDPSSMGMNNGGWNS GIDMNYGNTPVFAVMEVPEGPALDAEVLSGKSSSSFSISESSKNEAPVVDRPASDSPS QSDIGVVNPDVEIDESDPAFALFVDSPAPAADITFEGVSTEKTAQFQLVVDNSEVSDS AKRTFNALCNSIDAAFERVSAVTSHLQ PEX2_042760 MTSASTILATCKQTRFHLLDDNPSKEIDVDGLNIIVTLSAPEST EDASKSKTKGKSKAKAAGRELISDAHLRLKAGVHYGLLGRNGTGKSTLLRAMAEKLIP GIPHATRMAILQQTDEQDDKTFGEDPNQDKTVLEAVLSSDETRNEAVRMAEFLSKSFE TEDPMQPVRAIRKIRHQKAEKHLFLAHKNASLKSGARGLQARKDLKAAEGKLEATSEM LAQDLDAIDADMVQSDTQAAVETLQNLQSQLEDMKLADMEQQARRILLGLGFKEDGFG KKVSTLSGGWRMRCMLASILVQDPDIMILDEPTNFLDLLGVVWLENYLKQLRDTSETT IVLVSHDRDFINAVCEEIVIIRDQKLTYFRGNLSAYEQDFEEQKLYLGRMKEAQERQV AHMEASIRENIKIGKKTNDENKLRQAKSRQKKVDDRMGLQVSANGGRFKLNRDLVGFH LQSRAEIDVPTDEKGATMSLPDATELRFPGPLVSLEGIVFQYKKADRVILDDVNLVVH LGDRVGIMGLNGSGKTTLLRVLTGQLSPSKGKVSTHSRLKVGYYSQHSVEELQERGRG EPSLTALGLLTIETEGSLNEGAIRGLLSSMGLAGRIASDVPVAKLSGGQLVRLALARI VWSAPQLLILDEITTHLDFYTVTALATAISSFNGAVLLISHDRFLVRSVVEGKRDTEH KLDEDFEGLEEEETEETPRRRATRQWGIQTRLQSTRAASRPNATVTSPELPPPHTSTE SSKLSKLKSAKPFSDFLTDTFNRQHDYLRISITERCNLRCLYCMPEEGIDLSPSAKLL TSPEILYLSSLFVSQGVTKIRLTGGEPTVRKDIVPLMQDIGKLRQNGLRELCLTTNGI SLHRKLDPMVEAGLTGVNLSLDTLDPFQFQIMTRRKGFDAVMKSIDRILEMNKAGAGI KLKINCVVMRGMNDREILPFVELGREKPVEVRFIEYMPFGGNKWNQGKMFSYQEMLAV IREKYPTFEKVADHKNDTSKTYRVPGFEGRVGFITSMTHNFCGTCNRLRITSDGNLKV CLFGNAEVSLRDIIRKENGGNPIDLDAMNNLKLLETVQTAARLSDEGGLVNEREREIL DIIGMAVKRKKAKHAGMAQLKDMKNRPMILIASQSRLYHSGRSKPETEDRIPRPSPSL PTTSDADLPHLTPSKTVHMTQITEKPETKRVATAACTVSFSNPRPWAILREGQTTHKG DVFSVARIAGIMAAKKTPDIVPLCHPGIGITGVEVSVELVGPAQDRDHGAMHVVASVS CFGRTGVEMEAMTATMGAALTVYDMLKAVDKGMVINSVRLLEKQGGKSGHWVRE PEX2_042770 MHAPLILRKWRRKTGNAIMAVLPPLNFLTLHYLYFIATCMIASI IFYLTSTPWLSVAYVDSIFLCVSAMTGAGLNTVDLSTLNSFQQAVLFVLLILGHAILI SLTVLLVRKRAFEAKFKGVSDERERERSTRNPSQVELQDREPVQGSTGADLSGENTDG LSCKPRAKTSVLVQIKPGESSSRDEQQWVDDDQKTIGGTPRHHHHRVFPMAGIGARPD LHNHPRDAVPNVPLVVEDSVSGFKGLLRGTQKYMASKGLVSRNSQFHDLTPAERDELG GVEYKAVSFLSVVVFLYFILFIIFGMIGVGGWLEVNDPEVTRTNGLSPFWTGAFFAVS AFVNSGMSLLDANMTALQLNAYPLLTMGFLILAGNTLFPCFLRFIIWTIRIMLPDRPR WQSWRITLDFILEHPRRVYTNLFPARHTWYLLGTIIILNGIDWAAFELLSIGNKDIES LPSEYRVLDGLFQALAVRAGGFYVVTIADLRQGLLVLYVLMMYVSAYPVTLTMRNTNV YEERSLGIYAHDESPDSPANTSRSNVVMDLIRHHLGRPGPSETSRGYFVHQQLRSQLS HDLWWIALAVFFIAIRESDHYESQPVAFSTFNIIFEVVSAYGCVGVSLGFPGKNYSFC GAWHTVSKLILAAVALRGRHRGLPVAIDKAVMLPSESLAWAEEEDAAMRRDRSRAWGQ DHGPVGSV PEX2_042780 MVGGKKSTKKFVQNRLPDVLERRKAHAKIKQRHQLNDKRKEKSA ARRAEAEAAAAANPEEAKKQNAFSEMNVDDFFAGGFDIPEEKEKKASKKDTTPKIGKR KRSEDKAQADAEASEGESDEDSVDAYDEHKDQLESLKDKDPEFYKYLKENDSELLDFG DQGDLSEVDALSESEEPEDEEPAKKKKKKSKKEEEEPEDETLTIEMVKKWQKLMEEQN SIRAMRQAVLAFRAAAYVNDPDAPEQKYTISDKNVYHQVLVTALNTIPKVLAHHLPVK ESASGKIRVSLDSKKFKTLTPLIKSHTASVHQLLINLSDASTLKLTLASIEPMLPYLL QFRKVLKTLVKIVVGIWADVSTADATRITGFLILRRLMVLGDAGIRESVLKATYEGVV KGSRNTTVHTLAGVNLMKNSAAEIWGIDQNVSYTAGFSFIRQLAMHLRKSITNTSKES YKTIYNWQYVHSLDFWSRVLSQHCDGLVEAQIGKQSALRPLIYPVVQITLGAMRLIPT ATYFPLRFQLTRSLLRISRATGTYIPLSASLLEVLTSAEMRKHPKSSTLKPLDFNTAI RAPKSYLRSRTYQDGIGEQVAELLSEFFVLWSKHIAFPELSVPVVVSLKRWLKQVSAR SGGNKNAKINQMILLLVQKVETNARWIEERRMNVSYAPRNRSSVESFLKDVDWETTPV GAFVKTQRKLREERAAVLEEGRIEEEKRREEAKKNGGEDEEMGGVAGSDDGSEDEDEG EEELESEEEAEIESEDEDELEMEDE PEX2_042790 MYSQRPLSYAPTPYSYTPNPARSATINLDEEVKLASSSTERDLY ESLAEIYSIIVTLDGLEKAYIKDVVTEAEYTETCTRLLKQYKSSLGDETVSREFVDLE TFKRTWGLECPRATERLRIGLPATVEQASHGASAPSMGAAATGQAGGASGSLILAATE NFITFLDALKLNMVSKDALHPLLSEVIQSVNKVTDTDFEDRGKIIQWLIALNQMRATE ELSEDQARELAFDIEQAYQGFKATLN PEX2_042800 MISATDEPLHVLDLPQLYQKPSGTDLVKALALLSLQPRTFGTTA DVVKGPAVQPAGVTRYLTSIIASPLAWLDTEELREAIWDTAAARLSERSGRTAMPAMS RIFNVPTGSGEEYTLTLHEPSITADNLGMKTWVSSYLLSRRLHNLRSPPALVSSSAAP STLKPLRALELGAGTGLVGLSFAALQGKSATVHLTDLPEIVPNLAHNAALNVELLTRT GATVTTGLLDWSVTPSPLPTAEEQFDVILAADPLYSPNHPKWLVDTIKPWLSRGLDAR VVAEMPLRDAYLPQVKEFRQRMGELGLAVVEEGEEIGYDDWESADGGALAVKCWWSIW GWSEKV PEX2_042810 MIGLLALTSIPTVTGVALASSEQRKANQRKEEARRMVKFNIVAE CDGDTDDDRELNGMAVVVRDEKVYLADPDPSKRSPPAFTALAFYIEYPEPEELKYLKR ERGLGLPTYVQDNPPLLNWIYADTETHELRYGNRSQSVEQLVEPWDWCKNEKFISLEG NQNSFIAVEEEEGEWALHYDRDGDELAGVLEEQGLLDCAFVPVKLVRKVVEERPPPPP AAQAAAPRGQGNGQSQK PEX2_042820 MDAFRLLTRSTKLKPVSSATDSRLPSTGKAANPQLFRTAETDKL ESAKNGKKRKRGAAVQQDEEDVPDLDFFSSNKRPAVSVTETAAATAATEDDEKVSAED AASDDDDSMDEVERRTILNSHKIKVTDMRDLEEIQVQPTSTKDSKKKKKKSKKEEAPT LSKKEQKRARRLFPEPLLNFKELRSKYKISSRLAENVAEQGFTVPTEVQLGTLPLLLG ESSEPDLLVVAPTGSGKTLSFLIPVINKIVRHHHSNPDEHGILSVVVAPTRELASQIV NEGRKLVAGTGVKITLMRKGMRVGDDGGAGAKVLEENSEESSGSEDEDAESKPAKERA NVPVTKSDILVTTPLMLVNALSANRTTTMATLPLVRSLVMDEADVLLDPLFREQTLDI WKSCSHPDLRVGLWSATMGSNIEDLTKLTIKERLEDLGQKPSEPHALLRLVVGLKDSA IPNIEHKLVYAATEQGKLMGLRQLLRPAAASASDIRLRPPFLIFTQTIPRAVALHSEL KYDIPAEAGGSSRIAVLHSDLSDGQRSDIMRDFRKGEIWILVTTDLLARGVDFRGING VVNYDIPNSAAVYVHRVGRTGRAGREGGIAVTYYTKEDIPYVKSIANIIDVSEKLRGG EEGEKSIQKWLLDALPNLSKNSKKDMKRHGVKARQAPRLTDKEDDGKGARGMRISTKS GFERRLENNKRGAIEASRNRKAAAATSGNVSDTGSWGGLDD PEX2_042830 MPAATRAVLRQSQFLTRRTVVRHASSTSEAASKAGETASSAASK ASEGLSKVSASAGPAISNAAGALRKIGGPAGKVISFVDSLIPPTLYYSKVGIELGRLV FRGQNMAPPNLATFQSYFQPFISNPAALKTLPSPSTILASIRNASPKQLAFAGVTAAE VIGFFTVGEMIGRMNIIGYRGEPAHAH PEX2_042840 MTSATTVTKANIGVYTNPKHDLWIAESTPTAEDINAGKGLKAGE VTIEVRSTGICGSDVHFWHAGCIGPMIVTGDHVLGHESAGQILAVAPDVTHLKVGDRV AIEPNVICNACEPCLTGRYNGCVNVAFLSTPPVDGLLRRYVNHPAVWCHKIGDMSYED GALLEPLSVTLAAVERSGLRLGDPLLITGAGPIGLISLLSARAAGACPIVITDIDEGR LAFAKSLVPEVRTYKVEIGKSAEKCADGIINALNDGQGSGPDALRPKLALECTGVESS VNSAIWSVKFGGKVFVIGVGKNEMTIPFMRLSTQEIDLQYQYRYCNTWPRAIRLVQNG VIDLRKLVTHRYSIEDALKAFETAANPKTGAIKVQIMSSEEDVKAATAGEKF PEX2_042850 MKGTLFLLAPLVGAAVLGSTSQLDGCPGYKASHVKQSGQRLTAD LDLAGDTCNTYGTDLPNLKLLVEAQTETRLHVMIYDADEEVYQVPDSVLPRPESANGH QKESALRFDFEENPFSFRVLRGDEVLFDTSDTNIIFQSQYLNLRTWLPNDPNLYGLGE HSDSLRLPTTNYTRTIWNRDAYTIPSNSNLYGTHPIYVDHRGEKGTHGVFFLNSNGMD IKIDKTADGKQYLEYNTLGGVLDFYFMAGPTPKEVSEQYSEVVGLPVMQSYWTFGYHN CRYGYQDVFDVAEVVYNYSRAGIPLETMWTDIDYMSGRRVFTLDDERFPVDKMRELVS YLHKHDQHYIVMVDPAVSNSDNGAFKRGHDQGVFLYRDKEQNELYEGAVWPGLTVYPD WFNKDTQSYWNSEFKRFFSAKDGVDIDGLWIDMNEASNFCPYPCKDPAQYAKENDLPP APPPVRSSPRHIPGFPADFQPGFSSFGASSSQRVKRGDTVIETRSVIEERSRGGNKVG LPGRDLINPPYQIANEAGSISNKTIDTDIIHAGEGYAEYDTHNLYGTMMSSASREAML KRRPNVRPLIITRSTFAGAGSHVGHWLGDNVSQWDKYRISISQMLAFASIFQIPMVGS DVCGFAGNTTEELCARWAMLGGFYPFYRNHNEFGTVPQEFYRWPTVTKAAKKIIDIRY RLLDYLYTSFHRQTLTGSPFLQPLFYVYPEDSKTFDNELQFFYGDSILVSPVSEEGAT SVDAYFPNDLFYDWFTGVAVQGDGSVKTITDLAVTDIPIHIRGGSIIPLRTASAKTTT DLRKRGFEILIAPGVDGSAEGELYIDDGESIQPDSAAEIQFKYRDGKLKIQGQFGYRP NVVVETVTLLGQKNKRRDVGYDAQKQSFTKKVQIELTGPAEVTL PEX2_042860 MPSFMKFAAAALAVAAPLVSAQTYSDCNPMETSCPPNKGSTESS LHFDFTQASGLDQWKISGGSVPTGSNGAEFTINKEGDAPTLVSDFYFFYGELTMEMKT SPGQGIVSSAFLQSDDKDEVDWEALGGKDNTIETNYFGKGDTTTYDRETWVPVSSPHA SFHTYTIQWSKDTINWLIDGANVRTLNYNDAQGGARFPQTPMNIHVGIWAGGAPTNGQ GTIDWAGGLTDYSKGPYSMYIKSISVKNANPAESYTWSDKSGSAESIQFTGSNAVSSR STTTSEAPTTTSEAATSSSSEASSSTQSPSTTTESTSTTESTSVAATTLATKTSTSSS AESTAASSSGAASASSSGVSPSSSSSPSSSSPSSGSSSGSSSTSESSSGSGSSTETGS SSSSSSGSGSSTSASASASPSFNAAASVAASYLGPVSLLGLVTALLQL PEX2_042870 MSTKQLHTPDRAALGESWVMASTASLKPKEDPTKTPNARHESSK ATTKTADPASESLTSSSSSSWTISGPELIMPSICETPNIEGSWVEYVRSPKQQGSESM RKRRKVSTQKSAKHREQDRTSAKVGDADAGSSAETTTKQAVPVVKSKSIFSSHTALAR KAINAVLIAIILHLLVLPEVVYQAKDLCHLPSIKTLYPNSCITLPTTYPPRSAYHPSA ITPEETLATSQRQLEFIFDTALETLTPLSAILKQSESMLGDLESQLKSTFPDARNALD LEFTGSNQAVQTAVWEFDSLRADLRSAIDSLLASRPATEIGGTAALDTRLAIQMRRRE EYLGRLRSQIRSKADSLNTRFTTLDDHLEAVDGIVAREERRNPTFPKYRSSEDSSSDR LYSVLDSLPLGPFGAYFFRGRSSGDADANAVTGTESSSSAVASTTSTEPTHTPRPAAT LALLRVAATHHRPVADSVLRLSQQLKDLRRVTGAGSTW PEX2_042880 MRSLLRLRPSLTPGVKSLPWQFTRSRPLSYTAIRHCSCSDKPEA PTIPPTDHRALGTAQELFTSSVYSPGSPLFLPNGTHVVNKLISFLRTQYLQYGFREVL TPTIYKKSLWEISGHWQNYKDDMYEVTGRGASGEGEGELGEDESYGLKPMNCPGHCLL FKSQNHSYRDMPVRYADFSPLHRNEVSGSLTGLTRVRRFHQDDGHIFSRPQQIKSEIT SALGFVDMAMKTFGLGPYRLVLSTRPETDYIGTLEMWDNAEMQLREALDSTGREWALN EGDGAFYGPKIDIQLQDQAGKYHQLSTIQLDMNLPQRFELEYQVAEGEPDYNPATPGV ATPVLIHRAIFGSLERFLALLIEQHGGHWPFWLSPRQAIILTVNQDDAVVRQAQEAAA KFAGFRALVNDGTAETPRPLSSVDSTFLVDVDTSAQTLGKKIQRAKQMKYNLIFILGL RDLADGGITVDVTGQMQSKTDKDSQTLQALIKARLGDDALQNPRAVKLSMDDVHPLLV DMEKNFV PEX2_042890 MPMLKDPSKKYKAFKPVNLPNRQWPNKTIDKPPRWLATDLRDGN QSLPDPMDGEQKYRFFKMLVDIGYKEIEVSFPSASQTDFDFTRRLVEEPGLTPDDVAL QVLAPCREDLIRRTVDSLKGAKKAILHIYLATSPCFRRIIFNMDHKQSLDLAVKCTKY ARSITKDDPSQAGTDWQFEFSPETFSDTEPEFAVEVCQAVKAAWEPTAEKPIIFNLPA TVEMATPNVFADQIEYFCTNMTEREKFVVSVHPHNDRGCAVAAAELAQMAGAERVEGT LFGNGERTGNVDLVTLALNLYTQGVSPGVDFSDINAVIKVVEESNKIPVNERAPYGGQ LVVCAFSGSHQDAIKKGFKLREDGEGADEDTEWTVPYLPLDPQDIGRTYEAVIRVNSQ SGKGGAAWIILRSLELDLPRALQVEFSKIVQEKTEAVSRELKPTEIVNLFEEAYHLKS NPRFNLVDYSITTDRSQSPAPPQPGKALNTKNLKRRFTGIIEIDNVQHAITGVGPGAI SSLATALSSLGIDLDVRDYKEHSIGRGRDTKAATYIQCTAAGSNQQVWGVGIHQDVVQ ASLIALLSAASSFLASRAGSPAPFRPIRSNTLTNEDIEALEQLGGTPDAATSNGGLSA KVNVQQPTK PEX2_042900 MSQALGSLDRLSGAALGKSATPLLRPRDAHCDEVFLTTYPPSLP RAFDTFPRIEASRPSFQMAEESPEIQAALRNLDRELEEGDITEKGVTSQLLRVIDTVL LTEAVWARRYIGELTATSYQKRRTVLLSQYFGPNKPLEVNQQAGLGYDATPTSPGMAP PAILSVRPPTADSSLPHIASPIYAGSYNGSQGSGAIGYGQGPGIHPQDQSQASLGSHN REGMLQAHDRAPSGSSYDSLFLPKPPIPGPQVQDGSRTATLMSQNYAFNPNSQPEYMS QPEYTQEYAQEYPQEYAPAEHAQPEYAEDGVAHYDPASGGPTRRSTMLDSQQGYFSDF AGQQHDDYRDSYGGGFHRYSQSGEAFSPTANMAPPLMPATAELQHGPAIEHLLPLEPR EIPFALNDPHDKNVPMSNFDNLPTVLRHRARAHPKQAAYWVLDSKGKEVASITWEKLS SRAEKVAQVIRDKSNLYRGDRVALIYREAEIIEFAVALLGCFIAGVVAVPINSLDDYT SLNVVLTSTQAHLALTTENNLKNFQRDITAQKLSWPRGVEWWKTNEFGSFHPKKKDDT PALQVPDLAYIEFARAPTGDMRGVVMSHRTIMHQMACLSAIISTVPTESNAKQFGTKG ETIISYLDPRQGIGMIMAILFTVYGGHTTVWHEDRAVETPGLYAHLITKYKATVMATD YSGLKIATYNYQQDPMSTRHFKKNSEPNFSSVKICLIDTLTLDPEFHEILADRWLRPM RNPRAREIVAPMLCLPEHGGMVISMRDWLGGEERMGCALTHEMDPASRDDSKKEDESL TKSETKTAFGSSLLGGGSRVSTVKQSPKNELSEVLLDKEALKSNEVVVLAMGEDARKY ASSMPHAVRVGAFGYPIPDATLAVVDPETNLLCTPNVIGEIWVDSPSLSGGFWALPKH TEAIFHARPYKFEEPNPTPILVEPEFLRTGLLGCVIEGRLFVLGLYEDRLRQKVEWVE HGQEIVEHRYFFVQHLTVSILKKVPKIHDCTAFDVFVNEEHLPVIVLESYSASTAPTT SGGPPRQLDSVLLDSLAERCMEVLYQEHHLRVYCVLLTAPNSLPRVTKNGRQEIGNML CRKDFESGMLQAVHVKFGVERSVMNLPVGVDPEGGIWSPTALSSRQDLLAYQEKQYSG VDYRDVVMDDRTSTPLNNFKTIVDLLQWRVSRQAEELAYCSIDGRGKEGKGVTWKKFD LKVSAVATYLKNKVKVRPGDHIVLMYTHSEEYIYAIHACLCLGAVVIPMAPIDQNRLS EDAPAFLHIISDFNVKAIIVNTEVDHVMRQKLVSQHIKQSAQVLRIGVPAIYNTTKPS KQSHGCRELGYTVKDTWLQANQPALVWTYWTPDQRRISVQISHETIMGMCKVQKETCQ MTSSRPVLGSVRSTLGLGFLHTCLMGIFVGAPTYLVSPVDFAQNPMTLFVTLARYKIK DTYATSQMLDYAMSAMAGKGFQLQELKNLMISAEGRPRTDIYGKVRLHFANASLDRTS INVIYSHVLNPMITTRSYMCIEPIELWLDLRSLRQGLIYPVDPDADPTALLLQDSGMV PVNTQIAIVNPETCTLAHVGEYGEIWVQSDACAQGFYKSKQEFDSERLNGRVADGDPS VPYVRTGDLGFLHTVTRPIGPGGQPVDMQVLFLLGSIGETFEVNGLNHFPMDIENSVE NCHRNIVNGGCAMFQAGGLVVVVVEVTRKAYLASLVPVIVDAILMEHQVVADIVAFVS HGDFPRSRLGEKQRGKVLASWVTRKLRTIAQFSIRDLEGDSPFADMPQHRMSRVSKPG STMGTSIRQSSMNPDIDAGVPRSPAGVTLVETSHPAASYLSDRAGSMPHELGSATDNG LVATPVPKPNSAVPHIKEPQSATIVTEFDDHHYDTLDSTASTANADRDFRFSFDMADE PAHSQAYGYPNGEQPLTGREPHPSHQGWNQNNGQAIGTAHAEPTRPGTQDSGRIDDWP QEALMYQSAAGADNGHDFHRTGSNNAAPHRQLRGLNLD PEX2_042910 MSDNGDVEVAAFPVLPKEVLAEQGSIKLFNKWSYDDVEIRDISL TDYIQIRSPVYLSHSAGRYAAKRFRKAQCPIIERLTNSLMMNGRNNGKKLLAVRIVAH AFEIVHIMTDQNPLQVAVDAIVNCGPREDSTRIGSQGTVRRQAVDVSPLRRVNQSISL LTIGAREASFRNIKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR PEX2_042920 MVKRKLGALEKVEADLPNLQHKIRRDPKSYIEDFRAQHYQYESH REIFMAAPSSATDTGVISLRDLIDFISHVADCYPGICKDFPQQLIDMLMQHHLVLETE LREKLVGSLVLLKKKDLMDSATLLHTLFPILISTPSKTLRALLFQKILSELRTANAKT TNHKLNRTMQTVLFNLVTSDRTSAKGLWAIKITRELWKRQIWTEAKAVEIMKEAALSQ NEKVIIGGVRFFLGGDKEREELEDEDSEEEVNVGQVKHQLTINKKTRKKARVAEKAIK AVRNKERKKGNPAPLNFSALHLLHDPQGFADNMFFKHLQNGKSKLKLEQKLQVLQLVS RLVGLHKLHIMPLYSYFQKFLTPRQPSVTSFLASLAQASHDLVPPDVLEPLVQKIANE FVSEASAGEVATAGLNAIREICARQPLAIEETLLQDLVMYKKSKDKGVMMASKGLLSL YRDVGAQMLKKRDRGKEAAMSLRAGDRQERRYGEQAAGEIEGLELLAKWKDEERRKKN IENGLPSDAEDNEEENDEADWAAWNVEDDEDSDIDGEWINVEEDVDIVLSDSEDEGKP ASKKAKQEDEAKKDTEKEAEAEKAIDFMKLATTRILTPADLAKLTELRAQAAADATLP GNKGRVAAPWTSRHTDDPLTANEIEGLAALSAAKATREERIAHAKEGKTDRSDHMSKE ARRKERKEEQGKSTTNKEKARKKNFLMTLGKARSKNKRSLVETRAVLRAHVDRGKRGG RRGNVGQ PEX2_042930 MPPSTADLSKRRRFQPPITTFFTSATEPVSSATPVVSHHHHYAA ETFSAHPVVPAKVQSSLLSVGMRVRKSVADGYRTHMSKTEEKAPLPTAVAQIPRAQPY HGSRPFELSPYSGTGKSSFSHDDYLVTDDGDAYSIPPSSQDSTVSLPLGGQKRALELD GDILVDEDVDESSDNFGASWRENSYGRTILSPNLGQSRRILAVSHSKIEQPTMDMDDF EEATFLRRREEVDAEDVRMYGA PEX2_042940 MASGDSWLTPLVRYRINQDSLKQDMQDMQEDPDIELGQFDDVDA NFGATLKDAITVVNNDNYHPRKKKNVLVLSENPPLRLPRFWKIRVYWARLLGW PEX2_042950 MSNSSEHVQRTIQELEKAKRLGTFVQANHPILHGLPPPSESTTQ RDGMLIHTDVIIPTRDGTKLRGNIFRPATQSSEKLPVILNYSVYGKDGALEPCIFPKG SRLDNGRYTSYYIFEACDAPWWTERGYIVAYVDARGSFQSEGDKSYYSRDVGLDGGFP PHYLGYDIVEWLAAQEWANGKVGMYGASAFAMIQWLVAAERPPSLAAILLFDDMTDLY REMGRKGGIPETQFMSQYPYQFNWGRSLVEDASKAHYEHPYFDEYWESKIPRVEEIQC PAYIVCGWGDHAIHTRGTLNGWRRIGSANKYLEIHCYQKWEYTLTEESLMRQKAFFDT YLLEKETEVKFWPPVRWTMRESFYNAEWRYAPTFPFPGTAYEKLYPTPSGGLSHIPQL TESRVSYDAQAGEVTFEIPFSESYEFAGHAKLRLWVEAEGADNMDIFIVLKKLDENGN EVHFPWLTIIEDGPVAFGYLRASRREVDEIKSTDFQPYHSHQRDLLLEPKQIVPVDIE ILPTACRFRPGETLQVHISGHDYGNYPTAVTIARHSDTANKGTHIIHFGGKYDSFLQL PRIPPLPGAAMSRSRPVKMTLISNRITGWSNEKFLEEFTQVHGGMTEKLSHVVPFLRS YTQVVGVPRLPLTTFSTNHAAFEVAAVLAWSSLAKLAGSFKHPAYKASAGSHIFTDPV FMGSLSQEVQEIIYDPVTYKRRQDAIEVVVFLARNSGVEAVSDADLEARSNTVRNVGQ GTGLLRYVLNRDVTPQDYNLLFKDTPFIIGSWGSIGAMEQYWFTDKKAAVEFFADSAR NKVLQQLPSSFDPKNTWSVAGKENRVFSKDLHF PEX2_042960 MVPAKLAWIGLGNMGRGMCKNIIQKSPYFPPLLAYNRTITRAHE FVDSLNSNGCQVASTVAEAVQSADLIFTCLSDDAAVENIALASTESGPIAGKLFVDCS TIHPDTARKARDMFGAHGASFVACPVFGPPSFAGSGQLICVLAGTQENIQRVKPYTTG VMGRANIEFIGEDVGQASKMKLLGNSFVLSFVEKLGEGMVLAEKSGVGVDPLIQWLEL MLPGVLPAYAMRMKSGEYHRKKDPLFAANLARKDARHVLDIAQSVEVSMKSVELAEHY LREVEEYTMGGDITAMYGAIRREAGLPYENN PEX2_042970 MDSGTREPHSFLKRLSRQLKAFESNYPSYDDLQRFGIQVSQVAT VNDEKILFDPCFFHPHPDRLLQKYPVSLSPEENEKPPRMRLVPTLDEILEDCQEEYSD PEEVQEAVEAQIEALENLQYHEDWYMQGLSIHEYMGWQLQVSRNIQPGIRCLWDLGDQ EFF PEX2_042980 MRSRTFLGFPNRHKYVLVAAILTLFILFKSVPIPTSRLDPRAEK SYGESRPRYLHRSTFRADPDYEYEIKLSNALRAMEIEREMRHDEDATDTLWQIMLPGV SQRSDDSVQFEQKNSEWKYKLVQADWADKFIFETLESIPEIARLYKSYPHSVHRGDLL RYLILWYYGGYYADLDVYPARSIKSCPSLRDSIFKDNTVNANVSLIVGIEIDEPFASP QKMRDWHWARRYGFIQYTMYAPRRFSPLLREIIVRVLSHTKRRVDGSHFWRSGRYNEM DTLEITGPGVFTDAVLDVLSDTLPSTHRLVKQSVDADAKFSSSASSIQRVTWAPFHGI KEPLCVEGPEAKSGKLLGGLCVLPVNAWGNGQRHSGSEGFNSQHACINHRFGGTWKPW KQSWQKAKMPSQENRMSPKLFVVFYQPRYGNFIHWALHIEKGEEHHILEVDGEHPKFK RNTFMENPKESSTFLRQIFIAVLGEDDVERVKNAAQTVPVDNETVQWDCQDYVLEILD KLQEDFVLDKDDEDYMDSTVAPAAPATANKVAKPQRTRGGKKIRAKKAEKALQSAAEA GPVAAEASVRAASASQSAPPAAKKAAPLAITLAGGSPSLPSSTSMANFVMGNFYETTL ASLVPELVLTTEETDALVDFLSQIIINYIEDRRLTSDVPWDLWDSA PEX2_042990 MANNTTSGAGALPRQVARQIRQNQTRGRSAGRPGVSNSQSSAYR ATQYHSPIRPGMGSSRYATVQDEEEDPDLMELDPMEIDTPPSQEPAPVTTGTRTNEQY EDNGIHRHIFYVPIRSRPMPTLDLDVLTAARAEITHIQRAGIPVLQTLPERPGPHVYI RVDTDRANRDHALTDANLRMGTMQDMIRDGLGWFSGLPYRHPHFEVKFLRGRRL PEX2_043000 MAPTTKPKVRKRKPRVRKKNRGIRTREGHARPADAGSPVQPLAE VLNETKKRRKPKKTWTGLIPVSDDPLEKNIVEQVPLPNGYVLVPKGDVYITRHCRSKT KESERIVYVVYNRTGKRTLGIRVPKEIYTEVLESAAETKESRANAVQVRDARDLSKSR ELLKNEFPLMPKESLKIVLEHAFLKGSGRVGRTAMISDEKKTLLAVEAHIRHVHTPYE KLLEEGVSRKDAREQVWSTIQAVERAWQGCENGETTLALRPVDD PEX2_043010 MDSFMFSFSYPNGEPQTPTRTPNTFDSFHTPKLESSFFDPRVTW DTSDPYASSPELLRTPQKFGLGSSNSLKGHNGETTGYRGRSSDQTDTARRIRSVKPLP SMGEDYPRAVGSAKSAASMQTPPPTSASRRKIPEFDQPDGNSQGATPGMGGHLETPSR LLGASPRMFGNLQSSPDLFQLASLDPTGSPFFPQQRLFWDHDLDNTTSEVPLPSNGSH FNTRQTSAFTNTHHIPQLPTISGSLDLPDFGNGFGISAPPPTDAALFPAPFSTSPRLP ITKAEDPALFLSSPARRFGGPQLTPETRSLRGLRQPYHHQAEESRREELIRLQAPNGH RSDPFTAFPSESDEEDDDYTPRGIRPGLTRSLTHNGLSSSSRQFSQTGGLMASTSGIR KSPCKGRSSPAKPMRPAPLTRSSSTATGSLTRSSSVVLRIGRDGRAKAEMEPLSEAPT GLTDPLTGLDLDGSITESESDPAEFSEYPVLHRAQSSFSLYDSSRRPLSRSDSGSRPP SKGSYASTVGSSHSGRMSPWAGSSRGGGRAAYRGSPDIKRTPKQHSSLLHSDSTYTRS SAASDLLPGEEDESGDAQSALRQVLKERGRGPRPATNAFSNRLSRSSHTFTHLRSSPP RLGSDFDIHPRHNASPTTLTDPDLATPSTDRHSNPSNGTRCVCRSMDNGGHLMIQCES CTHWLHTRCVGLERANLPSVYVCVFCAQTPSRQNRARTTYVPTGQAPPSPLAHKSFRF R PEX2_043020 MSASLPGSRDPPPSQYDLKTYWGRVRHAADISDPRTLFVSSAGL EGAKSLIASYKQNRIPVMTPELWSAKKVVDATLHPDTGTPVFLPFRMSCYVLTNLVVT AGMLTPGLQTTGTLLWQIGNQSLNVAVNNANANKSTPLSLSQIGKSYLMAVSASCSVA VGLNALVPRLKTISPNTRLILSRLVPFAAVASASALNVFLMRGEEIRQGIDIYPVLSD AERAKRELNEDAEPVQSIGKSKKAATIAVGETAISRVLNATPIMVLPPLILVRLEKTN WLRARPRMVTPINLALILGTSIFALPLALAAFPSRQAISVDSLEEEFRGRGGDLGMVE FNRGM PEX2_043030 MDSPAWQVEEMTIAKFHELLRIDPSIGPELVNKYLSAIKQHDGT LKALITINNTALETAIEKATETLTFNQTNRPYPPLHSVPIILKDNYSTTDLPTSAGVR ALENLYTKADSQVVSQLRTAGAIILAKANLHEFALQGITLSSLGGQTLNPYDKTRTPS GSSGGTGAALAANFGLAGCGTDTMNSLRSPASACGIVGFRPSTGRVSCVGIVPVSRTQ DVAGPMGRSVGDARILYGAMKGPDRGNGDSVEASEGVRSSRQVRIGVLEAYFQPEVHA DGSVESEYIAENQIVQDIIRSRLDMIQEQDADITLVSIAPSSHPDWSYGTLFSKGDTQ AFEFKHYLDEFLKSPLVSSTPHRSLESIAQSGEFDPEAMTHVFSAALEDSETFSLTSE AYRSRLEYISTLKESVNQCFDRYDIDALVYPHQRQLPVKIGAKKQSGRNGVLAALTGR PAICIPAGQSPKTASAVLGVPVGLELMGQRWGDDELLNIAERAERILKGRVVPALY PEX2_043040 MSTPSTATATHPSHHQAYGYPHHPSYQPNNSYPTSTAAAGTRLA NPYAISVPSPTTTTLPYTQPPRIPPATTTPSAMTHQSGSSVAPSVGGRRKKPDWTEFY KNGLPKEVIVIDDSPGPEPSNGDPAPPATASARPAPAAPQPAGKRRRTGIETAYDLGH YDRPSFSINPQHYGEESSATSLSTDRTASLHTTAPTSLGSQGSSGASNGVYYEDGSIG QKRKRATRKSTRDEQKRRELETAGDAFLSYVPPPKPPIKAKDVPVPVVRDYTSSQNKK FDDDDGHYIVTPDTPLTDRYSVIKLLGQGTFGKVVEAYDKQRKARCAVKIIRSIQKYR DASRIELRVLSTLASNDKTNRNKCIHLRDCFDFRNHICIVTDLLGQSVFDFLKGNGFV PFPSSQIQQFARQLFTSVAFLHDLNLIHTDLKPENILLVSNAYQTFTYNRTIPSSSHA TARNARQRRVLLDGEIRLIDFGSATFDDEYHSSVVSTRHYRAPEIILNLGWSFPCDIW SIGCILVEFFTGDALFQTHDNLEHLAMMESVIGNRIDTALVKKVMAGRGGSLNSASKY FNRSKIDYPNAETTRASRKYVRAMKSLTEFIPTNTPFNRSFLDLLQQIFVYDPKNRIT AKEALKHPWFKETLVDDGTEAHRIGQGLHRQGRTT PEX2_043050 MSETLISYTSYNSSSNKATILLIHGGFSDGQEWDVVWPLLVAQG YHVLIPDLPAHGRSLETKPLEINDAARRLADLIEAQTHERIAHVVAMSIGAHIAAAMA AQYPERIQTLTLSGFNLFTQNLVSPILPYLVYAAQRTSGFVQKPTVEWERFHSGKGSL STTCDIFSILFSSRDLQPITARSLVVAATREGLGADNVDHSRRLFETMSDNGSRLVQH RGMRHPWNADEPQLFADLVMSWIIGGDLPDGFEPIT PEX2_043060 MAQARHPQQQAPPPPQFSSQPFSPPVSSPSPNSVASPVNGGVAP PPSKRPRLSPLPPSQTQSPYGSPSFNAVQLPQNPSPMNGAPMNMSMNMNMSTTPAPAP PGTMGPPSRPVDKTTDAAELTDVLASSGIDVREEEAFLTQSFSGQNAQAQPSHRGPQS QYPPPINTSFTSQGSTPGTPSASTSFGDLPQIKPATTHDSFTGPSSQAHVPFKDPNEP TREDTIAARRAQYHIQEPFLITKLLEQKLQKRGFDLGVRIPSEGLFHPVPGRPQPIEV TGPDGSSVVRTGQTILNQEGAPLVDILNLLSISTEERFRGVIDYASTLARSRRAHSHG VVPNEWKDVAKPLGQATGGIQTPVKRPHATMESSSTKSNAARYRAFIHRESSQEERRA AKRAKRNSNAILDEAVRAESVDPIGSAPSTPLGERAPTLDKKSVSKKEAKKMQDSKAT EAQQHAQSVETARMALSGGGRSMFGAKKSYSWLKPGGKSSGFSSPSRPTPSTPTAGPE KSGAGESTPSQTQRRLGIWREDQEKGLGIQVRDVLFMLEADGRATRHVQRGYLKDPKE DRDRN PEX2_043070 MASKRKASAMGTAVDDEPVDPSDELAFYCLGGGNEVGRSCHILE YKGKTVMLDAGMHPAKEGFSALPFFDEFDLSTVDVLLISHFHVDHSSALPYVLSKTNF KGRVFMTPATRAIYKWLIQDNVRVSNTSSSSDQRTTLYTERDHLSTLPMIETIDFYTT HTINGIRITPYPAGHVLGAAMFKIDIAGLVTLFTGDYSREEDRHLIPAAVPSGTKIDV LITESTFGISSNPPRLEREAALMKSITSVLNRGGRVLMPVFALGRAQELLLILDEYWE THPELQKFPIYYIGNMARRCMVVYQTYIGAMNDNIKRLFRQRMAEAEASGNKSVSVGP WDFRFVRSLRSLERFDDVGGCVMLASPGMLQTGTSRELLERWAPSDRNGVVMTGYSVE GTMAKGLLNEPDQIPAVMSKVSTGHGRGRVPGVNDEDQKVMIPRRCTVDEVSFAAHVD GVENRTFIEEVAAPVVILVHGEKHQMMRLKSKLLSLNADKAVKVKVYTPANCDEVRIP FKKDKIAKVVGKLAELAPPSENDDAQLMAGVLVQNGFNLSLMAPDDLQEYAGLTTTTI TCKQHITLSSASMDLIRWALEGTFGAIEEIGNTKKTEPNGKSKMDTDDTSKQEDADEE IPSDETQTFLVMGCVYLRHHSRTREVELEWEGNMMNDGVADAVMAVLLTVESSPASVK QSAKNKHHHHHHDDDDTEIPSLPNPHSQSGPQERLARMLMMLEAQFGGDNIGPIERPR VPADLALGPQEDGEEMNEERIAEIEAAELDRLITMGVPVPGIEIRVDKHIARVWLEDL EVECANPVLRDRIRVVVERAIETVAGLWAESSIHQDAALSGSANGPKGIEMADRKKAA AIEAHA PEX2_043080 MTNETKTSAILHRDTRFVPKKAIGGKGCYIFLEDGTKFLDATGG AAVSCLGHGNEQVAQIIKDQMDQISYCHSAFFGTQVAEDLAKLLVDSAGGKLSKVFIT SSGSEAVEAALKLARQYFLELPTPQTERTRFIARLPSYHGTTLGALSVGGHVQRREPF EPLLSKNVSHVSPCYAYRGKREGESDADYVARLAAELEAEFQRVGPETVCAFIAEPVV GAALGCVPAVPGYFRAMKAVCEKHGALLILDEIMSGMGRSGTLHAWEQEDIVPDIQTI AKGLGGGYAPVSAILINDSIVQTMDKGTGQFRHGQTYQGHPISCAAAFAVQKTIQEQS LVENVRTMGAYLETQLKQRFESHPYVGDIRGKGLFWGLEFVQDKATKEPFDPKDRLCY RIQEKGLEPEHSISLYGCSGTVDGTKGDHLILAPPYIVSKEEIDIIVDTLEKVLKEVF ATL PEX2_043090 MSHHAQIEEVSDSDPEEIAPSYDSDEDLPRNAIISPVNIPSKAA PQPQQQQMPMPSMPVPEPQREIPRHFSCLYPVYFDKTRSRAEGRKVGAELAVENPLAR DIVDAVQMLGLNAGLEPEKLHPKDWANPGRVRVQVKNEDGQLANPKIKNKHHLYILVA QYLKANPTTEKSPYRLRIRGLPMPEKLPAAPAAPRGWKIGTILPIHSPAYSGGGVSDN PLKDAMAEMQGMQGMEGMPNMSEMMSQMGGMGGLSNMLGGLGGLGGLGGMGGMGGEPS GAGAEKKKKEKRKVIRA PEX2_043100 MEENPKLYKYGVAILLFASQPRQWSRNISLTEVDTFIIQVVGYP LWNVIYNLLFHPLRDYPGPKLFSASTFTIYYYRVRGLGAKVIPALHEEYGPIVRISPN ELSYIKSQAWKDIFGHRTGGKPSFPKDPVQLGPNPPGSEGIMRSDDGSHGRQRRVFSH AFSDRALKEQESMIHQYVEMLVKRLRMIATAQKIDSSSKGAQADMVKLYSFATFDIMG DLTFAEPLNMLRDGEYVPWVQSIFATVKFISLSHAMRRFNLESLFRMLLPQSIKQKRQ EHIRFTNEKVDRRMGFKTDKPDIWSLVIKNAGKDISNGEMYSNAGTFMIAGTETTATV LSGLTWLLCKNPEVLQKLKDEIRELGDRESLTITKLQRLVYLNACLNEGLRMYPPVPD ASMRLVPKGGAIICDKMVPEGVTVGIPHLAAYHSARNFTEPMKFLPERWLPGAERFKD DQKSVFQPFSFGPRNCLGKNLAYHEMRLIISSILFEFDISLVDNDDNWLDQKNYELWE KKPLFVRLTPAN PEX2_043110 MGNKASAIHSSRVTKKAKKRNNLRGMFNYPNGRNIREQYNSTRA NQWVTVFFNWADHKYNDEELMDLVVARINARDGIISSEPVHHDVKWCLSLRVPEYWNP SDVSITAAAKVIAKWHQSEMRNAGLWILAYSAIAFTINHAIIMLMLNGFQRVLVSQVP VVEQPGHERERWLYINGIAAGDHWVQMNIDQLSYIFGRKVTGVHNRTAGIVFDLIECL IQRDFSYATDDIRQSYALVKEALLDPECDKVVLILHSQGGIEGGLVVDWLLDELPHDI LHNLEVYTFGNAANHFNNPRWTKPASSRRISTPDLVHQSGQTIGYIEHYANAADIVAL GGVLHFVDIPNRYMGRLFVRPNSGHLLNMHYLSNMFTLGPDMKVLDSNPFMDMEVEPW ATAGINGHTGPPHRVRYQPTIARDEAFLPAELSLQRSQPNGVDRVLRVKDFSRLWQYR NGGCPKETEARHNSDTRENGYF PEX2_043120 MINELPKNMRRDRWSRTNKDLCNAHQGLHADLIADVFNLIQREV GHHLRKFDAYPDLLKPLDILILHKLQAIQGMWEKPAPNDQAIAETWHYEISCCQGCMV ARVVSDKHALRNLRIALLSRTQTRLNHVPRRLMKFVDTCIDLFPDDVDELYGTSSQFA FILKDTRKLCNKAWSQDPAHADSSPPQRRHTDHDKSGENKKKGKSARSHKLASEYNPR KKYPQPPPPITLSHPAERYVPGSSISSQFTDSDTEGRRIYRPTSSLSRKASRSSYHME RDRRSDPNPDRMTRFMDFADDNDQGLGISMERRSASTVYGPPGNPPSSTTASTVLGTI EEIDELLEMYRGMGTNPYPRSAQHCPIHESSGVPSCCRSPSICSTDSEWTDEDEDRRK PESGLAARTTWNLVCEQSNMI PEX2_043130 MAVNASVAFDLPPLPTYTLTAQKNLLAPLPDNVLSLILPVVAYW GMSMIYHFLDVNDYFVEYRLHTPAEVLKRNKVTRWEVVRDVVLQQVIQTVAGLAFVYF DAEETVGREEYDVAVWAQRLRIAQKAVPSLLALVGVDAISLGKSVSQSGYTMLAGALA GGSYPGVTQSITLDNGVKAVAPAFASWELAVANFIYWYFVPTLQFILAISIVDTWQYF LHRAMHMNRWLYVTFHSRHHRLYVPYAFGALYNHPFEGFLLDTAGTGIGFLVSGMSTR QAMWFFTMSTIKTVDDHCGYAFPWDPLQHFTSNNAAYHDIHHQSWGIKTNFSQPFFIF WDRLLGTQWTGEVKLRYERARENAQKQVDLDAAQALTTPPTITVTEEREHERVVVSPE GPATRTRLRRKTVDSLKGPSHGVPGSVLHN PEX2_043140 MLIYTDIVSGDEIVADTFNLVPNKQFDILWECDCRKYLKRANED FQLEGANPSAEDAEDEGGEGEATMVHDIEDQFRLVWLKVEDGAKPSKDSYKAHIKSYL KKLHKNAATKFAEEKDPKAAEDAWKTKAAGAMKKILANWDNYDVLMGQSMDGDAMHVL IDFREDGVTPYATVWADGLKEIKV PEX2_043150 MNQSPPDAQPVLGPSIPGSSFAPSLLRGPLAGGRQITRNRASYS CHTCRRRKVKCDKIHPICGNCVKNNTECIYDVSSQKDDDGRESSSQHTHGVKRRREMP RTLEEDVDELQSLYAHFRRAESTGEKPDPSAIESRLDKLMSMIERLGESGQTLDDVGM QVTALDAKVDPTQLDDPRASNDLNRNKTPSRSTSPHRIAAESSSDEFPIPSGQATDLV DPVGSLNLGHLSLEDGGRSRYVGTTYWAYISDEINELNQLLRDQNSSHDRSTPETNNE NITDSHADGRQSWRESIGSSTPSIASKSRSFQQSIIFPSGDSPSVNEKMVEPEMLGHI PTRRQSHILYKGFISGIHAISPIIHPPTILKLYNAFWDWYDYSSYSGESCPNPSFIPL LYAIWYGGSVTISIRTIKAEFNVSSRSALLTIYTEEVTRWLTKIKFPRSPSLQGLAAY LLVQTIVTKEEEPLTTSLFVSLAMRVAQTMGLHRDPAKFGIEPCEAEYRRRLWWHIMH MDGVVAMSSGLPPLVNDENYCDVCETSEIKDTMLGTPAAESYMTQVASRERLPDNPDD PTICGGPSMVNVYYLTARGKYIMARAIRRILKIQLGTKPLTRQDMEELRSILLDLQLK LNSIINRIPEAKDADKLSMSGGAFSMSKSPDGRSSDTELPGEGPTGCPEQYHSPVLVC FHKWAKIILSLYIDKAFCVAYQPFLKNARSRIWPAARQSALRHCHGFMEKFIQLATDP DFQPFHWSWPGIMLIDLYERPYSPEAPRSRAFIDSILALSGPDGGVVGGEDGVSTQRP LKDGGREAWDMIRRLRQKAWQKAGLNPRMLWTEQDQIQAGVASSVDSRGDSASPCSDP SQAGSPGSASPGHPSTHNQHNDFVKTFYNLTRSHTFSNPVTPDTNRSPLRHQYQPQDK PLPLISHSQSHPTPADNPIPPRTGACLPNTDSLFGAPPPTQSPASTVPAPHVEHSDPS IAASDGMSFMNPVSPNVLPIGVPTPPSMVDPNLNFDWDQWDAVFGRHLPVADDLMELD PVSGLDFTHAGTTSTFNGISPIDMSTSYVNSLPLPGSSPDGMFPSLNGNTGDWLGYS PEX2_043160 MSSSTQVADQLPWDPNNTKFPSRKDLPKLPGAPDGAAWVWGKDD EVGRLNLLTPQRVKASAAEIETGEMVRLDLPLTVPEKPAFDREVFQHNIKTLIDGICY DDTYNMNTQSGTQWDGFRHFAHIGSQCFYNGAKGSDFVGDAANHRCSVHHWATHGIAG RGILLDYHHYAKTHNKPYDPYTTHSITLSELQACATFQGLDLRPQSEGGDIRIGDILL VRSGFVERYYELSSEQRYAAATRSHEDLNFAGLSREPALRDWLHDCYFAAVAGDSPTF ESWPVPEQDYLHQSMLALWGCPIGEMWDLEKLAEKCRERGKWSFFMTSAPANMPGGVG SHANATAIL PEX2_043170 MSTQTQTETIVLQTRASTEAIPTEHDISHDSRPPEPKWMYIKIL SAGLSFFVAGVNDGSLGSIIPYVLRTYNIGTNMVAVLYGTTFFGWLIAALTNGKITQY LDLGPILSLGAAIQILAQVLRVWEPPFALYAVTFFLASLGQAYNDTHANTFVSALNGA HRWLGFIHAMYMAGCLVGPFVATGVASANVDSKWYLFYLFPLGVGVMNLVFVGVSFRD RMVTPTVLRGQRRGMTASNSALKEIKDTLSTPGVWLLSLFFFFFLGASITAGGWMVEY LVNVRNGDVKEMGYVPAGFYGGAFLGRLILAEPTHRLGERRMIFVYALLCVGLQLVFW LVPNIITEAVAVSLLGFFSGPFFATGISVGSKIFSPEIRSSAIAFVFVLGQIGGSVFP ALTGIIAAQVGVQVLQPMLVGLLGAAGVSWLILPKDTALHQD PEX2_043180 MEMEMEMDIDLSPAHQRSSRKHLRSSDDDSCDWSSDVSGQFDDA EEAHADDHRSPPTKLTKRRRSNDWPLPEEAADYGAHDRRTHRSENGSRNVSGNGPGYK ASPRASPRGSLASLRARHAAASASSPRHPRGRTSRFVEATMSDSVSEKPPSIYFQEQE NKNVGLQHRSSGIFRFGKAIASAFNPFGGWGRNSEESPNKSPQKDVIHQAEQAYAELK KAGYKGTNKGHYTEDLGVNTALADQTWRSIQQKMEHGSPVKEPYRHPTDQGNRGASLR SESSASKRSSLQDLRLPKSFFKSHGSPPASSAVYCDRTSDEYEPTGLRKQPSRRELSR QTKLLKKVSNLEDKLQRARRELRDLTGNEERIPAPIVQPKTLNTEMDPASFPRKFVPG ALPTLPSERLLDQKAAENEASRSAAANVTALPSMDDCESFSFDESQLPPTPANAQSPK WRSKGTQPSSMGKDRSSRKRKSSIPESIDSGNPTQPSPTKGLHNHERDSELEVLIDSG LLSPPGKAKWPKSEAGESPGSAKRKQTIDHAAPPTEHEEKEAGGSSSATRNIKRSPYV QPRKVSGTTRSPSSKPTTPLRMRKSQTSVRPASSPAAASDDDSRSNIWSTPLPALPND SHREAFYHHPQRQLNPDRSPRTPTRSKTSPSRRRSGYLCDDDIPPVPPLPEELRSSAA KVKVNVNKSPKKRPVSAPVSAPVLSPSSASGQESLISGLEDYRWPEDIF PEX2_043190 MANRPPIMPPHNETEHSVSRITREGKQLTYKLSVMQQPERARAC GAGAKSSADRRPVDPPPVVELRIFESDPANDAQKTDITFAYNANFFLYATLDTARPIA HGRVGGPQSCPVLTGVPVAGVAYLDRPSQAGYFIFPDLSVRHEGRYRLNFHLYEEIKE AKDADKDSPLPLPNQIPLSATSKPGVPQAFLHFRLEVKSVPFTVYSAKKFPGLATSTS LSRIIAEQGCRVRIRRDVRMRRRGDKRDTDYEFGEERAAAYAAGSSDRFTTPDRYAAS MDRPRSNSNGSNVESPYGFVPPDRRPSAPDYGFQCPQPYQRPMPPAPLPHSSQTPSYQ SHLSFGSTPSHYPAPHMPPTPPPPAVSQGIYSPQHAYAQIRHPSNGSEYEGTPISYPV APQIPPERGGYPKSMNAYGMEPPKPNSYMDPRMAEPGLYQSIPHVPVSRSQTPNLVQA TPPYKPLSNEYANHIVPSVESTSPGGGGGYDNVRGKRMVYQTGPGYGKRSHEDTFGLD DRSMQNGMRPDTDPYPAYRDFSGESRAALMAEMGIELAYKRANGKMVMKVPPSS PEX2_043200 MDLLLVLPGFVTKPFAHILPPLERAKVTTVDVITLDSLEIAKRA RVPPADVRRLSSCIVEALHTDVGFEKPLTNTGASDGPSSSINPDAASRTIGSSTKRTS QWNTISTLDPAMDALLGGGIPTGYVTEVTGESGSGKTQFLLSLCLAVQLPKPQGLRRR AIYISTEHPLSTPRLSQLLECHPVLSTLPAEQAPSLEDILSINAMDLETQDHILNFHL PVAIERYNIGLVIIDSVTSNYRAEHASNNIQALAKRSSQLAKLGHLLRNLAVKEDIAI VLANQVSDRFESIKTNEPNPRTGFPSMSSQTADSGSGPASPFPKSRTEQLATRNSQQP PSSSPAISSSPYHAPSDKNFDGSYLIAPRVRNSMLNVAHQERFYSGWGDGAYPESGSL KNPALGFVWSTQIACRIALKKEESHAVGVSMGEHAYPASTQESSQFQNGGNADAYRDP DSIAMPAPYLKNRVSDLQNHRPAPGSESTTRRTMKLVFAPWTAGPKDAPRKGQSSRRS GEVEFEIWKGGLRSTSHGE PEX2_043210 MSSTALPKRVALNRNPATESSATSSISASPFDSPRQSPSSTSLS SMASEQEASKMLDTYGNEFKIPDYTIKQIRDAIPAHCFHRSAATSLYYVFRDMAILGS VFYLFHNYVTPETVPFMPARVALWTVYTIVQGLVGTGVWVLAHECGHQAFSPSKVLND TVGWICHSLLLVPYFSWKISHGKHHKATGNLARDMVFVPKTREQYASRVGKTLHELGE LCEETPILTAANLLAQQLFGWPLYLLNNVTGHNNHSKQPEGRGVGKHNGWGGGVNHFN PSSPLYEAKDAKLIALSDLGLLLTGTGLYFIGTNFGWLNLLVWYGLPYLWVNHWLVAI TFLQHTDPTLPHYQPEVWNFARGAAATIDRDFGFVGRHIFHGIIETHVLHHYVSNIPF YNADEASDAIKGVMGNHYRTEAHTGWTGFFKAMWTAARTCHWVEPTEGATGESQGVMF YRNTNGIGLPPAKIAKAQ PEX2_043220 MKVLGRTGLIGGKAVAVKKRNLKLRAKIQLSKANFGGSEYCQLP AFSLALIQNGAFASICFIQPPCATLPSQETQNIVLFLLKLLSFVSFL PEX2_043230 MAGFVVSRILIDFHHRVFSIILVALGLDSPENCPPLYGKALDAD TVRGFWGKFWHQLLQNPLTSVSTFITQDLLGLKPRSLMQRYMNVFVVFFCSGGLHLVL DIVQGIPAQESGAMLFFVTAPLGLMIEDCVKALWRSFLKSNKSSQKIPKPLWQRALGL MWSMAWLGVTSTGFFYPQVVRPQNQALVPFSVAGQIGLPIQAGVVLVGGAVLAKVFEV ESIGHFPYCAREIMDPSQASSNVTVEYTDPSGLFPLIQPVIEAKLPLKNLHWKSPTRP VRSIESLRIGFTPAQESDERKSSSDTVHGTVAHRRHQIPGLRQTPYLKVYLLRCDDNE TYKTTARRNLREWIKTNASSQSSQSVTTSQEKHDAFEWLILHVVPDGDAADKAATTTS KWGRGSTTVLEKAKADFNGSSKSAVDRVAQLRLPKKGTKSPELAEQLEDLIDKMKNGI LASFDLRVAQYEDDIKEKDSQRSLPGWNFCTFFILKEGLARGFENVGLFEDALAGYDE LAVGLDSAIREQLDGSGDQHSGALSTISKNWAEMAKKALETGASDDSDGNDGDAALFS ELQPEDFPLNANKMHYREMILGSDISIFDFRTYIFSRQLTLLLRAARAPSLAGSEPAA DGNAAKSSKKPENLMLLAEICARATEFIGLAARTLRYDLECGLAEVGHDDRTDIINNL VSSWAFSAAFQILSQTSTPTLMLPESSLHAVAQSSEAAAVTRADSRADVPRRSSSLIG SSGARPPRPVTQDISDNVGLMQRRSTMEHPKPMPIPTQKTGSEQLSSGRAELLLLARR SLEEIASRRGWAENWNNLGLLFDDRHRSGASDMAEISLDDDNTEESQTPSKKESSLVG VDLSGLRAALKSKDAFLFLYEDLTDRLIRHHMAANRVNSVEQALAEIAILRYRRKDYE SAASYFHRMAPFYGSKYWIVLEGSMLELHARCLKELKRNEDYVRMMIRLLSKFATYAQ AQLSARQKSVAGSIPSTEQEILDRHVRDLFEAADALQKDIPASLTDFFADFRVDPAIR LYDNKDGFQIQLSLRFLLGQQIEIDTLKVRLVSANSAQNSEHWIESSAKFVVKSSSTQ ILIDSSTILQGKYFIDRLEMRAGNLVFNFNGGHDSTLPVGFRETEDSEEIDNQPYIYC YPPAEALQAKIVSPHLINLQAMRTLELELDSGRNDIKSGTIRVRPGTAGLRLRLAETE VVDGKIEIDASHDLGVIEFAQLPARSFIRLRIPYTVEEAFSTLSARAEIGYETEQGRF ASSASFDVVSTLPISVNVQDIFKDELLFSRFTISPAMLIPLRIMNCSLPSSDIYNVQS SITGPVALDVFPKQPASLLYKIRHTEVNPAASTPRSLQLSIDFTCVDDECLDAIEKQF AASIDSSPFRQYAALLTSHIVSSFRAQLSTNDMEAIGLIREVNMLSYQTVRWDDLLGA LKVPGEDVRQWLQEWHKNNSTIPLPAQPTIPTRRIIIPVDVPEIQVVHTADLQLQPKP TTDPGPSTHAAVGQMITAELCLRHTRRWCSPATRENADQPLECSYEIHANPEQWQIGG RRRGNFLARDGETTRFTVLLLPQKPGHLLLPSLEIRTFLPSAPQLPTSPPVADATGSM APARRSIPCEVDYRNHGETVLVLPDLKKTTVNLSAAGGKHAAGGGSWLVDSERRTEQV R PEX2_043240 MMTTTTTTIFRTVVKEVSAASSPAPSATSTSSTPSNSSAAMSNL AMVPPGLWAVIIFVLCVAVGGIGWLCCNKQKKGDKPKEDEPREAEGTS PEX2_043250 MSAFGGDFQLTNFPFQIPSTLPSFTDDHLFQFSPDTLFPSKLSN QLSEIMTELVDTSKSMGLGSTGILSPLDFMELSTLLGVSNISASISAFFHSLHWHLPV VHFPTFDPGNISNPLLLSIFLSGATYTIPLDGGSLPSGLFDVAEEYIFRKIADLSTLA SPKEHSQLLSTVQLLQSALIIEMLQFGQDNMQTRRRIRIVRHPCLVSTIRSLGIFQLK RGTAPTVCDERTWTNLVAEECCIRYFSSQFFLIFFFFRDISLINTKNRLLGLSSGWIP HSLLQKPPINISL PEX2_043260 MSHSTELPLPPLKDVITQLLETPTSNDPIPWGLSVSVEHLLILI YAINSLAFQARAGLLRYLSLDRIRCASGNWKRIWDSVIGLQNKDQLLHLGYPKHAQEL WWLLNATLDATGRADVSLRYMDNTATDDLGNLNEFIQWCHQSAP PEX2_043270 MDIFLDILDTLVLDRCYALLSPDPTATFNNNTLPAADLNRHVRV YYPMQPSKWAEASLWKRDDIARQALSLYVITWLFAMIMYLLGSLLLYHTLFDKRLLKH PRFLQEQIKLEINQGVSAIPVMTLLTVPFFLAEIRGWSKLYDFTSDSPFFGYTLLQYP LFICFTDSGIYWIHRGLHHPIIYRWLHKPHHKWAVPTPFASYAFHPLDGWSQSLPYHF YPFIFPLQKGAYLGLFIFVTIWTVLIHDAEYMPGSEIINGASCHTMHHLHFNYNYGQF TTAWDRLGGTYRRPKGDSFMESQEMNGKEKVGNKRD PEX2_043280 MSTRSIRKPRRPAPEIPDVAMHHVTAATAASRAMRSSQSSSQES RNPYERLGGPGNVAIPRRRPGSSLRSTDDSSSVSQGDLPKIPKPRQSKEMTGVSARGY CFDDPAALPPITELDGLDGRDSSVPSSYRRLRKAKSMFSTRQRTSQTPYGAPSLPCGD PLDPERSPGFQLPRTMRRSMSFLRGSYHSTQVSPTAKGHDEAVQLARSQFAHDPDGRG VQTRRSSFLLRRKKEQRPFRKSFRATSEGGFDTGRSHRSFSTSIKNKFRRVFGFSKFA DQQPAPRGHSNDAEASSVAPIGKDTEEYSPQKLTATEDGIDSNYFQSMPQSPSRDSLC TSNSRVTSWADSTMPNTVTTRKPGHRQSLSLIREDGDLDQQIPRTPVMDDTENQSPLV VRANPHRISIVDSQDLYTALMKQMGRNSLSDPNEEMVFGTVSQHRVIPERTNSVYSKH GQRSIRHVPSQESSNSPSSFATARGGDQSSPRKYPRSTRLMQVSQGMPSQVKNQHMTA ISDKRLLQSTYALSEESDEDSGSVIVSRVRASKRDIVSPSVYSRTTSGNTPTRTDNAD MGVHDEPGTATIFTPQRTTYSSPKRANRASSPTPQVNPSADWQQWMSSQIERIEQVSP TREHIREDAQYQDDDEYFTSISRRAPLAISVPASETLLKVPDSQNITERKASGENKVP SQSNFSRPLIQPSGMRTIMPLQTTKSENMAQAHANSLAMDTTANSGENLSSKPIPVSY NQEPSPIRLRSGNMQPPESPTPKGVRAKRSWTKEQQRRYSAKRAPIAQDSRINHFRSM RTQRDNRANNENARQQDEYTDMMESYHQLQDIHSTISSKRMVDMFLDSRRRQMGEVTD NDTATGAFL PEX2_043290 MASRSTSSKLNPVHLNGRTLEGGGQLVRIAIGLSALTGHPVSID HVRGNREGKKGLKRSHAAAVKLLAEISGSKVSGGEIGSQSLNFVPQSTRSKSGPLLNL SHVNLKSEYDIKLTTAGAIFLVFQALYPYLLYVGSQATAPFVKVSITGGTNATFSPSY DYAAQVIVPNFAKLGLPPLSIILHKRGWTTGPIDLGAITFLIHTLGSRHNPDGENKPE KSLEKDQMAEPLCRFPQINLMDHKRGKVTQIDITVLAPDQPTLNINTEEGPGSTVRRF IEQFAQRTLRRETRKLDPSIFAKRSDLPQPEEDSLRESSAKDTPVPITMHTSEATNHR SHVYILLVAHTSSGFRIGHDILGSMGGDPLIKPKNKGKQKQQPGRDPRRDSQNKIAFS AAADLVCRCVQGFIGEISNESPNANPNQISASTVKRACLDSYMRDQVVVFEALGKACH ASEHGTEATDPDTLEDERDWTLHTKTAQWVCRQILDM PEX2_043300 MYSCANYPRGCRGRVNRQGAKCSDCVSLNLRRPAFASPFAQPRD YKRALPSELFGELSSKASEPEL PEX2_043310 MDALDVYVLTFNCARNFVDVDVFADHFFDALPASGAGSSAPDLI FLSLQEIAPIAYSFLGGSYLLPYFNAFRRTVQKASAQKWDQHYVNFLTDNTGMTGLML FAREDTLSKIAWKDTAHVGLGVQEMGNKGAVGARLGYVVEGHPPKTVDLTFVAAHLAP MEDSYEQRNLDWKSIVERLVFTHDSKKSAERSSMDENATLLDDAASAHAEGSRRDLFA PNAYLFLAGDLNYRTSNIGPSIREIEQFPRRNVDLDNPSHYSHLLKNDQLVREMSYGR TFHSLSEAPIDFPPTYKYSDAAREAVRRGLDTGNHEWKWSNHRWPSWCDRVLYLDKPW VGEAGKVKPLAYDALPLFAQSDHRPVALVASVPLRPSDASSNTENIRAVAPFSIDPSW KSKRDVARRKEIAVGAVAYLGLTREGNGLLLASLLGIFGAWFILRSMLEV PEX2_043320 MSSPHSRPIKRLLVANRGEIAVRILHAARELPEPIETFALYTSD DRSHCDLGRPEHAIEISSASSYLDISLLVDLAQKHSIDAVHPGYGFLSESPEFAHRMW HEAGSTVIGPGWENLARTGDKLQAKQLAQECGVPVLEAMSQPTTNVDEARAFATQVGF PVMIKAVDGGGGRGIRLVREEHELDNSIQRAIGESPSRTVFVEKAAVDGFHHVEIQII GDGTGQVRHLWERDCSAQRRFQKVVECAPALMRDRALVGRVIEAALRMASKICYRSLG TFEFLVSEQRREFYFLEVNPRLQVEHTITESISRIDLVQTQLLLAQGYTLLELGLGAE VNASHPPPNAFSIQLRLCAEDPSNGFSLSIGKITGFTVPSGHGIRVDTHVNVSGPTLV VGSNFDNLLAKIIVTAPTWEASVRKAQRVLADSRIDGVKTNISLLRGIVAHSDFMAGK VDTQWLGLNLDAVLQQGENISKTLRGLDGPVGSSQQVGIQSGLPASNILFRKGDAWSI TLEPLSKDSQQSDKVAHHLRLSRVLRNEFPTFFAAEIEYTTPNSQGAIPYRMQLETTT TAASALVSSSHRRGDPKNSHHIILPLSGRLIEILVSDGEEVAENQVLAFVKQMKMELE VRSPRSGRVKWVYEMEDEEEDVAEGMLLVELEPNNGKVEVRGKL PEX2_043330 MTKDNDNPSTRAGKDQATDRLHQVSSHISPAADQPRKPKSKSKS TENRLPADHSDVLGQLATLRAIAAKPDPNNRGYVRQKQAGKLWVRERIEQLLDPNSFQ EIGSVSGTVTWQKTAPMREKPVSFVPSNNIQGAGLLRGRKVLLTADDFSIRSGHADGA STGKTVYVEKLAIALRVPVVKLVDGSSGGGSVTTIRKEGWSYLPHVASFPHVIKQLNM GIPNLGAVVGPAIGLGAARVVSCHFSVMAADIGALFNAGPKVVEGATFEEGLDFQDLG GPMVHCMNGTIDNLAANEAECYEQLRIVLSYLPNSGSDAPPTIPCTDSEEREDVALRS IIPRRQARMYNARSIITSVVDKDSWFEIGALWGRTAIGGLARLGGRPVGIISVNCEVN GGALDAAGSQKLTRLLKLCDVMNLPILQFLDVPGYAIGTVAERTATMRWGVELAKAYF STTVPVFNVVTRRVFGVAGGIMLGCRDPVMQVAWPSGQWGSLPLDGGIEVGHRHELRE AEKVGKKEERYQELEEEYLRLMNPVRTANAFGIEEIIDPKDTRKQPPLNVTRSSNKKN VMTSTWNDTVPSALVNDKNELESADVLSLLSADSSSLLITL PEX2_043340 MTEIESSQRDAQPEASPLTSNEGGSPSPVKAAPAPKAGRKLPEW LDHFNARDLKVLFRCSLAAWVASLLIFITPSLSVIGTATFFAALVLFMVPPTGIVFIF LLGTLTLVIGMALGWAWGVIVMKAAMAARPAAETQARIQVLGQAAYSQANSTGQPVAA VQKELVYSGWMLDARVTAVHYCLICLFIYLLSRLRAKNPKFIIMQIFGIIIVDVTLTI GPLLPSFSGTIPKVLIEPAAIGIGLGLVSHFIFFPRSTSHVVLDGMEGLVRLLKGPLD ATENSLLKGEVLAMADLQTIKLRTIAAYRDLKPAMSFLPLDFSVGWWGAEDIKSMKKP IRQALISSLSLLEVHIARVGGHAKLEKLHQLTVDRDSDSDSQASENEKKRPREVGMRQ LMQSVNLVQALRSPEHESLRSETVEVLRQSSKDILPACQEAVAIIAESINTVNKRWFG RPSKERISQLHERSQTVLQNLQAVRSSFATETTERLIETHAEIFDEKGMLKSLDESSL HRVRGITMGMIFEEQVLGVADGWERVLGQLVVLLNERQKLRLWLPKGLRYAVNWILRK NVVAPVTAAHNPVIDPDVAETQSKAAQQHLRISRGYRATRGSRFGRAIIGTYHWFINP EGLYAMRMVAVTIALAIPAAIPHTAGFYYREKGLWALIMGQTTLVIYMADFTFSLICR AIGTIVGGLLGLVAWYIGSGHGAGNPYGLAAITAVVLTILMWGRIFAPPAMLQAVIMA GATCILVIGYSFEDTHIPTYGNPGWGYNVFWRRLVLVLIGSAAALIVQIFPRPPSASR HACKSLSNVIRLLSDHYALLLSCWGQGREEGLAAEKLALNLAETLTALEGPIALLRFE FSGSPFDSDRLGQVKSLCQELNQNIARLLYLSASLPEHLQNRLARQAGLLDHRNIGDV MAVLGVVEQSLKTGDPLPEVLPTPLLNRCHDYWMSHQVDIILSKETIRDENYRRFCVA ISAYLKFLATVDDLVLVMKGTLVVQAIFNYSHYFTERNVPGIIASYGASAVLVFGAIE SPLAQPRALVFGHFFSALIGICVTKLFSLMPDQARFESLRWLAASLSTAIAIVVMQLT GTTHPPAGATALLPATSEEIWRLSWYFLPVVLLSSVMLMVCALLFNNLHRRYPAFWIA PALPKPAAPPPPVPAVPAPDDVSLEEEKVVKSPV PEX2_043350 MALPTGVFSSSLSELYLECIQLFGEFLLALSEKDCRVICLEQVH LSKILEEYGRTKVWGDHTKANLPARARGSLDNTLRHDTELKHLVQAILMRLKALLSQG KSNSVSADSDSDSNDGGGNQHYRMPKIRLLVQQTLEQIQSLYDLSALLRRPKIVDKYI HSVNSESHVASLNNPYHLPLSVAFSRSDETHIIEKVLQWRSLTKSAQGIDFEFEGVAL MGQGLTNYCVEDIPWFCQRLAAANTRRREQFQYWNDHPYDSRQDTIHAARLATPRLEE KQEPQNRASTFQPSIPKVPREGPKSTASKQSFSTAAVSDIHDTKTNVRPRTIYTPTAV GQGRFNSVPDPPKTVFGTTFPCPYCGTTLESSEMQNRQSWKRHVFRDLRPYICTFEDC QNGGKLYVSRHDWIYHELQIHRRNYGHLAAHMEDIALFVLPNTNEEEDVGDSKASVQV AKLKSKGQISGTESELSSLGYSAAGDDRQIPTDFSELLTSEEVGYTSKVLSWRRRDDD QISALVKATMETNNTNLGKDHPDTLVSIANLALTYRNQGRWEEAKQLDVQVMETYKTT LGEGHPDTLVSMTNLALTYRNQGRWEEAEQLQEQVIETCKTTLGGDHPDTLASMTNLA LTYRNQGRWEEAEQLQEQVIETYKTTLGEDHPDTLASITTLASMYMKKGRLEEAEQLD LQVKEIRKTQLGEHHPDTLSTSELLLARETEGPEMKA PEX2_043360 MDDVTAGLLKSLDIDVNGKPNALQDRAQWEWRRVKHSFSTKKRN KVIEDLRHWNEDLRRSVEKPELPAEDDSGKVQYLKRRFNIERCNSIRQCLSSLHRALE FAFRCACSPPHQAAIDLDWEAIESNAAKTFKVALSFSPIFSPIFFLPIQDVKCQIPLT VPDTLTPTNSAGFINGTNGRFNHSEYGIAASTAWSVLHLSGSPWLGHHWDEKQANIFL EKNQGGREILSRYPCASYTFLPPTSPEQLVATDFDHLIPNKTVFTLGILLIELCINKS FAECRQTGESVTPASLLDDYKAALSKLNEVYRVAGDSYGYATERCVKFAFQGPDSYQD FTFSKFRQQFYDAVVAPVQATYLAFPDSYIPV PEX2_043370 MDKSSFTDDKVQPAPGGDFDDYESNPKGEFEINVGGRAALQRRL RNYQVTMIGFCSGIGTGLFVGTGAAYAKAGPAGLLLAYIIVGGVLWCVMQSIAELATL FPTAGSFPHWATRFIDPSVGFSLAISYGYCYTIAIASEVSAAAVIVSYWTDLTPAVVI TVGLVLILAINLMSVRFYGETEVFGGAVKVLCFLGLVVVSIVITAGGGPNGDAIGFRY WNNPGPWTNYNGITGPTGHFLGFLSSFVNASFSFIGVETVVITASESVDPHRAIPKAA RRVTYRIAFFYILGALLIGMVVDPRAAGLVSGSGNANSSPFVIAITNAGINALPSIVN ACILIAAWSAGNSYCWVGSRMIVAMTTDRQLPQIFGRVNKNGVPYVAVIAAWLFGPLA YLSLGSGGAAQAFTWLLNLSTVAGLIAWATLCVCYIRFFAAMKKQNVSRDTLPWKAPF QPYAAWVGFIGSTIITLVAGFPVFLKGNWNTSDFVASYIGIPIFIVPIICWKLWHRTK FERAANIDLWSGRLQDGEIKPVENPHNNWWTKFTDWLF PEX2_043380 MATIQILDGGLGTSLGDLYNIKFDSTTTPLWASHLLVSDPATLQ ACQRDFGVAGVDVLLTATYQVSAEGFARTKTPQFPDGIPRSAVGPFLQKAVDIAEQAK VRESASVALSLGPYGACMIPGQEYSGAYDAEHDSEESLYLWHLDRLRMFAEADGKLVS RVRYVAFETLPRLDEVHAVRRAIRDSAFDVPFWIACVFPRDDDFLPDGSSVEEVVRAA LAPLEGGAVPWGIGANCTKMHKLGGLVDLFGRAVAEGIAKEEISAVPSLVLYPDGTNG EVYNTTTQIWEKNDALSDNVSDNSWEEQLAQVVNEAYEKGHFKSFLVGGCCKASHHDI KKLGQQFKIQ PEX2_043390 MVHQLSSYRAREIDAQFQNLDNRLDQVSEDLERAEGNATALQDV QRAIQKDRRDLQDLRQIVVAVEYNLQRQKHLAGPGDRVKGLLRNMEKMLAAVMQGTKG SQVQTSKAPISEGGLEYNNNRFGNMVNFTIVNGQIYTPGLAIVDAPQPNTPLGGDNLQ VAIDVSGNGQLPWPASTKPDSSTRFHDITLFLTSESHNFTISNGTKPASNTSYVGPVL DLEPSSTVKHVNWIWPECLVGDGSGSKDSARGAYNISMHQSFRWNGTDYYTVFDLPIS VTNSISKSDDRIDCDLLENKLLSAAEIDKSSDSLPGQPWVEDGVSTTVSSSNATQTGA ASSLPMTIFSASRRVLRNRIARALIIIFAIWNLVEIHVIQRRIYEIDHVLRHRPQNQE RIYIASINWNNELILRSHWSKALTQLVLKLGRENVFISIYESGSYDNTKGALRELDWE LERMRVPRNITLSPVTHEDELAAPARGEGWIRTPDGKKQLRRVPYLARIRNLSLLPLQ DLARQGITFDKILFLNDVVFTPNDVLGLLNTNDGEYAAACSLDFSKPPNHYDTFALRD SKGHEPIMQTWPYFSSAASRDAIKNMSPVPVASCWNGMVAMPASPFIASEPLRFRGIP DSLAKYHLEGSECCLIHIDNPLSIEKGVYLNPLVRVGYSGAAYAAIHPMMNWLSAKRV LQGLWVNQLRRLGITSWLKEVIVRRRLDSWRALNIGNEERGELCIINEMQVLHRYGWA HV PEX2_043400 MACPISVSKFVGTVSLGLLTGLSYSTSSITIPALQLLPTATTAA RSLNEVKRLTRRYALRLSFLANSCFCFAWCLSSPRRRHPYMVWLWAFSTISAHGVDFW FNRHLGFKNWASAVIRDVSHFSLVRDLNTNKDEDLVVVEAQDDVNGETVQREMDRERR LNRARTWLSGIALSIGIVGLWGDKR PEX2_043410 MPLPKTEYLSDSWKDGIFANKVVFCTGGAGTICSAQVRALVHLG ANACIIGRNVEKTERVAQDIATARPGAKVIGIGSVDVRKLESLEQAVERCVKELGGID FVIAGAAGNFLASIEQLSVNAFKSVMDIDVLGSYNTLKATLPYLKESASKHRMDSKTL QPSPIGTGGRIIFVSATIHYRGMPFQTHVSVAKAGIDSLSNSVAIEYGPRGLTSNIIA PGPIASTEGLERLLPSDAMEAYTKAQPLGRFGHVRDIADATVYLFSDTGSYVSGQTLV VDGANWRMSAGGASGGSLSYPDFLLSGDEVPNVTGKKSKL PEX2_043420 MATQQGPARRNGVIGFNMTGAPTPAIPKIAPPRNGPISFQMMPG PSSTASSSSSLINQGPPHRTTPLVGPATAHSSPFSARPSQAQREVSALNEKLSDAEAT IYRQEMELDCARSQAASLQSQVAEKRSTTRFVDQQQINAMVHQSSSNRAREFDAQFKN IDDQLDHVSEELERAEGNTSALQRVRQLLEKDRADVQELRRAVAASEYNLQRQKRLAG AEDRVKGLLKNMEKMLAAAMQGTRGSQVQTSKAPIAESATPPVGIARRRAVGCQEPGS QGQAFEPHPQELGKGKERGPRSLGPEDHKVDGYMSLDVDDVDCSIRCQSESPSILSDV MSLDSPRSSRAGSPMDITTEATEQELAAHMLQADEWQVGDRNAAVQKAPATTLTITPQ QVETNDMMEIDDQLFCSEIATLNGEDTDNKMEWVGEPAHMITVSNKDDPAAPSQASCG QAPLIPAVNTNADDVLMVAGQISSAVIPPNPANTCPIPISPRLCHTIAEGVAIAWETP KLPSDRARVFKRRDRFTGSRRRYVRQRVIEVVNLPARKRRAGIVGFNEDEGPNCKKRI TKEMVEANGSLLLPLSKGYVMNTAPKVPEMSVPAVSQPAQSVHVSTEEVPTQAVMSVP AVSQPAQTALEYTESVMTDQTVMSEPAVSQPTLTVLEYTETVSTEQVPTEAAMSAPAV PKPTQAVLGSTVPVSGGVLEYTSLASTVSIPSDGVVGAPAPSEPAPTKSAQAERSSAE EVLSVPAVTKPTLNESDLTWQTEDELVVPNLRTGTRSHLDVLLAKRAARTQARPTIMS SPEGLQVTATSRIISTSSLVASPEDASVKNAVATGTDSRAGVSKGTERVEDAENPTCS AQQTAGEQRDEMGGEEAATSGLQMPGAWPSDPPAVTASGESDMHEGSALLRGLWTGAR WLSFGVFVMVMVMLLSFPLWAAHLGHLAYALEGPEQFLEELRWEHGYDVPFVERIVYV FLRCFAGDRTLFG PEX2_043430 MFVRSVLLGGAAALSASAMLVVPEMEPKVDIIEDGFVNIHPMLL EDVHHAIVDLPCSECPLRETNDEGVVSWTEGKPSSLTLEFSIEDSRLLANGRQIFPPA PPIPILAVQQNEEGEDSAPMPVGYALEIMPMAAPSDEPGYELLDIRFTVLDLETHPVP VDTVAITVLQDPNGELFIARTEIENTAPASDRLSWKECHGKAKCLQELLVSRIRGLLS GAKARVMGMAKAGHKSCHGKHKGKTMGHHGEHEEGHDGMPFPPPPPFEEEGSGREKFG PGGHHGHAHGSARPHHHHPHHGAFARTFSRIVHFIVVPAILGVLAGLTASAIGMLVGQ AVVFLWQRYRGTTASEHKAAWEDGEACEKQGLMAIPRSSEEVLPEYTEPSEARGSLDK N PEX2_043440 MIPWSSFVQKAQSLIDPANFNIPALSSPDHNPSKASLFRQQFRL PDSQNPLQEITADLVLPLPNSSANQGDGSRRVDRAGNTYNGRLHLSERFICFSTQPTS FIPSATASASTTWTGQTHGTGPSGNGFILPLSCIRRVERLHSANHVFSLALTTWNGLL NKPQEPNFAPQRLILQLVGSRQACERFCDTLKKGLREGMKEVDSLRSVVSDCYSEYLL SGAKGKGQEGNEADTRQPPDAGLGLIFRYPGDARKLRDRSKMRLWGEYFRENGRNATL VRQPTFHKLIRVGLPNRLRGEIWELTSGSLFLRLHSPKLYQQTLAKFEGQESLAIDEI EKDLNRSLPEYPGFQSEEGIGRLRRVLTAYSWIDPEIGYCQAMNIVVAALLIYTSEAQ AFFLLSVLCGRLLPGYYSTTMYGTLLDQKVFESLVEKTMPVLWDHLTKSDVQLSVVSL PWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAILRVNGEELLETQDDGSFISV LKSYFSRLDESAHPRSENPKLRAITRFQELMVVAFKEFSGITHSTITETREKHKGAVL ENIETFAKRTSIRNLGPESKRLSVDDLGTIYDRFYETLYQWEQHQRVIEEETRRQERK KSQRLSMLAPPADTQVGRVGLGPSPTHMDYDAFREFLAATSKWAVADSPGPSRKESSS GKSALWANRRQPADHEFMQRLYRKWGTDPEEGLNLQNVVNGLARLKGSPDIMNNINYF FDLYDDNGNGQVDREGILKISEALLFLSRRGFEGTITATPSVENLNSSGDREHPENSL TTDEKFLGSVSAFIRRCFEYADPSHPENQKTDQQDATAEATEKLDSFAIGDDDDDEEE EENLIDIDDAKSTDSPAPDATPQSAKSTAAESDSNDHNRSASESANPALDPNNPLHIT LPTFRMVVLADELLEQFFESYFPQSFHLSDHPHPAALAASSSMSSNLTTFSNLGSIRP HGFTAGSAPVAGASGGIVPPGKGLRGVLDNIVSDGIRMAAEVKKRMDEAQRDLERNAL GREEEEDDDDDDDYPRRENTAAAIPGGIPSWGAGAYGADRERRSVVRDADRDLLEGAE VLGGGKEERTSLLDGKDDANHAPRKVSSSATSVKGRGSSTVRDGEVISKLVEFDS PEX2_043450 MSQYPNGQQAYYGQPTNPQAPVQSSTTHYDAYSSQNPAPDQHLP RRMPSYNAGDDSGFFNPAQAQNMRATEENRQYSTQGSGYGSSAGQGSYNAAQGGFEDD RDSRYSRTSSDAMSRMSPTRASSQTSTMSYQYQYSGAPSSQPAYNPQQYVLPHTQSQP VLAYTPHTYTGASNTYTAPASGHQPYNPAAYQSTAVSGLSSPVLPRRPSTTSHYGQTP PTPQSYGFSQQPPLPPPRAADHPYGGRSYQPMSPNPSPSYVPSSSPGTMHMPPPAPRP YYSNSQGPAYDLPSPQYTPAIPSGYFEEQPPAPPVHQTHANGLYGRQPSVSHSGAGRT LPTPPVQSDLSQQQPRRTDTLNRHPQSRPLPGPPVDEAGANGNRWPAEAPMAYEDVLR QDEAAALDSQNFARRHSSRASHASSASRMHFSPDEEHTHTNGNMETGTGQTVNYDAYI DESDPEATAGLIMMQEDIAREEREKGRMRRETNASIVSSRGSNISPRGYSPHSDPNGD NDSIHDLAHYGGGYQANMPYGDETFYNSEDHSDPAAGNRFPGTSGSFRSDVSRDDRGE YYNEYEHPPIAYDYARRLHHTPADARVDASGTGGLSAPDAYSRRMSFDYGDEGETPYD HTHSGDQSDDESPHRSAHEDLFFHPGMRPLPPAPVEPAGNVDLVSHLMPAGTYNRHSE QLDDLDSYSQYHHPSLPTSADSYGDALLSPSQVPRSSSLSTPIGPRTDAPIRSKTDAD RLRYRQQQQELLLQLQQKDLPHIDPTAAAVAAMTLDLPSIPAGRRKKFHPAKLSSEQF KKCSEPWALSSLLSWIKDLSEDETDLREQTIADAMVALFTHKVPTMNIADAETLAARV VSGMLEGGALVKEEEWVKFGSGTLSGILFQITGTGCYSPRLHLHEMHIEDTDSSARCY SHHCMRTLKKVNLKAQMMAPQKKIEDWVTFYKVPKEVWEAHPRKEIDRQNNLHEIVTT EDSYISQLDVLRELYRDQLAKMNPSIIPVKRLPKFLADVFGKVDAVKRVNEDFLLAQL KYRQKEQGPFISGFSDIFREWIRKAKNVYIDYAATFPTANYLVRKEAERNPHFKQFLN QAREHKLSNRLSWDTYLKAPITRIQRYTLLLATVHKNMVKDTEEKTNLAQAIEEIKVV ALECDNKVGEMNKKADLMELASKLQLRPDMKKEVELNLEHLGRQIIHRGELQRPGTRT RFLVDTHAILFDHYLVLAKIFTMRDRAVKYERYDISKLPIPMDLLGLESTDDDPVVKS SVRGVSTVTPSQAGAGSPLAHTGSGASNGALENSKDDKILYPFKIKHLGKTGTYTLYA FSAQSRLEWCQKIIEAKTKHAAALFSQNAEPFRLRVLADTAFAYSDHTPGSKSVTIKG TPLHRAIKEVEKQYESTKTRPLALSKTAVNCATVFQQPPGRIMCAIGTEYGLYMSELN NPRGWYRAIPITRVTQVAVFEDFNLLLLIADRSLIAYHLDVVCPANGKTSQSSQDSAR RAPQKLSGSREVGFFAAGRLKDRYLVLYKKREGLSSTFKVLEPVLQKSSTNKSSLFSR RSKTEFFRDYDEFYIPAETYRINMFHSSLAISTQKGIEVLTLDKKLSWSVPDFSSNDT QDAHDTLNSIANRINGLKPLGMFRLSESEFLVAYQQCAVYVNKHGDVSRSVVMEFVGS AHTACLYGKFLILFNEDFVEVRNAMNGRLRQVIPGHNVVCIDDGSKVPGSLDGNPQGH TGGSTGLASGFSDATNGAAAASMGNTVKICMQHPEYERSQIVLELLENEGQKD PEX2_043460 MQALSAVVQELDDLAGNRHRQKARVVIQGELDGNEHMDRERKAI LKSALQFVDVTWQRRTSIADKSSPLEVSHEDFQHSGPFIAPSPELLYMLLPEPTTAEG RASCVQWPDHISDKTLEKMASTILSDDGYEHGQVFYQYCICVYVKAIFHLYQKPRAYK DPRINAQFLKSKKLYETHAFRALKSLNFLNAPTLPFVQSLISAAFLMQYLGNMNQCWI LNSYAARLITALGYHEICNPLGNSSLDEEIHSASRASAPECIASDWISCEFCYYAILV DILRSRLKCSFSPLTHKECVSYSRKSLRALHHLQKNLADTPGFVDPYPTFLTWTVLLY PLSPFFVLFCNIIGELDMEDYTLLQDITQSLSQFAASPYISKLLKLLDYLQNLCVPLI QAKQRMGPQAKVPTLYPSMTGTWHDHPSSTNEHANLMMAGSPYMDITGAYPQQLQTPS DGSYAPDNSLMWQLFNSHVSLEWFDDPFSY PEX2_043470 MAPRPTVNRRQAVERPVRTGRIAKTKKQQAIKVSSDQLEAAVND QALEPVYGAPPAWSEGRQQLCDAIPWFRCTQGAMYHNEGFCYGFLIDADCGTRTYIDE EIIITRIGGCCTKDSGGNLTLEKNQDSDNALVQSIIASQNSNLAVGLVIGSKNKILNR QLPHRYNIMGWFRVTNVWFEKIGQKHGVKVRFEKLNLAEKSWWAAKDSVPSVPLDERD FETKPETLKCERCSIESVRLYEEGWMCLKPGCVDFWKIGNASPPVELSFNPDFLSFRS RPDPAIQPHYSLVPDLLSTLDENAADVSTSRIAWKGIVCPMCFKCIRRTFWQGWKCDD DSIGEHCANSCPFQKFMNMNPVSLRVVLDHFELAPIKRAILFDRKFNIPEIDDNAFFP YRKLTYKLDGVGSITHFVSNKAINSRANGPDDLFINLQRGDLGLKRFRLQSSLVAGTL TSHFAVNYGMPYKYVVSVDSKGFDEAPNDMLQALGRLSWATEKAVVSSGDEYLPPNEL LTLGYFEEMKIGFHDDGESSLGPTIATLSLGAKATMLVRMKYKYFNGTSRRAEPKHLG TLLQDDPVLKDCMFEGERRTLKGEFVAGEISQDEYDDSRRSLSMGRKGKEAPVTIKME LHHGDLVVMHGENLQKYYEHSVVPENKLRFALTARYVKADHVDAREIQKGQFSLTPDQ IYDGK PEX2_043480 MVFLPSKESGQLAPIPDSIPISEFMLNEKYGRVPHASSRDPYTC GLTGKSYSSQEVINHVDSLARSLSKELGWAPNEGSEWDKTMAVFAVNTIDSLPLFWAV HRLGGVLSPANASYSAAELTHQLLDSKAKALVTCVPLLSISLEAAAKAGLPRSRIYLL DVPEQILGGAKLPTEYKSVSKLIEAGKSLPPVDELRWSAGEGARRTAFLCYSSGTSGL PCAAKQKGVKISHRNVIANTLQIKAFEQDYRDGAGTKPANTEVALGLLPQSHIYALVV IGHAGAYRGDQTIVLPKFELKSYLNAIQHYKITTLFLVPPIIIHMLGTQDVCSKYDLS SVRLLFTGAAPLGMETATDFLKFYPDVLIRQGYGLTETSTVISSTHPNDIWLGSSGAL LPGVEARIVTPENEEITAYDTPGELVVRSPSVVLGYLNNDKATEETFVDGWMRTGDEA VIRVSPNGFEHVFIVDRIKELIKVKGLQVAPAELEAHILAHPDVSDCAVIAIPDDRAG EVPKAIVVKSSTAGSDESVSQALLKYVEEHKARHKWLKGGIRFVDAIPKSPSGKILRR LIRDQEKEARRKAGSKI PEX2_043490 MESLAGKRISYTANSLHLSLECLQLILFDRQPIFGQHELPKEFP CRQSLWCRRDADDFVHLYQSDQNLPPTPSTQLDVKKSSEIMAGMDAYRRNLSMLSLYS EERLFLDKMSYSSIWKSSLSSQVTGVPVQEQATHLISTPSALRAMMFQSMDEVFVAIP TSAKPDYIAARDVIHHVLCLLRLVSLHVLESFSGWQGEEAEVDTSTSHMKYWMENNAS SARKCLWHAVCVFSTLKAKQKFACHDPLCFLIAFFYIWAFDTLVVASELEKPQASTKE VRLLDTREIQIWIAEGPNTQLNLVGVGNLTGKESSLRLLAEVSQIFSKRKSWSGLCRG LGSAVDQILRKQPTPQGVPEVAPATATVLATAPPTAPQGDSNTQVA PEX2_043500 MGETEDETFPWWRVIQDFVHLYFEHFDHEYPVIHPYALEYRHEK TSWMVLLAVVTVGSQYSAFGNASQFSASFGEILCHAITQNVSSSPGENPYLILIKSAT SITRINNFVLCAKRIS PEX2_043510 MSSNDPHHENEEEDYMQADDAEEIFDRDEDHHMSEGEDEEYADE NMTIEDEITFQNDSAAHFDSHSDSVFCVAQHPVHNNIVMTGSGDDTAYIFDSTPAPRP VLPSSYESNPQPRERESLLPLAKLEGHTDTVNAVVFTEPAGEYAITAGLDGKLRAYRD TTPQKTGLAWEFFGEVQEVEEINWMAVCPYQQGNEGTQNVIAIGSNDGSAWVFRIDHT DPGQPISIIQTFFSHTESCTAGAWTPDGNLLATVSEDSSFFVYDVFGAAAAAGIEYSP GTNAILGLNAEDQRFAVEGGLYTIAISPSGGIAAVGGAEGNIRIVGLPRVAASAPPTK GKGKNAPSQSAGSTAAGTIMAALQTQTDNIESLSFSQAPFTLLAAGSVDGSIVMYDAA HRFAVRRHIRDAHEGSAVVKVEFLSSSKQLGATVSGPLANASAAHQNRSWMLTSVGLD GVVRRWDARGGTAAASQGLMQEWKGHMGATENDEGEQAGGIMGFVQGLDGKRIVTAGD DGISLVFEE PEX2_043520 MPARNPTGFDLAQYKAAASPSSVWAKRDPWARNETWRYTGPFSR AKRFRGLFPGFGIASVAFAAYCTYEHFFLKDDHHHSDAHHGDGHH PEX2_043530 MPPPVGRYGPSGLNAPYNIQQAHLQSQHPAHAQSGNTLPPPSLG HPGFAGNPNTNINPFTLSGGIANGMAVGGFPGAGAGDGGGTGLASHAAQMGFARGAQM QQQQLHQGHDGRLALEAKGGAVKSRIRDVWKHNLAHEMAVLRQLVDKYPYISMDTEFP GIVARPIGSFSNKADYHYQTLRCNVDLLKMIQLGITLFNDEGEVPPASGNDANGQAYG VPAPCTWQFNFRFSLEGDMYAQESTAMLAKSGIDFAMHEKNGIDPFEFGALLISSGLV LLDDVHWVSFHSGYDFGYLMKIMLCSQLPENEEEFHKLLTIFFPSLYDIKYLMKHAGR NQAVNGSPLTQAAAQILTNLGQKSGLQDIADELGVKRVGIAHQAGSDSLVTGEIYWKT RQLIFGGAIDDSKYSGQIWGLNGQMPAVAYNMAQQTPNLNGATIYSGGTPSTPNTGSH GTGAHTPQHHGAGYNAPTPGAYQMGRV PEX2_043540 MVLDRLQQLTHQVSATAPPPHPLDPLSTVEIDAVVSIVRKEHGS LNFNAVTLYEPRKAQMMAWVADPENAPRPARAADIVAIAPGGKIYDGVVDLDQKKIIK WQHTPNVQPLITMEDLQEVEHVVRKDAKVIEQCGIIGIPKEDMHKVYCDPWTIGYDER FGTGVRLQQALMYYRPHPDDSQYTYPLDFCPIYNAETKEIIHIDVPPVRRPVSKALPN NYHPAAIEKEGGYRNDIKPIHITQPEGVSFEVKGRTIEWQNWNIHVGFNYREGIVLNN ITFNDKGNVRPIFWRLSLAEMVVPYGNPEHPHQRKHAFDLGEYGGGYMTNSLTLGCDC KGAIHYMDAAFVNRAGASTIIKNAICIHEEDAGILFKHTDFRDESMVVTRGRKLIISQ IFTAANYEYCVYWIFHQDGTVQLEIKLTGILNTYAMNPGEDTKGWGTEVYPGVNAHNH QHLFCLRVDPNIDGPSNTVFQVDAVQGPGEVGSAENKYGNAFYAKKTKFSTPLEAMSD YDGSTSRTWDMANTNKLNPYSKKPVSYKLVSRDVPPLLPKAGGLVWKRAGFARHAVHV TKYDDDQVHPAGRHVPQTSGEPSEGLPAWIEAAGPNCSIDNTDVVLWHTFGLTHFPAP EDYPIMPAEPMTLLLRPRNFFDRNPVLDVPPSFARTPTQVAANASSCACKKSDGSSVL V PEX2_043550 MASEIDLYNQYPLYMDPATKALSLPQSSAQTPSQAAAINAELEE LNQLHRALISLDPPNIPPAPLPVNPKRSAQISKLRDTANAAHRKANYDEAIKLYSYAI DMGLSRPGWEPMGVAREELSGLYANRAQAQMALQAWPEALIDAKASVDSKPVGNVKAW WRIAKCLAEMTRYEEARKFLHKSLDIEGKDSEGGKELFALLGEVDRALLRGLSA PEX2_043560 MKFQMPSGGSLGRTKNILHFIQGFTIFLAWALTIAIWTKGDGID GRTGWYWGLCWLSIPGLVYLVAVPMWPRARRFGNVYAFACVDILYSILWLSAWICLAS YVAQGKSKGSSSDSKTSSSDSKTTTKRADDTTTKTGCDNWAYGSSAKCKLSEATTIMG VFIFILFAVTAWMSFRNVVHFRRTGTLPDAVSDPTFAAQSKAAFSSNPAHDFEEDDDD MRSGRGGGMGASSRSDRDEDYALLHQSEVDDLGSTHGRTAMQGAYDPTAPAPGGVLHD YNTGYGGAHGQHYAEPSTQYNPSEYTPSEYTAPTGFNGSSVNYGRRPS PEX2_043570 MSKHIYSLVALRQRLNMSIKSAKASVSSGTLSRVFWHLLRICLS FSLLFVDNTILVVAGLLAHLRSIANRHRATQRNVRFYPKTVLITGIGTAHGLTLARSW AVEGHRVIGADVTDLDLPVRSGGSMSKVLVAFYRIPKAHYISRLLDVIHREKVDLWIP CSPKASSIEDATARQVVESRTSCKCITFDTELAACFANPDSFRKYVTERGLPVLEYHR VQSRDSVHKILHRSPSKTYQISRATPTANEKAMLLPRRTLSKTYSVVSEIQISKERPW ILQQQSRLGELFADLLVVRGHVQAITVRLSDPGSSTWGASRLDEALAASVHRLMQTLA TKSGVRLTGHLSVRLMVDEEFGVHSVRHTIYIAGCTSGARVVDNLLYNAPCPIAGYLS VFPSNPVDTANVAATLSSTRSTPTFARAAILPAAFLQFSLFHFALTTLEVAEAELVRL LFWKDPLFSFLDPVPWWWQVHVYQPLREIWVLMKQTREAGLA PEX2_043580 MSTQVPASDAGKELKLLHYIYGQPNLDEIRGHPQKVIDLIHEFE KNYRFMIIGAEKGKFITKLIDEQKPKTMIELGCYVGYSAILFGDAVRRNGGERYLSLE LNPEFAAIANMLVELAGLRDFVRIIVGRSDLSLHKLLTSGEVKEVELLFLDHYKPAYT TDLKICEHFGAIVPGSVLAADNVLYPGNPPYLEYVRSTVEQKREAAKAGPSQVYNTTG IAERAVQSYVEKDDVPTFRFVGNPNLVYESQLCQPEGLRDAVEVTSKIYNVGVVGYGF SAKIFHIPFVKEVPELKLYAVVQRTPKQDDDAEKDHPGVKSFRTTEEMVQDPAIDVVI ITTAPDSHFALSKLALEAGKHVVCEKPFTPTTQEADELVAIAKKNNKQLAVFQNRRWD ADFVTLSKLVKNGSLGRISEFETHFDRHRPEEPASDSHKWKNKVIPGGSAIYDLGTHL LDQVVHLLGLPARVTGFIGSAREANSSSYEDSFTVLLHYANGTLATAKATVVSPEDEQ LRFWVRGDKGSFKKYHLDIQEDQLKAGIMPQDKGYGREPRERYGALTTIKDGKPVKEV VPTVEPPTYTEYYRKLVRALNGEGDLPASGAEAREVIRLIELARESSRTGRTLDVSPI MSALKAVDWIFPVTILMMDVKSLPDFRFFPYPVESKELLPPDDPITLNISSSWTYCGP LLTLESCKLPSSFNTWAESTINGSIMPSLFSFLTYVHDFLTRNNQSHYWLTIRASKGS DEFDIPRWHTDDLFFSPLRPPINHRRESLFSPITNLLKSTWTRPTTNQDLSTPILTSK LQTKHHEHHPALTPTPPTAQIPSTSPTPTNWKLTTTLLGPGTLFIPPATNHLARATQQ AAKTAARTAHPNHICVSVRCVGCAMAAESVRERLAVELGAHGTVQASAGECVFFRVGE DEGAVHSEPRSHGDRVFVNVIPGHEADLRALMAKWGMEYPRACKTYQSLEHICHSQQN SSMASSPHGPVTLSHPTTWNFDIDRYVNPFVPAPRWHLIPKPFAYMLGYRERPPKPIG NIVIALWSLVGAFCGVALVASVSKRVPSFEARDATVIVGSFGAAAVIEFGAIDSPFAQ PRNAVLSQVIACFFGVGISKLFALDPRAESYTELGGALACALTTAVMILTKTTHPPAG ATALLAVTHSQTVALGWFLFPVMLLGVTLMQAAAVVINNIQRRYPLYWWTSHPLSRSR GDVEKAIQKETTSVTSHYEDSLTDVPRRLVVEHGDILVPDGILLSAEEHEVLLKISER L PEX2_043590 MVSASKAARMAKRADEKKPKKVTKVELDAHGNPIVEDAPATKDQ SVVDKLTAQLDKHGLSDRVTTGVLSSLPASRDVKITSCSLVFHGKVLVTDSTLELTFG RRYGLLGENGCGKSTILKSIAAREYPVPEHIDIYLLNEGAPPTDFGALDWVVREAERQ LDEMEKKAEQVLEDDGPDSPILEDLYDRMDKMDPSTFHVRASLILTGLGFNKNTINKK TKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLEACVWLEEYLKKWDRTLILVSH SMDFLNGVCTTMLDMRGQKLLYYGGNYDSYHKTRSEQETNQAKAYTKQQEEIQHIKKF IASAGTYANLVRQAKSRQKILDKMEADGFIQPVVADRVFTFRFADVEKLPPPVLSFDD VSFSYSGNWDDTLYEHLDLGVDMDSRTALVGPNGVGKSTLLRLMTGKLSPIGGRVSRH THLKLGVYSQHSSEQLDLTKSSLEFVRDRFPEKSQDYQYWRQQLGRYGLSGEGQTALM GTLSEGQKSRIVFALLAIESPNMILLDEPTNGLDIPTIDSLADAINAYSGGVVVVSHD FRLLDKIAKDIMVCEDKTIRRWDGSIGEYKNHLRNKMLSSGAV PEX2_043600 MNGARIHPHNFRQIYTQACETFTHKLQCQIFSLLSSSPSPDMEE ISTRLEELCERVIQIGFLGEVGEFGIRDDNRVRIRWGSLSIKEICFEIKWELTVIKDE LASGSAAPLLVADLLVDTLDHLPF PEX2_043610 MASNRMRRIGKEIADIHADAHSQIKAEPFGSQDDVTHLRGSFPG PPGTPYEGGTYNIDIKIPTDYPFRPPTMKFETKVWHPNVSSQTGAICLDTLSSAWSPV LTIKSALLSLQSLLSTPEPKDPQDAEVANMLLKSPKEFERVAREWAVIYAGAPVGSAN NGSGSSLDDARKGADEDSLAKYDGYNKNLIDRFTSMGFDVDRVVAAFRYVGVERRGGQ DYELEEGRMGDIAARLLVKSTTMIEDDIYRASSQYRLWSYTEPSLQSLRASTNAVASE RVRAALRRSRETHQSTASSAAGTPLPESNTEIKNKDGKDVECLTPEEELVLVRYYCEK TLELGETYKPPIPTMVRATAIQYLRRFYLTNSPMTYHPKSIMACALFVASKTDNYYIS LRQFADGIPGDTTTEDVIAPEFLLMQGLRFTFDVRHPFRGLEGGVMELQAIAQGQGQP APHLPHETAEDLQQGLLSIAPPPVPSSSMSDRIARAHGTTRELLRTAAQMTDAYFLYT PSQIWFSAFLLADRPLAEFLLDVKLGGPVTATIPAPETDENGLVNPLYEIRSKLHHVL TECSTLLQSYTPLSSDPAQMKSLKRIAKKLYHCQNPEKVNLAASQKRESAQPSTAVQS DTGVVTSESETERLAKKRKLEQEQIIRQDDNVFGPELVTQRTKQ PEX2_043620 MGQQQSNLGGGPGGDGRDDKDKKKDKPKYEPPPPPTTRLGKKKR KAAGPSTASKLPDIYPTSRCKLRYLRMQRVHDHLLLEEEYVENMERMRKAKAQATQDP ARGGGDLDVMDRNADERSRVDDMRGSPMGVGNLEELIDDDHAIVSSATGPEYYVSIMS FVDKDLLEPGASILLHHKSVSVVGVLTEESDPLVSVMKLDKAPTESYADIGGLEQQIQ EVRESVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGS ELIQKYLGDGPRLVRQIFSVAADHAPSIVFIDEIDAIGTKRYESQSGGEREIQRTMLE LLNQLDGFDDRGDVKVIMATNKIETLDPALIRPGRIDRKILFENPDQNTKKKIFTLHT SKMSLGDDVDLEEFISQKDDLSGADIRAICTEAGLMALRERRMRVQMDDFRAARERIM KTKQDGGPVEGLYL PEX2_043630 MDEFDEEAFNKFFPSSFGKQAKSVDVESQIGRSKRVDVSAPKPE GNELSTGMTAPSAAARGSDDEQKDSDDNSDEDSDDSDDDEDEFPVSHELVLKAHERAV TTMTVDPSGARLITGSTDCTLKLFDFASMTPSTLRAFKSVDPSAKKATAAQDTHAIHY AAFNPLSPSYVLAVTATAQPRILSRDGETLTEFVKGDMYLRDLHHTKGHVSEVTCGAW NPSDPNLCATAGTDSTIRIWDVNVGRSQREVIVHKSRAAGSAGRTKMTAIAWGSPKQG GANVLVGAGLDGSLVMWSGNGPYTRPSAEIRDAHVKDTWTSGLDISSDGRLVITRGGD DTIKLWDTRKFKTPVTTVTHTSTSSRYPTSNIQFSPSSANIITGSDTGHLHILNPATL KPELVTPVTPGSPLITVLWHEKLNQIMTGSANAETHVLYNPTMSTKGAATVMSKAPKL RHVDEIAITADLNQGLAGDSVVVGSNGVPHYSSATRSARHPTVGFTASGRSRDPRRPH MPVQTPFAKNTPDEKHILEHIPLSSMRDEDPREALLKYATQAEKEPIFTKAYKETQPK AIYAELSDEEQGPEKKKAKR PEX2_043640 MASSQSSLVASLLPGLLSSAVSPSRSSTFAASSIHQLFVPPSNS TSGTPHDHDGGNGGNMHEWSSLIGIITALCGNVLISLALNIQRYAHIRITREWEQDKN RNGEYGNNGTRAGSRGRSQAQYRDSDQDDFEPYRDDDGDDTEGRNTGSSSRATSTGSK DGAYGNRKSYLKSPYWWAGIMLMVVGEMGNFMAYGFAPASIVSPLGVVALISNCIIAP CLLKEKFRKRDLWGVLVSIAGAVVVVLSAKSSEEQIGPDEIWANITRWEFLLYLGLTT SLIIGLMWASHPYGSRSILIDVGLVALFGGYTALSTKGVSSLLSGTLWHVITFPITYL LVFVLVASALMQIRYINRALQRFDSTQVIPTQFVLFTLAVIIGSGVLYRDFESITTER ATKFVGGCVLTFLGVSFITSGRVRADDESSFSSEDEEGSIGLVNGERYHDGIDLSPPG HQLPKASDVSREAPYDVPQSPRGSILSQAIDGVDDDQSTPRGILSAAPSSPHGSLIGA SPLSAPSFDFTSPLRPPSRMSNPWADIQDQAVVTQQSDIRPVTPPAHEDETPQASTVL LRFPPAPGIEETAGANGNAGTGTVRRASTPTLLDQASHPVRNEATLETPSRRALRNSI SHRFSPGPLLPTLSGGFTAVVADSIRRGDGSPLKDRTRRRLGRRRHLDGAFADPSLEG NSDAALSLATARLQSATNLSDSPAEAGHSTSAVPPVINTVPAPLTNEDATRLRSLSDS WSGGLAWLGGALRKTQSSIAAAGMPTPENETQLEPSHNAGDSEIETESRR PEX2_043650 MVANLLSNGLLLVQLFAALALGKPTSSPLYKDANAPVEKRVKDL LSRMTIEDKRAQLIQGDLTNWMNQTSGEFNYTGLVTNMEMKAGMFYVGYPVSWDWISD NIKIAQDYLIHNTTLGIPAIVQTEGIHGFLVGNATIFNSPIAYGCSWNTDLVEKMAEI IAQEAKALGVNQLFAPVVDLARELRFGRSQKVEETFTEDAFLSGEYGYSYVKGVQGKN VSAMVKHFAGFSAPEQGLNTGPVHGGERELRTTWLPPFKRAIIDAGAWSIMAAYHSWD GIPAVSDYHTLTEILREEWGYEYFVMSDAGGSDRICSYFKLCESDPIDMAAVTTQLLT AGTDIEMGGGSFNFQKIPELVKSGKLDIKVVDTAVSRLLRVKFEMGLFENPYPAAPKN EWHKLINSPEAVKTARDLDKESIILLENHDNILPLKKSSDIAVIGPMAGGLMNYGDYV VYKSQYRGVTPLDGIKAAVDDNAQVHYAQGCDRASNDQSGFEEAIAAAKKSDVAVVVV GTWSRDQGELWTGLNATTGEHVDTDDLSLVGAQGPLIKAIADTGVPTIVVLSSGKPIT DTWIANSTAALVQQFYPSEQGGNALADVLFGDYNPSGKLSVSFPRYVGDLPIFYDYLN SGRSMGDVGHKYENGTLVFGHSYVLGDASPWYPFGYGKSYSTFKYGTVKVDKTKISAS EKTVTVSVDVTNTHATREGTEVVQVYVKDDIASVVVPNRQLKGFKKVVIPAGKTTTVK IPIKVEDIGLWNTRMKYVVEPGQFTVLVGSSSEDIRGNATFVVR PEX2_043660 MEVAPLALAHTHARNAVLETRKANPVAASEEHDLAAGEFATAAQ NSSDHEALRTLRLLETHHKKLAEILRFQHEHPTTTHAETTSTTASSAVGTQQTAAEAG ATKSAGANQDAHLPPRLVGNTRLPSRDASSIASNLASARGIPSHPRRGSPVSPTLTSQ HVAAKMTETPPRAKLGETRLRDGPVKNRHSRISTPRQPWSPPTASSTEVVSQQTVPSS AAESGASKDKPAIADEAFNRFYSAFGGLVSKVSGPLAFAGIQMGSADPVRTEQSRKSS AEVKLDREHAVLDRSITAGEPDVNKLFSRAALRSIRDGSGAGPGNPAESFYVVPTTGG TMSYAGILTRAEKQARRNSLEDGDDDFVDARETPSSPEMRHSASGSRVWAGRRAVPEK LTTPQTQKTMEEMQVENETLRGLTDSLATRLHMWEVSAQNSSVALQQSIRMIHRQTGG TPDHFQPSTATTSPLATLTAPPAAPDTDARIKELEEQIQRSEKKLETAAHENDKLKNV LGRYRDRWEKLKEGAKTRRAEGRSGDSQSNPPSNGASKPSELSSSPDPGRGSSAGQAG PRAVVDNPA PEX2_043670 MADSSSSSLRGENRSRRSWTVSAESSAIESNGRSSQDSHLERPK TQGGEVADPKAGPTGFSKLLEARRKRKENKKKNQKNNNEPAVPTVVLENDLHESRSNQ SRDGTSAPPSSNGESFALEGEGNSLLTDDEEPDGPPPLTSHSSHAGFYTSSSPLFKTR SVDAANTEDPDADAESAVSGPSATGSELIPGERMSRRATSGMALDVPTDANGNKRSIS PGRRFKGGFGSDKKGAKDDPEASSAKSGGNKSGRGLFGASRRSSLSSKRALAAPGDVP PVPAPIRTDLTDDKPRSLEASPMPNTPPHTAIPAPATTVTPPTPTEYRPLSAQIMTNS VTDSPESLHSENSPSSGVTTVSPSGNMISHRRVRSASAAHGPSKLSTSVSALTPLEEK TPGVKNAPATQQIGFFSSVFSVAQNAATSFSNSINAQQRNRPTSQTAPADADKSLNET TSEEGERTSDNGSKIAEGRPSAVETLGAGDLDFSHLDMDARPGESITTADGVVITKPG NPADKRKNTAVSRRDEEAAKLEDKRAARAVQVAYAKPSEASLAGPTEDALEIQSTSSL PKDGPLMGDQTPPSGSVIDGDLSGGLRRTGSVRSRLARRHRGSSGATASTIGAIGAAA VALGAPGVNTSVPRLTGFAVASKKRNRDFHQLFRSVPEDDYLIEDYSCALQREIILAG RIYISEGHICFSSNILGWVTTLVISFDEVVAVEKESTAMVFPNAIAIQTLHARHTFRS LLSRESTYDLMVNIWKINHPTIKSSVNGTRVAHGTGDKTEKVEEEESDSESDVTDEDE IYDEDEEGDHADSFFEAGDSANASATSLPVRKGLSRKASSLSANAALPAAGTNGNGDK KNSDKATSKEEPADFPGPATHEPTEYVDANGQYEKVIKDETISAPLGKVFSLVFGPAS GEFMTKFLSDNQKCLELQFEGTPKGLTTEAPTRKYSYIKPLNGSIGPRQTKCISTETL DLLDLEKAVLVTLSTQTPDVPSGNVFATMTKYLFTWAPGNQTRFLMTCTIEWSGKSWL KGPIEKGAIDGQTTFGNEIVKALQAGVIPRGRVNGAGRGKGKRKKSDGARRESTAAAS LKVAVDPKAGKGESWGLFEPVRPLLEPFTSILTPLWSSNFAILVIGILFYMAFFRSSP SPPMLSHEVGCPGHNLPQRLAAYEEMWRREETELWNWLEDRVGMDGMVFPNVYRSPEP HPSRRSSGASTSGERELAARLREEKISDREMDHALRTTRQRLEVLEEMMGKRKAQWEV EEPVKSEL PEX2_043680 MAQHQLPLGDQPVALNPNSLDGLPMELIFWISDFLSPNDVLCLS MCNHRLFMAIQYKTTLPRLMGSEKLALLHRIERDLPHHFLCYCCVILHKFEGPEIFGL TGMRVMSRCRLPCVVNYLWREDELQMQINHFSPHTDYCLSFLHLQLAMKRFYHGPRCG ITTELLSHVEIKEHAKSTTLYSIEAQVCTEPVGLYLRIQDIMLTEDQSTHLFEPTIMS ILPS PEX2_043690 MFVTIQPKPKSNTKLETKAKPEAKMKAKPDAKPKPEAKPKPENK AKPETKAKPEAKSKPKAEKEGKTETKPKPETKTKPNPEAKPKPEAKPKPEAKPKPEAK PKPEAKPKSENKAKPETKAKLETKAKPETKAKPETKAKPETKAKPETKAKPEAKSKPK ADIEGKSETKPKLDTKSKPETNTRPKAETKAKLESKPKPDTKSKVETKAKPDANPKPE TNVKLETKSKPETKAKPEPETKAKAKPDANSKPETKAKPETKPKPETKIKPKPEANTK AKPDTKNPDTKTKGKPETKIKAKPETKTEVEPRKRNNSTKKITIKMGTTTIQLET PEX2_043700 MSFFANPRPGGRHGADPEIPSAPRTQATNLPKETSTTLLNITKL RPDSSLTVWGNEIIHVLQPFQLDALVDYDLPRPHIGDPNYNRWKFWTLVVANWLFNQV DASLQLKVKAHSNDLTFADEIFNTIRLLSLHSQSKFLAKELKRWEELKRDEFSTPADF IMAYQNQFNRLKTEGHEPSCDVALVRLLQELHGEVLKVPFIQEEIRDLERPVDYQLFV YYCKVLVAESRKPTVSPTENTSMGIYGEARGRSQSSGRDISYRGWRQSTKGRGPAWE PEX2_043710 MNLQHEPPKIEPESSSSDTITNSTTQKITKNTTASTTGSMLRLS LCVSFSAWISNFDNGYSGTVLIMPSYKHAFGSCEQVLDPNTYAEIQHCSLTPLQQSLI SLNFLFIAIGGGIAGVTGQYIGRRGSIQAGCALAIIGAAGMLGTSGSFLRYMVCRSIS AVGIGQLMAASVTYGSECIVANKRGLSLGLYNVGLAGGNVGAFAVCAGSARLEPTNDW QWKTPILCQIPLGVILGAGILMLPESPRWLMGHGREEEARKSFGVLYNQSPYSPEITA QIDDIRTNLATERVNLRAVSCFDIYRRKHIVRTMTSAMIMVGIAITGIQFVQPYATLF LKGVGISDPYLINVIIGLCILGGSFLGPFIVEYGGRRIAMIWGYTVMAACMLALSSVS TALGMDETADIVTVVLLCLWAFVFGATIAASANLSSVEMHSVSLRTFGQANTTIFYGI FAFGATFWTPYMLGAEYGNMGPNVGYFYAGVTAVIGILTFLFVPETAGITLEQIDEGF NSGIKAWKTSLHKNRAIASSQQRIMAGGKVGA PEX2_043720 MELKTVLILTAIYIAVRLFFKSRNSSLAAIMSHGKVTEIDNQVI FKALVSSGPVVVDFFATWCGPCKAVAPVVGKLSEKYENVRFLQVDVDKLQGVARELSV NAMPTFVVFKDGKEVNRVVGGDMNKLEAQIKQILA PEX2_043730 MSDEVQSRGEYLLVRNMAESERLEMQYKAWQANIGYLLHPAIKQ HDRMRIADVGTGTGIWLRDLAAILPITCQLDGFDLSDVMFPRKNALPENITFHHQNLL APFPDEYLGEYDVVNVRVMLVALSDVEWEPAVRNLMTLLKPGGHLQWIDCPAHECVLK GEPEGKRATNARYALDVFQRTLVSLGKTPNVAALHGTFQKSGLESCEERIYTLDNPGT REDLNLTVVGGIQHFLTAALKMHKLDEIQSVDQITALREAMLSDLHSLACYFCFDVFV IVGRKS PEX2_043740 MDSRKRSDKSKTNISGLGSSSHNDIAIETNITGQLSSAFDVQVT LPRDTSHGRTERGVTTLVGDDERSSSSARGTATADENNPNVDTTDMDIPNDKNWDLKV LVKPTDTGKEHLIDIVAVHGIGADPGDTWVHKTTKVDWLKHKDMLPKQAPNARIMRSG YNAKWFGSSNEQHTKTFVRDVSEGLLKRLKILRKLRNYLVFESSACLDPSKGVTRASL QRHHYNLQKFEGPQDAEWKIVAEHISVLAQNARSFLETRDRVNFKQKEDVSFQEPVGI LCGEAPYIASELFVGRDDELAEITQILHPDHKAQKQRRLVLGGMGGIGKTHLAIAYVE SGRGSYDSVFWLNAVSEAALNGSFRSIAYQIFAVEEDGVLGDKEIVRRVHQWLGSPRN TRWLLIFDNYDDPDQFRIDKFYPYASHGAILVTTRRPDLVAGITLDIKRLNNIKDSLE ILQTRSKRDDIQSDHYAKRLAERLGGLPLALATAGTYLRHSTLTFERYLKEYNTRWNV DPRRPAKLLEYEGTLYTTWDIPYSRLEKEDPDAAKLLKLLAYFDNQRLWYKLLHAGLT DSSPKWLKRVITDDVDFHGAMRILAGYCFLDVHKESWSMHNCVHDWTLATLNKDINAN LYWYAFDCVSASINVDRAHDFANLSYSPLAAHATRLVQQRLYQNDVIYDVIPRRLDQA LLIAGLLREQELLLASENIYQRALAGSEKELGPGHISILNTVSNLGVLYWKQGKLDQA ETMCQRALAGSEKELGPDHILTLGTVHNLGVLYWKQGKLDQAETMYQRALAGKEKALG PDHISILNTVSNLGVLYWKQGKLDQAETMYQRTLAGKEKALGPDHILTLGTIHSLGVL YWKQGKLDQAETMYQRALAGMKKKNTDTCFLQR PEX2_043750 MSCGDCSSDKPKPSGKSNPDHDGSLLQRLLQLVFKYLPEGSALL SSSCCWLPTVLDFLFAGSVATAGIEKLRNTFLAISILTLIWGVWREGFSRRMVWRIAI CVGLSTWEQVNQWRMVDGKGHHSCH PEX2_043760 MSDRQYKFSVQMGCGGCSSTIEQAMKILSGVKNHSISLEEQTVS VVADPSLSYETVLEAIKVKGKNVRSGEADGIAQSV PEX2_043770 MTSNVESDHKLAMAETSSKSDADTLDSNSYGRQLEEIRIANTPA DTSFQTGVDVTTAEKDFSELSKQFSSISHQAQRLSRHESRVSKMGVTTQDIEKAEGST SSDDSWDLETTLRGDHVASEEAGIKDKHIGVIWDNLTVRGMGGVKTYIKTFPDAIIDF LNLPGTLIDLFGWSKKGQEYNILEGFRGLTRPGEMVLVLGRPGSGCTTFLKVIANQRF GYTGVDGEVLYGPFDSDLFSKRFRGEAVYNQEDDIHQPTLTVKQTLGFALDTKTPGKR PFGVSKAEFKEKVTNLLLKMFNIEHTANTVIGNQFIRGVSGGERRRVSIAEMMITSAT VLAWDNSTRGLDASTALDFAKSLRILTNIYKTTTFVSLYQASENIYKQFDKVLVIDNG RQVFFGPTSEARSYFESLGFREKPRQTTPDYLTGCTDPFEREFKDGRTAEDVPSTPQA LVEAFDKSVFSETLDQEMKSYRAQIQKEKKIYDDFEIANKEAKRKFTSDSSVYSIPFH LQTWALMQRQFLLKWQDKFALTVSWITSVGIAIILGTVWLHQPKTSAGAFTRGGLLFI SLLFNGFQAFSELAATMMGRSIVNKHRSFTFYRPSALFIAQIIVDTAFAIARILVFSI IVYFMCGLALDAGAFFIFVLIILEGYVTMTVFFRTVGCLCPDFDYAIKFASVIITFFV LTSGYLIQWSGAQVWLRWIYYINPFGLGFSALMVNEFRHLTLTCTTDSLVPTGDGYGN IAHQACTLAGGNPGSAIISGSDYLAKSFSYLPGDLWRNFGIMLALIIGFLCSNLYFGE TLQFGAGGKTVTFYQKENSERKELNEALMQKKANRQAKVIESDEGSNLDISSKSVFTW EDVCYEVPVPSGTRRLLNSVYGYVQPGKLTALMGASGAGKTTLLDVLAARKNIGVVTG DILVDGKPPGTSFQRGTSYAEQLDVHEDMQTVREALRFSADLRQPFETPQSEKYAYVE EILTLLELENLADAIIGTPETGLSVEERKRVTIGVELAAKPELLLFLDEPTSGLDGQS AWNIVRFLRKLAAAGQAILCTIHQPNSALFESFDRLLLLQRGGECIYHGDIGHDSQIL LDYFRRNGADCPADANPAEWMLDAIGAGQTRRIGDRDWGDIWRTSPELDQVKQEIIQI KESRVQAVRVNHDENKVDREYASPLWHQIKVVGRRTHLSFWRSKNYGFTRLYTHVVIS IITGLVFLKLDDSRSSLQYRIFVIFNVTVLPAIILQMVQPRYDMARLIFYRESASKTY SQFAFALSLVLAEMPYSLLCAVCFFLPLYYIPGFQTESSRAGYQFLIILITEIFSVTL GQMISALTPNSFIASQLNPPIVIIFSLFCGVTITKPQMPGFWRAWLYDLDPFTRLIGG MVVTELQGRDVVCAESELNLFNAPDNLTCGEYMKPFFDRGGKGYIVDDAAQACKYCAY KVGEQFYATFGMSFDNRWRDLGIFAAFIVSNLIILFFASRFLNFNRR PEX2_043780 MAPRFLNKLFKHKKKGPKEPRFTLREWSPNAIGIWDSTCEINSD IFNRWKLPIQPEQCPHSPRARQKSSQQESSLFRLPAEISRLIYLEIMGDRRVHIRYFW RQPSPEPHSKPRWHWWHVICEHSDGFIDDPVEDICSMSGREAYMHTPRPKIGSVEWLR CCQIGYEEALEVLYGTSVFVMNDAMDTPFLISRILAPRCTSLMKSMDISFIVGFWEPG QAEEDWMATYYAFFGLFMESFRGVLRLRVLLQMPPCEAQELLYTHEKGKLFLNPWKRL LEGREWTRLQLCVPYNWHDWFQEIKGSMPELARLELVSTSWADHSLAPSDLAWST PEX2_043790 MVPLRTSLDLDGTPSILCEKHQNHLAMEDSSKKPVVCQNQPLEI KTQTIDMLDLHPATTEEMINIFRQKGTDYQTWINSPEDTNCHRKCVVSPSTIKMHQLI RPSDPRNRWDAYCNQWVGAPEEIGDPRPLNLPTGLDYHKLFLRKLGVDKDGSLGWNKY HGRVAHTAIFADNNVRLDGPQWSEIAQAHYKAFFDINSLKHVFRMTVVNEETHIFMDK VLYPRYGLEIASDTEVRTWLHDTIDYREIMGTSLGKAVGALVLGAFPRGTRRIVQILT WQYEGDLQMRFDISRLPMSKH PEX2_043800 MSSTQGRELALQADPERPDFMNPAVFRRNTLPARSYHIPSTSLL LNGAWEFNMSRSPPEAPEPNEKTPDEDWSSINVPGHWQLQGWGKPNYTNTQFPIPVCP PFVPTENPTGTYRRKFQVPAEWQVNNTEIRLRFDGVDSAYHVWVNKSLVGYAQGSRNP SEFDVTPLLQDGDNEVFVRVYQWSDATYIEDQDQWWLSGIFRDVTLIALPANDRLNDW FIRTDLDPEYKNATLLAAIDYLVSEQSTIALNLVESVEGASHVITSTERIVEPGTSAV ELSLPVANPKKWTAEQPYLYEVEMLLTTPGSNKTFKATQRIGFRKVERKDGLISVNGK AIQLRGVNRHDHHPLLGRAVPLDFMRKDLLLMKAHNVNALRCSHYPPDPRLLDMADEL GFWVIDEADLECHGFYDAVSRPMDMDETLGYEERKAMTFPKCGAHTSDNPAWRAAYVD RVHSLIQRDKNHTSVIIWSMGNEAFFGTCHRSMVEYARAFDSSRLIHYEGDVDAETTD MFSYMYPEINRLRTLAATEGVPKNGKFDKPIILCEYAHAMGNGPGLLTEYEKCFDEIP RLQGGFIWEWANHGLYVEGSSKKDGFYAYGGDFDDYPNDGTFVMDGLLNSAHQPLPGL LELKRVFEPVKLEVRGQVLVLKNRYNFLDLSHLQASYELESFDKQTKTLVTGSLELPI VPAGQSAEIALPTEVFKHNAHPAYLTITVEQRGKVEWDQGKYIVAWTQDLVSKPTEKS LVNDLSSAQEAISTNSTKTTVSVSGANWSFEFDRIRGHLRKWTYNSTSILEPHPDTGL AMVPGFWRPPTDNDVPSAMPYWKRFGVNCLTNQFRSFNVSPLENGDICIESETFLSPP VLSWGWKCLARYTIKPNGSLSIKTSLQPTGAAPTNVPRIGLNLRANSELQLARWFGLG PGESYPDKKFAQKFGLWNVEDIESLQTVYDIPQENGNRTDTAWVELLTSNGTGFRASP QEITVVNGGTASLSWAASQYSDETIEAARHPCDLVKDGAVFVRLDDQVAGVGTAACGP GPIDEHLVKVQDITFVRLMPPAKDKANVMPGTPVGPVRGKSEMILDTVLRMEASIEEL KGMVATGSCSSVDARHHHHRYTVRDPTESAILSSGHLSSAESLLKWSVFHDHPAILEE GESSFLSLEYGRPPFQSKLYGIFPSVGLSDVKNMVGVFQRTYNFWFPTISLDDLKSLQ LRIWQENLEPSCQSCLALLVMALGCVGASMTDEESSSEGKSQQLKLQGASWFTAAMKM LHLAHIEMNVEACQCLLLTGLYFSFLQRPLQTWSYVNSAATRCRFLLSCPFDNPERDD REHITRIFWSCFVLESNYISELPSLPQNCNAEIESIFSLPGKFHSHEAAEEEEKSTFY FLASIALRRLLNRAHYMLYDRDIGLQIDSNNFPSVNQELARQLQDWYQTLPPSLRFPE DGKPADDPHSEYLRQWYLSCRSVIYRPYLEWALANPLWDLNNNLRVLDGCRVALDTCL FKLRYLKQVPYTVMVDTWPCSLSLETAMLTLMGGFCHPQLTMQLRHIALLQLGPHLKQ LLQRWMTIHGSSVSPGVEKALRLIMKAQEFFESKSADFDPSREQEQHLSPEALRMSVG PEX2_043810 MGQDNPVETVHLEATPVTMDPTDIKKSEHPDEVSGSVVGLKVAL TSYRNAMLYSLFFCFSAILWGYDMQVSGGLLGAPEFRAVFGYTQADGTTILPAQWQAA FNMIATVGGMGGSLLCGPISPYAGRRMTLTIACVISSGSIFLQFFAFTRGVLLAGKLI NGIALGMFLVTACAYCSEACPVVLRGITIAMVNLFVVVGQLLGNCLIKAFGGRSDTFA YRIPFAFQWIFPAILLTGVWFCPESPYWYVQRGKHTEAKKSLERFQTGGSIDSWLIEI QETVRIEEESASSAGYLDCFRGTDLRRTLIVMAVWTINSFSGVNFVLSYSTYFFQIAG IPTSSSFNMGVGVTAVGVLGNIGSWWVINTIGRRALFPGMLILTAILFIIGILDVVPG YNSSIAMGQCVLIIVWNFFYDLTLGPLGYVICGEMSSTRLRSYGVSIGFFTQNFWTLI MTLTVPYMINPDEGNLRGKTGFIFGGFSIIACIWTYFCLPETKGRTFEQLDHMFEQRI SARRFAEYDQRPHEG PEX2_043820 MANHVPPADVDSKANIAEAPTISHAESNQEHSKFKSAKNADGDT AMALFDDPDELHEDVDPVEARKLLWKIDFMILPYLAVCYAFFYIDKTTLSYAAIFGIR EDLDLHGTRYSWLSSIFYFGFLAWAFPTNFLMQRLPIGKYLGANIFMWGVFLMIQAAC NSFETLAVLRALGGAAEACADPAFMLITSMWYTRREQPVRLGLWYTANGLGIALGGLL GYGIGHLKGVLPSWKYEFIVIGALCSTWGIVMFIFLPDSPVTAKGLSKRERRMAVERI RENQTGVENKHLKPYQILEAFMDYKLYMFFILGMVCNIPNGGISNFGTIIIKGFGFST LVTTLMQIPYGFIIAISILTCVYLNDRFENRRCVFILIFLIPNLAGSFGLRFVPIEHS VGRLICYYLTGPYNAAFVLVLSMQMANTAGHTKKVVTNAVLFLGYCTGNIAGPFFYKT DQSPTYPLGIWSMIVSHLIEAVLISILGLLLRWENQKRDRIQSQMEGGLEGRDLDATA FLDLTDRENLNFRYIY PEX2_043830 MAALPAMRPLGCLRSLMRASEPIQPFINRRFISTAYSKRPERVP LPPNMPEQFLSQIPLRFRPDPAREPLKIYPAPPSARKACKDPIGAVTESQLAVLDPTG ERKAMFDYRRNPRSVKTGDIVRVTFKNGDPFNGIVLSIKLRGIETSFLMRNELTRVAV EMSVKVFSPNVNSVEIVQRSEKKRRRARLYFLRDRKHDRRSVENVVASYVRQKKAFLG GGNRRR PEX2_043840 MMAQIAMSRLFRQSTTSSVRQSILRPMQARSYGSNSAIPTFTST SSPELDEALDRFRNELFIPLALPKRQRKSVFREKYAKQLQNEPITVKIGETEEFTLTP KKHHDLPSKKDALEVLKLMVATKNFSNLFPYLSGLRMSNYAINSDRWEFIIRKTSEAG KLSTIIECARQSQRTGLTLSNRNIARRLFFELHKTAAKADFKGIQTVKALKLAREAAS LMDSHEHSVRNIEDDPKHQPFVIGTLLELSAACAKIKEAETALVRGYAQKLDAAWSLG NFKDDAATGFENIERVEENLALYNGMRLALGLPKASQDTENFKARCDELKPVLISQLQ KVKNADVRADKVVQQLLAEKPKEKVEETA PEX2_043850 MPADTSLVEKLDALVADILADWNIYTTLVAGVVLAFGVYSVISS KDPDVHPFLLARQSTPAPIRQEGESATYRCLETPHGLPLRFGLNVKDPGAPKWTGGRR GDLRDIWKTAVRGALNDDGTLSGKQGKIYTVLGRKAIEHSLDQVTQEINVIGHHLQNS GVKTVAVCLTDSTELLATIFAGAFYGFKTVIVPHNLPAETLSAHLQQAKADVLIAEAG SLDVSLVAKGNDQLSLVLWVAKYGNRHMDWNALPENVKDSLKVAVWHELVEEFKELAS YDVPEYDPKTETPAVSTVWPSSSQSSQFIDFQPENLVSAIGAITSTLPRTQRFTSTDV VLSIDSLSRSYPLCTTLAALFANSSIALNSVAGENVDFALATAGVSPTVIVASSQTMA EYHENIMRPHTGILSSLGRWLQARTLDAGNMPTRNFFSQLARVSPTSELSLDELRLLC ISHRIDGNPAAHLTSEQLTELRIFTGARVVYALTGPGVAGAIAQTNAFDYRCLTGPSH FGAPLSSTEITLTNVPEGSPDGTEEGKLTVSGPAVVGGTTALPGRARISKENTLELSS PEX2_043860 MATQSLPSTPKATSPVAPPIPEGTLTPGKWRHPQLDEIVRRQHA ATFDQKNVKKLVWNGAALIMSWTFGSTFKTYSRQIFDTNHMYQEVPLLVLQLFFIFNV LVALYPLFRPKDDLSDISLTPTQRSLLGLDPAVTPPATPGTTFVTPPRYRLSTSRKAS PASRQSSPMSANASFSERRSSISTPFSPASSPLLYKAMSNGGRESVQRQSFGSASPLA LSNSFGESTMSMGPSTPSPLMGKRGSLGVKNKWLPQRRDYQLPSAMGASESKLVFKQG IFRLSEDQEIPADDPYWTRFWELPESTEDVFSLFTPADIRRTRDHALPNFQTLLLAVT SRLTVLKNHPSFPDPDLAPERDVLNCIRILTRLLPYVYEAEHLEEWEDNFFWARRKRR TRQSQIAGEVLFDEAQPEDTQEAASPRAEDYEDVKPLAEELIDTLVDLLFFADFTIPR LPTAKSKVSFSIWQSGVGCNTAMGSNKTLESNRCEILRLMLTLTGKAMYLPSNTLPVV GVKAITYITTCQDKQAVLTLLCSLLNTAMKYNPASWRVPYDHVVWKDPRQILVIYCVQ LLLVLLLYPIPEDGRGTPPKNYYRHYFGRLHRPQDFQFLVDGMTRILNQPMQATASYL PGSQRSVKWATEMLVLFWETLQCNKRFRSFIIDSNRSHDFLVLCIFYAMEYRMDTSKQ GVVRMCVFILQTMSAEPTFGKSLNNSFEGQETLPQSIRLLKFRGSYADYLIMSIHTLM TTSKGNLDTVYPTLLIILNNIAPYIERISPSACSKVIQLFSSMSAPSFLLANETNHTL LASLLDFINIVLEHKFTDNPYLVYSILKYKQRFEAVRAFTLESGQQEIERQNEKRKAG SSSDVAVSPTLSQSEDDLHTPTDARSPLTRIPEENSPFAIGDDDSDDEREEEGAQTPS QSSFSAQTSRRPSTTSTTDENGAQQVRAMSEKARGKKPAGHPPFSRQNSMTSQTSMSA LFTPSASGFTPTVPWLESWLPELPLHTTLTIISAIAPHIPDAALVSAVSPEARTLIHN LPSFAEEPEVTSIISEPTPVRVQSFEWSALSMGWYESLLWGFIFSSEMVVGSASGATP GTVGVWNGTAIKLFRVQEAAAQGPTLLAPKGAVDAVGSNLVQRIGNLSLRGRNSQDSG TAPAPSVREV PEX2_043870 MLFLGVVVALLAGPDPAIGAITSSLQSILKNTHGSTDYGYPTDL TRDLLPIPVHSHNDYWRDEPFYTGLSHGCTSTEADVWLYNGTLYVGHDQSALTASRTL ESLYINPILDVLERQNPESPFVSSPTKNGVWDTVPDQTLYFFIDVKTSGPETFKAVIA ALEPLREKGYLTTVKDGKTVTNGPVTIIGTGETPFDMVAPIKDRDYFFDAPLADLNDP KYAGVTGVISPVASTDFQKAVGKITVDTDPILSDDQLKALRDQIATANDRGIGARYWN TPYFPIRARNLVWRTLLREGVILLNADDLDAVASYF PEX2_043880 MVADLGDLLAKVLPTGVELTVRHVSSTPTPCEALFAAAPGQLSE PTLCENHFLSVSIDSNDDGETIIFGMEVMVYNTAHLTTIFISKADSTGFLHLLKLPSK VSVLRLVSNTFLSFLAQTRQRPGVRLVVSLFARAQNQYLFPGSIENAEKHVLDDRGLI KWWCRVLDPILREHEPESALQETKTLDQTVEAAKASATAYLIVPSCDRFETRNFFPPT AKTDPQDRPRWLNSYPLKQLYHDPSAPPRCLVPRFPDDPKTRFLIDLDGELPDENEGQ PVGPNAGRWRSVRSLDQFWEMMSFRQECSAGRLVGFLWLVINPPGLMNSTSMESQTRG ISDSGLVSNETEISGAIPNEEKVENGSSQSEENATLTKATTNFTTADTSPKLPRDDAS TSVNPFDWPEAGRGQVVLSEADYKKMMDSVLDHFYDEKEVVTSTRLYVDQVSSLADEL TWGKRVVSSNTTSSTASQPANADPNNVLDLGLIRKRKKSLGEGNTPVVNEAQPSESGV TQPAPSVPAGVNVLNASLVRKKKKT PEX2_043890 MLKPTFSFLLRRSDDGALYASVAISWIALIWKYFLSQPYRSRLS NASTSDVPHVTAIRPVKGLEPHLYDCLAATFRQDYPTDKLSIRLCVATRDDPAYPTLC KLITDFPHVDARIFVEDEDPLLQQDGVNKYTLGPNPKIRNMSRAYREAKGDIVWIIDC NVWVGKGVCARMVDRLCGSGPSSTKKYKFVHHLPVAVDVTGESNLREERQALVDANPE STDADAAAAAMPSVRPEDGPAAMIATGGGRLEELFLSSAHAKMYTAINTVLVAPCIVG KSNMFRRSHLDYLTGPSASDPQARHPGIDFFSDNICEDHLIGDLLWKKQVREEKELRE RWGKHAMVFGDMAIQPVANMSVPAYIARRVRWLRVRKFTVLLATLVEPGTESFLCTIY GAWGVTSALAPYLERNGVQFAAHLGTWDAFFVLFAFGILFWILIDWTLYVKLHSAKCI ELDEDTPAFARPQRFRSSQTTRRPFRQWFGAWLGRELLALPIWIMAVYGGVTVVWRDR RFKVGFDAKVREIDPPTPLPAEVSSAAGKVRSD PEX2_043900 MSASTTSQAKPSVPIALSAEAVDDLIYDARAGDLEALNEDIANL ATQHSCNESQIVASAIDMADESEGGSGSCVLHFPAANGNAEILKTLLQKLSSADAAQR AAFVNHRNNSGNTPLHWAALNAHLECVKALVEAGADLDVKNDAGHDAVFLAERTAWAA VEGDEDVEGAEGDDAQTQEIEMTIGENEGEEKSEGAGEMSAGRQVVEWLLASDVGASL EKGATEGEASGSA PEX2_043910 MSASPEASTSALQERTSSSPSPAPSGLETEAKHDTDSQKDKAEK NEETQQTEEPETHASKSQPDEPEHDELTSAPPLPDEAPPPLPTEAPPAKPEDDGWEPA WDATAAAYYFYNRFTGVSQWENPRVPEVPAIPAAPGTEDSHQSAAPKKEPVLGGYNPA IHGNYDPTASYAQYHEEPAQPTTAVGFDPSAAYAATGTFNRFTGRWQAESINPENHND ENKSRRQMNAFFDVDAAANSHDGRSLRAERSAKKLTKQELKQFKEKRREKKEEKRRAW LRD PEX2_043920 MKGITLLSLFGAALAHPAGLWWGTDVCYTSPDNTENQCSDAQQK GFDLSELGNGDNWSLEGFHFHGLEPKNGCQGSSYGGTCLGGKLSSDDDWTIKISATDA PFSIRNFHLSTSRSTDVFIIYEMPDGSSCHHVASSSYRGTDVGNDQCGGAVSVEFTLP EESKFGDCDLEIHSIDFDCSSGTKPPAPPVLDPSYSDPEPTPSSTVSLPPFYLPSTTL EVTPISYPSQKLTTSTIWTTEEFTVTKCPPTVTNCPGHSTVLITSTYPVSTTVCPSTP VDPETSTTSPWVVHSDPPSWTTSVPHFRSDPPSSTTSVPHFHWTSSATQEVPSISHSS QKLTTSTIWTTEEITVTKCAPTVTNCPAHSTVLVTSTYPWSTTVCPSKPAETDPSTTS PHVHTHPTLTSSISTTTTTDPSPPAVTAPCPNVVPKCLNTWLSIPKCDSNSDAACFCP STEFTDKVSGCIRAWSSSKKEEDSALAFFAGICAPFVPENPAIVDIATTCTSSDPVTS TTHVPHITSNTRVFVSTVSVATSVPTAPCTTISWASHTATVPLVEFSTVTCSTTTSVN LVVVTPTSPPSKTSHHSHVSSSTLTTSCKTHTTSVPTPTVPKPTVSKPTETVVHANVG SKLPLCSFWAFGIALLALLF PEX2_043930 MENGLQQQLQQLQNLQQLNPAMEELARSELFKPAPRSFSLEEST KLSYQRAKAFANAYGLTLKDVLYITPKFWKLHREPLLTLDGGAFTLLSIQCNLFVGTL APFALKRPELQPILQSALDFDISAQFMLTEVNHGLDARNIQTTATILPDGDIDLHTPS PNDAKVMPPTTPRGGIPVVAIVMARLVSEDKDCGIRPFLVQLGNGKEMCKGVISKALP QRTGAHPVDHALTYFDHVRLPRSALLGSSEETENKREEFLSSIHRVAVGTLFLSGCVI PSLKLAAFNAACFSQNRLVSGQDGSPMAVIDFRTQHMPILHTIAQYSVLEAFFVSAAM AFREQSVDPRVRHGIATAFKAISLGHFNKSIIAMNERCGWHGHYEHNQLLQIELEMRG ASTAEGDIRVLAIRLASELLIGRYQMPPPNDPSSPIARHEASLFSEARDLLQQGSKGA HRSEQFNRNILPLALPLVEAIGHRMAYEAAIDANIDSSLLNLYESGVMKQDSAWYVEQ GGLSRGVQREMEAQAVDVLLPQMKDLLLASGVQPYSNAPMTSKTLWNDFVSGLEVFSG DAPSDLFP PEX2_043940 MQFSLSAIVLGLAATVYALPPSAPVAGGAGAGNGVGNKGNTDVR FSVPDNLTVKQAQAKCGDQAQLSCCNKAVYAGDTTDINSGILGGTLSNLIGSGSGASG LGLFDQCSKLDLQVPILALLPIQDLVNQKCKQNIACCQNSPSSAVSTPPIIDQKAQLT HHRTPTSLVLASPVLPLAPSSKRLAMFSRIMQAALVITPALWTLVQSSTYAEMWIVID SEDGKQAFD PEX2_043950 MGFISTLSRCMRKNRLSRRLSTTSSKLHDEDSNDLIKTASETGS TRASMESTSTMVHHSFHDPVLPCESPANRMQMRPDNGNLRTLFDANIPSNQPTDRATR ALTRPAYPILKLDCRSPVEAPRRVLQAPVEIGREKDMSRTSENAAGHPGKGASGVPST TMAEKGFSPTEKCVSEKKDKKSMVALLKRHCGLNIPRSSISIKTSSRSLQSPKWLDST GVTDEEGLVDKKNEKWIEAQDEKKRNSVLGGVGFGRLW PEX2_043960 MPFNTALTRKLGIRVPVVQGGMQWVGYAELASAVSNAGGLGLLT ALTQPTPEDLRKEIRRCRTMTKYPFGVNLTLLPAIVPPDYAAYAQVIIDEGVKIVETA GNNPGPVITQLKKAGTIILHKCTTIRHAKSAVKLGVDFLSIDGFECAGHVGEHDITNF ILLSRARQELGIPFIASGGFADGNGLAAALALGAEGINMGTRFMSTVEAPIHQKVKEA IVAAQETDTALVLRRWKNTSRLFSNKVAKDALKVEQESKSGEFSEVQPYVSGQRGRQV FINGDVDFGVWTAGQVIGLIHDIPTCEVLLTRIEKEALEAMTRTRSLFVDAPSSKL PEX2_043970 MFSQKVVQQSMRRLAVQQPFAMRSSMMGASPVAIALGKNIQTRH QVTSAPNTEDPSQLLVKQRLQRPVAPHLTIYKPQIGWIGSSLHRISGVALSGSLYLWA TAYLVAPTLGWHLESASMVAAMGALPLVAKVLLKTTLALPFTYHAMNGIRHLMWDLGR GLTNPVIIKTGWTVIGLSVASAVGLALI PEX2_043980 MAESEVCVATAGISVSRFGSDAQVKHSSKRYIAAVKVPVATGLQ ESYRPDRILCFPFDQLRVDTQTTDPMALNLSSRAARTACAASKFAARPIVGVLPSRTF ATSSPEESSQQEKPRWSYTPAAAKAPFSLHLDSKRPTFHVNADPQLLDRFYIRLFGNG GDKLLSDETKWLAVTHKSFDQGRRGFNDRLAFLGKRIVQLQASLALAQDAPYAGAAPA ESKDEFGRVPFSHPALDGLNNLSGETKKILTERSKLAELGNKYELQKVLRWSPRKPND LRASGIELVLAHTMYAIVGAVSLEKGGVVATKVARERILEPLGLKTVS PEX2_043990 MESTQPSADADENMRLAIERFRTKMEASNWQFLQDRIDEIESMD LSTEEKLKKMNIWTWIMTPKCADPEKPTVSPETTCQSHQRTATQLIDLKSLSHENMDG VIPPKLWTDEWRQVYLDTIQTVCNEVAFRIDDDTEFEVPPCHDLALFLKYASGIVDAD FRYDGMAPFEPPGIYMASISDISKAREDLVEGLHHYYICDEDFVDAYTHDDLEVRVGF QTGTGVRYKMGGHSTWYSMYLYCRRWVEDSDPSHKDWAWRVVISPAEVFENSTIVYGQ RTRFDSIVDFLDWYSSWLEYVDMDEVRANLIQNDLIEEDEEEE PEX2_044000 MASALFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSDAEEESS AVPPCFSHEGINYLYIRHSNLYILALTKRNTNATEILLFLHKLVEVFTEYFKVLEEES IRDNFVVIYELLDEMMDFGYPQTTESKILQEYITQESHKLDVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSANGNVLRSEILGAVKMKCYLSGMPELRLGLNDKAM FETTGRATRGKSVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKAKAQFKRRSTANNVEILVPVPEDADSPRFRTNIGTVHY APEKSAIIWKIKQFGGGKEFLMRAELGLPSVKGDDERGGGMTGGFGGSMGGAGGVGKA KRPINVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAMRMPEIQ PEX2_044010 MDARKKKRKVLLMGKSGSGKSSMRSIIFSNYVAKDVRRLGATID VEHSHVKFMGNLTLNLWDCGGQDAFMETYLASQRGNIFSDVAVLIYVFDIESREVERD LDTYHAIIEALREFSPNAYVFCLVHKMDLIQAEHRQRIYDERSAVIRSRSSDFRVDTF ASSIWDQSLYKAWAGIVHKLIPNLIVIERFLSAFAKKINAEEVILFERSTFLTVTSVT SEVGELNPIYDRHERLSNIMKAFKHCAARNTLTTPASAGFVVMHTKTPQFNIFLGRFT DNTYIFLVVPPGEASYNCAVLNTMLAREGFSKAAAGSGGGDGFPLPPPETAEDHANGT NGNHA PEX2_044020 MMGLFKRKDSKNSSQSETENESFVTVNSARTSNTSLRSPGYKGS GPPASIPELPIARPPDPALDPAAYLRSVHAVRERSNIILNKAKKNQLSHFDVDMSKFE ATASYVVSIIKVKPGNSTIMGACANMVSLQQRDYAPDYENIPPHGRWQHFDVGGRPRI NQLLQSWPSRIDAQERTRRLIDLFVVSVLLDAGAGNEWSYRSKESGKVFSRSEGLAVA SLEMFKSGLFSSDPTEPCQVDGAGLKKVTTEVLAKGMQHTDQNPLAGIEGRAGLLIRL SEALNNQDFFGVDARPGNMLDYLLGHPSTLASSVPIVPITTLWTVLMDGFSPIWPRSR TQIDGVSIGDAWKCSGLPKSPPAQQWESILPFHKLTQWLCYSIMVPMSKLMLIHFAGS DLLTGLPEYRNGGLLIDLGLLTLKPDDMQRGIDAYKENAQIKGQPSVEVAPLFCADDD VIVEWRGATVGFLDELLDEVNAQLGLKGSEEQLSLAQMLEAGTWKGGREIAEVSRPNT KEPPIMIRSDGTVF PEX2_044030 MEDLNGLSWSSDSKTQSKPPPMSSGYLYPSIPSNGGSGRSTPLS ATSNRSSSPSKPATSTGDSFANLVSFNSSAGNKNLSLMEQQKRLQEEKAKKEADNRSR FESQYGGQNNQFWDNFEGRTRSPASVPASRSAPPPPPPADDEDILAAFDAAAPVDAST HFPVPVSSRSSPQIEMNSRLEPSNGGISMQDNTGGMDAFDDDDPFGLNQLKPKSAPAP QPQQADDDDFLGLLGKPVSDIPHQEPPPTSTTPSDRGRDQDASPMPSNEVDRAIAELV DMGFPADKSRRALNTTESGTDVQAAVGWLLTQAHAESRQKTEGRPSATHSADRAEGSD SRNRDRPSWMREEMPESRSRQDRRSPASADKDPAQLASQFGNNLLKSAGSLWKTGSKK FQQAVNEFNTEHDPSQPRWMRDASSPHDEPPLQPQRPGRRDQPAQSQREQQDFTNEAL LLESGDGRPQKPPRSRESHHPDTRGQPPSAAQPRQQPNFLQRPSRPSSQDPKPRLSRF AAEEQQAQAYVSPARRKRPQAPLPPSEPNVDLFDSPAPSASRSKPPTPAQTATPPTRS ASIPVRPKAPTRSIPPVSQEALQSIHRHRGKAADSYKRGDYAEAHQSFSTALGMLPDK HPITIIIRSNRAMTALKIGEPKSAIDDADIILTFIGPSKGESEAIDLCTGEPPKPMKD FFGKALMRKAEALEQLERWGDAAQTWKLAVESGHGGSTSIQGRNRCEKAAGINKPQSK PAAPVRKRPSPPPKKPSAMSDLTATSASGADFEAVSRLRKANEAAERADEEKFALSES VDARIATWRNGKQDNLRALLGSLDSVLWPEAGWKKIGLSELVLPNKVKIQYMKGISKV HPDKISTTATTEQRMIAGSVFGTLNEAWDKFRAENNL PEX2_044040 MTSPFLTRSAVADTDNLALLQLRRDQIIPTILRDHDDENQGYWE GKVTNTRFGSFPHSTLIGKAWGAQVVASIVDTGSRGRNKSAKRKAEELDAEATTTGGE EEKKKPSFRAPVSAESGFLHILAPTPEAWTISLPHRTQVVYTPDYSYILHRLRARPGC TVIEAGAGSGSFTHASARAVFNGYPGTEDATKRQRLGKVCSFEFHELRAAKVQQELRD HGLAGIVEATHRDVYEDGFLLGDPKTGKSPKASAIFLDLPAPWLALKHLVRNPPPGQE SALDPESPAYLCTFSPCLEQAERTIRTMRKLSWLNISMVEVAQRRLDVKRDRIGLDTE GVRGATMYPKTVEEAVAKLRSDEQRAKLIRENRLTKDQPDYQPIAKETPFYKEKTEVP EYAQGRLTHRSEPDLRTHTSYLVFAILPRAWSEEDEQKCRDQWPSAKVVKPDTELKTS KKQMKREAAMERIRLREEQKKLDEKPQSESGDKVEEEKVEGESTEARG PEX2_044050 MSSRQQPPWRQPASSPDVQLPPLKVWNSLTKSKTPFVPIDPAGK KVVWYACGPTVYDDAHLGHARNYVSTDIIRRIMRDYFKFDVNFVMNITDVDDKIILRA RQQHLFNEFVAVNPTITSEVLDTVKCAFVAYLKKNLPLLDSELPPSRYQDEVEKIYAT ILNGGALPGNEKAGDDEAKVKMHIKTAASAAKVIAQAESRDGSSADPALFAESFYSSA QDLILPYLDALKGALVDANDHSIFTKLTKRYEDRFIKDMRDLNVLDPTELTRVTEYGD DIAAFVDRIVKNKFGYATKDGSVYFDINAFEAAGHPYARLEPWSRSDNKLAAEGEGSL ASKTTEKRGASDFALWKSSKPGEPAWSSTWGKGRPGWHIECSAMASARLGNQMDIHSG GIDLAFPHHDNELAQSEAYWHGDHGHDQWVNYFLHMGHLSIQGSKMSKSLKNFTTIRE ALDRKDWTPRSLRIVFLLGSWKDGVEITEDLVNTGSSWEEKVNNFFLKVKDPAALQSS GTDTTFATDLEAAKKAVDDQLCDSFNTAAAMASISELISKFNIVDKATVPSKDVHAAA QWVTYMVNIFGLNGTASADSTEIGWSGIEIPEEAKPYLYPLSAMRDTLREAARSKAGI SAKDIEAAVAKASAPQEASESAKPYAELFSNFRAKVVSLESSDTIGKDILSLCDRVRD IDLFDVGIALEDRENQPALVRPVTQEMLKAREEKEIRALQKQAEKLKKEQEALKKAEK GKLSHLEMFRTNEYSAWDDEGMPTRDTAGEEITKSRAKKLRKDWERQKKAHEAWLATQ AK PEX2_044060 MAVQTGLDYMRLRTQIDCDTLDDEVAKTLGPFQDCTSNQAIALG ELSKPERSRILVLSLSDAAMLHPKWSMEVEELAVEIAMVRLAVGMAKHIRGRVHVQVN PYYSYSSEKIVVNALRIVQLFQHVQPGFDATRICIKIPSTWEGMMACRTLELAGVHTL ATTLFSMTQAVLAAEVGCSYIAPYVNELKVHVQAGFVDKAKLLPLCAAIQKYYKSINS RTKVLPASLTSVEEIFLLAGVDHLTISPILLTQLTQPYVNIKSLFDIEATLPIPARDV SFINDPGNYQITFTRDQGGASQIKLTEFACRMFPSQAANFNIEALSGICGSISIACWV VVFSPQIIENFRRGSADGLSLIFLIIWLAGDVFNILGAVLQGVLPTMIILAVYYTLAD IVLLGQCFYYRGFNFKEELSPSATPDLFAADNAERNGAENQPAPTERSALIPKPNAHV QIEDPTINVTGQQPEDRARPLSEERRHSATSYHDMFHSSVDATHLSPATPFVEPTSDS ARRRAQRVRRRRISALQSVLFNLTAVALVCAAGVMGWFVSPAAKSPSPDSEPLAMDVL GQVFGYFCAALYLGSRLPQLLLNYRRKSTDGVSLLFFLFACIGNLTYVLSILAYSPIC RGGSSEEIMGHRHRAHCRPGEAAALYGRYVLVNLSWLVGSAGTLLLDMAIFTQFFLYR DDKGDEEEEEEEE PEX2_044070 MMQHPQIVHADTTRMGKWTDFDGVEADKLGTCSVTAIVNEEGFL LSNTSSDGFREIPAAERLCALYNGNKTIFGNKPVNVWIVYEQENAVKGRGIGKVMERI RPARVFEQVYNGESFMNRPSEEGARFCLKLVGGTVVATMRRQDGGGSPIPISGDGTTV VCR PEX2_044080 MSDRQELGPLRLIYPSVLDDCKYCSLLTFRPLDPDTIAMNAATQ GDRASAQSNYRLAIAHYTHALSELPRAPAYYIARSTAYSRLKPADGGPDYQSALNDAE IALQLAKERGNRELILSAQMRRAVSLYQLERYGDAGFVFEIIAKKTKSEKVPENKSEQ VQAAMGGPGSGWGGPRNNYSAQMPIWTAKLQRKLAELPKDDPKCTVSIAEFPPSTHVP TEEEVMDQWDALKAGNNVGVAGASQQTEPRPSGPQLSEGSTLSPAEIALASHIQNSNI SATATSIAPDKIRHEWYQSQDSVVVTLYVKGIPSESVAVDLKEDSVSIQFPLPSGAEY DFTLDPLYAAINPAESKVSVKGTKIELTLRKKTGQKWGALEGSATNTTEITDRPAAQN APATSGPSYPTSSRHGTKDWDKVASSLTEKKPKDKSGDDGNAADASDDEGGDAVDGFF KKLYAGADPETRRAMIKSYTESQGTSLSTNWSEVAKGKVEPHPE PEX2_044090 MSSTPHIGPWRTPAEGHLTPDDNGDLKTDYSRWRLVDEEGRQTW RYLESDVENQEWPQTIADKYHLGIPTGLPELPEAKTPLEAAENGLSFFSHLQLEPGNW ACEYGGPMFLLPGILITYYVTNTPIPPEYATEIKRYLFARQHPEDGGWGLHIEGHSSV FGTCMNYVALRLIGVSEEDPRMIKARGLLHKFGGAIYGPHWAKFWLSILGVMEWEGVN PVPPEIWLLPDWVPFAPWRWWIHMRQVFLPMSWLWSKQWSHPLDDLTRQIREELYTQP YSSVDFVAHRNSIHEVDNYYPKTWLLNTANELLVRVWNPYLRLPSIVKRAEEWTWELI RMEDENTKYAGLGPVNNPMNMVACFVHDGPDSYSVRQHRERLNDYMWVKGEGMLANGT NGVQVWDTAFITQAIVVAGFADEPKWRPMLTKALEFLDDHQLRENVPDQEKCYRQHRK GAWPFSTKDQGYTVSDCTAEGLRSTLQLQEMHGFPKLISEQRLKDAVDCLLLMQNPSG GFSEYEITRASPKVEWLNAAEVFGGIMISYDHPECTTASVTALSLFSKFYPKYRADEI RAAKQKAVGHIKHVQRDDGSWYGSWGICFTYAALFALESLASVGETYETSADSRRGCD FLIEKQQADGGWGESYLSSATHQYVQHEKSQVCQTAWSLLGLMEAGYPHKEPLERGIK LLMSRQQRNGEWLQEAIEGVFNQSCMISYPNYKFYWPIRALGLYSKKFGNAELV PEX2_044100 MAEPSTIQNLEIDDGRDPSVQEFIDNTLAALIHGLSQSPTEANI SITLKRRASPIACIINPITGALEASPRAETHRKYSWPGKTAHEAWKFTVILRILAILD QAIRTGQLISKRDIYYIDPEFFRVQYIVDHIVDDLAYTIGVNRTALNVEAAAKGLVTG DFRLIRGSHILIDAQSVTEDTLIPRIEDGDEIDISRVRWVLVIEKEAVFHRLARVSYH TRALAGEGIMVTGKGYPDFMTRTFLRAMSDFASNQSRYPPRFYALTDGDPHGMAIMST YKYGSAAHLHQNARLSIPRLQWLGLRVTDIIAVPEVLGDTALLSLTTRDRRKIMAMLR NSPVWASDGPEPEWRAELQRMLVLNLKAEIEILYGCQGGLEGWINRRMFRQE PEX2_044110 MASSVAQKRLFHEYKNLSTNPPEGITAGPVSEDDMFYWEALIQG PEETPFEGGVFAAELKFPRDYPLSPPTMKFIGGGVWHPNVYPNGTVCISILHPPGDDP NHYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRSEYEQKVRDE VKKGLGL PEX2_044120 MWGLRLRSLQKQWTPARTRALSSFKSHASPRGPRNSIKRPNLIQ TLRKAEPLKQPEPGAPEPQDDTNQNYDPSQNTLLSPVHVSEDPRGVLKENHPATSILA NSGIVVQRELEMMNVLIGFEQANKYIIMDAQGNHIGYMAEQDKGLASTMARQWFHTHR SFVTHVFDRQENEVLRFNRPFSWINSQIHVYDPLDQTPNAHSASTSLQSTTPGSLIEP GARSSARVSPLGLGQMRVIGEAQQQWAPLRRKYNLFTHHQSPNPETDMGTRDISLSDS GLSQAQQMQLVRTSDQNHGQFNQFAYVNEPFLSWDFSLKSADDQLVGSVNRNFAGFAR EIFTDTGVYAIRMDSAALGTETSHSNKPLGMTLDQRAVMLATAVSIDFDYFSRQHGHG VFGLSPYGFGMGGQAPAGGAAAEGAAAGEAGAIGRVAGGTLERVGTAGGVPNGMVSGA TTAGAMAGYEAMHRGNTADPNASAPEPVDPDSQGFQDRPQDPWGEGGSWGDEGGDIGD GGDEGDYFDW PEX2_044130 MFGGGEQQRQQQRSQNVPSDSDRYQQMWSGAQCDRYLCPGTLAC VHVPHHCPCAHPDVEEKVELGEGSAVCVSKGGFKAGEGARKIELARKGLL PEX2_044140 MFKPRVLTPLRALERAFTPTIRSTPALSQSQSLLRTLSATSTFP KTTPTPSLSRALLPFTQVRHASHASQGTANQHSRDPAGKRLGAKRSGGEYVVTGCIIF RQRGSLWFPGENCGMGRDHTIYATQSGYVRYYLDPLKHSKRRYIGVVFDKEEQLPYPR NAPSRRKLNMTPVPMAERVEAQSDLVASVDESGVRVTDVEAVKAESGPQLRPGYMYRE ANWEIGRAAEKAGIVAMPHDRGNRWLAWRKRQQRAERAAQLKSLKGKKKTAKK PEX2_044150 MLFQTTLVLLALQASGVASVPLEQRAVPLAEQTFDYVVVGGGTA GSVIATRLAQNDFKVALIEAGGHYELESVAEFPASDALSVGSDPTFRSPEDWGFVTRD QPGTNRRAIHFARGKCLGGSSALNFMVYQRPTLESMDTWASAVNDSSYGFDKVLPFYK KSVQFTPPNTDYRAQNASADYGVDAYDSDSGPLQVSYANFAQPFSSWMSLGMEAIGIN QVQDFNLGDIMGSQYCASTIDPSNELRSSSEQSFLSKITPSSLTTYTNTLAKKVVFDE NNKATGVQVKGLLGDTVTISASEEVIISAGAFQSPQLLMVSGIGPIDQLQEHEINVIA DRPGVGQNMWDHPFFAPSYRVRVTTFTRLATDLLYAAGQIIEGLVSKKGFITNPIADF LAFEKIPRFLRSAFSEETQSKLDKFPSDWPEAEYISGAGYMGNASNLLAIQPKDGYQY ASILGVLITPMSRGNITLQSADTSDLPVINPNWLDDQADQEVVIAMFKRIRQAFQSEA MEPVVIGEEYNPGPQVQSDHQILEFIKNHVMTLWHPSCTCKMGTSDDDMAVVDSQARV YGVDGLRVVDASAFPFLPPGHPQSTVYMLAEKIAADIIRDSQVH PEX2_044160 MKNPLHNPLPASLSSECKKAAAILESFINPKLKIDGEIPRKMFA GAKGIAVFTALRLGFLGSIRFGSGLIVARLPDGSWSAPSAMAMGGLGAGGQFGAELTD FVFVLTTDAAVKTFMQSGNLTLGGNISMAVGPVGRSAEAGGVVGTKGATGVFAYSKTR GLYGGLTVEGGVLAERADANKKLYGRKIRAKELLSGSIPPPPEAGVLIEVLNGDLFRI EALVEPTVEGPEQPREQNPETSTHAPGDQTQGIVAVAANPLAAAEISTEQTPGTAESP TGDSHSISHEQGAQETTPTAGSKPTTGSGTEEAAGGAESSNKITHDIHTSNVQEPMSS AENVLSVEQSTQSVLQGNEPPDTQNISREPGVPGPIPATERPSDGIETTSSMIR PEX2_044170 MSTLPFSKLLPMIPMLSSEGDFLLWSQSLKRALNSTNPRYWANR VDGPLSAFRNTERSPINHDILALIGARVDKELQSLIATAENPRLAFELLKNHFADTEP SKSQTSLTVDQPKEQ PEX2_044180 METQDDSIDLAKLQRDALGLEHDPSQPCHSRSAKIINEPNHPLC YTYHEVNGASNGDGVCTGQHIPPKTPSNPASLVHQHRHPLYRSRQHEPRTMMSNKIGN EAGIVPGSQFGNMSSAEAAPGDTQVVSQSVYDSIIRQNGESMHQGYSQTGADGATLRT LREGDSGHIDLLSEFDAAHHTTNLSQDDDDNDDESAFDPNESSPMAYAPDLFPESQRF LAETPGTVVKKHEANDGTTETPSISRNPLASGIESSGGLLGLSQVFGATQAPSSPIVH GLQTELVSDRPSPNIPIQPARVVNGISSPLTGFPAQFMRESSEPNMNYISMKESQTKR DGTLGERLTRSADNIQSDQSDKEFYKESSFIERARRQREIDEEAAAQFAGLSAPARST SNLSARPQTSPKQPGERQEDQEMIEAVASEEETEQEEDMQVPRSQDLPQSSAEEDKEN YDGPLLDAATAAAAASAHDRLSQVLGLEPIPSPSRVRVDGELEDGIAHGDAFGSQNDG QPNGVMRSSQAMVKDSQPSPQSSPKRIQADNTRDSVHRSPSRSHVNSPGRDRLQSSSP CSRPQSRHSNSNTQRNGSVSNEPAIQPVQNSSLNENIPPHSSNPSEQQHVNSSLSRVE AGTAGNSSSMPSRVAETPMNQRLKHLGDMARLTSIPETSPSHSQCNSEAGSNGDGLNN EDDDLPPMFKEIPSIRKNHTRPAGARALSSPLKKLLSSPGGGQRRALTEIAADLSPQV GTGGFDMGIGIFTTEDQEFRALIDGSPTRPKKKRRGNDGSSYIASDPIIPLTPRLQPP KSVAPIRATQERLAPIPADSDDVSLVQLPEKVVRRQSKPPRRAPENVWEIEGSPEQPF RRRSRIGKPATSMSTQKKHVPEKSSGLTARSAPPPKVVVHSRPPPPSSELTEVLSTIA SDDIIESEANSIDDSPTTPRPSHTISENTVVAPSQVLSVWMGQKRAYYPATCFGTPLG VSQVKYSVKFEDSLPVEVPKGAVKRFELRVGDSVKIEMDNVPRVTHIVRGFDDKLTRE ELAKAADDGLYPITDIYGHTTVIVGPKQRKSLPNGGMGNSENVIKVPIARVYLDTILW NQLKDRMFTYRPAPVPQESMVHTPSEISSAPVSPSSRLSRSIHQGSGIFAGMVFAVSY KENEASKNRVTRLIIENGGTVLHDGFTELFEPSSIVPVDTPTKGGAKDADLSNKGLRL TALADDVGFACLIADTHSRREKYMQALALNLPCLAGRWVEDCVSQGRVLDWDIYLLPA GDSMYLNGATKSRVMAPNPPSTARLANTISARTKLLAGQSVLLVMGRGKVEEKRKAYI FLTYALGASRVERVPDLGTARALIDSQSDAGLSTEWDWIYVDDADQAAAKSMLTPKPK TQRIHLFHGKKRRKSIASSTPTPPNELRCTARVVGNEFVCQSLILGRLFEE PEX2_044190 MATPVTSMDMPVATKSGPVSNARPPRAPRPPRPNFRQIHQFPLP VNVHHVPPVIPHNPLSLISVVLSYLTFLISPPRQEVYSAYFDKATSSIHVTDERSINA LWQMGFFGKGSLSRSEPSWLAREKKRRGLHNEKTSEEITRLRRTERRELKLERARMEK LAIEETLKAEAAARESGVSESLDEAPQSTSTDDTTNVTATTTEKFSLKKAREARALEA KQARELAAQNAALAEQTQPETPPNSKSVRFSSVVQKKEFISTSPSSLQSPDAVVDSLD SDDFPNEEHLQLSNEEAFFLAYSLGALQIYDSPLKSGVVQSTSPTTISALLQKFCHHS FQPARDCSASLQPDDPFMTSYAVYHHFRSLGWVIRSGVKFGTDYLLYNRGPVFSHAEF AVIIVPSYTHPYWSETEERKNYTAEKQARSWWWMHCVSRVQAQVLKSLVVCYVEVPPP AASLDDIGALFGQYKVREFLIKRWIPNRTRD PEX2_044200 MADTTAASTKPVHTIVLDAGPILKNTPPLSTLLAQCDEIITTPS VVGEIRDPDARARVETLYLPFLKQRSPTPKSFNIISEFARKTGDRSVLSRTDIEVLAL AYEIECEKNEGDWRLRSVPGQKRINGKPPVKEESEEAKSEETKPEDADVEAITEGVKE TTVADVQEESPKEEETAAANEADEVAEVAVAVADEEEKDEDLDADDAADSDDGEWITP SNYKKRLAEDESGTATLTAAPKTMQVATMTTDFACQNVLLQMNLNLLSTTTLQKIQHL RTFIKRCHACFLTTKEMNKQFCPRCGKDTLTRVSCTTTANGAFTMHLKKNMQWNKRGN VYSVPKPIAGSANGKWKGGGGQRGWGTELVLAEDQKEYVRANEEEERRQRRERDLMDE DYLPGILTGERNRAGGRTKVGAGRNVNSKKR PEX2_044210 MNRVEANDWMVIIALLNSYVFMALDIIEAVSGMGVHIKDIPPSI LERQMKAFWLTIPFYNAAVLCAKASILMQYFRVFPTHRMRVVCWVMITFLAIYGTWAV ISAFLNCIPVAKFWDDTIPGYCLNKTKLWFSNASMHISTDIAILVIPIPALMAVDLPR KQKLALMVMFALGGFVCITSIVRLVSLKTISDSTDPTYDNVGAASWSAIECNTGIICA CLPTLKPLIARIFPGMVSTFNASRPTRGDTTQRNSDWNGDASTLGAPGEEHEYGAGDP ERVLALVPNTSFRSSLKRWTREEEKKLAQIHSVPGPRPKRMTHPRPLPTDTAVPF PEX2_044220 MTRKYNTTQGLDMPWASQISAQLPSAFMPVPAAQPVRAQAPIAN EPIKPEDYSKPYCEFMTSNPTIFHAVKSFSKQLEEHGYKQLSERAVWTSELKRGGKFY ISRNGSSLIAFNIGSKYESGNGVAIVAGHVDALTAKLKPVSKVPNKAGFQQLGVAPYA GGLGTTWWDRDLGIGGRVLVRDPETGKVETKLVKLDWPIARIPTLAPHFGTPANGPFN QETQMVPVIGIDNSDLFEHSKVESSNIKFGTFTSTQPEKLVKIISKELGVTDYSTIVN WELELFDTQPAQLGGLEKDMIFAGRIDDKLCCYAAQEALIASSDSTSPGTVKMVGMFD DEEIGSLLRQGARSNFMSSVIERITEAFAKDNYGPNLLSQTVANSFLVSSDVIHAVNP NFLNVYLENHAPRLNVGVTVSADSNGHMTTDSVSEGFIRRVAERCGSTLQVFQIRNDS RSGGTIGPMTSAQIGMRAIDCGIPQLSMHSIRATTGSLDPGLGVKLFKGFFDYYEEVD KEFADF PEX2_044230 MTMTQAANLVEKILGHGDNATITTDVSSYDNEHGVETGEMIQAT TWQGKNCVKVVQMPKPRVIDPGDVIVRVTGSTICGSDLHLYHGVIPQLQKGDVLGHEC CGVVDSIGPESKKVKVGERVVVSFPIACGNCRNCRREYYSQCDKTNENTLTNVLYGKR TAGMFGYSHFTGGFAGGQAEYIRVPYGDVNLLSIPAEVPDEKALYVSDVIATSWHCVV DTGVKEGDVVAIWGAGPIGQMCAQFSFFHGASRVILIDGGDGAWRLDFVKTKAPKVET INFTDLPKGESVTSQLQKIVEGGPDVALECAAGEYAKGWAHYFEQMLGMETDTSELLN EMITAVRPFGHVGVTGIYAGFTNHFNIGALMQTGVHLMGNGQAPVHKYWKHLMHLIQT NDINPLDMVTHRVRLEDMEKLYEMFNKREMGMQKVFVQTKHSAPPSDGAPQLTEL PEX2_044240 MASGVSMAPAPAGVPALALAPAPTLAARPSVAPSPGPGTPGSIT SREWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVSELEEQMKEIEDNH DIQVASLQQQIGNLSGEIDQCREEMGWWRDRCHALEKEVSIERSAKEALVKEFRSSLA GPNVSKPAPIPDSVPLPPRKTRSSRMEDVQPTSTERNKEDGEMHVPLGCNNCSNSHCQ CIEDAFGMPIDTHESSRSRHAPHGVGSPERDHRDPHIKPDPEEMEIDFTAQFSSVPTQ SHENDVSSPPVDPCGFCQDGTPCICAEMAAQEQQRVRNINTFETNRLAPIQSISQFTP PPSEGDVRSEVTLPPISQATNPCANGPGTCAQCQADPRSTLFCKTLAASRSASGTPSG GGCCGGGGKDGGCCMSRNAPATASATRNNASMSSKPPAPKPAPPSELTLSCADAFTTL SRHPNFNRASDEISTWLPKLHTLPDPREIAPPDSRGALEVEAASVMGVLRYFDRRFAE K PEX2_044250 MVLQDLGRRINSAVNDLTRSNNLDEKAFDDMLKEICAALLSADV NVRLVGTLRKSIKASVNFASLPAAVNKKRLIQKTVFDELVKLVDPHADSFRPKKGRSN VIMFVGLQGAGKTTTCTKLARHYQTRGFKTALVCADTFRAGAFDQLKQNATKAKIPYY GSLTQTDPAVVAAEGVAKFKKERFDIIIVDTSGRHRQEEELFTEMTQIQTAVTPDQTI LVLDSTIGQSAEAQSAAFKATADFGAIIITKTDGHAAGGGAISAVAATHTPIIFLGTG EHMMDLERFEPRAFVQKLLGMGDVAGLVEHVQAMTKDSAGAKETYKHIAEGIYTLRDF RENITSIMKMGPLSKLSGMIPGLSNMTQGLDDEDGSLKLRRMVYIFDSMTVAELDGDG KNFVEQPSRMVRIAHGSGTTVREVEDVLSQHRMMAGMAKRVGGQKKQMQQAQNMMKGG NKNQQMAAMQKRMASMGGAGGGGMPGMPDMAKMMQMMGGGGGGMPDMQSLMSQMGGLM GGMGGGGGGGGRGRGR PEX2_044260 MPPTFSKKRKVLDGLKGNTGRKKKFVKQRFYHSSSEDEEEDGNF NPVSLEDSDAEEGGITVTKPSALDIRMKKAKTKEESPAPEPKKSDASASDSDSSVGEE IELEDEDLENDDDESDVSGSDEDDLSDSSPAGKATGANRGRAVPKRNDPTAFSTSISK ILSTKLPTSARADPVLSRSSYAAKLVNEAADEKLDNAARAKMRAEKKEELDRGRIRDV MGIQRGIAGPVAEEEKRVRKMAQRGVVKLFNAVRAAQVRGEEAAKDERKKGTIGMGER EKAANEVSKQGFLELINGKKGKPLNIEEA PEX2_044270 MAFWREVGQQLRRVPKTGDICLTQSRRCLSYSRSWKNTGITTYT RSQINAQRSLQSPWQGYNTARRAFSVTAQAAHGHITPPKAGEEINLTFIDKDGAKIDL QVAEGDNLLDIAQANDLEMEGACGGSCACSTCHVIVEDPDTFDKMEEPSDDENDMLDL AFGLTETSRLGCQVIMTKDLDGMVVRLPSMTRNLQASDFESK PEX2_044280 MASREGINPLRPYYIPPSGLSPASNAPPEVASPSSAQVFGSTAR DLMPDLDYADYLEASPSVSDWVRDTLNRALIRYSKVLTAQPFDVAKTILQVYVVPDAT EEQWDRNSSPGAEGSSYDSDSESSDDETSYFTSAAPPTPTPSTPRSRKSRHHITDRSG YIRPESAALPKHALTLKNSDSLTEVLSQLWSSSGPTSLWKSSNTTFIYSLLLPTLNTF IRSLLTAIVGLPEEDISMSMTADILTASSPLATLVLSFISSSLSALILAPIDTARTFL MLTPATHGPGSLVRAIRQLPTPNSTIPSHLVPITVLHSSLPNLIMTSTPLFLKSYLSI DPLLNPNTWNLCAFLGSGLELAVRFPLETVLRRAQIATYTSLSLRQKSSGGSISSASI DASDVETIVPTPRTYRGIIGTMWHVVYEEGVSSTPSDTERAKQLLGQRASQKKRQQGQ GIQGLYHGWRIGMWGIAGIWGASLLGGIAGGSEDEVTTIGGHGRSSGGRF PEX2_044290 MPPIRGGLGERPRVILYHQTLIPSGGQYVSMLPLLENNTGITHV ILAAFHLNGAPGDITLNDDPPDNAKFDPLWAEVPVVQRQGVKVMGMLGGAAPGSFTRL DGSRADFEQFYYPFLTIIRRHGLDGIDLDVEEKMSLQGIIRLIDRLKADLGDAFIITL APVAAALLGIGNLSGFDYRELEQARASKIGWYNAQFYNGWGPAEDPRMYAAMVSQGWS PRRIVYGLLTNPGNGSQGYVSEEKIGPVLATLVEQFPNFGGVMGWEYYNALPGGTAKP WQWAAQMSLITGMKDVVVIPGHHFVPLVVTSLVVYLSSILSLLQDTSPRSQTPKSRGL NRQKSGSSRAKTGDSVLKTLLTGLPSAKWPLWTTITILINVVLAVLTLDFLTRGILLY PTRNVAFSRVGYVSPTTANLVFREPDTARLPVTVMFQEVSEMRQDWYEEGIVYKLDNS TDFTTTVTITDLKPATSYRYSLSNNKSGTFITAPSPETPEASRLSFVTSSCLKPNFPY NLLSHPLRIPGLEQMTDALAKLPKLLRPSFMLFLGDFIYIDVPWRFGSTMSHYRSEYR RIYSSPSWTHGPEENRAIDLPWLHTLDDHEIENDWSQGNKTAPYPAAADPFIHYHVRA NPPIPVAPFAHPDNVTYFAFTHGPASFFMLDTRTYRTEPSHKNSTILGRPQLQSLLTY ISTPEPAGTHWKIISSSVPFTKNWHVGTTDTWGGFLDERRTVFEAMWRAERELGIRIV MLSGDRHEFGATRFPDPELNLGRDQLLPNTAGLGLHEFSVGPLSMFYLPIRTYHQTDS KDVMVKYAPNGNSKFGLIDITDDIEDVGSTAAAPVRARSSVLTYSLYVDGEVVWKYRL SVPLDRDVSIHGPSLLPGRVVQDEIPDLSWSVVLGTVVGRLPELAKWTVETGNELYFQ LADRLQKIERLD PEX2_044300 MALISGTRIMSGPLLRLLPSAQSVNVGLWHRCSVATVPPPNRPH HPNPGNFANRPREELSELGHKGGKKGGKATGVGGFHNMDPEKQHAIAAKGGRARARKK APGNGETELEAERRGRSHEPSVVPPGFEEWKTMA PEX2_044310 MANTGYTSPFPTSHDTIGQSTLVTPLFMPSSPPQHPSSPLGSPT TAQTPATAQTPATERVAAQPSNQGRGHTIDDEYHSDNEMQRISRPPSPGSPTGSDLDA RLADYTLDFSNFPSGHFALDGNEETLPPFHQDVDKLSDVGGPDDFTANMEKYLMGDLE DGANHEDETEEDYGSVRRSSRKSKQPVIDEEADSGEYSEFGPPVDMSTPSHLLRRTSA IPKDSTHLEGIEEYPDDDEDQDSASPSVRKLSDASSHAPEEQNEDLHQQIAQLQQTLK ERDDQLQKNRNRVLEAVSAGEQIRHLQTELQRKNALLDEVNAKGSDEAMLREQVRSLQ KKNEEQEQLLRQASMNTTDLGPLLNQIREMQQQLQGRDAQTPMDKERLETIAHLRQQL NISQEQVRKRDETLDETSTKLKEVTRVKDAQIQEKNSEIDQLQAQIDDYGLENEKLES ELERAHNDYQVLEERFIDLEIRNRPLEEKNTTLEANLTRVQSHMEAQESALKAAAADL PISGHSTYSEILDLIKDLGPGDPASPLHSPLKDKFVDSQGVQQPQQPDMPTIASLQQE LQELSATQKAADTEAARLRDQATEAQTLIKTIETENSRLSNRVDDLTTALNKSQHDLI QSQEGYAESLETIARLQEEKIAEPPSPPPSPPTARGALNPEPRGPDTAALEANHQSQL RSLQTAHSTAVSTLRASHAESMRKIRNLLTAAEQRETDLRSELANLRASSTTSENYLR KSFKIELRRLEDVIAAKDETAAEIDQRIALSVDKREREWERRIDLLLKERDRMAKALM MSWGEKELGRGPGSLAEGNGKESRRRDRDRDVDAKSGQAYRYKYAQKQKSKSSEARA PEX2_044320 MASGFSAIPRTEGTWTKLVIFVALYLLLLESILECVLVLYLYGN GQVDSKMTPSVVLALVASFLSLPLVGLQSLVAWQYNNIGGFGTQKTILHNICTYVLRL DLMIWLATSVAGLVVAAQQVYCLPEGTDATFWRVGISCAFHRASVIVAVVSMVTVCTM YCARELCDRPYDVSLLGIYKRQTVHRNGSITSGNSWDSGETLKNEILYLCRQHDGNGA GEFWSVDPIANKVNCHPSIRHPAPVRLRPQLRVNTDPGSTYGEIMSGTTISPGDTAHR ISPGSQSLASELYPISSTSTVMSPQTANELHALLTNTSAPKVPAIPQEYTSHKRGKSS LSSLRRLLPKSFPLSLPLSSDPQIQALADPNTASDVEKQVVTPNSMPKGIPQSTTFQI VANGNTPNASSVSLPQSPANTEPKPKPAHPEGHTRTMTMNSADAPEVVPTAPSSPPKV RRSQTAYTMPGARSIHHPHHPNFIPRPPPQPRPRAYSQSRRQGPGPRMHPDSMRRNPQ PRQNIIHYPVRSSSYHFEPNRVPRHSQSQHNLHPNTHRGNSQYRHQSSRWANQRRYGS ARSMPAPIPRRNDVEVIYPSTRRPRSGTPASASGPLSCIPESTVQHAGNDPRPVSAVY LELPSNSIDQTRYRGANRTSLGFY PEX2_044330 MSSKTAVLTDKAPKPLPGIYSQAIVANGVVYCSGAVAMDAATGK LIDGDVKAHTHQCIKNLTHVLEEAGTDINKVVKVNVFLSNMDDFAEMNSVYMQYWGDV KPCRTCVAVKTLPLNTDVEIECIAVL PEX2_044340 MTVGITQPPYPLHESVKDLLDPEYVAFYNEHVINSQQVHLRPVE ASRTSGVLIPGAGPMLKVGKTQDITVQRRVTEGPAVPIRCFTPIGQAPPGGWPVMLYF HGGGWVLGNIDTENVVCSNLCVRGNCVVITVDYRLAPEDRWPAAVHDCWEALLWLIAD GPAALSVDVSKLATGGSSAGGNLAAIMTHKALTLSPPVHFRAQLLSVPVTDNTATVEN NESYRRYERTPALPALKMYWYRDHYVPNDVDRTNPESSPLFWQGDYSQLPPALVMVGE LDVLRTEGEQYAEKLQKAGVAVDLQVMKGMPHPFLAMDGALSEGKRCITLMCDALQKA FWAE PEX2_044350 MGVAILSDHAVTLLCGLLGLYAFRIIMAYVKLIHFPGPSWTGIS NWPHSIAILGGNCHEWYAEVSKKHGPIARVAPRVLITSSPEVWMHVNNKPGYKRSDWY YRATRIEYRRDNVFSQTDNEKHEKRRKQMAPGSVMKYSGRENTQLEGSVDERLQDFLN LIRSKYISSDHHVVPMDLAKKVQYLTLDVISSVGLGKAFGMLQSDRDVDDYLQSTEEG LAIGNTVLGMGFTWIAQMPFIGKFIAPSAKDNNGFGKMMAACFRLVDERAANPTDERS DMLASFMRHGLAGDELRTEALEQLLAGSETTASAIRGTLLHIITNPRVYSKLQREVDD AVRLGHAPSLNEGLITAAKARNLPYLQAVIREGLRVWPPVANIFARDVPRGGDTVVVD GESVFLPGGICIGYSACAMHMSEQIYGKDAEAFRPERWLESDPAKLAVMVRTNDLTFG HGKFQCLGKAVAQIEIGKTVFEVSRNSSAGLLSFFILLDCTLTDRLYKALTQLRLGTH PEX2_044360 MSFLGTLNSNPARSQSVVSHNTPQLQSEHELDTIHDPEKNDDED TLQEDEESREALVGQLARQLTRQSTRFSVSGTLDNPFTNVDAESSLNPGSPNFKVRDW MKMLLAIRSRNPDKYPDRTAGISFKNLNVHGFGSPTDYQKDVLNSMLEIGTMVRRLTG MKLQKIQILRDFDGLVKSGETLIVLGKPGSGCSTLLKTIAGEMNGIEMSEDSVLNYQG ISAKDMQNAFKGEAIYAAETDVHFPQLSVGDTLMFAAMARAPRNRLEGVSHEQYAQHM RDVVMAMLGLSHTVNTQVGNDFIRGVSGGERKRVSIAEATLSQSPLQCWDNSTRGLDS ANALEFCKNLALMSKYSGTTACVAIYQASQSAYDVFDKVTVLYEGRQIFFGPTTEARK YFEDIGYECPERQTTADFLTSITSPSERVVRRGFEGRAPITPDDFAAVWKRSAARAKL VAQIEEYENNYPIKGSSYDAFVDARRAIQAKNQRVKSPYTISVWKQISLCVTRGFQRL RGDYSLTASALIGNFIMALIIGSVFVNLPKDTSSFYSRGALLFFAVLLNAFSSALEIL TLYAQRPIVEKQARYAFYHPFAEAIASMLCDSPYKILNSFTFNIPLYFMTDLRREGGA FFTFWLFSVITTFTMSMIFRTIAASSRSLSQALVPAAILILGMVIYTGFVIPTRNMLG WSRWMNYINPVAYAFESFMVNEFHDRHFPCAAIVPAGGPYDSVSMEHRICSTVGAQSG STNVSGSLYLEQSFAYLKGHLWRNFGILIAFMLFFMFTYLLGTEYISEKKSKGEVLLF RRGHQPTHTSGEGDLEKSSQPSAVAKTDESPPQASAIQRQTAIFQWEDVCYDIKIKGE PRRILDNVNGWVKPGTCTALMGVSGAGKTTLLDVLATRVTMGVVTGEMLVDGTPTDQS FQRKTGYVQQQDLHLSTSTVREALVFSALLRQPATVSRQEKIEYADEVIKLLGMEAYA DAVVGVPGEGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSGLDSQTSWSILDLIDTLT KHGQAILCTIHQPSAMLFQRFDRLLFLAKGGRTIYFGDIGENSSTLSNYFERNGAHHL TPGENPAEWMLDVIGAAPGTHSEIEWPKVWRESPEFRQVKEHLSELKSTLSSKAEVDT SPNAFREFAAPFYIQLWECLIRVFAQYYRTPTYIWSKAALCIFTALYIGFSFFHAQNS IQGLQNQMFSVFMLMTIFGNLVQQIMPNFVTQRSLYEVRERPSKTYSWKAFMISNILV ELPWNTLMSVFIFLCWYYPIGLYKNAEPTDAVSERGALMFLLIWTFLLFTSTFAHLVI AGIELAETGGNIATLLFSLCLIFCGVLSTKEALPGFWIFMYRVSPFTYLVSAMLSTGV SGADAVCEAVEYLKFSPPANQTCGDYMGPYIKMAGTGYLQDPTSTAECAFCSVSKTNS FLIRISSDFADAWRNFGLMWVYILANIAGALFIYWLARVPKGKRTKASA PEX2_044370 MCELGLIRSQIYKHLYSVAAADRPLAEVAAAVAMLNQKLQQWKD SIPTEFQPESQRLSAFTKSTIAVTLIFLHLAYFHCLIAIHRVTAARGSRLAMDLVERN SVYTPPHPVVFMSESLCTKAATASIDLMKYMPKSNITLIGIMIYYPILASKTLSSAIV QNPRDTSRIYHIRLIMKVETFVSSLVLDTPNEGIDGLLKDCAEYRSLAEAAVREATQI CQG PEX2_044380 MRILVIGGNGQTGRLVIDEALQRGHKITALIRDPSTLPAKEGLT IVKGTPLEPSSIESTFNAVQGDIPTAVIVTLASPQVKGTRVMTNAHENLIAAMERHGV SKIATLSSFGVGSSFPNITVIMRFAISKTSLRYSFEDHNQVDETLKKSGVKFVLFRAA RLTLAKKEPVQFFGDDGKGLGVFAGLGGISRASVAACLVDAAEKSTWDRSTPVITN PEX2_044390 MAWRRSSNKTPAALSTAVESQTGEVTTVSGKYISETGGNNAATT YQDASGAPVEHDSPLGYSVGPVTITLLNITMMIGAGIYSTPSSILSGTGSVGVSLIYW TLGYLICLTSGAVYLEFTAYFPSRSGSEVVFLEQAYPNPKWLFPTTFAAQSVILSFGS ANATVMAKYLIAISGHTGTNWQIKGTALACYSLATLTLVFNTKYAYWFSNAVGVVKIC TLLFVIVTGFVVLGGNTRVENPKANFHDVWSGSSTASAYGFTTALYRIIFSYGGYNNA FNVANEVKNPVRSLKIYATLALTVVYVLYMFANIAFFAAVPKEEIESSDLTTASLFFE KVFGASGAVRGLNFLIALASFGNMIAVIIGLSRRIRECGRQGVLPFTEFWVSTKPFGT TLGPYAVVWSLTALMILAVPAGDAFTFVNDLSIIPGAAFNLAMGLGIYIVRWRRRQAN LPEPEFKAWHVVILFNVLVQLYLLVMPWYPPAGGQYAGDVSFWYGTSAVTGIGILLAC GIYYYIWAFLIPNWKGYRLRQEPLKLDGGVQSNRLRKVPVAELAEWDSTHDAAGRPIE SPVEIHVQVKGIDV PEX2_044400 MDLAIKPEPTATESPPLKNEKAIETKYADETLRILELHGDSVGP LTAEKEKKLRRKLYWRIFGLLSAINLLLFIDKSTLGYAAILGLFEETGISKAEYNNLG TFFYVGYIVAQWPGHYAMQKLPFGKFVAALVFMWGVILLLHCVATTYAALVVLRLALG AAESVVVPAMEVTIGMFFNRYEQSFLQPWLWVTSAAAPICAAFISYGLLWSHSFILPW KLFMIITGSVSVLLSVFVWFQYPNNPAEATFLTLEEKIHTIRRVHASSQSSIEQKQFK KSQFIETLRDPVSWLFTLQSFTLMMSNNLTYGQQNLITKALGVDSLGSTLVAAAGGGF GVLVCIAGAYALRWWPSNLALHGLFWCIPAIAGGIGMVAIDWDQKLGMLACLLLAGHT YGNTYIIALGWTTSSAAGYTKKLTRNVMFMVGYSIANICSPQIWVPRDAPRYYGAWIS MIVVSWAGTPFILFIIRFLLKRRNDERKAWVASLTSEERRAHEMGVVEELDENGNMIR KQVEIAMLDMTDMENPFFIYPI PEX2_044410 MIIPGSTLYVTALWLIKSSMVIFYKRLADRTRYQMVYNITLGFL AATWLVLFFDIVFKCYPPKRQWEGLTNPELVCPQGPSTVNYWLTILFNIFSDVFIICL PIAQVARLKMPRKQKWGVISVFLLGILVVITSIIRAVYSHRNEQMITCTVSMVETAIA IIASCLPVLRTMVFGSHSRTGTYSGRRGYELSHSGVHTGAQASKQHTTVSTSQSHVDR GDDISFHDSDDGLVKDTAPASGPGIAVRTEYFVREDRV PEX2_044420 MGFFLRTLSLTATAMTLVAAAPHACRSPPTGPNVTVEQGVVKGF FQNDTTVYLGIPFAETTAGENRWKAPKPISSFPNGQFKATAYGPSCAQVMSGTAITGQ SEDCLNLNIWTPKKGTNLPVFVYIYGGAMVTGGSSNAQWQGFNFARNDVIYVNFNARE SIYASPNAPELQGESQNFGILDVELALEWIYNNIEAFGGDKSRIVLGGHSSGGVHVDH YLWNHPDTFLAGAIEMSANAQSGPAYAPAGVALKQVVQDMLDAGVTLDCTAENYTLDC LRAADTYAFQTTYFNSTSNTWFSPSVDEITRFSNYTDRFVKGNYPTSLPLVVGNSNLE GEIFGYVYGSENTNFSSWIRTFDADIAFVPTDELLAAYDEADYDSVSLMSGASYGDAR FLCATDAMLDLRAASQPTWIYRWFGNYSNVLPIPNLGPSHGSEVPFFHGGNECFSLLD GVTTAEQKLADYIHSGFVAWIKNPSAGPGWGKAQPVDGPLARLGVPGQEEELVISTTG AYNARCQKVYKPNYPNYPVVQNPVLLAASA PEX2_044430 MAGGPRGVRALGPLASVMRLLQSYCAEIGRNRQRASTYPSSKKA FDG PEX2_044440 MMFKSFAAVSALSLFASSAAAVDPSLKNNVALYYGQGYNQQRLK HFCEQSTSDIINIGFINKFPQYQGDYPGSNFANQCDGAFFPGTELLSGCHQIWQDIPS CKAAGKTVLLSIGGGSATTQSLPDDATGEWFADFLWYSFGPYNSAISSLGWEESMLGQ LYPRPFLTAAVDGFDFDIEYNGGVGYAAMINRLREHFSTDTTQTYYISGAPQCPIPDA QLSDAIARSSFDFLWVQFYNTEGCSAANWVNGTGNFNFDDWVGVIENSANTDTKLFIG LPASEDAANPGFYLTPDQVQPLVKTYMDKHPKQFGGVMLWEATAGDNNLIDGTPYTEH IQDILYDCAPRAVSSSTVSSSTVPPSTTSTSVASTTSVASTTSVASTTSVASTTSVAS TTSVASTTSVASTTSVASTTSVASTTSVASTTSVASTTSVASTTSVASTTSVASTTSV ASTTSVASTTSVASTTSVASTTSVASTTSVASTTSVASTTSVASTTSVASTTSVASTT SVASSTSVASSSSVASSSSVASSSSVASSSSVASSSSVASSTSVASSSSVASSSSVAS STSVASSTSVASSTSVASSTSVASSSSVASTTSVASSTSVASSSSVASSSSVASSISA SSSAPATSGESSSSSIPSSSVTPVASASSGHPTPHSSHAHGHGHGHGHGHGSHTHTHA HTHTHTVPSSTPVVSGHSSSTASVSSATESGASSTSGAVIPTGVPSSSDETTGSSKPT GDNTTGGSKPTGVETTGASKPTGDNTTGGSKPTGVETTGASKPTGDNTTGGSKPTGDE TTGASKPTGDNTTGGSKPTGSETTTAPAGITAAPSVTGSASSLAPGTTTTIIVTSYVD ICPTGFTTITTTITKTWCPGNTATATPTGAADITAPASGPASSTASIPEGWTTTVTVC THCAATPTTVTLTKPATTATTTEVVSQPTAPSVPEGYTTTETFCKHCGPTGSTVTLTI PAPTATAKVPGASSGSGSSGNGESSSSGSTPGSGSSSGSSGNGSGSTGEVTVPGVAPV VPSSSAKPIPSNGVGAFHAGRPSSSIVYHPSPSRTAVPTVATTATTATIATTATNAPA ASTGNSEGVSPVYTGAASRANVTGFFGGVLAVALSMFMML PEX2_044450 MISSPQLTITPGPFAGLLLADYGASVLRIDRPRSISGDQLTRNK TSITLDLRDQTSLSILLRLLTTADVLIDPFRPGVLERLGLSPTEVLLKHNPRLIIARM TGFRRDGKYKDMAGHDINYIAVSGVLSMLGRAAEKPHAPANILGDFAGGGAVCFQGIL LALISRSHTGHGQVVEANMVDGSAYLATFPRLGRNTPAWAKPRGENLLDGGCPYYDTY ETKDAGRYYAVGALEPQFYAALVRGLGFDIKDLPKREDRTQWPALREIFTRRFKQKTR VEWEAIFDGTDACATPVLEQAELEEDGYEQRPMVHLVSTPAAPIPADKGGWSGGGLLP GDGGVDTLSEWVGWDQGKDFEIRGDGAFVVVDGKSKI PEX2_044460 MRPGLSVTQIVGASLVANQFASALSPRTKIDDAYDFVIVGGGQA GLVLGARLSEDKNHTVLVLESGGDGDEYRKRIDTPAYSYFDSLWTTPLNWDFYTVAQP NANDREIEWPRGKVLGGSSAINGLYMTRPGKDEINAWKDMLGDMDGADNWSWDSFYAA MKKSENFSAPTDQDVIDEAGLVWNASYHGTSGPIHTTYPDYTFPEVGNWLKSLQSMGI PISPNMYGGENLGADVSTSCINPSNWTRSYSRSGYLDPLADQGNYDVLANAHVTRLVF GNSTSSGKKTANAVEYTTDSGSTKLKVKVNKEVILAGGTIGSPAVLLYSGVGPKDVLS KAGVDLVSELPGVGQHLQDHFSATVKWSSNVDTAGSIFYDNGKDKNNPKFLTYIDSAV AYVNSTAMYGSKVDEYDSKFIASIDQYAPNTTYDDGVVTGYKAICNTTSKIFNGSTGQ IELLFMNSDGNGDIGITAALQHPFSHGRIYINSSNPMDYPVIDPNYLNNPADYEILLA GIKLARQIGETSPMSKSLTNETSPGTSVQSDDDWVAWLRKNGGTEFHPSSSCAMLPQN QGGVVDANLLVYGLSNVRIADASVIPIALSTHLMSSTYGVAEQASDIIRKYYNLPSEP EPAFSGSTSTPSSTTATAKKSGTPKSNSSPTSNGGAALSPVWTSLVLVSLHAVVGYGL YI PEX2_044470 MAPGITTEESTVASMPPKSDDNPILYSDVNQEELQAKTSKYLLN YGTKFNKDVICGSRGLYVYTASGHKVLDFTSGQMSCLLGHGHPEIVQTITDHAASLDH LFSGMVSPPVISLGERLCNLLPIGLDKAFFLSTGGESNEAAIKMAKVYTGKFEIVGLG GSWHGVTAQALGAQYHFGRKGQGPLMPGMLMLPSPNAYRSIFRRADGSYDWETEMEYG WRMIDMQSCGSLAACIVECIQSSAGMHVLPPGYLAALKRECEKRGMLLIVDEAQTGVG RCGDLMAFNHEGVVPDILTLSKTLGNGLPLSAVVTSAEIERVCVERDFCFYTTHVNDP LPAAVGDKVLEIVVRDGLVEHSRAMGKVLHGRLNTLKEKYGCIGDVRGRGLMAGVEIV EDRETKTPALALGKAIGDRAYDLGLWANLSSHPSFGGAFRIAPPITITEDQLVSGLKI LEEAFATTPGTMPL PEX2_044480 MIDCLDVIKVQGFVTPGQHITGYTIETYHGMTLSSLLSYCYGAI MVQNDGSSSVTTKSEAINDQLVERLSFSWLSPSHIPRKRLALVGAGSLAKVQGYLLAA ASLNIAMVVFDDSGHWLSDDAYSHLREGFVPLEMTVTMGMADRIVAALKGYQNGTEEK RIDGILSVDEHLHTIIAHAATELGFNTSPPESVGLAQNKFKTRQLDTNVFCRLVRSPE DVERMLSEDGLQISYPLIVKPSKGWSSEGVWKVGNEQELREKVPLLWRQSFTAWHGDE VVIETYVEGPEVDANMVLVDGKVVFFEVNDDFPSAGDYENNESGARVQNFVETSNMLP SSLPPHELQSVQQRLHELALAAGFQNAMLHIEAKLRNSSCHYAKMDSDTDGLVDLQPK TPSTTTTQPKDVFLIEINPRAPGWQEVEATARTYGVSYYSISLLNALADKERIVSLSK PFLSGPQYHMQLLFVSAQKGGVYRFGDICATVLRSDPQVVGQEEQVGSHVVRCANLME DGEEVLDPSTGQVYGNFIAFFLIISRKCRHEAMRIGREIERRVREHTDGF PEX2_044490 MCRAHGTDCTFPNARPKSTPQKRYSTETGPLLPHPTLRTPIAGG HSLSQPPVVAASPQFSIVTQAQNDQDTPLSLEADDDNPHILGPAVTGDNHILADYLSN ISGGQGIREIRPVEPGSSSSPVIFTKVQKRPLGLMVNSSPALHKLQTIEKLVEPWGPH LIDIYLKKINPCLPLLEESSFRAQYTNARHRISPALLACLYAHVLTQWQHDPLLSRER CPDVRFVWNLAIEASCSELHASAGISTIKAILLDVGGRPTTSMTGNGVRLGSAVALCH SLGLNRNPLPWDIPREEKHLRMKIWWSILLLDRWSSLAYGTPPHIRRSQYDVPLPISE YLSDWERDHEANAVFIELMNLTDVLDHCLEQVYSIRTETQGPTRNLELELNKWVDSLT GDIRKIIIRGSNLNIPGASNLRLAYLATRLLLRRIDLDRERQATDSNVEHMANRVMEA RRTAEDIVVLVQELGEAQLGDYWLPVVAFTFSATVTFLIRCALETEQAAGLAQSGSLR MASDLLDSLRSHHKNFGWDLGDICLAQHSDVIDKLLRSEPPVEKSGETDVELRQPFVP DMAFVDDMFPSTWDILQIME PEX2_044500 MANLEARKYISADSGFPITLHPHFNEKIKNHVPPEPLREPFTPP KDRAFFADPEKKALFSVAKQVDLTESIGTVLENVQLSQLNETQLDELALLVNERGVVF FRDQDLTTEKQVELFQHYGILDKHPAQKFVNIKGSREDHREILSYTPWPSGDFHADTS FEINPPTYSLLRMEEHPEVGGDTSWVSQYGIYDALSDAYKRFLDGLHAVHTSRLQYDT ILDLWGAGPNRPPIDTHHPAVRTHPVTKLKALNVNPGFVTGFAELKKVESDKVLDFLA YHIHSADDHYVRWKWAVGSVAMWDNRCTLHRVIPGTYKGERRGIRTTVFGEIPYFDPT SESRAERKLREESQDDEGVLVV PEX2_044510 MAEQGSISASSDTPIEKRVWYRGTLFNACIIGGVGFTAPGLWNA MNALGAGGAQEPFLINAANALVFGLMGILCLFGGPIANRIGLRWTLMLGAVGYPLYSA ALYTNNRFGNVWFVLVGSVFCGLSAGLFWASEGAVALGYPEPTKRGRYMNIWLWFRTG GPLVGGAIVLGLNHAADAHAKGKVGSETYLIFIALQCTAVPIAFFLTSPDKVQRTDGS KVKIILQDSWRGEMKELWKVCQRKEILLLLPVFWAAYFNMYTGNFKTYYFGVRARALM GFVTYFATLLASTLISRFLDYRGLSIRNRIKYSFFYVIVVHIVAWVYCWVIQEKYTKN PPTLDWADKGFVEGFFVVLLWEFAQQSLQNFLYYLLSTMTDNISELSRLSGILRGQES FSQAVSYGLNSKKWHGGRVPLIVNTILLVLSIWPTWLVVRSHVPIEHSKEVAELPQAQ DEEQHKVSLSDKETFVVSEAAASK PEX2_044520 MSGHKFPVDLKQFKQLKLDPKTPQLSAQQKSDLQHNINIFRDAI IAFTATGAARGVAGHTGGPFDTAPEVCILLAFINANPNKWVDALYDEAGHRVATQYLL AALDGKIEPDHLLNYRAADSHLPGHPELGFTPGVKFSSGRLGHMWGMVNGIAMANKDK NVILLGSDGSQQEGNDAEAARLAVGKNLNVKLFIDNNDVTIAGHPSEYLKGYEVARTL DGHGLKVLRAQGEDLDSLYGAMCEVINHDGPAAVVVDRKMAPGIEEIEGETHAHDVIP VEIARKYLTKRGYSKQQLAFYDDIKPGTNPYTYKGSSKDKGANRTIFGDAVNDILDKL SKEEAKRRVMVIDSDLAGSTGLKAIGAKHPESFVASGVMERGNFSAAAGFGFGSNGER QGVFSTFSAFLEMCISEITMARLNDSTVLSHFSHSGIDEMADNTCHFGLNHFFADNGL MDAASTALYFPADGEQMKAVVNEVFWNKGLRFIFSTRAKVPYILKEGTDQKLFGEGYK FVPGKEEVIRKGSAGYIVSFGDMLYRSLDAVENLRAEGLDVGLINKPTLNVVDEETIK IYGSSPFVLVVESIAQKTGLGSRLGTHLLERNFTPKFKSMGAIKEGCGGLYEQVNAQG LGPSDIIAAVKEVSGN PEX2_044530 MLPSLLPVLLLSVLAEASPGKRANAASAYSSNSDGSYKLSSIAA PVQGSGSPGSESTWKLSIDDTSSGHKQTIVGFGAAVTDATVTSFNTLSASTLQQLLNE LMTGAGAGFALMRHTIGASDLSGDPAYTYDDNGNNADPSMSGFNLGDRGNAMAAMLAK MKSLQSSLKILGSPWSAPGWMKLNGVIDGATNNNNLNDGYLNRGGTGSTGYASAFAQY FVKYIQAYEKLGAHIDAITIQNEPLNSQAGYPTMYVYDYESAQLIQNYVGPALAQAGL NTDIWAYDHNTDVPSYPQNVINGASQYVDSVAWHCYASNVDWTVLTQFHQTNPNIKQY MTECWTPASASWNQAADFTMGPLQNWASGVTAWTLGTNDQDGPHLSSGGCGNCQGLVT ISNGGYTFNPAYYMMAQFSKFMPPGAIVLSGTGSYSYSTGGVQSVASLNPDGTRTVVI ENTFSNDIYITVSTNSGQEWSGNIPSQSVTTWVLPAA PEX2_044540 MKLLFQGTAIFALLIVNASAEKSGIFAANGVELEVYQSGEDIIY GEPQSLVQARDLEGRATNACTKCVGHHGSCVVGEGNCYAPDTCAFCGGCSVNQARCQN PKTEGCQCYK PEX2_044550 MSIRRIRMQASRFSFTRRGAYILFIILIWFLIYHLPDPPSTQNN TRADRPPPEHQVEDTPRFIYRSPFRNNPDVEYEEQLSNALENIENAILASQESNISED RIWQIAKNEKHRGDDSIIFQGKNKEWKYNLVTDKKAIEFVTKELSAIPEIASIYKSYP QNVLRADLLRYLLLWYYGGFYADIDVFPARTIKTCPALEPFFAPTPEEYTQNTHPNVS LVVGIEVDEPYASPQFMRDWHWTRSYGLIQYTMYAPRRFSPLLRETIVRVLAHTRQYN SAHSRFLPSLAYDEKTILGVTGPDVFTDAVLDKLSSSLPSTHPFVQQSVDADIEIGDL LSPELGELKERVTWAPFHRLRDPVCIQADEAAPNAYMGGLCVLPISVWGNGQRHSQAG GFNHGNACVNHRFGRTWKKGWWEYIFG PEX2_044560 MSQDSSKKNIVILGGSYGGVSTAHYLLKHVVPQLANKESYQIVL VSTSSQAICRPACPRALISDDMFPQEKLFVNVPQKFEQYPDGSFRFIQGTATELNHQE RYVTATLKDSSTEKLEYHALVIATGASTLSPLLGLNHDSESLRATWNDFRKALPNAKS IVIVGGGPAGVETAGELGEYLNGRPGWFSIKLDNPKVPITLVTSGPQILPALRPAIAG KAQGFLAQVGVTVIKGIPVVAVSPPGAGTESDLTSNATVTLQDGRTLEADLLIPATGS TPNTRFIHGSLLTAGGTVETNVSTLRVDKAGPRVYAIGDVGSHARPAIHNLTNTVVIM CANIKRDLLIAEGKDESSVGPDREFKEDTRESQLVPIGRSRGVGAAMGLALPSLFVWL IKGRDYWLWTTGGLWSGTSWAKEA PEX2_044570 MGVLESPAYNGQPIDKGESQSSSDIDFDLPPLTSTTARKLQAKV DWHILPCLCILYLLAFLDRVNISNAAILGLKEDLNIVDGTKYNTALTIFFVPYIIFEI PSNILLKKLKPHVWLSGCMFMFGVVLLCQGLVQNWGGLMATRFFLGVFETGMFPGCFY LLGMWYTRSEAQKRFSFFFSSTSLAGAFGGLLASGIAKMDGMRGYSGWRWVFIIEGIL TSVVAIILFFFIADFPEDVKWLNEEERAYMRAKLAKDVGKAAHNVHMGKREVLGVFKD YKVFIGGFMYFGLIVPAYGYAYFAPSIIKTYGYSAIKTQLYSIPPWAAAFGFSMVVAF CSDRLRHRFIFTLIPMAIALVGFIMLLTIHNNNSAQYGALFMLTSGCYSAMPVIVCWF SMNLGGHHRRSVGTAWQIGFGNIGGIIATYSFVVKNGVTDFRTGYIICLSFICLAALA CALYMAALWFENKKRDRNPVDPATIGEDEEEYLGDLAPTYRYTY PEX2_044580 MPCSKTDGLHRPSNIHLNTGAPPSVGMWVDCCCNSGFRHGRVTK KRKSQRRVVNCRVQRSNMSFGKES PEX2_044590 MTLIVLNVPIDISLTFYVRQYFFVRKPMDSPPAWSPLVKFSARQ VQTFYFTALKPILESYDLLSLVFNAIMPSNKTENKRKRSADNDRPSKKPTLDQLPPLA ASVVEDKSELAPVIANTPGLQSSKSLRWNPYIKSRANVSKSAASTRNPGIVSSEMLLQ SSDHAKLDFVGREGTGDDTDSQVKHYVAVIDPERKTWKVVEVRRATLRGAVRSRKPEE DSDEEMNTMRAQRTALTNTFGTKQSRKAVQSMAENAQLSNAPTGAVPQAGAALISSLP ANTASGLAKALAMQAEVQAAKPLPTPNLAVSHPSDVYTIETLVPGSHSTLSQLNGVDE WKSQVEAGLGVTTVSRYVSNRVGAVVNSDNTTHLQVLRFIQLLIEFGRCLKHAGANAK GGGPGSKRLPPREDLRRILSNTTGSAVAKPKPGAAPEPASTDLLPESVIDAVRRRFAP SGGYVSKNDFTLLHTTICALSLHIPPQPARDGGSSSLGGNAPNELATDPSDLRDDLRL DPNTIHQYFRELGCRIDKPRETEFAKWNIKGGRAEAHARRVARLRVPVEFPKVSRGGR K PEX2_044600 MEALLQQSRTMCPFLKRTSPTTLRTLSTATRPSTSPGGGTMSNL QVLGRRCPVMSKALAVQSARLSGAKRFTSRAAGVGGIQPMRVPTGKRALHTTGGHPAS LASGGYEKNERGNPNLANTPRPSPTAAGAAVRGPRPEAPTNEKFNYDSFYNVELEKKH KDKSYRYFNNINRLAKEFPRAHTASAEERVTVWCSNDYLGMGRNQQVLDSMHKTLDTY GAGAGGTRNISGHNKHAVALEETLAKLHGKEAALVFSSCYVANDATLATLGSKMPDCV ILSDSLNHASMIQGIRHSGAKKMVFKHNDLEDLEAKLASLPLSTPKIIAFESVYSMCG SIAPIEKICDLSDKYGAITFLDEVHAVGMYGPHGAGVAEHLDYDVYASQDTASPQSTK GTVQDRIDIITGTLGKAYGCVGGYIAGSAAMVDTIRSLAPGFIFTTSLPPATMAGADT AIQYQSQQPRDRVLQHLHTRAVKTALNDLDIPVIPNPSHIVPLLVGDAELAKQASDKL LNEHGIYVQAINYPTVPRGEERLRITPTPGHVKPLREHLVQAVQAVWNDLGLKRTSDW KSQGGFVGVGVEGAEAANLPIWEDAQLGLQAGETVEGAVARELHDAAAQATVPHLKAA TPLTEKAHSGLNSTPVGVVA PEX2_044610 MTGPPPYNAQSPTQQQRYPAYTSPNKNHPYYPGNEQPQPPQQYH QHPPQTPPAFGPPSVARSPHFSHASPMPSTLPPPLNGSAPPHPSHPEPSQYQGHSAGS QLPLPRPYSSSVITGNGASPYGSATPHGHPPSHPEGHSQSPTRESESPYRMRGNGAGY GPPMMREPRPASPQEAKPARAADPMSFASILSGPSDEQPARKPSPLPAPISVHTPHPP PVFTHRHLDHNSTPAAVHHRHEHRSDYEKRIDVPRAPQATNGFVKPEAEHPAPVPRAP LRKPFPPGVDLEQVNRAAAEIDHAEKSDVEDPAFDAEQERYREKGYKRSVESSRAEEV RRKRRRNEFLIDLGRSFERQAILGTERFRVLNEGAVMAEVQQKEIQDEKERKKDMQRK RRRENTVRQEMQKKLEAERKASKAQEPGERAKFLREAERAQKKIRTTKRALEGGDGHD ELGEVTPLAPNLEGGTTSQFHIGRSSPSRRRSGRAGPVTRPKKSKEQKQAEKDLAEAA WNAGLDDDLYLTPSTRKDARRSKEGTPISQLHYDSKGYNQIYEQIWRDIARKDIPKVY RIKTTSLSTRQENLRKTAQLASKQSRKWQERTNKSTKDTQARAKRTMREMMSFWKRNE REERDLRRVAEKQELESAKKAEADREANRQKRKLNFLISQTELYSHFIGRKIKTDEAQ GDGAVAATGETVQPGKPNAHTINLPDSVANPNAKTTAFEDLDFDAEDETVLQQAAMAN AQNAVQEAQDRARAFNNQGEGDNMAAFDDGEMNFQNPTSLGDIEISQPTMLNAQLKEY QLKGLNWLVNLYEQGINGILADEMGLGKTIQSISVMAYLAEVHNIWGPFLVIAPASTL HNWQQEITRFVPNIKVLPYWGNAKDRKILRKFWDRKHITYNRDSEFHVLVTSYQLVVL DAQYFQKVKWQYMILDEAQAIKSSSSSRWKNLLGFSCRNRLLLTGTPIQNNMQELWAL LHFIMPTLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLKRLHMILKPFMLRRVKKNVQ QELGDKVEKDIFCDLTYRQRALYSNLRNRVSIIDLIEKATTGDDTDSSTLMNLVMQFR KVCNHPDLFERAETKSPFSAAYFAETASFVREGNFVDVRYSTQNLIEYELPRLLCSSA GRLDLPGSDNPRVGFQTKYLSQLMNVWTPENIQKSARDDGAFSFLRFIDTSAGEASDM ARLGVYERAERRRSKSNRLSALNVIYDESDDSKNSVLSHSMLNIVDRNDRQAVRDIAV EGRMKDLMNVSRTSFENQGLHLIEPCAGPKASAPPITMSSSGQQAPRESNHALFNPSV RQALSGHCSRQLEEQILAKKLDPAPYSHAPMLPPPVSLKGRYSHIEVPSMRRFVTDSG KLAKLDQLLRELKPGGHRVLLYFQMTRMIDLMEEYLTYRNFKYCRLDGSTKLEDRRDT VADFQSNPEIFVFLLSTRAGGLGINLTAADTVIFYDSDWNPTIDSQAMDRAHRLGQTR QVTVYRLITRGTIEERIRKRALQKEEVQRVVITGGASGGVDFNTRARENRTKDIALWL ADDDEAELIEQKEKEAIERGETLGAKGGKKAAQKRKRDLTLDDMYHEGEGNFDDASAK PSGAATPAEEPAEVSSATPAKRGRGRGPGKGTSKRAKTTKERLRLIDGDGGLD PEX2_044620 MSETFEIPETQWAQVVEQRGGPPVYKQIPVPKPGPDEVLVKMKY TGVCHTDLHAMNGDWPLTVKENLVGGHEGAGVVVAKGSLVQGIEIGDHAGIKWLNGSC LSCEFCETSDEPLCPDARLSGYTVDGTFQQYAIGKAAHVSKLSKDIPLDAIAPILCAG ITVYKGLKESGARPGQTVAIVGAGGGLGSLGQQYAKAMGLRVIAIDGGEEKRAMCEQL GAETYIDFTKSTDLVADVRAATPGGLGAHAVLLLAVSEKPFQQAVEYARSRGTIVAIG LPANAFLKAPVFETVVKMITIKGSYVGNRQDGEEAVEFFARGLINAPFKTVPLKELPE VFELMKQGKIVGRYVLEIPE PEX2_044630 MPTAGGLYFWTHYFASEKWKNPLSFLVGYSNTIGLIGGICSIDC QSAHFQTSTSDSPITNQHLPKSDGFASMLLSVISLARDGNWSATRPVIYGTYAATVFA HGFIAIFFGRMMPRIQSACIFLNIALVVATVIALPLGKAKNSPPVNSGSYVFGDVENL TTWPTGWAFIMAWLSPIWTIGAFDSCVHMSEEATHAARAVPLGIISSAGLCGILGFVS LAVIASSMDKNIDGILNSKFGQPMTQIYYDALGKNGAMGFMVVVMIVQFFMGLSIVLA ASRQSWAFSRDGALPLSSFFRKVSQRKFMRYQPVRMVCGIVVASVLIGLLCLIDEAAS SALFSLAVAGNDLAWLTPILARLIWGGDSFIPGEFYTGRFSKPIGWVAVIYMVFVIVL TMIPTEGPNPTREFDLCYFVTGAFADLVFEAQTMNYTVVINGSLWLGAVVYYYVHARK TYRGPQTTVSPEDEGKNLEQDSR PEX2_044640 MRFSTLFFTATLASFAVAHEGPHRLPTDKEFSRKANLSARCSEH VANFNKRRVKRDFDPRTRGNTTVRIQTQAPLYETIQNDTCVLSPEITAGPYYWPHSQT LRQDMTETQDGIPLWLDIGVLDMATCKPLKDVMVDLWHCNATGSYSSFTELSPNTKFP DLLSELGRNVSDFEVGTTDIHTDSQTWLRGMWPTDKHGMMQMKTIFPGFYIERAIHIH VQVHTDWTTQENGTLVFENTVSTGQLYFEEELEKKLMALQPYASHTQINRTQNDVDME FSKGLTNGYNPVVSVVAVDDDDLSKGLVGYITIGVDTTAIEDEHWSAS PEX2_044650 MASQFMNDGLHALLRRASEDSDPDDSSEAGSKEITTSWALFIMI LLLMFALFTSYILQQRKIQAVHETVLSIFAGMFVGLLIRFTDSTLQDSVAFDYQFFFN LLLPPIILASGYELHQANFFRHIGTILTFAFAGTFISAIVLGLVLYLWTRIPLSGLNI SFVEAISVGATLSATDPVTILAIFNLYKVEPKLYTIIFGESILNDAIAIVLFETAQRY HETGAAGSLTFLNLFEAVGLFLLVFFGSMVVGMLVGIATALGLKYTLVRRLPKIESCL IILIAYASYFFSNGVHLSGIVSLLFCGITLKHYAYYNMSRRTQLTTKYLFQVMAQLSE NFIFIYLGLDLFVEPNLQFNPLFIMVAVFGICLSRYLAVFPLSKAINWFIRYRARRRG VEVPDELPFAYQAMLFWAGLRGAVGVALAAGLSGVNAPALRATVLVVVVLTVIIFGGT TARMLEILGIRTGVVEELDSDDEFDTEVTHGGTYYKRSGTSLGYIPRRTDSVIPLDGV TTTPRPQSGDRAHSYSSGNSRRPSPPYTHTRMYSAAYGNKDQSWRDRQSAATLLNGAA GPSAGEGSDDEFGLPTSGPGRSNDPTHPDEFDLDIEGISDDDLPPAAGGASRLRRSAS QPPQPSSGPPTNAAASTSVSPTHQPVGMSARDAVRGLFSGGASGDHAAWFRQLDEDYI KPTLLLDQSNHKGPGPGAV PEX2_044660 MGNLDYKSTPGSSNESSPLMVATRSADSRGGRPRVGADESSKNA LYMFLLTLSIGGLQIVWSVELSNGSPYLLSLGMSKSLLAFVWIAGPLTGALVQPYIGI RSDNCRLAWGKRKPFMVVGGAATIVSLLALAWVKELVGGFLSIFGVESTSAGAKIVII IMATIFMYCLDFAINTGVQVVQAAIRAFIVDNCPTHQQELSNAWASRMVGVGNILGYI FGYMDLPKIVPFLGNTQFKVLCVIASVFLSATLAISCVYIKERNPQGDGPVTDKLGLI SFFKRVIKSIQSLPTQISRVCQVQIAAWVGWFPFLYYSTTYVGQLYVNPVFADNPHLS EGEVDKAWEDATRVGTLALLIYAIISFLANMLLPLFVVPLYGPAPDTASQRDSLDGDL DDDAEPAARRLSFSSMPTGNASEPLLDAVPVERETEGKPTWLSRLRIPGLTLPRVWLL SHLLFAACMFSTFFIYSHQAGSAFVGFVGVSWAVALWAPFALIAAEVARIDPSRHSHR RTAHSDYNEHEGEAQDGGPGEYNSVGGGDVEHGHPKHHNDGGDVAQAGIILGLHNMAI SLPQILSSLVCSAIFKASQKQRGEPWDDSVGWVLRFGGCAALVAAWLTRRVSDGSR PEX2_044670 MYPQPGASIPMAPPQKPETFMLSTEAQRSLPHDAQVALQQVDNL KYFLLSAPVDWQPDQLIRRFLLPTGDYVSCVYWSGLFHISGTDIVRCLAFRFQAFGRP VKNSKKFEEGIFSDLRNLKAGVDAVLEDPKSPFLDFLYKNNCIRTQKKQKVFYWYGVP HDRLFLDALERDLKREKMNQEATTVAVSEPAMSFEFDSSQSLYEQLTKSQQVNINSFA AHASTTYGQPNSPVVRTVDAMPPPQMAPPAIPMMQEDPGNQMYSHPQMPLSNNLVQSI IKREHDYGPIQYDRHGMPIPRPHQRHSSMPTFYEYSPAPSFVSSQYEDYSNRGLSFEP VTPPQHSIGLGGEPAYIANEDTGLYTAIPDISSGPAYHPMMQLPPSNLASSHYQPAPR SFSANPYPVIEGSPTYKQRRRRSSIPPNSGVVAPSGAAHIQSTTPGVQSVSYAAHRPS DLRRSVSSSVAPVAEGDEATQELARTYPSAMLPQKDLLHDMSRHGTPLQNLDQTTVQH PGSMGSQSNDLTSLPSSSAIETAVQNTGRSERSAPGPTRRARSATMMELGPYPTKAHS CPIPSCGRTFKRLEHLKSKAFSRSDNLAQHRRIHEAQQDGQTIVPTDEDLENDAHEFG SPREEMSPPEPAHTMISMPNMTSMAAPLSMPSALTGMPTSHMIAPQLLQQHM PEX2_044680 MVFARGDVSGHDDQTIDHQDPSKEVTIVSWDGPRDPGNPYNWSL CEKWLLTALAVFATFMTMINGTIFTVAHDLMNERFHISDANFPHSYWPVTSWAVGGGC FSLLVLPLMEDFGVRWVFLGTYVTFLCFVVPQAVAQNFYTLVITRFFAGGCVSILANT SGTVIGNVWDTERNRNVPVSLFIVAYLSGSSIGPVIGAAIYQALTWRWIGYIQLIWYG AFFPLYLFLFKECRGIAILGQRAKALRREGKHAYTQHEIDTQGQSILSIVGHSASRPL FLFFTESVVFVSTLWSAFTVGTLYLFTQSVEQVFAELYGWTPAQAGYVQAAVVIGELL GWVITLFSGKLYFDSASRNKEIPGTPIPEARLYLAILGGIFGISGGMFTYAWTSYPDF PWIAPAVGLAMVGAGSVIVVTGISDYVVDAYSKYAGSCMGIIATGENTLAAFLPLATM SMYSHLGLEWASTVLAFIALALSFAPILVLIWGKDIRARSPFMKEAMVEKRRKSIDSV PEX2_044690 MTTGLSPRLRKEFLKNDTVENFLEKTLNEIVNSLKTIQYALFQE NASLQKPKSHSNESEITTNSTHQHPNIPLVVTVCCEEGRHRSVAFVEELAQRLLLLKN GAGMSHAWKLNVTTAHRDLEALGGSPGGSDSMVSGQLGKSHTKSKREKGKDTRRLNQK NRNGYEDEIV PEX2_044700 MVKTDIDHNPLDPNYEKRRSSLGNMEHQQQDQSDVKDENLLVDR EVAPSARAMCSELAHQHALEGLNKKTGRRSSQDVDHNSFLSDLSTDRGLQAHPEQLEE PEX2_044710 MTSVFKNGRIFMPSQGSSNEGNFAESMIIENDKISHVGSLDGIK IPENANVIDLEDRTVIPGFIDAHVHILQYGQSLRKADLIACTSLDQIREVIKSYAEAN PSLPRILCRGWIQSSTNGIALATMLDGIDPRPIFVDSFDLHSMWCSAAALDEMGAHTA PDLPGGTIHRNENGSASGLLDESAIINLAWPYLESVTSTEDKLSALDTAVAAYTAAGY TGLVDMAMDEKTWKILNLYRRDHTIPFHIGAHWLVPFSADQTANFKYVDRAIELHNQY NEPDFHVLGIKLICDGVVDGCTAALLQPYTGKSDPVEPIWPEDMLQEVVQRADSAGIQ CAIHAIGDKTIHQAINVLSRVGTPGRRHRIEHLELTTSEDAKRLGQLGITASVQPVHS DPMLFKAWPGLVGPERCKRAFAYKDFLDGGAPIAIGTDAPTAAHFPFPNLYNATTRRS ALQTETDDTVNAHFKLGLAEAATAATAGAAYARFADSWTGSLRSGLYADFLVVDMQWK PETLLEARVCQTWYRGKKAFDSCSG PEX2_044720 MQYKSFTALFFASMAMAAPADTNSDLESTEDSLSDIIPPSIAVV LATAVPTSFWAEATNTADFLSQVEQGIVSNSWPTWYSSLPDSVKEYVTTAVEGYYPSF ASSISAEITSSGASSSSPSTASVTSTSISSSGASSSDASSSDASSSTDSSSATDSSSS STATGSDAASSSASASASGSASSTTSDDGAAPTGIAMSLAGAAGVLGLALAL PEX2_044730 MSKVITIFGATGNQGGSVIDAILADPNLSKEFKIRGITRDTTKK TAQDLVKRGVDVVSNHRIHHGYRLTFQRADLDSLDSLTAALKGSHIVFLVTNYWETMN ADVEYSQGKNVTDVAKAVGVSHLIFSSLHHVTEETKGRLTNVPHFDSKANIEKYIRAS GVGCSFVLPGYYMSNFTQMLVRAEDGLYQLFYPVGKQAKFPLFDAAQDTGLFVRAALK HRDQLKNKQILAATKYYTPDEIVDTFSKVTGKKAVFIQVSPEQYKASLPAAMADEYLD NQLFVEEPGYYLGEPLEPSLSLVDGKPTTWEEFVKKNISAWK PEX2_044740 MPAIVGSLLEREVQKLGAQKIAADVPVGSLEDQDIEESPESSST VAPWPSKTPASVEAEAEEEGKEEGTGIHGGQIILEPDPDTSESTLGHQFGRLVINRSS GTSRYVNHRVLTDLGDQIKELRDAFKAPSSPTPSSEEEYPSSPGSTAAYSETHSPFIF GYRSSVSSLHEYRPSPTLSQLLLNVFEENIAPIIMIIHKPALRSLIQASWENPEELDR SSEALIFSVYFAAVSSMTPEECLIQLGEDHAIVVKRYRFAVEQALARAGFFHTHKLLV LQAAVLFLTCACNPEDTQFVWTMIAVVTRLGLGLGLHRDGTHFGLSPYETEMRRRVWW YIYLLDVQTCELEATSPQIREGDYDTRLPLNINDNDLSPHSVETPPERIGFTQMTLTL VRCEILISRRKSMQMTCPGPNGPNILFKNRNLAIEKSKRLLEERYLQFCDLSIPIHWV VATIARVALARLWLVSHFSLLTAQGFEANNWPERCEVLILTAIEVLEFVYLLETNVNT AKWSWLFQGYVQWQAFAFVLSELCVRPGSSFSDRAWTAVDRVWERWNKIRTHKDWLIM RPLERLMKRASATRTRQLIGSELAPPIESNLTDMELADSAMMDQLDDLPGDLMAVDAA SLDLFRDVMTNLGL PEX2_044750 MKPIPIIVCGKSAHVAVGVKEGIRPTYEALHIIQSLEAGMRDIP SLLEGLDPPSKEWANLGTQEYGKKVAAVVVGGGYNDADFEQLRNTGEGKSSIPWLRHD ISKEIDPRQPRPKAGIEYGEQLAKKIVKCLQDLEEDKKMGKDGVYWF PEX2_044760 MANHSSSFLPPLSNQEFKLYNRMADKMQGLHQWFRVRWNIIYDV AESSRRPAGMSIKSYLNMCLEFCENLETHHEIEEIRVFPYLARRMPAFANKDELIKQH KVIHKGLERFESHVRNCLRGNADIRWDEIKDILDSFGTTLWDHLDDEVRELGAEQTRK YWSKEEMTRMPM PEX2_044770 MTSPFVAVAGAAGGLGQLVTMALIKRGVAVKALVRPNTDPSRTQ KLRDAGVTIATVDLSAVPALTRELDGAMCVVSTLQGLKDVMHGVQSKLLEASVAAKVP RFIPSDFSLDFTKTKPGSNRNLDLRREFHAQLRKSGIEWTSILNGGFMDLMVGNSPMI NHKSRKVAYIGKTTQLLDFTTMVDTAAYTAAVAADPNHTPNFLRIASDTVTVKDIAQA QSNVEGVPYKPGWMGTVGFMEFMIRVMRLFGGENDVFPAWQGMQYMANMFSGAGKLDP LDNDRYPDVTWTKVEDFFREHKSKA PEX2_044780 MSLIDACESEGVGRYVASDYSLDFTKLELGQLPAKDPMKHVHEY LKSKNVQRVHVLIGIFMETFFTTFFNSWNAKESSFSYWGSGEEKWESTSYDNAAQFVA AVAQDPTAVGVQRFLGDRKSILEIAATFENQYKVKPQLNRLGSLEELYTYMQQVRDND PSNFMAWIPLFYKFYCTNGQTYLTPDVDASKYGVIPRLTFDEFFKHHSLESLSTAAWG VGSNVSALPRSIAIIAGAGPGTGSAIARRFAKAYPVVLLARSQSSLDPLIAQITQIGG SAIGVPTDVSDSASMTSTMNQVKAKFGSDVTIAAAIYNVASKFTRKPFLEQSQEEFLG SLEPSVKGAFNFAQATLPLMLNPEMGQSPPTLIFTGATAALKGGSGLSGFAMSKFGIR AMSQSLAREFGPKGVHVSHAIIDGIINTEKTKGYLDNVPDGKIDPEGIAESYWFLHSQ PRTSFTHEIDLRPYVETW PEX2_044790 MSANNIAFTAPINPAGASPKLHQDQIWAGLRLKVRSAETFVPRA IQSTTVISDSIDPTTGNEVTVREVVFVENQRKVQETVTAYKPSRAVFIQPDGSTIVNV VSEDADGELYMTYTFEWRHPGASKEELAAFLEKEKKMSRMAVEGTITVLRELAKNGKI PEX2_044800 MQSIILYGHHAGPNPKKVVMVLEELKIPYEIKLLEFPEMKQPAY ESINPNGRVPAIEDPNTGITLWESGAIIEYLVEKYDNNKVISFQANTPEFYHAKQWLH FQVSGQGPYFGQAVWFKIHHQEQLQSAVDRYIIEIRRISGVLNGVLQDRKYLVGDKCS YADLVFVSWFEVVPWAAGDRVDLEKEFSNVHAWLNRLKSRPSVITGLGVKSEAPKKL PEX2_044810 MSDRDPPTQEPDPARAPGVAQGGTSMPLAPYTALQHDIGQAYSK YVAYSQVIANGGYLAPQIGNLIFYNEVEKTAARIFGQAPPRMVEYNAYRPIVWTDVLL QSLTADDFDRQESGMYWCRVWGTLIEVSEANYIAILSDIPQQLLQPFRNLSDGQKEAR VRFLLRSYLRETYNNLFQFSVAIGASIRALDGPHPIQASVYHTTPVYTGPNQTEPPNP NSAQRPNSAKSVVIISDAEGGRDEHTQDNINVQASEWGLLGDSTSDEDHVPSAHALAS NSTGSESTTDYHSSSSENRPRNTRITSDDDASIDVVRGNPGNPVNDDNAHTGFVTYRL FLGNSQASSHNANAGLVDNNIPSAMNNHMVGTVDANGNIQENSHANDNPRPSGLTSGV TSRSIYPWLMNIETPVSEDFYAQSSDTDTSHEVKVEPASSDEGPYGVEPYDEESDEEE SDDEKRGDEEPHDEASPSTDTTTEGHGMRTHGSKRPQTETPPSECEIKPRTCVRYSQL EEAEGPEFVRCLVSKGSTCAEIEEEYSEKFGLFRSAAGLFKKFAIKGTWSILKHHEQA KRQKTMPA PEX2_044820 MDRGYPNHPIKWGTYREGVRSQLQRFESLPQDGIALMQSYQAVL DNDETFTQYFSDEPLESYRIETRLRETLGIPPPSLSDSEKNPSISWVNIFRHGSFEKQ TDGSYHCYDQGNLVQIDESLHKSILLNRCFLPANSYLWPSPADAERESEVTLAIEADV LDELDTKIKYVEKLKRLQLALKKRRFKLQGIRDPVKRSRTPQTPGSQYPTPNKSYRPT ISVEAHDTRARSSSESSGVSSGSDIPFTTPGDVSRPISFDRDDDVVDNTDQQEHLNLV AETNQKAYNHEMGGSVSGLLGNRKPEVAQSQAETQHYKQSQGYGSAKHQLPGNKDLMR PPAKKPKVEDAPSPMNIETIGLGEELPMWKEKVDTPIKPQPTSSTAGTRRNGQKRTRN NFTDYERKHAPAWFKSQVDAGKLPSEIEKDYVKKFGVFHRWLTIRLWVDRMEGRDIKK EKGVDKEDTPSKIVPIRVFPTSRPKTAAPVPHPGFAAAPPYASPYPLFRSSQQADGAT VMYELDWPSKTAGSPPIKSGLPNLC PEX2_044830 MWINCGKLSDFTQARYVVRLTTGKKVVLFRLPTIDKSSLKANET NDGWSYYAMEAECPHAGGPMADSSVDIEDSAYVVSCPWHAYDFNVETGKSSVGIKACT FPVDVRGEFIALDFPVEDRISVALASVDPVSEKVKLKHPRPAEKAAAPATTVTPTSAS ASASVGREDPGMATYLGDDATLCDWAAHVLNTANPEHKIELATHLFSIFTAREASDSP MPLGSGTVAAPDQPPREKMETVDPGHMPKSGRGGTLKSRIAMLHALANIELWAIDLAI DICIRFATFQTEGTSQELPRAYFYDWLKVANDEAKHFSLLRTRLEEMGSSFGSLPVHH SLWLSATETAYDLRARISIIALVHEARGLDVNPMTIEKFRKAGDSESVATLEIIHNDE ITHVTTGHRWLTWICAQEGTDPVQVFRSNVQKHFKGPIRGPFNEEARLQAGMDKRYYE NSCAPSAGITAS PEX2_044840 MQFLTTLLLLATSAIAAPVAITARQSKHFHLKSTGATNENHNNL YVYSYHTGAGLSDAVLTKDASIASSIYLNGTNALVDLQTEFTWGLIATGNTNYGSWEP IVINAGSGGSAGYSINSDNTFQWSERSGFGGWLVCDWYHNAPQLFYLNRYYTPTIPSS CSKVQLTTEYI PEX2_044850 MDPSTYTDPQLTYQDRLVINAIVEPQPSSNGNTPALSIKYQTNK DPDKTSEQPLEKLSAEETVQKLHNLNDPSHVEFDPTVSQFWDTPLLRAKLPAPIQKYL LTPYINWAKGIVRYQTDVVMVTHLILYFTTIVPSGAYLYYRFSYLHGALHWLMQGFYC GAFTLMKHQHIHMNGVLNSKLYLFDTLFPYLLDPMHGHTWNSYYYHHIKHHHVEGNGG DDLSTTMFYDRDSIPDFLTYVGRFIFFVWLELPMYFWRKGQFKYAVKCAFWEIGSYVA IYMLYNYVNARATTFVFILPLTVMRLGLMVGNWGQHALVDPADPDSDYLSSITLIDVP SNRFSFNDGYHTSHHLNPRRHWRDHPVAFLKQKDRYAKENALVFRNVDYIFITVNLLR KNYEYLAKCMIPIGDQVNWTMEERVEMLRRRTKKFPKASSKKSQ PEX2_044860 MFSASTLLSMGTWAAVVLQATAIPYGPSPNKSIRDSSNDPCGPV GKFTQNPTIEKWNNAKTDDWLNNWWTENADQRTSSPYGFAGAFGQYALGQPGWSCQNN GNDANCDIPVCNNPKINALGKSTEETYYVLQALNNLHGFFLGLEQSFNIAAVVSALDN DEIVNNFWHDENKWDPTALKEILNAIATVLGVAVAGLGAPALSEMMKGSLLPTIAGAA SGMVSGGVGAASIAVSSTDTSDITQANLGHIMAQAVNDIAGSFISTNNELMFGHGYGS SSEDIRTYLQGGSWVNYPGLQKNGARDSMIAIMQSMMINSLWRMQRVFIIGGGACGDG QDIGHGIAGSGDNTICDDNNRAWYLYYWQKQNGPSTKTDGWVARPWGSDRMGASPIYQ GSGPFWKNLNPLDAVKSSLKSFQAAGNNYSVSTMTSRMGEIFASGANAYSEGASMEGV WTIPVCDVSKTINNPDYIYSKKGDILHPYGYDERPYWCGPICGMDKDITTEFYKAANF KDGFEKPFLSGCEAWIMNGDGANGIYWDWTTNTEYTRGQTS PEX2_044870 MTSKSIVQASSEPNVELKSYISLDRSLHDAREIYESFASIVESS RPLPANFSGSLFYFQVQNRIAKFFSNPRPRLVEPEDYFDTPLEWTDVLRESLAPESFK HARDDLYHCCVQGKWIEVTENDRSEILCGVLEGLMDSLTRMSESRQRQKFQNHFDETF EFVQKLNADMAKTKQLIQEANNTQNGDTGDRDSYDLLDLNPPPSRDRLRISDKNLGPT PPSISPPLSTTPETLPTDAGRKPDTAENQTNISASDGIVPSESEISNKNTGNFARNME ADTLSVVCEIPSTSNKETSRQPPSTVNKTFRPIVSAISKVAVSQTPVDVNKVTGSQTP AAFNKQAISQSPRAVNKFAVDQPTNNVVSQALNTVSKITVGQAPTTANKATVNKPTKN ATNHTPRAAKKGGISNNKAASQTPSTTKRVAAIKPAKNAVNNGVVGQPASRTLAQTPR VVHQDYISQAINNAEKQTPRTGATFQTSGAVDKVAAPQQAPSTILEDFVNQNSENVHK EASGQTTIIDKQRGNDVITNKRTSHVAIGTVDGYSMYTNDVTTQSTNKDTPNAVDKAV VGDDEDVESVGSDIPYDVNSHLTSNLNTAVAQFEAIRRGDVSVQLSAQARREALVARY SQPLRGSKSYTSREIEEAPGFIQHVLEQGYSHQELEEGYNWIFCPNYPRTSAGLLRKF IDGRKRKLDLTMSQSQDSAKRLKGPDSAKPPSPSPSEWSTQ PEX2_044880 MNSPEYFISWGSERHVPLRRFETLQSARRDLIRYYREVFETAQT FTQHFSKQPLNSYEMERSLRKNMGCGPSCLDEDASSTSICWLDIVRHGEFQQQSDGCY HCYIQGKVFVHDEQLHNRVDFCRSHALHISNSGPSDADIERESKATLDIFTDISNELS DISNYTKGLVDLQREVGIRHSEVQLLRRPVIISPKPTNSPQYLTPLEPDTAGPTGRTH ASIPAEAGASSDSSGSENGSDDDRDEDSSEDESGDEDAEEDGPEDKGLHDKGTEDGSS KNDTSQQSNNNSDNGGNAPPDDAIVVPPSLLFSRTPSSMSLIAEEENVISTGHASLPG ENGNAVSVNNAPSNYSSRTSSLTVNENDTPMDHVSLKEGPQVDTHLSPQKGPKRGGRN TLKSKGPSRRAAKRRRLSNVSETQEELPETSRKSTSQERSIGVAWMKANLHRGWSGKA LAEEYFIEFGRTRQYGTLKSWMDKDKAQAESYIVVLKVPIPFLQEALLNDDSS PEX2_044890 MALEFTLPTALILIDNQSAFSDAKTISYWGTTRSNPSYEINLQT LLSAFRSARSSSSTLLEVIHIFHSSTTPGSLLHPSNPGQGTRPLDFATPASDGSEPVF WKTVNSSFIGTGLEAHLREKGFRQLIIAGLTTDHCVSTTVRMAANLGVVDRYLRDGPV RLRSDGTHENDVEVDKGRIVLVEDATATFGKAGIDAETIHKVTVASLDGEFADVFATK EVVKALSGIQN PEX2_044900 MCPPLWVWLFGQKEHSLRKLYKNLRYMQPGYISNQCCNIDIHPM DFWTGDTDPSLVQFFTPDTDTDLKIANTALNPKNPVDDPFTRAQAMKHAQDFIPQEMH HKLHQAFSKYLRKSPGGTPIFWDLVSMEEDESLFNSNDKHGFTIQMGATSADGKTAHQ LVVMESDNCYHGILPSVGELMVLVRWMLSGIKNHKHQFRRYHRQERAQHDFPTMVISF LPDARVRVLHGYFDDGRLKVAYTQALNFDAEDYTDKMDTLLQWAWPLTDGDTTKPIPL PTIDDDEEDEWDEWEKWELRYREDNEDEFLGSKSDESDSTEADEDLSDCESEFEEEVE SDWEDDEGPSPTVEWGDDEWREEEELVMSADIKRKIMERLDEKLRGLGKL PEX2_044910 MQAFRRNTLSALRNVGATQRRTQATSAYSETLNNLKINKDTRVL FQGFTGKQGTFHAEQAIAYGTNVVGGTNPKKAGSIHLDRPVFANVSEAVKETGATASA IFVPPPLAAAGIEEAIAAEMGLVVCFSFNSITEGIPQHDMVRITDILKTQNKTRLIGP NCPGIIAPGQCKIGIMPGFIHKRGRVGIVSRSGTLTYEAVNQTTLAGLGQSLVVGIGG DPFSGTNFIDCLKVFLEDPETDGIIMIGEIGGSAEEDAAEFFKANNIHNKPAVSFIAG ISAPPGRRMGHAGAIVSGGKGGADSKIAALTDAGVIVERSPASLGKTLLAEFVKRDLV PEX2_044920 MATMTMTSQEIKRAAKKNAKNVPENERFMRACSDIANALIQDYE SHRDSTKPKKDLNLNKLRATFSKKHSLSSSPPLTAIIAAVPEHYKKYILPKLIAKPIR TSSGIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRA RYDPFEQARGRVDQIKALGHSVDKVEYIIMGGTFMSLPEDYRDTFISQLHNALSGYQT DNVDEAVLAGEMSNVKCVGITIETRPDYCLDTHLSSMLRYGCTRLEIGVQSLYEDVAR DTNRGHTVAAVAETFRLAKDAGFKVVSHMMPDLPNVGMERDLFQFQEYFENPNFRTDG LKLYPTLVIRGTGLYELWRTGRYKNYTPNALIDLVARILALVPPWTRIYRVQRDIPMP LVTSGVENGNLRELALARMKDFGTTCRDVRTREVGINEVKNKIRPSQIELIRRDYAAN GGWETFLAYEDPKQDILIGLLRLRKCSETHTFRPEFTGQQTSIVRELHVYGSAVPLHG RDARKFQHRGFGTLLMEEAERIAREEHGSRKISVISGVGVRSYYARLGYFLDGPYMSK MLDPWEDEE PEX2_044930 MKPVVSALNAWSCVVISAFAIVILSVLGSLYSSNNHAYTGSEGE PEDGPAVAASIYTAVIVYAGFFVFCGFQAYLHLRDSRGGAISLH PEX2_044940 MTKFRPCIDLHSGQVKQIVGGTLSQVAADLKTNYVSKFPASHYA GLYQKHDLRGGHVVKLGPGNEEAAQEALKTWPGGLQVAGGITDKNAQDWIDQGADKVI ITSFLFPGGKFSLERLESVLSALGGDKSKLVLDLSCRRKDDTWFVAMDRWQTITEMEI NQESISMLEPYCAEFLIHAADVEGLQQGVDEELVSRLAEWCTIPVTYAGGARHLQDLE KVHTSSNGRVDLTIGSALDIFGGSGVTFDECIEWNKTH PEX2_044950 MVLKRKRETTVVSKPKPKEDSPPPVDNAQDVFRRLFEAQFEPLD LPAPTAKSTNSDEEGNEDDDDDDLSGSEGDWDGMSDISDESNQVEVVEHTDAYAAPED RMDKKTWKAFMSGKLLSDLDKPSTEPEPTSKKEEEEDAHDSANLKHDLALQRLLKESH LLDSADDLAPTGKNRLKALDLRMQSLGAKTSLYAQTKMPTAHRRGIKAKAASKEDRRR QEAKENGIILEKPNKVHKSSNNGRRERGVAGSSVGKFSGGTLNLNKDDIDRVQASGRR MMGGRGRGKTRGGRGGGGRGGRGGRGGGRGDKR PEX2_044960 MTLSQFSSTETLRDPGVIGQSVDSSPNVSPPDEKHVSVSATPAE STDVESQVLESPPYHVFTRSRKLWIVIIVSFAAIFSPLSSNIYFPALTDVSNELNISM SLATLTVTIYMIVQGLAPSFWGSFSDVLGRRVLFMGTFGVYIVSNIALAVSTNYGELM AFRALQAAGSAATISIGAGVIGDITTSAERGSLIGIFGGVRMLGQGVGPVFGGILSQY LGFRSIFWFLVITSGISLFSIMFFLPETLRSIAGNGSVPLKGINKPIIYAISGQKDAQ EHSIPSGKKGKVTFKTMLEPLTFLFEKDVFITLFYGSIVYTVWSMVTSSTSDLFEKQY GLNSLEVGLTFLGNGFGCMTGSYTIGYLMDFNHKRTEREYCQKHNLPIDTRINLKSHP DFPIEYARMRNTWWITAIFIACVAVYGVSLRTHLAVPIILQFIIAYGSTAIFTINSAL VIDLYPGASASATAINNLMRCLIGAAGVAAAPSIIDALTAQFTFVMLAGITLAMVPLL MAETKWGYGWRIERQERLKKKNVSV PEX2_044970 MESARSLYKNDVDFAALALQSRDFAKHLKPNGQLDFNDPAAVRQ LTTTLLQQDFHLKVEIPDDRLCPPVPNRLNYILWLQDLLDSTAGGLNEGYDRGREVVG LDIGTGCIGIYPLLGCATRPGWKFIATDIDSNNIRTSRHNVSLNNLESRVQIVHSDPT GPLFPLENLGRQTLDFTMCNPPFYTSPNELKQSAEQKEREPFSTCTGAEVEMVTRGGE VAFVKQMIDESLQLRERVQWYTSMLGKLSSINVLVETLIKHGIANFAVTEFEQGSKTK RWAVAWSWGDRRPAMNIARGIPGCPKSLLPFPADYTFTLPPGTSIDTATAIINAELSS LPWFWTWDQTRSAGTGFAAENVWSRFARRKMKLAGEEGAAELKAIPAQVALGVRLQIR LVRGEKPDEKEVKVLVRWAQGRDTVLFESFCGMVKRKLESK PEX2_044980 MSYSQGSTGSNGMAYNMLNASQSLSATPRATPPPPKGSQMSSFG YPNGLARTSFGGYDGANDYGSVMSYQEEYKPQIYRAVYSNVAVYEMEVNGVAVMKRRS DSWLNATQILKVAGVVKARRTKTLEKEIAAGEHEKVQGGYGKYQGTWVNYQRGVDLCR EYHVEESLRPLLEYDMNPDGSGAPAHESTIDTPTKEQAMAAQRKRLYNGGENRSASQP QQGTFFQNISRTAATAVNALSKARFDSPSSNNRRPSMARKPSQQMSSQESGLAFSSQQ SMYSMSDSGFGSLQNRYQVQDDNDDLAEPPRKRMRSTSHQGPPIGLAREPSNLSMHEP TPTEPNDSFYQDMDIPPPPIDDGSKRGVESLAPASTPERFQKMKLIMTLFLDKRTKDF TDHPALLQLTGDDLEIPLDEYRNNALHWAAMLARMSLLNALVAKGVSISRVNGAGETA LQKSVGTRNNLDYRSFPRLLQVLAPTIDMVDYSGRTILHHIAMMAATGGGGHVSAKHY LEALLEFIVRHGGIVPSANGDHAPNGNPSNEVISLGRFISEIVNLRDDQGDTALNLAG RARSVLVPQLLEVGADPHIPNHTGLRPADYGVGVDMVDGNSQSQQPVNRNDTFIDQLA KSKKEILDAAMSQISTMVEETLGSIDRELASSLTQKQESFDHWHTKIRESAKARQIEQ KQYDELRRKGAERVELDRRIKNLERSSEGLLVTVKNTPGLDATKTVVVGDADRDSGVD VATFDALFPEAFDPASGFSDHQVGFLAALPPTDLLRRQAQCYKEFNGGILAEVDGLKA KNAVLGQNYRRMVMACTGWTAEQVDEAAEGLTQCVKELNDNPVPEDEAIEILMRDRGQ DWINNMATGFLHVKGDTIVDGNGEGVVMRGAALGGWMNMENFITGFAGHEAQHRAAMR RVLGQEKYEFFFDKWLEYFFTEADAKFFAELGLNCLRLPFNYRHFEDDLNPRVLKESG FKHLDRVVNLCAAHGIYTILDMHAVPGGQNPDWHSDNPTGYAAFWDYKDHQDRTIWLW EQIATRYRDNPWVAGYNPLNEPCDPEHVRLPAFYARVEKAIRKIDPNHILWLDGNTFA MEWKGFDEVLPNSVYAMHDYSSMGFPTGDRYKGTAEQKERLERGYLRKAQFMSENKTP VWNGEFGPVYADQVFDVDAQTVNQERYNLLGDQLRIYDNYNISWSIWLYKDIGLQGMI YTNPESKWNKTLKPFLDKKRDFWLDKWGRRPQSEPEAALKPLLEWIDRVSPTAKETYP TSWNTELHVMRNVFYTFLAASFVDEFAELFRGSSEKDLEELARSFHFEGCVQREEKSK KIAGHILDSKIIKRLLEGFDMAESPAYPSNLDSIFDAASPLKDRIDPIRLTSDNIVPI LNLPLTHKVLGHDASNESLAQVASGEISYTTFVANQARAALESSDDLTTEQKQSQLLH LGLAALFSFLQSNVTGPPLEFSSAEAVLPSSLRTEPTTLKAVRAKIIRDLTLDGEAAY KLTPNPELFAVAKALLADASSDGPLVAKTARMRTNFLHQKMLSEVTSTLQDVIYKDVE ILDKAELNSDERSRFLLERATIHTHHGFDAKAREDIDQAAKVRRFEFALTGKMGKRTK FQDRDISQLVVLAKSADETPQSSEPSGPKNLNLDDDTLLEAISFSDQKPDEQSLAIQD KVSAALDAIDANNQPILNPVDSALLLALASAITNTSPENGLTREETNPYATRVLDGGS SNWQIYTQALLVRSRVEGYRSRTVERAVLQMQALVDQVIADTATSDDAAQTESGDPTT FLPRPEQSESAPAADRLEHIWILNFSTRWSLEAELAKRWVDLGGLRTALEIYERLHMW AEAALCYAATEREGKAKNLIRRQLYQATGPDENDENEQFEGPERSPLPADAPRLLCIM GDIDKDEKLYERAWEVSGERYARAQRSLARHYLTSTPPQLEKAEIAYKKSLHINRLNQ GAWFALGCVQLELQKWQEATDTFTRTVQLDDTDGQAWSNLAAAMLRMPAPEPAPEVID ETTGEVSVAEVDPYKRKREALSALQRAAQLKGTDARIWDNVLTVAASIPPPATPFRDV ITAQRRIIELVGTKNGEKCIDVAILGMLVDFLSVAFEYEALLIRSDDPSEAPIVRTGT IPGQIISLVDQNVVPLITHSSVLWQIVARVEVFRGRPSKAFEAHEKAWRATIAANAQG AFQMGDEKPWLEVVRATEKLVRDGYAKFGPMDREDQKTGGDQEAELVAKDWRFKARSA VRGIMGKGKDFWDGTEGWDRLKQLQSEVTGN PEX2_044990 MKDHIISRYRAVSSRSPKAGPNAVHAARNALSVLRRANEGYSRP LEKVLFMSYGRTGRRRHELLAKILTPEIPNDSLALKELLSRPVDFSDGWEPSAIVKSL SASQMQNTVVAAARIRPLIKQLEPPIPNKNSWGKELAESRKRNIRRQWYNNTLNSLLP PLPEKDLQTLEGLISGTVSWEPPKRRTSKPQISQTKPGGELFKLLARGPEKGTTFAEY ANGRPHTITVRLMRRQWRRLSALVPRQYWNPISQKWRFLWDSPKEVPKLSFDLDSSID PEAFFKKLSIHEKENDAEAHQVSQ PEX2_045000 MSYGGPSQNQYGGSASYYEQQGQGHYPPQQGHSPQPQQGYYPPE GQQAYGPPQHGQHPGPYDQQGGQGYQTYPPQHQQGQYPPQGSPGYGQPPQQQQYPQSG GGESSAYYGGAPQHQQGQPGAVGPEGDRGLGSTLLGGAAGGFVGNKLSHGILGTAGGA VLGAVGMNMATKLGKEHKKHKKEKKHKKDKKHKRKGSSSSSSSSSDSD PEX2_045010 MGEAKPQYAATSSPDVEENMSVGRYIATRISSLKPPMNPAPNPF KALTLLNRTQWLNFSVAFLGWSWDSFDFFTVSLTTSELAKSFDKSVTDITWGITLVLM LRSVGAITFGIASDRWGRKWPFIVNQLLFVVLELGAGFCQTYKQFLACRALFGIAMGG LYGNAAATALEDCPPEARGIISGLLQQGYAFGYLLATAFARGLVDTTPHGWRPLFWFA AGPPVLIIIFRLFLGETETFRRRQEARQEVRGGVAASFMSEGKVALQRHWLMLIYLVL LMAGFNFMSHGSQDLYPTMLQNQFNFSKNAVTVTQVVANLGALSGGVLCGWASQIFGR RFSIIVISIIGGALLYPYTFVQNKNVIAAAYFEQFMVQGAWGVIPIHLMELSPGPIRT FAVGTAYQLGNLVSSASSTIESTIGERFPLPPTKAAAHRYEYGKVICIFMGCVFAYVI LITIAGPERLGRNFDAEHDADLQEVAAHRGLNNKEDFGEDPEKAKTSIVP PEX2_045020 MHRRSSGSPVEDEDSSVSRTDDGTPIDENTRSQIARRGTSSDLC RDGSATPRSRNSSMWRTPSSQPSNDTKSMPLGSPRLPMEAPSPDGRRARQSRQRSPWS CSILTAFTTCVAVAFVISIVSSFTGRQHGGDGCGVPMMSPTFIRMLEFDTEHTRFASK YNLFVYREEGVDPYTQDNIELNGVPVLFLPGNAGSYRQVRSLAAEASRHYYDVVRHDE SRHAAGTRSLDFFMVDFNEDMAAFHGQTLLDQAEYVNEAISYILSLYHDPRRTRRDPG LPDPSAVILIGHSMGGIVARTSLTMANYQANSVNTIITMSAPHAKPPVSFDSDIVHTY KQINDYWRDAYAQTWANNNPLWHVTLISIAGGARDTVVPSDYASISSLVPETHGFTVF TSSIPDVWIGMDHLSITWCDQFRKAIIKSLFEIVDARRPTQTKPRAERMRVLKRWYLT GLESVAERTLPQKEANTLLTLEDNANTILAQGQRLVLRELGLQHGPDVRLLPIPPQGV SGKKFTLLTDQSLDKNGNVEVLFCSVFPLSNGRSTNFPLNLDFSGGTVGSTRLACKSA AEDSIHLPASTPSSKHAYDRTPPFSYLQYDLEALAEHQFVAVVDKANVPTPGFLVAEF SDSSDAMIRAKVGLGGLLSAGLKMRLPASRPMLMEVKIPALYSSLLDYRLKITRHPQK EELFAPLLRQSVSDPHESKFFVNVNEVNVNLHGVAPYMPPAISEQAALGGVSFHLWTD PTSDSTVDISLQVDLTSSLGELVMRYRTIFAAFPLLVVALVLRKQFQVYDETGYFIPF TDGLDRALRSSFPLLLVAMSLLASSLATSKALPQTDEPLHWRSNSTETPVDFTKNDLL LGSQDAFFWFLVPVFGLISVGVCVLVNYLALVLVNIFSWAYGFLNSKSGYIRREDRGN LPIFHTPTPRRRIIHTAILLILVSTVIPYQFAYMVACIVQLATSVRAQWHAKETRSTS HLNFDNYAHSILILMLWVLPINVLVLLVWAHNLVVHWFMPFSSHQNVLSIMPFLILVE TMTSGAMIPRITTRFRHVTSMIFFAIAIYSAIYGVSYAYLLHHLANLLAAWFVGIYLF GNNFSPRRLWRIIDGDELPSETGSSHVKKKP PEX2_045030 MPAANVAASPALTGKETAQSVAVLEDLIKNLNLTTSADETNAAA SNLATLFSGPTAEQALGLKATEIFKKQLANKKDATARERACEGIRAIASHPTIAPGVE PHLVALLGPVLAAVGDKMVPVKQAAQSAAVAIVQAVTGNAVKAVITPLLDSLANAQKW PEKMAALDCLNILVDSAKMQLGYQVPTLIPVISEAMWDTKSEIKKAAYSTMEKVCALI VNKDIERFIPELIKCIAKPENVPETVHLLGATTFVTDVTGPTLAIMVPLLDRGLVERE TAIKRKTAVIVDNMCKLVEDPQLVAPFLPKMLPGLNKNNETLADPEAREKTRQALDTL SRVGNVVDGKIPEVSRAGDISTVAAILKEILEAKHKDIIPTSEEAINYVAAIAAQLVD EKENDHVIWTQNTIPYITAIVGEADAKAVADELRKKACPDAAAADAIASDEEEGVDLC NCTFSLAYGAKILLNQTHLRLKRGQRYGLLGPNGSGKTTLMRAINNEQLEGFPKKDEV KTVYVEHDLDSADTEQTVIAWTQKKLAEVGQNPPREELESKLLNEFGFSEFQIQGPIT ALSGGWKMKLALCRAVFESPDILLLDEPTNHLDVKNVAWLENYLKSSPCTSIMVSHDS KFLDNVIQHVVHYERFKLRRYRGTLSEFVKQHPAAKSYYELGASEMEFRFPEPGFLEG VKTKSKAICRVSNMSFQYPGTPKPQIHGITFQVSLGSRIAVIGANGAGKSTLVNVLTG ELIPTSGDVYQHENIRIAYIKQHAFAHIDNHLDSTPSEYIQWRFQTGEDRETMDRANK IVTEEDEKAMDKIYKVEGSLRRVIGIHSRRKFKNTYEYEISWSLGDNVGMKSEKWTPL MSADNTWLPRSEIIVSHPKQVAEVDQKEALASGQFRPLVRKEIEQHCANFGLDAELVS HSRMRGLSGGQRVKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKAIKSFEGGVVI ITHSREFTEHLTEEVWAVNDGVMTPSGHNWVQGQGSGPRLDAKGDDDEDKFDAMGNKI VVQKKVKLSGSELRKKKKDRAARRKRGEEVFSDEDDL PEX2_045040 MSSLYGSEDQSQESSPEPQVPLNDQTDAPINADGPIIADDFSDE DSEFSSDSDITSLSSSVLDYEYENGRRLPNDEEEQDRMDLQSRWLLMLKGELHKAPVK NPQKILDLGTGTGIWALDIAEKFPTAKVIGNDISAIQPNWVTPNVEFIVEDFEQEWLY EKNSFDFIHARLLSGCVADWPQFFAQIFDHVKPGGYFEIQESAIWGWSDDGTLKPDAP ILQYLHALNMAGIAMGREFNIYDKLEQWMIDAGFEDVEQFTFLLPYSPWPRDPHLKEC GKYQGVMVQQAIESYGLRLCTQVLGWGAEPTRVFQAMAKKNLRDKNVHAYVKE PEX2_045050 MEFIRCVSRLRLPRRYLVKSPLLQKSFRHVRFTSTESPVPPSIV LRDYQEESIQSVLKYLGEGHRRLGISLATGAGKTVIFTQLISRIPPRDAKATKTMIIV HRRELVEQAAKHCSLAYPDKTVEIEMGKNVATGAGDIIIASVQTLARGRMYKFDPSTF KLILVDEAHHIVAKSYREALGHFGLNQPSADGPVLVGVSATFSRFDGLKLGAAIDHIV YHKDYVDMIGENWLANAVFTTVKSGANLSKVKKDSFGDFALGSLSEAVNTTSTNNITV RAWMASAENRKSTLVFCVDVEHARQLTAAFRDHGVDARYITASTAKGVRSEQLRAFKD QEFPVLLNCGLFTEGTDIPNIDCVLLARPTRSRNLLIQMIGRGLRLFPGKKDCHVIDM VASLATGVLSTPTLFGLDPDEVLDNTSVEDMKKNDDQGSQSEPTPEAAEPYDGSDNDL KLQFTTYDSIYDLLGDMQSERHIRSFSPHNWVRVGDDRYMLTDISGWITIEKGDDIFT AHHVMKFKDPTTEAPQFTRPRKIATGSDLESIVRAADTFASTKFDEHYIASWKPWRRA QATPGQIKMLNGARIRDGNVKPEDLTRGQAADMITKLKHGGKKRFKDLEVQKRKRLRQ VDNMAELQRRVDVKVGPVEA PEX2_045060 MSDSASAYEPDDDPESESDFEQPESESDFEPPRSPDERSTTPNQ DLNEYDYHRDPSFNGIGRQLGDALMERVPQIRGSLTFLRAYAHMIVQNEEIIDYASLR ELDNPYQVSQHGSMVWTPTEKEVFFNALDRKGKSGIKEIAAAIGTKSELEVMEYIRVL HKALTAQYSSDVHLERMPVLSDVLAATEVSEECCGLLEEYADVLSLKESLIEAQTGTQ QHGDNWIITRARAQDLEAEEDDSARGDLRLASDLLNLPYWLRLSSSLFMNLGGTQAEN NWWNLAKRQDLITAYGHTPSMTADTAVEFYSLAVSVTRRLIQSSLFFAMSRLRSSNRS GNDKKGYIRTQDVRAAIEVLNMKHCRPNFVDIARRNETVLEDINNRKGWTAMTFTYEE AKEILEKHEWTRYRKDGSMYNGDNDEEDSEDDAITDDDIGTDDDIEMEVNNQEAELQP VPELELEPEFELDSGLEDSDHDHLLTQEPSNMRAPIALPDEVEMDPEEKEADIADQNN SHREEANFLKLMERPVPAILEEPLKAEEPEDEKTLLPERRIREDIFNWRDRTVYRSEW EEYGYDFADLKEDIEMPPLKRPRYNEQAVVLSAPVPPSEDDDNGSQQGENPPTAGESW LQ PEX2_045070 MDDTPINPAAAHENVQSVTQSVTGLDGAADAAREASGAQSRQDS PVKKVENTPGSDNPMDAPASPKPRNGGVEPEDEEMGGTETDAKPDTEGLEDAVGESHP QVEGADGLEDAVGEEQPAPSKSSLEAAAREQLVTQTHAIILPSYATWFDMNTINPIEK KALAEFFNGRNRSKTPATYKDYRDFMINTYRLNPIEYLTVTACRRNLAGDVCAIMRVH SFLEQWGLINYQVDPQTRPSNIGPPFTGHFRVIADTPRGLQPFQPGPNHSVTSGKIHP ATQHAVSSVPASKEDLNIELRRTIYDEKGRDITSSEDKEKHTNGEATNGLDIAQESKK KAHCFSCGIDCTKLRFHYAKSTSTSANATTPDTKYDLCPNCFLQGRMPSSHNASDFVK LEDKSYSHLTDKDTPWSDSELILLLEGLENFDENWEQIASHVGTRSREECVMKFLQLE IEDKYVEDVAEPQSGSGRDPISQSENPVLSVVAFLAQMAEPAVAAAAAGRSVEEIRKE LRSQLEKGQGKGKDAVKSEDSMDVDQAREAEQQVSAKPKETLGTIALAASAARAGALA SHEEREMTRLVSAAVNVTLQKFEIKLQQFNEMEEIIEAERRELEQARQQLFLDRMTFK KRVKEAQDALQTVSLQGPNEHTNQMLADAATTGIGNHYSFQPVGGDLRAGTQPLSAQG GADYKTLDL PEX2_045080 MPRTTLRLGPAVKGSEKNIKRIWMPLHLENLDHPQNVLDRQSVL DLIRSVYDPLLINWLAEPGRTWVNQFSGRSSGTQFQAQILARVGELPLGVQSIETRKV LGGILYDILRFYRITDPDWRVPAPGSAGPPPPPPPPPPPPAAASVPIPASATAPIEEG AGDVLSAAIAMGVVTAAANLEVLTDAANQVLGPTAPAHRPTPLEILAEVASSAEPSPI VSPTSSLDILAAAAGTLPYAPVPAPPAAPAPIDSPTPGVKRGRDDESEDESEQHAKKK QAVSPK PEX2_045090 MQSILQYRRLRREVEEELANVQSKPSSLRSNTSPFSLEANADGY TGPETKELQKPVVSTDMLLVPGVTVSHPNGANGDIVLVVGWRDNDPSKPINWSLLKKW MVMLMCALIAIAMTVPSSVEGATQDAFDAHFGVNGMAGSMTTGIFLIGIGVGSLFSGP FSETFGRNAVYFVALVFVIVFTMAKALAPNYGAALAFRFICALFAAAPMTVAGGTVGD IWQPLQIPFSLPLLTMSAYMGPILGPVIGAYTPEIGFFWADWISMIIAGVVLIVVILG QPETFSPILLEWRAKHLRDLTGDDRYRAEHAATSTSSFSARLLTNVYRPFVMIWTEPL ILIFSFYLVLLYFVLFTSLNGYPFIFANVYGISTSLTFVIFAAMVPGVLVALALVPLL YGLTKKAAKKAEDEGKALQPEVSLWWAMAGASILMPISLFWMAWTCYSSISIWSPIVA SGIFGYALVCIFTTTYMYTIFVYLKYAASALGFMTFSRYVVSGALSPASVKMYENIGP HWSLTIVGIVATIMAPVPFVLYKFGHRVRAMSKNAQNKV PEX2_045100 MIIIWGKGRKKLMSVGILFFVLVFVFHLLNFAKPLSNPNELSAS MDLITACATSEFEDPDDSHSIAVPQIPNVVHQIWKTNDLRTYSAQVNASHDRWKHELE PLNYTIKLWTDNDILQLINKRYAWFLSTYEAYPQNIQRADIARLLVVHAEGGIYADLD VYPTSAPQIQCLQSLNLEAIFAPTTGTLGLSNHFFMAEPGSPFLQWAIYEGKRRGGAT SRFIPLPYLQVFWSTGPMMVTAAFRKYAWLHGTLRHTLGLLDDGYSREVMQHKAGRSW HGSDGRALNYIGDHIRMDSLMGIVAFLSIISGVACVVRRYWV PEX2_045110 MTRGIIDNVAAKGISYYTPAQEPPAGTQLEGSIKLFTPLTIRGV TLPNRLFLAPLCQYSANSNDGKATDWHLTHLGGILQRGPGLAIMESTAVQKVGRITPQ DLGLYEDSQIEPLRRITEFAHSQSQKIAIQLSHAGRKASAVAPWLSLNAMAAIEVGGW DNLVAPSAIPFEKGVNTVPKALTLEDIQVLIRDFAEAAKRAVKAKFDAIEIHSAHGYL LHQFLSPVSNKRTDRYGGSFENRTRLLLEVSGAIRASIPETMPLLIRISATDWFEFSD NDELKRDFPESWTVAQSVQLAPLLAQRGVDLVDVSSGGIHPKSAIAIKPGPAYQVHLA QEVKKAVGDKLLITAVGGIKTGHLAEEVVQSGIDAVQAGRWFQQNPGLVRAFANELGV KVRMATQIDWSFEGRGKGTSTRL PEX2_045120 MESLPSLPAVLFPALSSRDAITDTLYRCVLGLDNNDSTLFDSAF TSTATFSINGKVSSGLPAIHTDCFDVISKLDTTHFVTNIRINIADSGVKAAATASALA QHYGGGKGLQPDQPRLLAGALYYADFAKDEESGLWKIEAFKMTTSWAEGDWGVMSAN PEX2_045130 MSSQGGGSTHDLIVESWIMYGVGILFFIVRLYARYKRLKFHFQV EDYLMFLAIIFYTAFVVTNIEITKYGSTLYEPGQFETFTAHDIQERVLGSKIEFASEH CQVCTVYCLKACMLLVYFRITSNLKQHHWVKACALYTALGWLATELTLFLNCHPVSGY WTLPPPQRECATYFNFEIVQAVFNISSDIAILFVVLPILFRARIPWRTKLPIVVVFSM GIVVILCAIISKIFTFRNIWDTSYQFWYLREASIGVWVTNAPFVWSLARSTLNFLKST SNPTKATPQYGSSAPGASVSRARPGAVTRTSRALSRIYDMEPISGSEEGIIEMEDGNR AAHTPAASIDDSMPSALEWGQPSHQEQEGHIWKTTEITIQKS PEX2_045140 MERSVGDEEKNTHPEKLIKSPSSGPSSSLHPPKNVFERFNARLG QLDFFESRGIERVPLDERKPRVTSADYMQMALMWFSVNITANNIAVGMLGPSSYGLGF VDSALCATFGALLGAAGVAYMGTFGPASGNRTMVVARYFMGYYPSKICALLNIVIMLG YGMVDSIVGGQVLSAVAGNNMTVSVGVVIVVVITWIVVLFGMRVFHIYERWAWVPQFV AAFVLVGSAGPKFDTSIVSTGSPQTIAGNRLSFFSLCLSSSVAWAPAGADFYVYFPPN TQKSKTFIMTFLGVGLSLTFANLLGVGLGSGVATNPDWEAADGISSGALILAGYNGLG DFGKFLGVLVSLGQIANNVAGTYSASLGFQMLGRYPAKIPRWVWTCLGVIIYLICALA GQNSLSAIFENWLALMGYWVCIYLVIALEEHFIFRPSRGFDWEDWADRSKLPLGLAAL AAFLIGWAGSIVSMNEVYFAGPIAKMVGDDGSDLGIWVGSAWAMVVYPGLRWLELKKF NR PEX2_045150 MGPPQVTLAAVNSHQLEDANSTVLPPGTYWGQYEDITKANVHLS VPERLWVAWYAWIQNDVLATGIMSFAVHELFYFGRCLPWIFIDTLAEIHPIPPAIETN ILLQNKVPSLREQWDCAKFVLLSHFTVELPQIWLFHPMAQYFGLSTSIPFPTLWSMVY QIAIFFVMEDTWHYFLHRAFHWGPLYKAIHKIHHQYSAPFGLAAEYASPIEVMILGFG TVSCPIIWCAVTGHLHILTMYVWIILRLFQAIDAHSGYEFPWSLHHFLPFWAGADHHD LHHEKFIGNYASSFRWWDYVLDTEYTPEALKRRRAKQAEAKKAQ PEX2_045160 MAYDPEKGLATVQHPAEKHSSPEVLSVDDAPPHETGILARIRAL EARLDKKLGIESDAIERKRAEDKREVHWVEEISMAALWASGTMNTSCFATGFLGWEFG LTMKQSILITIFVSILAASLSGFCATFGAVTGLRQISVSRYSFGWWPNKLVALLNGIQ QMGWAAVACITGGLALTAVSDGHVSLILGIVILAVVALLISCVGLKAILIYERWAWMV FLVIFLIIYGETGEYADNSAPATVTGSNLAGAILSLMAVVYGSSASWCTMASDYYVHY PANTSRLKVFVMTTFGISIPTSIGMVAGCMVASALNTKPEWSAAYDQGIGYLIQEMLH PHGFAKFLLTLLVLSGINVNVISIYSSAISFQQLARPFARIPRSLWTLFCFACILGLS IGGRQKLNEYLQNFLSLLGYWCTSYAVILFQEHYIFRKGNFDNYDLEGWNDPARLPLG IGASVAFALGVVAWCLGMDETWFIGPLAATIGDAGGDVANEFTFVVTAVAYLPARYLE LKYFGR PEX2_045170 MRYIPAYALAQASLLSIASASPAPTAFANNVAPPDPVITPSPVE HNPSPIVGRNILSDVESDVGNVLSDLGSGLPSWVASGVPNFFQGFPTGDAVVSSLGLD SSELKALPTNVLNIDPYANWTNSGWNVRFHGNVYKQPNTSVSKLNDLADIFLVNTSIS DLPKSQQAQARNLTAEIFVVQQPEVAVNKIHLKPAASQGSSGQPGGGGSSNTTGGTQD ITLPYNTTVEGDFDTFVPIDSNGLTAGNETSAIQRLDTHVEGASIGNSTAYLVPPTGL TIISDIDDILRVTKIYQPEQGLLNSFARPFTAWENMPEIYRNWSTSLPDTHFHYLTTT PEQITRNYMEFIYTNYPGGSFDTRPLNFSDVSATLSIRKFLLQKIFETFPKRKFVLVA DTSNSDVMRDYPKLATDFPNQVQCIFLRNTSATDPGDKFPYDTSGFKGIKQSKYMFFL NSADLTNLDIASGHCYNQSIPQNLTFGYQGLPLGSGATPTSVNGSANHTGAASGVLTK ESAGAQGLMALIAAMLTASFMFL PEX2_045180 MAENSKSKEPEPTENIIIAADHGRLDLVKALLEGGADPDTVDEI GTSALHNAAKNGHWDIARLLLEKNASPRIEDGNRATPLRLAVRAGHKEIVSLLLECDP PTSETREIEMHSHVLICAAEGHAEILKLLLDYNAPTLSPPRQETALHVAAARGYHGVC ELLLKHEKTLTRSFWNRMVGPSLEVFAKDYAGNFPFAHAVERGHAQTVEVFLRHYPEL GKTCDRHKELLFHKAVRARNIEMVGIFLNHGTDIELKDHHGRRALHVAIISEYMRHIE SPDMIKFLLEHGALVDVKDNGGYTPETYSNNPKNRMILRNHASTHSKGNSTPIAPIAS APPPEYKA PEX2_045190 MIGFDDNLVRRLVAERTGYSNEERSEWLEDLERDNSGAWLALLI PQLKELRILSLIWPFGSQHVLKMLQKAAIEEEPVFPHLKEAYAAEDNSETTFPSDWMH SFFKFPSMRKVGCCMAEEYKNDDDDDEDNEDSEDNEVEPESDESKFLKRYTLSPRSSN ITDIDLKESNAAKGMREWVQACKALKSFRIVLGSEVGPWDDFEPRKIYESLSLQKLTM ESIWVENNDGLDMDTDNEWMGSFVDFTALRLIGASLPNLVGFDEHYLPV PEX2_045200 MDLPENEESHDNARRARELFNYFQPDNPALLPCISKFGQLPIKA SPNLVLTSLTQLAALKLGVQRAIISLIGRETLYVVAEATRSLNLGDNSVCDNDSDSLW MGCSNGPLAGTLCEETITLHPTAEERHRFFIVEDLKEHPTYCKIPCVTGAPHFRYYAG TPLTTASGINIGSLYVIDPRPNICLSELQKETLGVIGAAVMEYLETSRQSLESGRLAN LLTGLNTFVQGEDSVDPINEPLSRTPSSSELDQSRTSSPLTIPTERLESENMQIIKKA GKNKAQSENSPRLASDATLTTNNDQNTSFQQSNASINYSTKKNALTFQRAAQIMRKSL DLGENGGVVIFDSNATPGLDPDEHSRDAPAVSRPLAKICAISRERTDKGGSQDHLNSV PVTQMDRGFIKRMLRRFGKGAIWYFHEDGTVFSSDDDTSKSECEGDDTNSSSQSPSMS QPRLQDVLREKDLRALRKYFPDATRIIFTPLWDSFKSQWFGGCFCWSSAETRIFSAHV ELGGVLGFGSSLMMEHSRIQSQESDKKKADFISNISHELRSPLHGILAASEFLAEQVG SELPRSLLDTIRACSQTLLDTFEQILDFTKINSFQKKRRDHTLRLYGDRGVAKSHTLP ESLHSLKVTNVVAVIEEVIESIAFSATHYKGGLFDDLNSVHIEHVDVSIDVAPGDWVF VLDRGALRRIVMNIFSNALKYTKKGSVSVRVEIQKSSGNPKVDNCNTLLLTVSDTGRG ISSEYLRSDLFTAFSQEDPLAPGTGLGMSIVQNILRYLGGNIKIKSQLGIGTTAEILI PLTSPKSEHEKDYMITQEPSVQSTIDDLQSLRREIKGKTVSFISSEGTSSKVLPSART ITNYLTEWYGVQLQPWTLGSHADLVVVDETQISHMHSKQLPKVLVLCRNAQSSQAIIQ NLEPRCGRVEWLNIPCGPHKLARAIQRCLQGESVKPSSQVFGQKIENNSPGSGGQRIS PIVPLTTAKLPLATQIQLSSKSETPSSTPTPHESNSTQPQSQLQPENKNALVEGTVNT VVGSEGLRILLVEDNPINMALLQKLVARRHPNLLHSAVNGQKAVEAVKNMPQGYHLIF MDMSMPVMDGFEATEAIRALELERQSVSPARIIALTGLGSDEHVMKAYAAGVDMFVTK PVSFKEITRLIDDVKNYAPWTDQ PEX2_045210 MKSPVYVLAVLLPLLTQIATANPVAEPEFDDLEERGRGNDKDNN HHGWDDKNVCEVKRTYPYYKYPCSSSPKIGTSIQGAIFTPSCRYQNGDSGVWYLAPKG WVKDQDKPRRCPGTTKACT PEX2_045220 MSSKEVDTDAIKPALIGTDEEVVEGRLEKFELEKDDENTNEEFS YESHRSPFPEGAAVVPETDDPDMPVNTVRMWLLGIVFTMLGSGINQFFSLRYPSVHIV ALVAELLAYPIGVFLAKTLPLTSIRLGPLGTFVVNPDRSFNIKEHALIVIMSNVSFGY ASADATNIIQAASKNFYDFNLKPGFYVLIVLVAQLLGFGVAGLAAPWLVEPARIIWPG VLSNCAMLETLHSRSNSIANGWRITRLRFFMYVMTGAFVWYFFPGLMFTALSYFTWVC WIAPKNVIVNHLFGMQTGLGLSPITFDWSQIAYNTNPLLSPSWAALNVFAGFAFFYWI VTPALYYTNTWFTAYLPLMTADVYDNTGALYDTARVISADNTLDQAAYRKYSPPYLSA TFAFVYGLSFAAITSVLSHIAIWHSRDLWAALNGRNKLDIHARLIRASYRRTPWYWYA GIIVVIMAMSIALVEVYHTKLPVYGVFLALIIPVVYMVPCGIVQGITNVDANQLNVLA EFIGGYMFEGKPLANMIFKIISTDVVGQGVYFAMDMKLAHYLKVPPRTTFVAQFVATI LGALTQAGVTLWMLGNISGICESDQTDGFTCPNGRTVYSSSVIWGLVGPRRLYSVGKI YSPLLHFFWIGAIAPVVTYLLYRYTRRQFWKYINWPLIFVGTYNVPPATGINYSSWAL VNFAFNYFIKRKFFAWWTKYNYILAAALDTGLAISGIVIFFCISYPGATFPDWWGNNV YLNTADAQGVAYKSMPDVGYFGPANGTWS PEX2_045230 MWGLLYLRGAPLALEVTVHRGHGELPRWLFITGQEEKARQILAD THANGHISSPEIQAEILEIETTLRQEQMSLASEGYRGLISTPGNRHRLLITVTLGIFS QWVGNGVVTYYLSMVLNTIGITSVKDQTLISGCLQIWNIIFSITGANLVDRLGRRVLF LLSFALMLTSYVIITACSGSFADSGVKAVGTTVIPFLFIFYAGYDIAITPLQVAYPVE IWPYRYRSRGLSVAWIAATLALIFNTFVNPIALDIIGWRYYLVFVVVLIVYGITVFFF YPETKGHTLEHVAAVFDGNEGTSPNQETDDASIVEIDRSAKSV PEX2_045240 MSLFTTRQRHLTIPGPVVQTVASLHRPPPTAKPSSFYDIPQTEL CLRAEKMIQEQIPSWAANHCFRTYAFGLAIANYAGFDTDQAKVELGFDKEFHFLTCAL HEWGMSEEGIKQSKLSLELWGAIRAREWILEQDCDISEARIQPLGEWADLAAEAIARH TIEFRGFSKSVNLQTALLTLGSGQDLMGLSSAFVHADDIKIICERWPRIGYVDGLRAL TREEVERKPGCLFEGCWGDFDPGMYNVSCFKGLQGSLSEAPSSKL PEX2_045250 MMTLLSDIVSASAHLHHSNHLPSDEYDRRIRELVEYLRRLQTTK ALDSCVSDESVLNPLLGATLIKDAMLHLDPSCAVFTSSHLLLVRLCLQAKAYSCALPV LNKQICHFPISLGRPSSDPSVLCADHDSSVSFMTEASGVSTKLSYRDYLEYFLYGGMV YMALKEWRNALHFLGIVISMPSTSSVSLIMVEAYKKWVLVGLLEKGKLCPPPSITTPH VVKVYQSLARPYIILAHAFERGDLKRLNAEIDAANGVWCADNNLGLVSQVVGAFFSQT VIKLGKTFAALTMADLSMQVFPSPVCAEVTASAVSSLIISRALNATLVQSKDHTESSM LRFSSIHSLPRLSHELDLQSQLKQEMKLMETLVINLGETNNNLGLSDEYVESLHKGQV WSGSSEVNPIVGGEAGLDMDEDLMGDMS PEX2_045260 MSLADSAAVDAAQSASLASRRLATLNNDDRNKALTLLHDALEMN RKEILEANARDVELATIAAESGNLSHSVLKRLDLSRPGKYKDMLDGILSVRDLDDPIG KVTLRTLLDDGLTLERVSCPIGVLLIIFEARPEVIANIAALAIKSGNAAILKGGKESM ESFAAIANVVSKAIAESRVPVSSIQLVKTRDAVSSLLAQDSLIDLVIPRGSNELVRFV KENTKIPVLGHADGLCSAYIHADADIDTAVKVIVDSKTDYPAACNSLESLLVHEDTLE SVFPAVAAALLEKGVTLRCDPDSKSALTKTLPAEKSAQVQTATESDYNTEFLDLVLAV KTIPSTDPSTTAVEAAIAHINMHSSKHTELILTQSKEAADMFMSGIDSAGVFWNASTR FADGMRFGFGTEVGISTNKIHSRGPVGLEGLTIYKYLIRGNGHGAADYDGEGGRKYLH TNLPIGQ PEX2_045270 MSLDRQDAIQKDGLEGAAKPALQNLSKFPSSSNPAKELINEQTP SMLFVESPDGEMTPMSRLVCGGVAGITSVTITYPLDIVRTRLSIQSASFADLGARDPS QKLPGMFTTMAMIYKNEGGTKALYRGIAPTVAGVAPYVGLNFMTYESVRKYLTPEGDK NPSSYRKLLAGAISGAVAQTCTYPFDVLRRRFQINTMSGMGYQYTSIWDAPKVKDQKP PALSIRVRQQAISREVDQYTAMGIDLDRHHVRSSHRKAPKSDNVYLKVLVKLYRFLAR RTESNFNKAVLRRLFMSRINRPPVSLSRAVANISEAQKGKTVVVVGTVTDDNRLLTVP KLSIAALRFTATARARIEKAGGETLTLDQLALRAPTGANTLLLRGPKNAREAVKHFGF GPHTDKKPYVGSKGRKFERARGRRRSKGFKV PEX2_045280 MSSRSGTTLYVTGFGHGTRARDLAYEFERYGRLVRCDIPAPRTP SSRLFAFVEYESRRDADDAYHEMHNKRIGRDDLLKIEWARTPPSASWRFDSGSGRDAP RDAPRDAGRDAGRDAGRDRRRDRTPPRRGRSPSPRRSRGGDYSPRRDDRYERDADRTD RDYDRRDRDSDRRDRDHDRRDRDRSRDRSRSPDERERDGKDDRERRDDDRERREEDRE NGPNGEDRKGQLPPQPRKCSFHSHTVVPLDPMPSAHDELDTAE PEX2_045290 MVKRGGTFTDCVGNPGTGRLEDDIVIKLLSEDPNNYKDAPLEGI RRILSNFTGHDIPRGEPIDTSLIQSIRMGTTVATNALLERKGEKVALVVSKGFKDCLE IGNQSRPRIFDLAIKRPSVLYEEVIEVDERVTLEDYAEDPQRSTTATTRRDQTQAAGD VVRGLSSEAVRILKRPSEELVKSQLKEVYSHGIRSIAVCFMHGYTFPDHEVLVGRIAK DIGFHHVSSSHQLMPMIKLVPRTTSACADAYLTPAIKKYISGFQAGFEGGLGSESVKQ QAGAKGARCEFMQSDGGLVDVDQFSGLRAILSGPAGGVVGYALTSYDPVSKTPVIGFD MGGTSTDVSRYGSGRYEHVFETTTAGVTIQSPQLDINTVAAGGGSRLFYRNGLFVVGP ESAGAHPGPACYRKSGPLTVTDANLFLGRLVPDFFPKIFGSDESQRLDEQASRVLFEE LTKAINQKLAKGGQSREMSPDEVAFGFIKVANETMIRPIRSLTEAKGHDTSKHRLATF GGAGGQHAVAIAKSLGIRQILIHRYSSVLSAYGMALADVVDENQEPESKTWAAAKDDD GGKEGVRDALGSRIEELKKRSTQRLRDQGFNNDSIMFEEYLNMRYRGTESALMILKPS KEEADLHFGGDEWAFGKAFMKQHDQEFGFTLPDRDIIVDDVRVRGIGKGFKISEKTVD RQIQESNPKDVRMDQEYRRSLVYFEGGRRETPIYKLNDLKVDERVRGPAILADDTQTI VVTPGASALLTKTHVVINIGELDASLPKISTDNVDPILLSVFSHRFMAIAEQMGRALQ KTSVSTNVKERLDYSCALFDADGGLVANAPHLPVHLGSMSTCVRIQARIWQDKLYPGD VIVSNHPEFGGTHLPDITVLQPAFSQGKIIFYVASRAHHADIGGILPGSMPPHSKELY QEGAAIKSEKLVSEGKFDEKRITELLFKEPAQYPNCSGTRCLADNLNDLKAQIAANKK GINLISILTEEYGEAVVQFYMHQIQDNAELSVRNLLKDVSKRFAGQELSAIDYMDDGS PIQLKISIDGDKGEAIFDFEGTGPEVYGNINAPEAVTYSAIIYCLRCLISADIPLNQG CLKPIDVRIPPGSLLSPSESAAVVGGNVLTSQRVTDVILRCFQACAASQGDTNNLTFG FGGNMPGETETKGFGYYETIAGGSGAGPTWEGTSGVHTHMTNTRITDAEVFERRYPVI LREFSLRPNSGGNGQHRGGNGVMRDIEFRIPVQVSILSERRVYHPYGLEGGEDAQCGQ NIWVRRVRKQDGSWEHRYINLGAKNSAPMQAGERIIIRTPGGGGWGQIGGRSQLLQEK DHRHGWRGGSIANNMATQEAIQTVKINWIMVKL PEX2_045300 MSSRKRSSESGAQLDNSVRPKKAKVNATSEAKTDSNGDRYWEIS KMRRVTISSFRGKTQVNVREYYEKDGQELPGKKGISMPVDQFAAIVSILPEIEQALKE NGETLPRPIYSAEGGPSDQGEQGQAHSDNQSPSKQNIEATSDEESEG PEX2_045310 MSRLSDDADSQVISASPSSKDHSTPPTQDPISNTAISKELINVT LNFLSTSTNETLLGVFALLALVTYIILGRIGLLLIGVAVGVVLHASWEGSDNEHLDEA SHAQKSNRRRELALEVSNRLLDWPKRAGASDLQEEDNGATSTLEDISSAELEYATFQP ATAIALRTLTDAVINSYVKHWYEPLLPSESSFPGSCRRILTHFITSISSHLSRKRTED TFLQFLTNSSSIVIVFLNELAAAFTAAGSSPVEAQKTVDQYLEQFPDSSLANIISEKQ QKKKLSMIADDILSNFLDAKAYNCPAVRDFLREVFAGVILGSTVTSLARPEFINEWII YLLQGGESEIMHAIDAGVEGARNQGISASRPSEDPKADSVKTTQRESIHVARATEEEA VLEVKRLSAMIASHDTQSSESERPPKNEQIDENYPLKADLASKTTHENEYHEGQGPVS DMKLFASPKLSEDSSVSPLILHGAQVLVDDDGARDEKGQVKSRPMWDYLLQIEPASTR STGWMVFRKYSDFQSLHEMLGTVSRLNQISSFSERHPILPTWKGKTRQALARELERYL QDAMKHESLADTDRMRRFLEKDAGSSTEPSGPNKPVFSFPSQAAFENMGKGMLGALTN APKGVAGGGKAVFEGMTGVFGGTANSKKPAVTTDQFQPKVDDSSRTSLSQSRSSFGDR TSVNDEYVQSPVVYPRDSLEIQRGEISKSPSIDSTRELFGSEQAAWTTEIPPSKISLD IGDHTTEPDIQATENIDQTSSHRRSETGQSIDQPRKQGNPITADETQIAVELIFAVIN ELYTLSSAWNIRRTLLNAAKSYILRPGSPTLETIRTLLQASMIEGHTSDDALGDYLVK LRENALPTEEELKVWPPPPSEEEKIRLRDTARKLFVQRGIPQALTSVMGTAASREALE KIFDSLQVESVARGFVFSILLQGLRVLTL PEX2_045320 MAGAEQKSFLGTLTPWSTSRSATPTLQPGDHQNPDVLQRSQGED HTVTHMHRLSMRRYPPDCPPLKVRWFYAVDSPKRKPSIVGQKKVDEKPLPSPKKFIPF PGKDSQSIETTFQKLSDIEDARQQNQPDDTDSEPVLNTVPVNEDFLFNVDVEQRELSP TYWIGPVYEVRRGTWFIQDGSSLKPCEENLATQLEEGYIKLCPWRSQPSEQNSQTNEE DRSGQTKSVPINTHEPGPKSHQSTNPSSPPRDTGNNFNSSSAVEPQQYRLFGAYMNRI VGYQDSTTAWLMNDDFMSRFSTSVYQKLGGVPGTKLVRGFEPKKPKETPESKGPKQKP LGESTLDRGLNDVLKEKTEKATDTLKSASADGFENYESRPKSTLERQMSSLAGEPQNP ADLEEQARRQEEQEMEESRELDGADRDREVDHLVLVTHGIGQRLGLRLESINFIHDVN VLRKTLKTVYRGSPDLQALNSALPDNDKNCRVQVLPVCWRHLLDFPYRGVRQNRKELD LTDADVLEDDAYPSLSDITLESVPAVRNLISDLAMDVLLYQSGYCEHISNIVIQECNR IVRLYRKQNPSFKGSVSLCGHSLGSAILFDILCHQPGINTGPNGASKGMAQESDGSTP KSSSAFDFDCEEFFCLGSPIALFQMLKGKTVGGYSAVDPRNRKNALDVEIDLDSHGPG HALSDSPGIISRPKCRDLYNIFHPSDPVSYRVEPLISPAMATLKPQPLPSVKKSLWTT SGQSLSILGSRMGQSVGSLWTNFTTGVASSLLNRSLGLNGDDASSAARTSGKPGDGST HRQPQSGSIQHGSDGSDDHYQTLIESDLETLYDGFQKRRSVHHGESPDPAADTNRDRR LRFEDAKVRALNANGRVDYSIQE PEX2_045330 MNALKLQKRFPQLDQGEIFSLQDAFNRLDVEDRGYLDESTAIKA AQQSERQPYDVVRAALKDVELDSSRRVELEDYVDLVSKLRTAPTEGARAATTPAAVIQ GAGAGTGASRHVSKGSVGGRIHVQGSSSNVTHTINEDERTEFTRHINAVLAGDADVGN LLPFPTDTFEMFDKCKDGLVLAKLINDSVPDTIDERVLNKAGKKIKQLNAFHMTENNN IVINSAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRLLD EDETLEQFLRLPPEQILLRWFNYHLKNAKWDRRVTNFSTDVKDGENYTVLLNQLAPDV CSRAPLQTRDLLERAEQVLQNADSLDCRKFLTPTSLVAGNPKLNLAFVANLFNTHPGL DPITEEEKLEVEDFDAEGEREARVFTLWLNSLDVQPAVNSLFDDLRDGSILLQAYDKV IPGSVNWRHVNKPPASGGELMRFKAVENTNYSIELGKLNGFSLVGVQGADITDGQRTL TLGLVWQLMRRDITNTLSALAQRLGKREITDTEMIRWANDMSSSGGKSSTIRSFKDKS IGSGIFLLDVLNGMKSSYVDYDLVTPGRTDEEAYANAKLSISIARKMGATIWLVPEDI CQVRSRLVTTFIGSLMATHEKM PEX2_045340 MEEDMKEPESTTEAGQYLLTTDDAELLQEILKHNLSHQGGKRKF RFQDLKFTRQLSTFQNLGTTAPQFHGFFVLFWMGVTLMLFRLAANNWRTYGSIWGKNE IIRLMMDKDVMVLGLTDLLLCWSTGFCLILQRVVLKGYIRWNGLGWLIQNIWQTTYLG VVIWWTYHRDWPWTHTVFIVLHCLAMLMKQHSYAAYNGYLSEMYRQRNMLKASLGQTK AKERGHATSSRGGHSSAVDIELNAEITDLKKKDSSRRSSDLQNYTRSHETDQLISLIG TIETGVPLEPNQVKSLRELLEQEIEVLSEGLKGRCSLTNNHYPQNLTIGNICDFMTLP TLVYELEYPRTKRIDWLYVAEKTLATFGIIVVMIAVSQSWIYPVVMDTVRMKEEGMTA QQRLREFPWVLGDLLFPFMMEYLLAFYLIWECVLNAVAEITMFADRGFYSDWWNSVSW DQFARDWNRPVHNFLFRHVYHGSINEYRLSRVSASLITFLLSACVHELLMLCIFRRLR GYLLILQMSQLPLVALSRTRLMRGRRLLGNIVFWLGIFTGPIEVYISRPERSTKRAIL LLTDVIGHRFINAQLIADQLAANSYLVVMPDLFHGDPVPLNNRPASFDVMTWLNGPPG HLPGRVEPVVQAILKEMKSNMGCERVGAVGYCFGAKYAVRLLQPGLCDVAYVAHPSFI DADELQAIQGPLSIAAAETDSIFPASKRHESEDILAKTGQPYQINLFSGVEHGFAVRA DITKPTIRFAKESAFLQAVAWFDQYL PEX2_045350 MDTSQTPHVRVPRETPESTEEPNATATANHNSTEVEMGGTLDTS QPDPKPTQEDSTLPDVQAEPEPPAPAKKNSSFNFLNFLTSPIVEIIVGKGDNETVMTA HQSLLMEAPLLAEFVKYFEASGPRRINLPEEDVDAFSCFLQFQYTRDYTVVQTETPEE TGKDKSRDELLSHARIYTLAEKLGLPSLQRIAHGKIHKVQSSPSAELSYARYVYTHTP AKDTTIRKPVATYWANQSHVLRQEVGDDFKRLCIEVPEFSFDVLTIILDRKLKNGPGD EIKGSARKRRRDI PEX2_045360 MTTVDTILAAKYPAKAHALRVAESLKARHGGAGVIYLEAQKTRL IEDSDEDMPFRQRRPFFYLTGCLLPNAAVVYDAVKDELTLFIPPIDPESVIWSGLPLS PEEAAKLYDVDRVLFTTDVNSTLASIASNHNGQTAAFAIAEQVSESTSFQGFAETNTA SLKTAIEETRVIKDAYEVALLRKANDISTKAHIAAIQASKTATNEREIEAAIIGACIA NGCREQSYHPIVAGGEGGATLHYVRNDVDLVDPITKKRKNNVLIDAGGEYQTYCADIT RVIPLNGRFAPETRQIYEIVLQMQTECIAMLKEGVRWDDVHALAHRIAIRGLLKLGIL RGSEDELFEKRVSVAFFPHGLGHYLGMDTHDTGGNPNYEDKDTMFRYLRVRANLPAGS VVTVEPGIYFCRFIIDPVLKDPETGKYIDTEILERYWSVGGVRIEDNIHITKDGSENL TTAPKIIEEVESLAL PEX2_045370 MPRPAPKRSRTVGKTQPKKTAIEDSTQQIDSNVAKSPVQPERGS TLDPRQALRSQTPLTKSHEQAIESSPTGDRPGTGSRPGTGSRPPTRSRGYSSTLSFAG RKGDTSSRIPGTPGFDNSVLSNFRRRPRQQSILQMMQADDDGSSDLDDDDFLGGLSPN DESTPLNLSRGKSLLIKPTEKSSPSPSESPLSSGGSRKRKRMTQEIQVPQSPVDIVED TPTATPIQRDDFRHDIGDELPDDEEVESEDEELGDEEVEVDRVEATPQSQQYPEIMSQ TMLPPASSPAGSVAPGDSTPRPVSRKKSKAPSHLSTANLQDKLLPRRRRRLRTINDYS DESDDQHDAASGDEDELNYPSQRSFGQRAGKSKPKPLSNTRNPKQKKIKEKKIKDKTS VLKEPATYSRSQASGVNKENETLLSSPSSSPLSSPPESDVSDSESMETKATRCVSDEL RAAAKKFAEVDQWEMEFEDVSASES PEX2_045380 MALPPENETVDPMGDYAVSDTSIGKKKRSNTEAAEYPRRRATIA CQICRLRKTRCNGARPKCQLCSDLNAECVYREPGIKLDAGDKLILDHLARIESLLHSN LPNQGPLLALSATSPATSNDTNLGSDDPSTKTSSSGFPVHGRLSAVGLGSWVNLPASL SISTMPKMHTTPALHLLQWPLIRDLVSGACDPQHLLQLEMAREPLRLTPNSGFDLTNA STYAQAFFNYGNVWYACVNPYTWNRYYQSALAQGFQEGPMSCLVLLVLALGSASHSGS ISFVSPDREPPGLPYFAAAWSFLPSVMMRNTVVAAQCMVMASAYLFYLVRPLEAWTLL SSVSMKLQLLFGSPNRIPTQWRELSVRVYWNALLFESDLLAELDLPHSGIVNFEELVD LPGGFEEEDEDDGEEEDGDHNEGEERRIARKYQGSSRPVDSQLAVRRDELWYFLAEIA LRRLLNRVSHIIYQKDSTHTLASLGPIVSELDFQLSQWYDSLPRPVQFPLTPKPLSNP VQTVLRLRYNACRTIIYRPYILAVFENEQAGADPGVKECCRRCLDATLRQLEHVTSHR EGHLPYLWQGALSMVSQTLLIMGATMSPTLSILLPPVSQIDAIIAGVVNEVERYAHLA PSLKLSAEIIRDAERRRQICLRSANMCL PEX2_045390 MIHHTVIDDDPNSSYLRRPSGPFASEPPSGPPHNRPSSRSSRPS SRTRMVKPPGAVIPTGLGVLTENVANLSPEGLGATSPIKEGLGSLNRWSQSTASSKSP SEYMGHHRRGSSKMSMSGYNRRRGSHSPQRAQGLNGLPMHDLPELERSAIFNHDSTSQ QLDLSFAASSHLFQPSTHSIQTDMPMSRSSEGSIYMDDGEGDSAAIQHGQTQKVMLSK ALQKANTAVLLDNAANFEGAMEAYTDACNLLQLVMVRSNGGDEEKHKLQEIRDTYMLR VTELHRMDFPLSESDDKALPDRPLSQESYGELLRSTNDGSYIGSQQSSPRSSFGFHQI PLEEAKGVSLEAVPPRRQSLRPSALEDQPRSVTLLAGSPEDNNESTSWLDTIDESGAS SPSSANSKASSVYLRRRTSRRLSNDTEAEFDAALDAAVEAAYDEGLEPVPEHKEDESD DVVSNARRNIQLAKQRVREAEQEAEAMNRDRELRRIQEQTIFGDDPITRTSAYLDDEA EEEERLLEEMTKGYVMDDFEFGIQSKSALPRESDSSNMPGRTWESSATSNAVSTRGAL STLAEDDVLPLGDLRPEPYTMPSSPPSSALPPLPASSDFPAPSKRASISNRAGSIGSL TSSTGPGVRARRLSSHTRELKIETNTRSSRANSNASSAFENAATSLANRPPPLPKDDA SALGSRSTLHPTQRNPSISSFTDHVNLSKARTHEDDENELPPLPLSARPMGKVPSAPD GLNKLHSNTKPFRARNASVPIQIPDMCPESPSSPWSGAFPDTQRGATSGVPVLPTPTL ANFSFNQNGLPSGGLNLFGSNIHSPTALGRPNSLVANAPLPLEPCPEGFLLRPFWLMR CLYQTLAHPHGGYLSEKLFIPRDVWRVKNVKLKALEEKVSNCDLLTAALLKLAQVDTY DADAVLEEMQSFDTVLDQVQATLTKKLGSDVGVQASMPLFKPASMPDEPVQPEVAPNK SYSTWKRLRAKTSGLGTTTPVPSARDSNKDTLLLNSVPMTSVHGTPAKRNVTQLEFSG PNANYMGALARLFDAAQVLEQIAQQVEDPGLKHSSKTLVGLELSTRHAAEFFGFYICR FALGDVGLMLDKFIKRGSEWVLV PEX2_045400 MRNMAMATINPPRDPNTVSNYNNWRSTHVTANFDILFDQKKLVG NVVHQFKSITDGESQEIILDTSHLDIGVVKVDGQPSKWEFLPTLEPYGVPLKISLDKP VKLNGTVEVEIEVKTTDKCTALQWLTPAQTSNKKHPYMFSQCQAIHARSIFPCQDTPD VKATFDFNITSPLPVMTSGLPIRKSSMESKTGHQLYRFHQSVPIPSYLFAIASGDVAE APIGPRSVVATSPDKLEECKWELEADTENFITTIEKIVYPYAWGEYNVLILPPSFPYG GMENPIFTFATPSIISKDRENIDVIAHELAHSWSGNLVTNASWEHFWLNEGWTVYLER RILAAIHGEAYRHFSAIIGWKSLTDAVEHFGDDHEFTKLIVDLKGKDPDDAFSSVPYE KGFNFLFYLENLVGKSKFDKFIPHYFTTFKCKSLDSYEFKALILDFFKSDAEASKLLD EVDWDKWFYAPGLPPKPSFDTSMVDVVYELSKKWQSLPDSSFKPSVSDIQGLTANQLV VFLEQMLVLETPLSPEISKLMGDVYSLAKSENIEVSNLYCQVGMKAGDDSVIEPTTEL LGRIGRMKFVRPLFRNLQKINRPVALATFEKYKDFYHPICRGMVEKDLFGKKDN PEX2_045410 MSSRRLSTLWAREIRGSQNLCLSRSASRNPITLVSASCTSTEQP LKSITTRSYSQSKVSDLAKNFSRRPGNAAETYVAYGMTQKLFEACSSQADYKIPQASQ KGAEVPKTEAGEDLGVGEDELILSVTVELGLLPTFSTWSQVTFLHMYLLTVRLRALPH RDSVTTYSRHLIDHFSHEAEHRMDAYHDLGSRTIRNKYLKDLFIQWRGIIAAYDEGLA KGDAVLGAAVWRNLWKGSQTGPDGKEEIDWAKVAQVVAYMRRVLSDLSKKDEVDLVFA LGRGDQKNTAIFEYSETDKKLVQANK PEX2_045420 MATTCATVSPEAKKPNSITVNGFRISTQKLPILKADPIEEMTQK LGIAPPEMIFGDNYVAIEHEKGNWGINFNAFDALDLVDKTGQSMLQVAYSGEWQKSRE KTHEGIKEVVKPFDWSYSTDYKGTLHPNARPFEPTTKPIPIELLKRPDPILFFDEVVL YEDELADNGITMLSCKIRVMPGRLLLLTRFFMRLDHVLIRLRDTRVYVDFGTGEVIRE YVSKECEYEKVRQMLAATRDDIPAFLRDPNRLSEILPVVEKRSERLVLGD PEX2_045430 MATTKTLEARFEHLSMKDEKENGNSDRTYGKQKAPVSSAQSSSN SNRAQLLKLALQNNNENKANAMNVPASPGRGSHGALVTRSTDENGDQRTSSSLCEQPT VPKDLHLGMFEIGKPLGKGKFGRVYLAKERSSGFVCALKVLHKSELQQGGVQKQVRRE IEIQSNLRHPNVLRLYGHFHDSKRIFLILEFAGRGELYKHLRKEHRFPEWKAAQYIAQ MAAALKYLHKKHVMHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRQTMCGTLDYLP PEMLAHGSKDNFYSEKVDLWSLGVLTYEFLVGEAPFEDTPVMTQRRITRADMSVPSFV SPEAKDLIKKLLVLDPAKRIPLEEIQRHPWIVKHCEKDDRTVKRSSGSSKEGKA PEX2_045440 MTDSQSPGAAAPSNIKPDTTGFLPGDDTWTQFRNIYSILTGKMS NEGIEQFRVARDTRNEEADCKRCEHQRDYLLQYSPTIRFLSESIQQLGGDLHNHNIYC RRCTDRKGGGFDPEYGILICANEMKDQGHLEDTMAHEMVHAFDHLRFKVNWSDNLRHA ACTEIRASSLSGECRWAREFFRRGQWKLTQQHQECVRRRAILSVRARPTCKDEAHATR VVNEVWDSCFRDTRPFDEIYR PEX2_045450 MATSSGLTIVIKLGTSSIVDEKTHEPILSILTLIVETVTKLHRD GHRVVLVSSGAVGVGLRRMNVDQRPTYLPRIQALAAVGQCRLMSLWDNLFSHLRVPVA QILLTRNDIADRTQYVNAQNTFGQLFDMGVIPIVNENDTLAVSEIKFGDNDTLSAITA AMIKADYLFLMTDVDCLYSANPRTNPDAKPIEVVTDISSLEADVSSAGSSLGTGGMST KIVAAKLGTSAGVTTVITKSSKPGNVHDIVKYLQYLEARSNGVAADDQNEAPTAPLHT RFIRSDTPIQSRTFWLLHGLYPHGTLYIDHGAYHALLNNANLLPAGVVGVEGHFGQQE SVRLVVVDRPSPDALNGDFIHHREEPKEVGRALVNYGSIEIAHIKGHRSTQIETLLGY ADSDYVAMRENISFHPEDHSHTVTPSLTPALEEWKSS PEX2_045460 MRVQLLQVALLFTAAYGQISSKITTYDLSFDGAAAVSLLWGLDG ESTSTYDFYLCAGDETTGAYDTLSQVINNHAYAPGDVVSFRVDQSVGGNEPNAYFSTK VTAAIKSMQPIPAIAIPWSAVQGDDHLIEAAELTSTFNISSLVKSSSTEAALQFPTPT VEGEAEHNELRKRQVAAVGGVGDGIVAAVDQHTVPYGEQTGSIKYAPMPKSAGTTVAT RSATPQYPPFPFSIATTYLGAPTVLYTDTAFATWTANTIENTVSLILECLMSVGFES PEX2_045470 MSSQANWNSVTGIATLLFSGNLVAIAIAILFTFGVPIALHIFFF QAVASPPSSNFLLLGPSGAGKTAFTTLLEAKSSLASNKSHSTHTSQQSTLVTVTLPPT VPTGSNRYRSVNDPSLNEAVRNPIKYRVRDTPGHGKLRASQGIAELQAMSQSKDIKTR LRGVVFMVDTAALVDEATLRDTATYLHDVLLFLQKRAKNGSSSKRTTDVPVLVAANKQ DLFTALPPGAVREKLEAEIDRIRKSKTKGLLDASADTGLEDEEEEILGNDEQEAFSFK LLEHEVGVKVDVVGGAVKGDLQEEFGSGVRRWEEWVGMCL PEX2_045480 MASTTTPGIPPVTLDTITQQIGNTPLVRLNHLPRTLGIDATVYA KLEYFNAGGSVKDRIALRMIEEAERSGRIKPGDTLIEPTSGNTGIGLALVGAVKGYKT IITLPEKMSAEKVAVLRALNATIIRTPNEAAFDSPESHIGVARRLEKELPNAHILDQY ENPNNPLAHELGTAEEIWTQTNGKISAIVAGAGTGGTITGLARGLRKHNPDINVIAAD PFGSILALPPSLNEARANEPYKVEGIGYDFIPEVLDRDAVTQWIKTEDKESFKYSRRL IAEEGLLVGGSSGSAIAALVKANEAKKFSKDDVVVVILPDSIRSYLSKFADDDWLAAN DLLPSLPAVASTTQTQEPESNPLANAKVSALRLKPITTVTANSPCETAIEVMRDRGFD QLPVLAPSGRKLVGLVTLGNVLSRLTHGRASGQSPVSDVMFDFSKISEVVTDPRNLSK GIDSLKPQTRSRGFIEITMDTPLSVLNRFFEWNSAAVVTEKDESGAMKPLAIVTKVDL LTWMLHQSKTNKA PEX2_045490 MGSIRSTHSQNADLRLRGSSDQSKTVQEKRSQNSILPTNSTTSK RAWALFRVDTAGESGRSGIHPWHLLKVCFRSVCTLSMVVNILWPFVPAAIVIHFARSD LHVWIFSLNYIAMVPSANLLGFAGGELAKKLPKMMGIIVETTLGAVVELVLFMVLLHN HGRTEHSDLIPVIQAAILGSIMANLLLCLGMCFFVGGIKRDEQTFHEAISEVGTGLLL VAGFGLLIPSAFYSALRGSVNDHFTLAQLNEYALTISRATSVILLVAFLIYLFFNLHS HNSIFDEILEKDEHHDEDRHEEAARAKLTMIECFVVIAVALACVCMSAVFLVQEIEYI VERGVSDNFLGLILVPLVEKAAEHLTAIDEAWDNQINFALFHCLAPSIQTALLNAPLT VIVGWGMDKDMSLNFEIFMVVLLVLSILVVGNFLRDGKSNYLEGALCVLVYFIIAVCT WYYPPVHTASTNQS PEX2_045500 MATAVTQTTTMSVSQDTDSNQNANPAPTMLKLGSPLDNIGRHQP ADNSDSSLTPAVFDISPEAALHLLCLNIERLGAYFAQKPDGTDELHTHGSTPLGYDTP AEDELKVNTVGLHVKDSNDPIDPARATEEAIQMAILAKKFLSKKVPPIPLNEYLLRLH KYCPMSTAVYLAASGYISKMTLVENVLRVLPKNMHRLVLAGVWVASKALEDLSYPHSR VAKVGGVSEQELSKLEISFCFLADFELRVDAQMLMNEALRPQTPLE PEX2_045510 MEDTITDKLISILKDRNILAKREVIESTFGDDRNLQWASKHLRP DTLLSKDELALYLKLENSGALQPILSNPDLGATRPILEDEIRNAIESLEASTANIQKQ TETLRFQCASLNKQLGLENNVAQQRSRDIARLQKKHEAGRQNTTMAAIELADELEADF KTATDKSAAESKRILASLSTRLKQDDKALASLETLMSSIKYRGNDASTVKRTNQLSSM LSDYVAEEIHYRLDRLYLETIHAGGSNSEVMDGATSTALEEELESLYPEIEILAEMST RQQFHEPILHELQNEHDQLRVASQEKLEQTLDMLIEMTLVKQDLTNQLGVRESSSELL EQLAALYQSEAGSELVTQPSSRRESLRRRSLQPGLLFATRTPTAPVVAQPSLERLLRR VGVPPESIFHPRENGGVGDLHEKRYHISEALHSLNIAVDAPLVTSLAPLDNASQLLRY SLHANSHYETSMRDLSEESALSGLEKELTSLQNGVQKLNLDVLHQRDSNQDRLIERWT PEX2_045520 MFRTLCFPKALIRRSVATRAKMLSLQSLPDELLLKVINKPSVYD VMALSQQSHGIHALCDVKNRQKYHQLKFGREKYPQTHEAVLQAGRDTLLAILRTPSLG HYLRRVDLYGTGMIEFDPVSENSIQDSMLSPEDIDRLKQAIRGAGFQDAQERESILSM LSRNPAKFHFTSTIGGRLYPGGGNSVLYVTPIFKSLWTHRQTLEELNLDIESQIPRQE LYDKEYQPRQEDRMTEEDRKDYKEQWADELQELAAPEIAPVRVSLKDFPQLKNLSIRA HTLCYLARGAGDGKKQLDSKSFNLVGHIPSTLESLRIYGLGEPVDRSTPYLDYESDLD VDAQIEQLAREKDAKLPGLKIFEGVDPCIPNGRTVDEGADEDDLDLFWKDPDDTRFDE VDADV PEX2_045530 MSAKTSPESQGPSPGQTSVSTSPSNGNGTPTPNDVEMKSLRPDP PKGSIPPGEDIMQLARMGEIGTMQKLFTAKKFTANHRDEEGITPLHWAAINNQYAMCR FLIDSGADVNAKGGESVATPAMWAAQRCHYYIVNLLLQNGGDPLLTDVQGYNILHLAT IDGNAFLVVLLLHQDIPVDVVDQQGHTGLMWAAYKGYPACVDLFLRWGANANAVDEGG LTPLHWALVKGSMPCVLKLLEYGTDRFAKTRDGKSPATVAQEMNTLRVWYRSLNERGF EPDGTQKVVPLGLSSFVRNKSIMAKFFFLWPFLMILVTIWMLSNLAIFVAVPLVLVTL FGMQYVAQQFANKGPMEYRVLQKTPYLAGVFAGTLFWVGFRYAFKVLPATYSSSPILN ILFAVFFCLTGYFYIFSMVQDPGYVPKVSSRNQQREIVKELFQQWKFDEENFCIPCMT RKPLRSRHCRRCGRCVAKHDHHCPWIDNCVGANNLRHFVLYIVSLEVGIILFVQLTIV YINSLPAPTNATCNVINDTLCDYASRDPFTLILNVWITLQLVWVTMLCAVQLVQISRN QTTYENMRGHHIDRSYPSSQAFASAMTAGTTSMEAAGLSASGQGPNPALGGPPPHRRK NGCIQQWSSLLGFDAFWTTAKDGLRDGPQAARPRNPFSRGVVTNCRDFWCDPAPLFGK RQTGAAMLGGEVINYHDMYETPMRMHAGNRSGDGPGYRSVAGEDPERMV PEX2_045540 MEPVTRKSDLSFLNDMVPRTQPQSARSLLRPTGADEMHDLLCVG FGPASLAVAIALNDAMDPALGASKDLNFKPKVCFLEKQNQFAWHSGMLVPGSRMQISF MKDLATMRNPRSSFTFLNYLHHKKRLIHFTNLGTFLPARMEFEDYMRWCALHFDNVVN YGEEVVEVVPGPTNARGVVDFFTVVSRNTGTGEISSRNSRKVVIALGGKAKMPPGFPQ DPRIMHSSKYCTHLPQMLNDDMAPYNIAVVGSGQSAAEIYHDLHRRYPNSRTTLILRD TALRPSDDSPFVNEIFNPERVDKFYEMSAEERKKRIATEKATNYSVVRLELIESIYND MYLQRVENPDETQWQQRILAETKVARIEHHNASNRMRIHVKSVKNESEGKEVLEVDAL MVATGYLRDAHEGLLENVRSLRPAGASAWNPGRDYRVSLDNAKVSAQAGIWLQGCNEK THGLSDSLLSVLAVRGGEIVSSVFADQLAGASSVQDTRVRAML PEX2_045550 MDRFTQFPAVNDDGDDVHIIPWQVDAQLPSEIFALSWAVLLRAF TTDENPVFLLNGAPVKADLSTQTVQPADLAAVSDLSGKHTAVVLGDPLLSDDGHPPAL RWTLDPATQLGALCATGGMNAAFLYQLGNQLKQIVQEQAFRKGIQVTLSTTELPDPAI SNASPSTLPGPQLLHELALRGLNNSNHAIEFLTADDNVRSLSYRDLDRFSSELANKIA RASTTSQGVQRVVPVLLPQSVELYISWLAILKAGAAFCPLNTDAPTDRIEFILQDVSA SVVVTNDALATRIPLKEHISILTVNDLQSNDITEPCSENSTSTDLAYVMYTSGSTGRP KGVGVSHLAATQSLLAHDDLIPPFKRFLQFASPTFDVSVFEVFFPMMRGSTLIGSERE NMLLDISHVMTTMRVDAAELTPTVAGELLRTRAAAPSLRVLLTIGEMLTRHVVDEFGH SESRDGILHGMYGPTEAAIHCTAATHFQVKDRVNMIGKPFKTVSAYIMALPSDDEPSP TELHPLSLGQIGELVVGGPQLADGYINRPEENAKAFIDSPVYGRLYRTGDKARILPTG EIECFGRISSGQVKLRGQRIELGEIEHVITRASGVRSAVTIVINGNLVAFVLVTDKGT TDSSLRDVCRQLLPRFMIPGEFVLVDQFPQLPSGKIDRKKLEADFIRHRSTAQASDDQ PCRDEKEESIVSSVADVLGRRLSSTESLVAAGLDSLAAIRLASHLLDAGICLGVATLL EADSVDGIWQLATSLETASPNDDTQAALQTVLRLVSDAGAARVDSLGLSEQVSDIVPC SHIQQAMILETVRDNKAYCNWIELEFESSVSLTLVKNAFGQLVDHNEILRSGFVEIGL KDHSYGRFTWKTIDDYVSVQQEFNYDLGLSAGHDVLNPFRIQVKEGEDHLRVLVHIHH ALYDGWSWQIMLTDLRSILSGEELTSKPPYNVVANFFIEHKLDKSAKESSAYWRDQLQ GLHPATFPTFHGNTDIVHATGKATRVLDISVSKLNEVSQHLHVSRQTIFQAAFCYILS SYIGIGDVTFGTVFSGRTLPLKGIETILGPCIRTLPTRMNLDKMQDVTDLLLAIQNTN RKSLDHGSLSLQDIKKASGIDLDRSLFDTALVWQESIWSDDDQGSVFREVGAGEFLEF ALLLEFEPREENIFARAIYQQAVLPFEQAMILLEQIDFVASTLIDKIKLPIQDIRSYL PSSTLSIQHATSREQAHLPSLASGVEMIASTDPDRVAVELMLWKLETPAPLVESMTYG RLNSRANGFAHLLLHVGVTKADLIAIHLTTSLDFYVAVLGVAKAGAGLLLLPQASSQI IHSILSIAKSRFCIVDHLTEKNHRLDSLKPIQLIHLSYSLDVYHEFNIPYTNEASDVA YAEFSLIGNDLTENILISRQNLHSSINALSDLYPTPTGSKMLSCLQGSGASICQTFFA WHAGMTLCSATDSIMMTSIEKACHDMNITHLHLPPMLASRIDPQSVPSVQYLLSSGEE MTPKLHRNWAGKGLHQAYSNHALAHACTFCPNMQASTYARNIGRPLKNTSAMIVADGD LPGLLPRGAIGELCFGGDQVGRCLHDSETPVTGRFVDHPEFGRIYRTGDFGRLLPDGT LILSRSSGITQSYAPSIDLDGVNHALMSLEMIQDSVSMILDEPTLRQQRLAVFWVPST KCSNSVQIEEATNALFKELTKKLSSSNLPSLLVLVDTVDLTMSYKTDHLMLRQRLEQL STKQLAIFSPKLNADDTGDSFTELENTISAALSAVTGTDQSNIRKHTSFYRLGLDSLS AISFSRKLHESGCGRLAVSTILRHSSIAQLAAVIPATTNEHQPEGAVVPAPPTVFDEN FTHQVEDEFKAADITVQSIYPCTPLQEAMLAAESGGHSAYFNHLLLLVHTDAEAMRAA WAKMMQRQDILRTCFTQTNDKRFAYAQVVLDTQVLPWSHIEPSSSELENVIKERKARF EGLSPVNGQLPYSLTFITDSTCQKAHLLLSIHHALYDGEGIAQLLQELQLLLSTQELP VNAPFRNFIDYMTSVDYGSSDEYWDQYLSGVSPTLLLTPEPAANAYDSASQQVHTSLN GSLVTFKQQCKDLSVTPLNIFHAAWARLLSLYTDSSDVCFGNVFSCRTIPLDCADRIV GPCFNTLPIRIKASSTATNNDIMELSQKSNNDILPHQLSPLRRIQRRGLGDGSRLFDT LVIFQNRNTDLDSNIWELLQDEGNMGFPLICEIIPNEPANNIQICLHFQVSHISQAVA ENIARDFVTLVGHIIQYPSAQASDKRLLGTDIPRIFEQKVPKMPNSVQFLTRSHQKRS WSYQEEIVRDLLCNFSDVDSDNVLQDTTIFQLGLDSINAVQVSAKLRGLGYKISSGDI LEAASIDQIASLLTSSAKAVEEIEFDFHLFQAHNLQSVCEQLGISSQLVQSLRPCTPV QNGMLAMFTHSHGEVYFNRMALRFPERLNKKKLKEAWSKVMAQHEMLRTGFVQLRDQH NPFAMITYHENSELPWCETSISMADTPGIQEKHALENLHHPPWRIEVEAGDNTSIMHF SALHAIYDAQSLATIFADVRTAYEGKALATPAPVTETLGPILLESKSQSESAQSFWKK LAPEVQPSKFPDLHPIRTDERKLLTTSIRCAQSRKALEDACRDIGVTLQAAGQAAWAR LLSAYTGESNITFGSVLSGRNLSAAAQQAVFPCLVTVPTPLRIEGSNRQLLDRTLKQN ALLVKNQFAALSHIQRWLGSDEPLFDTLFVYQKFTSDAVGADGWDIVDEETKIDYPVS IELIPHSTDLQISLSYRGDLVPTEQAAILLSQYDKFLQDTVFCPDANSTDHESVGSRL LSITPAKEAPIPTTVSLLHQFVEANALKLPEKIAFEFALGDTADSLQKKTWTYREFNE CGNQIAHFLQARGAVPGGIVGICFDKCPEASMAILGIMKAGCAYLAIDPGAPISRKQF MLKDSGTNILLCNQSKMMELGGLTATDVQALDEPGMLDGISTNDVSLSRPIQPDDTCY CLYTSGTTGTPKGCEITHDNAVQAMLAFQRLFAGHWDEESRWLQFASFHFDVSVLEQY WSWSVGICVTSCPRDLLFEDLTGTIQKLQITHIDLTPSLARLVHPDEVPSLCRGVFIT GGEALKQEILDAWGKHGVIYNGYGPTEVTIGCTMLPRMSANDKASNIGPQFDNVGSYV FRPGTTTPVLRGSIGELCVSGPLVGKGYLNRAELTKERFQELPEFGDRIYRTGDLVRI LHDGSFQFLGRIDDQVKLRGQRLEIGEINEVIKQATPELNEVATMVIKHPKQSKDQLV SFFTTVTADKKSRAVETGVQSSENDRVLLSKIKGACRTHLPGYMVPTHIIPMTRFPLS ANNKADMKVLKSIYQELSLEDIQKLSSMSVGQPADSVQEQKIISILARFIGSSEKISS WSSIYELGLDSISVIAFSRSLREAGFSQAQPSIIMKYPTVTGMASALQMFSSSSVSVE TIQRNAKQGIEAFAHKNSHAITEHIGAMDGDIEKIAPCTPLQQGIIYHYLSSSTPLYC SSFTFELDPSVNLENLRTAWDQTQRDVQMLRARLLPSPDGYAQAIVKKDLLPWFLATV DTKEEIDDLRKQRHDHWTTQLDGLSSNLWEVGVISSPDTSVMCLNIFHALYDGNSLVL LLESVAQNYFGQSKSSQSTPEFLDVLHLGPLCKDPAAEAFWKEHLVGCHDRAFTENVQ VDSAPVLLKVQINATDQVDHLRRSLNVTEQSVLHACWLLTLQQQYSFVPSLGIIASGR TIDVPGIGDVIGPLFNTIPSNVQLHGLETWSDVARRCHDYHVSMIPFQYTALRDIVKW LGKNPDERLFNSLFVFQREDDKNESLAKVLWLNLDSEAQHEYPLAFEIVRNSNQSLTA TIVAKSHAVSSEDAQQMLFKFEQILSEFAQDPNKELLKINGVPLTQVVANGDVNGSHE PLRTQEYTNGSLFEWTPQACTIRDVIETLAEVEPRSINENTSIFEVGLDSIDAIKISS RLSKSGIKLPVSSIMRHRTVKAMSQQLSMTNGHTKNGTLPLLNRVEKSLDKFIRAEDL LPPSATRILPATPIQEAMIAEMSASGYKHYYNHEILELEPDVEIERMERAWRTVVRAH PILRTSFVEVWDPEIPVSYAQIVHDEDYIDLQTVHLHGVSVESIIETQRSRARNELIS SPLLSITIAVDEDKRYLVLSIAHALYDGWSINLLHEDVAKSYAGAECSRPPVDDILEQ IIASSGDEALRFWRASLSNFTPVSFSPMEHAGNSSAIVHRAEQSLSVPLSKAEMFCKR HGITLQALLVGCWSLVLAMHAKKLDVAFGLVLSGRNVADSEHVMFPTMNTVAMRVVLH GTRVELVKYVQEALLAMSEHQHFPLRRARPDTGSRALFDTLFMYQKRPVENFQTGPGP VLYKSIGGAADVEYPVCAEVEGVGEDLVGRVACRGNIVGDQDALALLGQMGDILLSII DGPSEQTVEFTNEGVNICGSAAFQDESGQDIETDAVLRTFNHALWLPIESKIRKVLSI ASGVPENSIDKNSTIFELGLDSISAIKVAALLKKQSVKLAVSDMLRAGTIQNMAKAAN SNQTELSLTNISTILRESLDGINVAELLQSHGIDSQRVRKVFPATAGQTYFLSMHSLN PEVFYPEFYYVTSRQLSPEVLDRAWAQVIDQTPMLRTAFVPTTDSRLLPYVQIEFEAV HIPVIWHSKFDRHLVSSSLKQEFGAVLVALHACQISKGTALILQIHHALYDAVSLPHI LDRLVTHCSQEEVAKLNPDLDLSQLVAFQHIHSPVDVRRQFWQKYLGQIPTAVKRGDD FGSVQHHYRPGLVSNMASVEKVAKRQGLSIQTVFLAVYARVHLQEFGAAETVDDAGSH RRLIVGLYLANRSHSIEGLAESVIPTVNIVPLRLDDKLSDSNETLLDAARRIQTEINE ISRPEYSGVSLVEIAEWTGVRIDTCVNFLRLPEQELKAPSNGDRAKFSLTAIQREELE DLSGAAPSEKDRIPINGNGVAPLGAAETSPGLEHPSASTATQNIFQSTIDVEAAIRNG RLDFGLFAPESRLDRDTAETVIGTMQREMSALVTGFELI PEX2_045560 MVATFSPHRDSGGTLHLPSHSGIHHVDASSAIRQLRRSLSRSPS KSSNFFLNPRTHSPSKSAYISSPLSPSRRASQNNFVLFPSHQSHQSPLAVPYPPSAKI SRPAMRRRTSPRSPAKRVLSVSTDSGNATPTQPIPLPSGEENDLTLDDLVAPGQSTTP DSPCFINTPSAPLGESTFAPRSTLSRIEKRRSGTFGSFATGSPLKRSDGIMNLDQASR GSPSAKRRSVHTPNFSAEFSIFDNEVENTTPEGSPTRCEIPSFPTPVQPHSPFATIPK RSSSLRRSTLQQRGDRPLFGRPRAMDDLTDASPPETPLSVRPRMSFDSSLFQPGSENI FSPRPPASPLFSNSPANPPVNPTVNPTQPPRPTAHPLSRTITQSSSGSSIEDSPTHEP VHKPEGRRTMFNFSKSLPAGATRPAPVSRITREDTTETFATPDNFRLVKPLPAAFMST GLISKKNRNAEENPGFNKSMPDTPCKRPVNLFTAGPNPTDRLFDKSRLVHQSDAVSAS PFNPPTTSRPKPSPFARGMGIFGSSFNRPEASRRGSFASIDGDELILAQSPSSRQDSQ PLNDDFPPTPTKQPFIPSRTYPPATSQIASLERLSDTASPLHEKFLQASPHTPRDQYF PPDPSGLSISVPSDHQYVQEHDLPATPTGPRDSWSSFRRPSLQISGYYVPDVDPTLTS RFDSVELIGTGEFSQVFRVAQPHDASFPSVFSLPSSEPRSPTSLPHQVWAVKKSKQPY LGLKDRERRIREVEVLKALTNCDHVISFMDSWENNGHLYIQTEFCEEGSLDGFLAQAG LKARLDDFRIWKILLEMSLGLKQIHDEGFIHLDLKPANILVTFEGTLKIGDFGMAARW PAEDGIEGEGDREYIGPEILMGRFDKPADIFSLGLIIFEIAGNVELPDNGVSWQKLRN GDMSDVPSLTWSSETSIFRDATGNPISEGSSFEDLCTSDLGDNDFGDDFLTSRQLSNP KPQRLARSGELIDPPDFMVDANHPQALDGIVRWMISPEPQNRPTADQILETYGVQFIN QRRRAGATVYEGNWGPADEILAEDAEMIDV PEX2_045570 MDNRIFVSDNLLRLTGASEPTVVDFVLATASSAKSTSSLQDTLV SFLDGVDASSAEIGAFARELHARMGKGALPSASAPKPATSKESTKKKYRLVDMGEDQP EPVPSLGPTNVEADRERRRRRDRDSDKDRSSSRRDPEPRSRGEKDDSRKRDRSRDAES RDRPRTRKLRKKGSQDFDDRWGDEEILEEEMYPEEFEESPSKRTRLDDGSVSPNPALP TDDIDVDPKTKQEIERQRDLRERDEFAKRLATKESSKSKKIVEDRTRDGEAARRRALG DDASARASMMPDLRERSRQEYLKKRETERLALLRRQVVEEATELRENPNLSRKEKEEF ARNREVLRLAEERLRIDDHLDGYQMPSDYITEKGKIDRKKKEEALYKRYVERDELGQE RFVTEHEEWELEQAAKAKAQIKKAEFVDEGDYEYVFDDSQQINFVMDAKLEGTQKPLT KEQLRFKEQVDAAEKKALSMEETRKSLPIYQFREQILDAVAKYQVLIIVGETGSGKTT QLPQYLHEAGYTKDGLKIGCTQPRRVAAMSVAARVADEMGTKIGNEVGYAIRFEDNTS DKTVLKYMTDGMLLRELLTEPDLSQYAALMIDEAHERTVPTDIACGLLKDIAKARPDL KLLISSATMDAQKFQTYFDDAPIFNIPGRRYPVDVHYTSQPEANYLAAAITTVFQIHV SQGPGDILVFLTGQEEIEAAEQSLQETARKLGSKIPEMIIAPIYANLPSELQTKIFEP TPPKARKVVLATNIAETSLTIDGIVYVIDPGFVKENVFNPRSGMESLVVTPCSRASAN QRAGRAGRVGPGKCFRLYTKWAYYNELEENTTPEIQRTNLNGVILMLKSLGIDQLLDF DFMDPPPAETIIRALEQLYALGALNDRGELTKVGRQMAEFPTDPMLAKAILAADKYGC VEEVLSIVSMLGEGSALFFRPKDKKIHADSARNRFTIKDGGDHLTLLNVWNQWVDSDF STIWAKENFLQQRSLTRARDVRDQLAKLCDRVEVAVSTCGSTNIQPIQKAITAGFFPN AARLQRGGDSYRTIKNGQSVYLHPSSTLMEVNPRWVIYFELVLTSKEYMRSNMPLQPE WLVEVAPHYYKKKDLESLGLDRKVTKGQGAAGEKSRE PEX2_045580 MSKTFTPAEVASHKTADAGLYIIIDSNVYDVTNFVDEHPGGAKI LKRVAGKDASKQFWKYHNEGVLKKYSPKLKIGEVKDAAKL PEX2_045590 MADLQTPASMAHPPAPSSRSRAPSKGTRSRPSLRRRDSSPPSSS PPSLPTPTFSPDGQTDDDDIDITEDTLSPFDARRITPTLHASLVSEILSLRREIENKT KAIDGLEESLDESRTENETLTANLSQATWEGRSLKHQIQLLEGGTSSAMTELARERDE AVENIGDVRKKLEQAQKKARSREEEVERTQLLWNRDQESWADERRTLERKVHVVEGRL KTVLNEVAATREVATIHSHSQPSENGDLVHDKNKESDSASVASSSLGRRRMSVTSVSS EEVEEAAIFHNVRYSVMSIAPGAKNGSGLNLAEELDFDEEDEFIPSDDEDELPASPEA LPEERPMERPMSVHSQGSHSISDKARKILGLSLQSSDLHSPTAMEFRTRELGLASPVK LDSAVEYHDVGIQYSPPPSPKIEAKVVHDIVPESLETASEPEKETNISETIDSATLPI APQMVSTSCQTVDEPSSPPWTPNAAESPQPVESIPEPVRMTSASTQTDVVSETNIVQS DDRINLSPNDVPSKIDIPMIAIHPPGSEPSSPRNSVVLPPQTKNIGCQANFRAIADCR SIAIQTEEIRIDQRPVKLPASLLPSAIQDALPRPEPQEPSLESYTVPPLPPRSEKRKQ RPLVTDRSIDGPVKPPRVSSPDHVQAYPGNNDNGPLSDDALSNIRRPLRSSSLFAGFE HNSDDEGLHNERDVFTDDELLNRPFTAYTVSRGKLITTKSKTSLDDMPLPEVDEQLSS PESRPPDIGRSRVPQRSGTASSSIRQPGMRKMAMISSGTAAHQKPRARSPSEPSLDSG SAGSSIAPPFPVPIRLSSRKLPLNGSGSDGPPSPTRSAGRQFSDRGRQSVIRRPSLRR VRSAATTSQSDITERPETCSSPSQSISTFSPESPTYRPYRSPPPMPYDDITAPRERYG PPKRAPSHRATPSQTWNREQRERKDSTGGVQPTSVVDAIAQTMVGEWMFKYVRRRKSF GMGEPKENWEGKNPDDVSANITNSGVRHKRWVWLAPYEGSIMWSSKQPTSGPALLGKS GRKFNIQSVLDVKDDNPIPKGAGSSPPFNRSILVLTPQRALKFTALTIERHYVWLTAL SFLSHSSMGLQELAALPPVPQEESPTLPHSSLRRNPIRDSIRVAKGRPRPMPKGKRSF NSAGAPAPVPEIPGGSIDLAADAPHVPRFSTHNRKRSNTAPRPALHALRSFSSAGTMP SSHSGTTAGSSELHFPAMQAPVLSPGIGSRRSSISRRTSEASGRASSVAGSNMFDIGT VRMEAFIDHHAEQINRPRAPPHQRHTRKTSSQWSERRYEFDTPSVHGSEFSYRPEDQW QGF PEX2_045600 MSAEHPPQMLFFDTFGTVVSWRTCVAEELSTAALHAVNYPRNDF PADLRDRTAAMTRDDWLVFVAEWRRTYNVFTSTFDSSNEFVSVDQHHYNALQDLLRQR DLGGLFTDEKLWELAFAWHRLNPWPDSVPGLELLNRRFATSTLSNGNMSLLKDLQRHG SLPFTHLVSAENFGAYKPSPLVYNGAAKKFGLEPGQCGLVAAHLRDLKAAKSCGFQTI YVEREQEEGISKEEAEMAKNEGWVDMWVDLESDGFREVARRFGIE PEX2_045610 MNNHVQDPEQNEEITACENEASPMIKRTIAGEDNVKTQAVISEL VQTRRGLKQRHIQMIALAGTIGTGLFLATGKSLARGGPLSMLLAYCIVGMLICCVVFS VAELSALAPLSGGIIRHAEWFVDPALAFAQGWNSVYANAILLPAEMVACAVIIDFWSD VNHAVWISALGALLIISNMLLVSIYGELEFVFAMLKIALIVGVNILSICITSGAGPQG YPMGFRFWRDPGPFVQFLGIDGSWGRFLGFWRVLSSAAYAFSNVENISVAAAETQNPR HNIPKAAKRVFWRILIFYLITIFMMGLIVPSNDKGLLSDSGDAGASPFAIAATNVGIK VVPSIINAVVVTSAWSAGNSAMLVGTRTLYGLAQEGHAPKLFTRINRFGVPWISVAAV GSFLALGYMTLSSAASVVFDWLQQLVSAASLVHWINIEVIYLRFFYGCKKQMISRTEL PWRSPFQPYAAWISLVSFSIILLTGGFYVFIEGNWSPQTFVSSYFNIPLIFVLYFGYK FWRKTRLVPLEEIPIQEFIRIANENPEPIPSPATGWRRLNILWA PEX2_045620 MVSTPAYTARAILDAFYEAERAYMSAAPEARDFSGIAATLAPDV RLEQTSALPYAGVYIGPKGFQDWSTRMGDYFDIVDVQNPEIFERSGSDRVVVLSNVHF RVRSTGQVMDFPFCQAFTMDLEKGVILELRPFYWDVAAVNTALGC PEX2_045630 MQLKLLTSLAQGQPGVLHHCTETLVAFEYTRSHVPKPHTLVFVG GLGDSLGSVEYLSDVVRALDPSQWSVFSLILSCAGGGWGMGRLGKDIDELSQCVSYVR KYKEPQFGAGKVVIMGHSTGSQDVMHYINCPNPRPAHPVFDRHCKPIVRAPVDGAIMQ APVSDREGILWVVKCGTARDSPAKMRAIYNKAVADARRAMYEDHDLVDTVVPLSVTSR IGYPPTAPVSSRRFLSLVSPDSPENPSEDDLFSSDLTDEQFRGTFGMIRERGLLKQKM LVLYSGRDQSVPPWVNKEALLKRWQIAADGSGRQIWDSRSMVIPNASHALSDSDQAEP RRILVERVTAYLNDIQRG PEX2_045640 MLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSITSRASFSRITK FYNQIKMVKESSSSGSPSGPSYLNSPMNASSGPAMPVPVMLVGNKSDKAVERAVSAQE GQALAKELGCEFVEASAKNCINVEKAFYDVVRMLRQQRQQAAGGRGADRRPTGFGSGH RDRDAGPEYPKSFRPDRSRHRSRLQCTLL PEX2_045650 MSPHPQATTHSHSLGDPETFWSHHAARLHWHHKPSRALTRQTKC LPSGAEHQHWSWFADGEISTTYNCVDRHVLAGQGDNAAIVWESPVTGVTEKYTYARLL DEVEVLAGVLREEGVQKGDVVIIYMPNIPAALIAALAITRLGAIHAAVFGGFAPQALA QRIEAARPRAIMTASCAIEGSKGPISYRPLVEGAVKISSFKPSRVIVWQRDQLRWNQP DKRGGQRNWQRLVKSARFRGVKAGSVPVKSTDALYIIYTSGTTGLPKGVVREAGGHAV GLELSIKSLFGIKGPGDTMFCASDVGWVVGHSYILYAPLLVGATTVMFEGKPVGTPDA GILWRIVEKHSVNAIFTAPTAMRAIRKDDPNDQFIGEVGERGGLKSLRGLFLAGERSE PSIVSSYQDLLDKYAADGALVIDNWWSSESGSPITGVALNGSAALASLAPGPDCSPLT TRPGSAGFPMPGFDVRIVDDEGSEVPRGTMGNIVMAPPLAPSAFTTLFADEERFYRGY LKRFNGRWVDTGDAGMVDKDGYVHIMSRSDDIINVAAHRFSTGSIEQAILSHPSISEA SVVGIPDPLKGHLPFAFIQPKASAIPGESGPLPATPSPQLFAEVNTLVREQIGAIASL GGIIQGRGMIPKTRSGKTLRRVLRELVELGVKGEYGAEVSVPPTVEDAEVVSVARERV REYFEEKGKGNGIRKAKL PEX2_045660 MHTILLTITALASFTLAEYRPEERVVLADCGIHPPNGDSTSRQI MYYHDAPWAFQGTRGKWVTPDMMTDVPWDGSYPWRTKGLIRKMPNGDEFSIVIDPSIP DSNDKFAGLAGHTFEPHGFGCRGHHERGIYILDDGTECASAYICDHKTETRPGPAPAP PAGKTTSSLTLSSDAIYVDNSNLYKDKLRLMNPSDAFHSVYDAIEGNGCRKTDFAISD SCTISYNCMFAGEKNDAKSRSDAIAKFLHGTAGPQISKSWYEKTTYSQQWGVPTYWIG YKYPRSGQIVITHDNSIQSQLSFEVKCKSSWFCDVGCTGVTTGMLALGYAQGMAPVGV FGTLTAGACGLFC PEX2_045670 MTHIPPAKEDHVDVLIVGAGPAGLMLANWLSRCGVKTRIVDKRG SKVFNGQADGLKCRTLEIFDSFDFAHRAWREANHMLEICLWNPDKNGRLQRSDRIPDT IPRISRFQQVVLHQGRIERFFLDSIKEHSDIRVERGVLPTSFDFDEAKAGDFEDYPIS VKLHTLSKEESTPQQRQQHQKSSDGQQIVVEDGLFRSNLVADDTEDLIQATEAKDNQT PNRMEHIKAKFLVGCDGAHSWVRRQVGFNLEGSSTDYIWGVLDIVPITDFPDIRHRCA IHSASAGSLMVIPRENKLVRLYIQLQATDVQKNGSKADRSWITPEIILKSAQCIVHPY KLDYAYCDWWTAYQIGQRVSDKFSQSDRVFLAGDAVHTHSPKAGQGMNVSMQDTYNLG WKLAHVINGLSEPAILQTYESERKQIAQDLIAFDHRFSRLFSGRPAKDIMDEEGVSME EFKRAFEKGNEFASGIAVNYSSGILVAKETDVAEQGNSMIISKPELAAKIDIGKRIPS FKVLNHADARPWHLQELLKSNGRWRLIVFPGRLTEPQNMERFERLGALLGGSNSFIRQ FTPPDKPIDSVIEVLTVHSGSRTDIELLDLPEAFHPHHGDMGWDYWKVFVDEKSYHEG HGQAYANYGIDPNRGVSVIIRPDQYVSWVGEVDDYEQMSRFFSGFMKKQVSGNYAS PEX2_045680 MVENIEWYKNATDAQNMEKSAQEQQSFIERHQDNKMKVTISFPS GMKRFPAPNLGPFTDKGEGHLEPYNRYWEVMKWLPQNELEDMAHAPSWMQVPTRKVVS GLSSVKKVFASDNLPPIEIRLKWIRGEEVSKDQETDLATKQAVKREAHLPPSKSRFTW DPRLFAKSEQFRDSIRRSLNFKELGLDLQAMLIGYHHEAQDQSINQSNFN PEX2_045690 MLFRSILFAALSGLPLVAPAALPKHGSSFELVDGPFFTFHYKTD QPSEKNWIGIYSIYGEGPGKENSIYWDWMRESEETKRIDDAHFNWGAYKAYFLADSGY TVLAGPIDIFLSGDGPISFNVKEFTTKNAREGKKFEARVNGLLHHAPDPNTKYSKENS DADWVSVSEDGIISGIPSGSGSSKITIRATASDKSTATIDVTVPVVASGKPLVTELKV LSLNLWYGGTKVNDYNRKQISAIANSGADIVGLQESTHGHATQIADALGWQSWQGGDS SIISRYPISKKYGAVTETSVAVRVALDGDNNQIILHNCHPYAYPYGPYDPCFDGKGAD AIMDTERRASRARQIQDIVGAMTDALDNADKVPVLLTGDFNAPSHLDWTDATNSSHCG IGQFDWPTSKVPIDAGLTDAYRELHSDPAADPANTWSPIYLNNDDYNGHKEPMDRIDF VYYKGNLTPKKAETYMVGNPKPEPDHSDNEWPTDHKGVLVTFKILTQPKIQIHGVLSS RPSAF PEX2_045700 MEEKAHDANTDRAGYISSAPSLETNTAGSEAAHAKDSTETGWVA GRTEYLTGLGLLSTMTSLTLVGFLILLDTSIVSTAIPRITTTFHSLADVGWYGTAYLL SNCALQPLTGKMYTYFNNKWTFLSFFAIFELGSILCGAATSSNMLIIGRAFAGMGGSG LLNGAYTIIHASVPPERQASLTGILMGISQLGLLCGPLIGGALTQHASWRWCFYINLP CAAIVGPLLIFTPLPGHDGTKHKGQTLVSSLKRLDLLGFAMFAGAAIQVLLALNWGGS SYAWNSSTIIGLFCGSGALLIVFAAWEYYMEDGAMIPFSIMSQRVIWASCMNYGFFAG CLLNSTYYLPMYFQAVRGATPTMSGVDLLPSILGTMISVMITGVLVGRLGYYLPFAVA SGALTMLGTGLLITLTPTTSAGRWIGFLILQGVGRGFGLQIPLLAVQNNTRKEQVSIV TSLVVFGQNFGGAIFLSLSQVIFSAGLKSELKALSPQTGSETIIAAGAAGIRNIVSAK ALPSVLLAYNQAIVHVMYLAVAGAGGAFLFAFGMGWTNIKRKKDTGTEENGV PEX2_045710 MKKECLPSPPVRKRRMATKISGDNKVERLEEKVDGLVALLKSVT QDAPSSFNASGINSVLGSLIQASSSSEADGVIADNSQHVEYPYDRSGVNGRMEGPFTP TASSSSRLKSTNQLPFLIHPYLEPSAEEAAAYLVRFRNEFQGHLPFLQVSSSMTAQQL RQESPLLWLSIMTVASTRSTQQIMLSKEVRGVFGREAYIEGTRNMDFLLAVLVYTTWS ISTSLVQLAIAILYDLGLDKRPPQDPGVTLAYVLKGCKLSQFTRSPTSEERRALLGCF LISTVPTSWGKGQSLQWTAYFDECVHVLEEQKELASDLLLVQLVNLRSLSENVSDHIG LSAASGIHTMRPQATVYLKSLEARMRNLKSNIPQELNRNRVLLLEIYNTELMIHTIAL SPGVNTFPGQPNQRFECIYACLQAVKSWLGTFSMIQPVEFVGFSSLMYANMMRCFIGI YRLATCNHPEWDRTLLHEAVNLSRAFEEASNSFDRVKEVAGLDPDGSQAQDSFSVMAT KLRSMKMSWDAMPTLLPPPSIDELENFTSEFLDTWNW PEX2_045720 MIENPDKDEIAMEKLISPLPKERLSLPHRLNCFLQLWLFKSLAS LYFLYVRLIHPPQPGTQPTLTKRYACRPTLETRIFYPRTYNSAQRQLLPLYLNIHGGG FALCDATVDDPFCSAWANRTGMLVVSLNYRKAPLHPFPTATFDIADVVKCVLADDSLP IDHSRIAIGGFSAGGNLALSVSQFPGLKGLIKAAVIYYPIVDFGHPPNEKLDSRPYKG GPKETLESTSWWLDWGYVSVGQNRRDPLLSPVYAGRDELPPWIYMIGAQWDMLRLEAQ QMIHGLAGLEDRVGVEQEEDFEVGRYKWTLAKGCPHGFTHASPGRKKGGVSRRKREDV ARGIYEEAHEWLKKSQVLS PEX2_045730 MDINHPSLDALILRLPDEILDMIISATTAILDEHRYLIDRYQAY EMAVVLSLVCKRFYRITMPYLYAEVVIYTDKNNWRRPSQISKHLHRTLRENPLLWKHC RHLTVSYNKNIDNLYIATDCVTWLTAVKTLTFWGLEGKKAWELLRLATKHMSGCNALS LDSDHCYNLHLPFVIDVLGDFKSGLLPNLETLRLSGVSIYGDQMCQAELREKAGTAPF TKLQLRSFLLSPKSLEALVRWPRHLEEFELRYLCGDEAAPSGLYSDWSLATLQPILYI HRKTLRSIKLYRFNRGGLEGFDLREFENLEQLSLSYEFSDPQRSPRNEAFEPPDSLLA PRLRVFHWNLTSLDSQCAERLGDFAKAEEDWLRLLARKAFKRGCPLQRIEITFTPGTD CFGTGDEVYPWDRMDAIGADLRPHGVEVSYSTPPVSRERFAINRKQVAEDNLRMAAEG E PEX2_045740 MVIVTTSLFANSESGLYLEPLSPNQLQKTVLSIRFLFPPPTVTL QSLLYLTPRILTRLFNPFPHPHKTMPTKLPKRPTLRWDQHKRQVLCCLYRFFVCNKKE TEEIFSYIFRGHLNQRGIQGFVPFATLNTQWVWMKNRRDPVWSQVHINTAFETDGEWK EVITKIRSAAKVLRFELGEKMEDNINTSHLSPLVSDDERSITFNEPAAAMLPHSLSTP ETINPMVLLFSKRDHVLNRISRDNQSLDQDIDQSIDQQNDDTDLHNDLHDDIPNRLPG STEPVVTSHGKLCLWCEHEGITYDSEDIQKPQDEDYNYDSEYEDHSHGNQNNNRQDNP IMREYTQRFKQFMRELDGEFLHLDEELFDSESESPITSQGSPSKLSPFRIPRIPSPSD LEQDILDTGNNSDWCADGGSPANLADLGTSISMEDRSGALDDDRIYTKKSLSNFRFPQ SRTAHDEAPDGSFGGQRVFEDGWPSDDEMRVETLRQMSAEALRQVENQSITQFSSQED LDVLMYDGNTWNQV PEX2_045750 MSSDSNPQLRMPGFNVDLNYEPNRNIFGMGINGFQNGLDKDHHA YGCMYDLVTHREVLMMQVMNTITDKPEWDQKIFDEDITAKWQNEIIQSGQDVTPKMMD WIVKELQWKTGVFKEEGIVRVFDVGVIKSDTAISKELQQALKEAVKPLKNIPEDQKDY HPGSDNKVINLVDPSLFPVIYGQTRVLANRTIGTNDCIRSVGQGDLVLLPSRENCCLP IEHNEFQAHNREMEIPVFNEKSQWLPSDVELTHNSGCTIVSYINNVHPVGHKRLYDVV EKIIACTIPLWDQSLTEFYSYRINYREVNFERHTESRPTSPERDDEEEIDTEDEAEEW FLEPIWQWDNTRPILLPEPGEFTFPGEWRRVNLRNQFSGTKLQVIVKLANIELTSNNP DYEGDSWHLEGQLNERICATAIYYYESENIIESTQAFRQHGMDSMINIGYQHGHHQFL QAVYGFGDDVRGNGSTNVTQDLGGVVCQDGRLLTFPNTVQHRVSPFSLADRSKPGHRK ILVLFLVDPHRRVISSANVPPQREDWGGDRQLAVNQVLSRLPLELQYIVESYIDPLMT MDKAKAHRVELMKEHELKSERLNQNFETDNFRLR PEX2_045760 MSDKPGDGKTPRRARKPQGFFSEKQQEQRNEVARGQLEVDLPLR PKDKRPAPDDLEESGSVKKKQQKEVPKPNPLDVKAFLADLKTDYSKKKLEPMPARPPK QKWRPVKTAITDRAKAPKGWNPREPDLINDDLEPQITRCRERIKENIMPHVYEHKLEE FLVEQKGRNKKMAAEHGLNWPVVQRLENLKYILEWTQSNAIKDTYKIARNIQNVILAY RSGVLNWCHGFITYWHNGAQLCAPRPFKWNEFQYLYDEYKGNETGFWIEGMDGPGPSS QQAVIECGTGSRKWAAEVSTYVTSIALRIPMTGGKTQPGPFEFQFKDDTGADYMVLYD EDVNQLRTNLQANGVMYPLPRLLGVLVVTLGDGSKKAMLVRELEVNMWNEQEKQYMAA SWDSIPVVVLPGRGTKRLNGPWMRWKFYTGTAPDNSNRLWIYDYNPTNPLMRGPKLPT ATQAQMNRLLPTANKYESIGNHPQFNPDIPSGKSII PEX2_045770 MKLPFGRQPTVEPIPGDRVVPLHFFEDSLLVQGNNMAVSLVFDA VLDPERLRESLEGLVKQEGWQRLGGRLRKNASGKIEWHIPAQFSTERPAISFAHVDHG MPAASHPAASRIPKPQDRPCIVGDPDDLEGLAWEPGYKPNGINDYLTSDLPVLGLRVN SFTDKTVVVLQWQHVAFDALGMQYVVEGWSSILWGKTAEVSIPCGLDSDPFHSLAQGS RPTTEQHVLTNRRVGLGGLLRWGLGYGVDMLVRAKENRMVCIPETYWRPQWEKAVEEL CAEAIANGEDTSEVFLTENDVLTAWILRCVVGEVGMNPERTVAASIAMSLRKSFEGDL LPASTDHPYVGNAFGWANVLVTAGDVDSKPLSWLARQVRRAINEQGTRAQHEAYYAMV HTSGTGLPIVVFGDGGMAQVGFSNWSKAGLFNLDFSPARQGPRDDSLPCRPSYVQENH GPVKPADGFFILGKDEKGNYWTSACKVKGQWAKFEEQLKDFDISGSA PEX2_045780 MDLATTPGMPPPDGQESHFHEPYNSLQTGTVLAFGITYLIATIF LGLRYFQAFKLTKKIEVDLITITISYGIALVYFVTVVNLMNYGWGKHMWNVSLEELME FNQVRLLDIFWSTVADKKQRLLVNTLTYLICPSITKMAILSVLFQINPAKIYRYLVVA LAVAIFAYTLTLCIITGGPCNPLHAGTTTCLKNVALSQAVLNIASDLAVIAIPIPTIH SLHFSAKQKAMVGCLLALGSGVIICSIARLPYVLLLSKTADTTYTEAILGVWSLVEVN LGIICACAMRFKRLIAIYLPRLSLFSSRSHGTTKPREDTPINKFQPKNSGGQHSYELY STQDGHADPFAGTKDISVHRSFKTDEERTHVYSRDNDSADKILA PEX2_045790 MMPATPKEKAQASARLKDPAQAKQVKVWSRGDLLDVNSSGSTVT KKRKRGIRSRTKRLRMSSSMETISPESTTSKTSHKRSPKKEHRRDSSAAKSAIPPGMQ QLTSPEHTQPELSPKASSEQKAAKDSASTKHTTPLELPDFQPSEFIFPHELELGPFDC SSDERGTSYKPTSDSLSEKHDEVPTPYTPALRVVSQYKQCISSQRGGKCDIWLARQMY GELEDISTRIEKVMHGITAMLEERGASDEFDAGGDFEGAGDYYFETEESPCASKGGGP ENPLELSDGDDNALFVGSDERACSDEFGGLFVSE PEX2_045800 MRFSIAALALGAAGAMAGVVTETLTEYTTYCPEATSIVHGSQTY SIATPGYITMTHGPYTVTRPVSTSTVTECKSCSAAAAVTAPVVASSVPLIPVAPTVAT STPLVPSAGSTGVPSSPSSPSSAATPSQPAFNAGAINAATGAGAGLAAVFGVVALML PEX2_045810 MYLLDLPLEIIHLIFQSIPDQWCLYSPSSLQDPHFYPDLWSLNS FVRTCRTLYLFLNPSLYQWDAEFYSNRALGWAAENGKVATARLSLKVRLPEKTCHKSW EYLFVATYHNHEAIVRLLLEHGIDPNRVAYWNNEAASGKDRTDFNNNILEEATYGGSL PILRLLLDYGAVTGRLTFKAMLRYAAGRGRFDTVKLLVENGCGLGSIDFAEHHDALCR AIEAESTSVVRFLLEKGVHPEIPPEIRRPGRSPMALGACLRDPEIVKMLLVHGANPFP EEPSGINVLPLVNAATLKNYAVAQLLRESIDLEDMIRSRGRNQELLLLAAAACGWDDM LRQILDQGCLADTNVRYSYCFHSTNIPDLAKQHLPAISLAADRGHYSTVQLLLSYNAR YNPPSRYEEPFPLLHAVASGHLDIVKLLLDHGAEPNGIGRWYDQNREIGDLPCIYWAV PYPEIFRLLLDRGADLLAPAVEAIIQINRSIHEIISISHEFEGAGRAPTSTFVLQEAL ERGCTEIVQILLERGIPLQTPVKAGCWGYKPLLRAAMAGGEAMARLVLD PEX2_045820 MYLSRCGRSAARLLPLGSSRAAVVSARASIVPRLAPQSRGVASS TRDTQHKLLAASLEESDPAVYSILQKEKKRQKHFINLIPSENFTSQAVLDALGSVMQN KYSEGYPGARYYGGNEHIDASERLCQQRALETFRLDPEEWGVNVQPLSGSPANLMAYS ALLNTHDRLMGLDLPHGGHLSHGYQTPTKKISAISKYFETFPYRLDESTGLIDYDALE KSATLYRPKLIIAGTSAYSRLIDYPRMRAIADSVGAYLLSDMAHISGLVAADVLPSPF PYSDVVTTTTHKSLRGPRGAMIFYRKGVRSTDKKGNSVMYDLENPINASVFPGHQGGP HNHTITALAVALKQAQTPDFKAYQETVLLNSSALAARLGDSTSNGGLGYNIVSGGTDN HLVLVDLKNRGVDGARVERVLELCGVASNKNTVPGDKSALKPGGLRLGTPAMTSRGFQ PEDFTRVADIVDRAVTITQKLDKAARESAQSRSVKNPNTVKAFLEYVGEGEEISEIVV LRQEVEDWVGTFSLPWAEEQ PEX2_045830 MKVSQLYVYPIKSLRPTTITEGILTTRGFQYDRHFMLLKVIPAE DGSGTTTLKNMHVPHFPEMALFHTDIEYPQTEKDSGKLIITHHPPPLGSASKPRETSR LEIPLQPNPKTLNPLKVMMHQSPTTGYNMGAEYNDWFSACFGYPVVLAYLGPNSREVL GTLAPAKKDKKTALHTIRESLTNPDRKWERVLPILIVASAINILLQGGTIVRDGITPQ TARTLTPTLLFTASAAVLYYFYALYTQHEDRITFADCAPYLVISETSVDNVSARLPDG EKMDRTKFRPNVVVSGAGEAFEEDFWGALTVGNGLGHESSKLLLTGNCVRCQSLNVDY ETGKMGTGESGAVLKKLMKDRRVDSGAKFSPVFGRYAFLEPKGEGNSLRVGDEIAVAE KGTSRSIFDWPGMTN PEX2_045840 MDPANLRRKDTTKGPPLRILSLDGGGVRGYSMLILLQELMYRTY VECEGKPPRRDQIPKPCDHFDLIAGTGTGGLIALMLGRLRLDLETCKEVYVRMTRKVF ETDKTIAGIPYRSTLFKASKLEEAIRECVREYTVFEAEGNDTGNAPNRDSMASMASLP YSPGSVPQRSISRGSFSTAAASHPMSPTSQRGSIFLNGLRWGNPDALLYDNRENRTKT AVTAMYKGTPRKGSAVLLRSYDSRREPPPEFDCTVWQAGRATSATGLAFKPIQIGQNH FIDEGHGTFNPAPLILDEAVVNEWPGREVGVFISVGTGKRPAGTNNRQHEWWEDFFGD SFGTFAEARRRLMTKIEGCEDIHNDMLRDRLAKRNVSKDNYFRLNVEVGVGEFGMNEW NRLADISTNTRQYLARPEVKKMILEAGVRFAKIERMNRRLASHAAAGGDRDDLSFDLE TEELSISSPVQSYVPPPAHFAVELPAELPAEFIHHPPPSQAAPPIPTVVTTSPPDDTL PVHHTPQDSISTSPPRQSGSDYRRSHELASRPSSSSQQQSPPSRSDEYVTTFNGMAPA PPVPPKTPIPYPSQEDDGGVAMPAPLFSQAPSSTSNTNVRPPYPVDEPPPTVNRQRKP SYHVR PEX2_045850 MDPNSALSHANNLLARAESSGRPPVYKAVGISLAVASGVFIGIS FVVKKIGLLKANVKYNEEAGEGYGYLKNFWWWTGMTLMIVGEICNFVAYAFVDAILVT PLGALSVVITTVLSAIFLKERLSFVGKVGCFCCIIGSVTIAMNAPEQSSVDDIQGMQH FVIQPGFLVYAGLIIVGAAFTALWVGPRYGKSSMFVYISICSMVGGLSVVATQGLGSA ILAQINGEEQFKHWFLYVLLVFVIGTLLTEIIYLNKALNLFNAALVTPTYYVMFTSAT IITSAILFQGFKGTGIQIATVIIGFMQICAGVVLLQLSKSAKDVPDAAIFKGDLDQIR EVAAVEEPESEPKADSIRGAASIIRRISTARRTMESDEARRFFHDKHEDTLKVPGENE IIEWDGLRRRKTVIGEGPTMTRPITPRAPSVKQQHPPWGMSRLPVDEHEEDHRPSTKQ SGHSFMDNIRSRATSVLHPSQWKAVNTEDEKISDANTQSVGMIDMPHQSVNADTEYHG AGMAGGLEAPFNANRPRSDTPRSISWADEKPETLAPESLVNTTRRQFSFNTMMGRTKA DSESSAKPTPGSPRGILRRTHLAADMRKSATEEESLGLVHGDSRHSQPEEEPLNEKLD RWSSAESELGEPQPIFAGRSHGNSVSSNTTATFPAYEDNHHDYSDSNNPYYLPHNRQN TSSPEPLSHEEDGWRQPSSRDSGSRTRTRTTSSVHAHSRAPPPAQSSFSTHRHPNPLP TLPDITPTTTLDLMPIAADVSGLGSSDSDMVTTLPSETGGHNNPPPVPTRQQSGWGRH MSDNSSHDGETYAARGGRGSSFR PEX2_045860 METPTTNPQDPTMTGERPKRPVKPQPPEPRNHLQFDPWQSASTG HQRAAEAGGFLGSTSWRDARSAKLTRQYQSGDCLPGRGKALGPGAGNKATQESTLVPG AFNGKCASQSPPKIPASGEWAMVAGDVAKRNELGVRDIRSFMGVSKRKVVDELETDMK TETKKIRTVVGADRSLSPAQDAKETEKETEKSQIQDNPQPDSRSGASLDLKSTSNIFA GVTIFINGSTLPQISEYKLKHLLASNGARTSIYMARKTVTHVLVGRPNTGAVSGSGTA VSGAGGGLAAGKLQQEIARGGWKGVKVVSVDWALESIKAGRRLAESRFPGMHVAAKGQ RSVAGMFGVQGVKK PEX2_045870 MRLLHHPSLHLSHAGRHLRPLLASSFYSKPFIRATEKLELPSIS QVHTRGPADIPWYNHHAAERPLLPGDKLPALSLPTATQGLSRTAYHDPSGTNSTNSSA RTSLSGASVPVNELRSPPSSTDLSGTQGRLSLDSSAPTEYSIPPSVNEGYYPSPTSLG SMNQTQPYMDVHPHMSSAQSYAPQGATAGAMSHYQYHGQPPVMQPASSYAPAGYPQYG YAPGVTSPPTGHPPSSMGGQMPAQLLPLPVSNHAVAPPSGYGNNTGAPLQGFVFDGTG QVAPPGAKPRVTATLWEDEGSLCYQVEAKGVCVARREDNHMINGTKLLNVAGMTRGRR DGILKSEKLRHVVKIGPMHLKGVWIPFERALEFANKEKITDLLYPLFVHNIGGLLYHP ANQTRTNLVVKDSQQRRMEGLPPGPQRTPSGSQQPPIHHHHPSLQTPMSSHMSQGPMS GQPGSRPGLERANTFPTPPASASSLMGVTNQGSSYEWGGQVPHTQPLSIDTTLSNQRS MPTTPATTPPGNNMHGLPAYPSQGYDSSKPYYSAAPQTHAQYAPHTPLTNSGMSSYGQ PLPGGYMKSEMAPPNPRPGASEPETSERDPNRYSQSNGPGETVPEHEQEYMPDHNAGY NSNRGSYTYTTNPSVSSLTGEHSQLTPEMTSSPSQQNGSGRMTPRTGAGPPHWASGYN TPPRPAATTLYNAVSDTRGTPANGASDPYSMASSTAPVYATGNGSLSAGSKRMREDDD IRPESTAEYETSKRRKTITDTTLGGPVGGPPILQPMKPSAVMARHR PEX2_045880 MPPSSKKKKKPASNPARGFATVSMPSKPKPEAISTPSSVTEESK ATPETEPQPERTAARTTDATAPQTTSSLQDYTPEELEKHLEEAELQILVDKYAVKCKN DAARQATKLDNERRVFRQQSVTLSLLEWLPTDIQDSILELAGTEEHDYLIASGRITSS KQASSEEDLYNKLWTLKETLLKLNFPENRVEDALKHVLQYFAGNSTNAGRDVVWNLDE AIEWLATHSDKNELPSYIQTNSRQVKDTDSVTSWMTESKASGTSTPVSSQNRSKQGKK KDDWKAAKVAPPIAYDSDSSVDPDIMVPEWLELQTQLYSLEPDLFDRPGKGKKGRGGA GNLSDDPETSKLQRKIAKIERDVLFERREAEYIWEQKLDELRKDASFIRRPVDRKKKS PTDAAEQPKPEIDEELDPALLAIDGMEDALGDLFQGEGEDSGSILGIPPPETQTSFTL RDFGKSTGLSPRRVLEETCKSRDSSCVVVYQDFSSSSHSNRKALEVRWSKPQEAPFPL AVESITHKSNSLATFVSMDALATPNSQQAEGYVSTLALFILFPQNSKEGKAYLRLPAV WRELWTEFATLQKTQQDEIDKTTIKELKRLIQENQGTFEDDVVLSDNFRKRNGVGSKP GTPMKGSARDNFAGIDDRLKDAWMAKSSTPSYHRMMQGRMNLPIWGFKDEILSTLDDH RALIICSETGSGKSTQIPSFILEHEMIQGRPCKIYVTEPRRISAISLARRVSEELGES KNDVGTNRSLIGFAVRLESKFTQSTPLIYATTGVVVRMLERPDDFQDITHVVLDEVHE RTIDSDFLLIVLRRLMEKRPDLKLILMSATLDAQRFSNYLGGVPVLNIPGRTFPVEMK YLEDAVEMTNYRLSEDAQHTVLEDDMDDPPTDADTTGGLQASLDGYSRQTKETVINID EYRLDYDLIKRLLLKIATAPEMAHYSKAILIFMPGLAEIRRLNDEILSEPMFQRGWIV HTLHSSIASEDQEKAFNVPPEGTRKIVIATNIAETGITIPDITAVVDAGKEKIMRFDE RRQLSRLVESFISRANAKQRRGRAGRVQNGICFHLFTKHRHEKLLSEQQTPELLRLSL QDLVLRVKICKLGEVEQTLLEALDPPSSKNIRRAIDSLKEVKALTSNESLTSLGTQLA KLPLDVFLGKMIIHGAFFRCLDATVSIAAILSSKSPFVNTIGSNSQRDGARASFRRGD SDLLTVYNAYCSWRRTRSTPGSNEYAFCRKNFLSAQTLLAIEDIKMQLVVSIADAGLL TLDASQKAALNRARSNSRNRQFFIIPEDFDINSNNDVVINSVIAWSFYPKLLTREGKG WRNVGNNQTVTLPAVSVNKRADSSVKWLSYYSIMARARNLNAHDTSAVDDFAIALLCG DAEFKMYSGVVSIDANRIRFAVRDWKSMLALKILNSRLREILSNTFRNPHRPLSYKHQ QWIDIWQQIFAQAGKR PEX2_045890 MDGIPWDQVKAGDLDALQVVLLSSSTSRRLRALQELRDKNGSEI SPETHHDLLELLFRTYPLYVDRSSRQAVQQCLRSLLRGPNATEELKFLTQKLQIEASR PGLASSFAFVLLEWCCILLQQASEDKDTPLATVLDLIAVDAKALENCFSHNPKPAVKQ SALRVTRRALRAVFSSEAWGADAVRQSVSRLTADSTTGNKNAPLLGVVCGVCARLADK TSILEESKKEILAFYIKEVVSSKSAVPAHIANGMSDFITSFVTYEDVATELVPPMEKS ILRAPEVVFGGVIPPLCASLPEEIDISELVQTRLSKHLLTSMKSNNPSIRQGASDSFA SLLSRCRSDPLVLKITTEIISPLKTQKITTPEHRLAYSQAIAAIAPSADVSKEIVQGF CPVFSRESNEAALEEEIKSFSKHLTYLVQSKLKVSDDVISTIVKGVSDKRIPFRKIWQ ASVGEVLWKSDLEDLKTPEVEPLVTKFLAKMKDLFGEVASNPLPSAQSGALSSAYVFL ALFHRVSDVQGSAKSAWEENVSQSMTLSPKPSFLLNPKAYSKLSSKEEVQWLVRALAA VTTGPKFVSSEDASKTAWAQTFVYAITAPALPSNIRENSAETLSRVYLTDVASFGRIV LNALWAWIFSFRTADKESAAVSAGPESERLLHMVLKALCPTKAVIETSGISSSDLEAL LIEMLILGRPELIPNTSWIDLCLRTSTDPGDLVRAHAPKCIEQLVRVNADPLQSVVPN VNLAVWSAGAELAFVAPDAMIPRLVDQIKYDLDGTRLSKFTATDAAISRTPEGTAFVD VLSSKSKQPAFDKNTKDYDTLKWEEELRAQLAEKKGQSQKKLTPEENSKVKAQLAKEA KIRQDVLEEVKRIERGAGLIRGLATGPANDVEGWINAAVTSLLSLAQAGAGLFVGDVV SRAFITCADEVSTRLGPLRSFVGIATLRAIGNTNLPSDMELEPLGELVTRILYRLRFA SEQRPFDTTSLAYVLPLISLVLTQNGIEEAKGEEEGTQVLLALEFLSFHSSSFTDTRL PRVEVLRQLLYAMQKYTQHYKLVKDTLFDFCRCISPNINSEELDTLLQGTIVTEASVR TTVLQVIEAEIDLTDLDFSEHIWLGCHDLVEENVEIADTIWEDNALEVDDTSFSKIMK YLDSKDYQLRGAAARALAHAIEFDKSKFAGILSELQSKYVEEIKPKAPEKDAYGMPKK VDNADHWEARSGIALAFNAMTNGFDGDESVSFLRFLIEKGPLLDGNSRVRGQMTESGK SIIILRGESKVEEMMQLLQTTLETSDKDTKTSDLLNEAVIVLYGSVATHLKADDPRLQ TVISELLVALDTPSESVQHAVSECLPPLIRSSGSKTAEYVENLLYRLFNAPDYPRQRG AAYGLAAVVCGRGVATLREYRIMSQLKEAAENKREKDHRRGALLAYELFALVLGRTFE PYVIHLVPQLLAGFGDTSISVRDTCLEASRACFQNLSSYGVKEILPTLLDGLDDTQWR SQKGACDLLGAMAYLDPQQLAASLPDIIPPLTIVLNDTHKEVRSAANRSLQRFGEVIS NPEVKSLVGVLLKALSDPTKHTDEALDSLIKVSFAHYLDAPSLALVVRILERGLSDRS NTKRKSAQIIGSLAHLTERKDLISHLPIIVAGLNLAIVDPVPTTRATASKALGSLIEK LGEDALPELIPNLMATLKSDTGAGDRLGSAQALSEVLAGLGTTRLEETLPTILQNVSS AKPAVREGFMTLFIFLPACFGNSFANYLSKIIPPILAGLADDIEAIRETALRAGRLLV KNFAHKAIDLLLPELERGLADDSYRIRLSSVELVGDLLFSLTGISGKVEGDEEEEEAT QAGQSLLEVLGAERRDKVLSALYICRCDTSGQVKSAALGVWKALVASPRTLKDMVPTL SQLIIRRLGSSNMEQKVIASNALGDLIKKAGESVLNTLLPLLQDGLQASPDVEVKQGI CIALRELINAASPDALEDFEDILIATVRVALVDNDDDVREAAAEAFDSLQQIMGKRVV DQVLPYLLHLLRNDDDAEQALSALLTLLTEQTRANIILPNLIPTLLTPPITAFNARAL ASLAEVAGSAMTRRLPTILNSLMDGIIETTDEELRTELSTAFDTVLVSVDEYDGLSAA MNVMVTLVKHDDHRRRAAAALHLTKFFAEAELDFSRYYQDLIRALLISFDDPDKDVVK SAWTALTGLMSHMRKEEMESLAIPTRQTLRQVGVAGADLPGFSLPKGIMAILPIFLQG LLNGTTDQRTQSALAMSDIIDRTRAESLKPFVTQITGPLIRVVSERSVDIKCAIFYTL NKLLEKIPLAVKPFLPQLQRTFARGLADTTSETLRNRAAKGLGILITLTPRVDPLIAE LIAGSKTSDIGVKNAMMKALQEVVGKAGANMSEASRQAILGLIDDDASDQTDSVSITN ARLLGALVKVLPAASSVPLIKNRILSGSLSHAAVLGLNALLAECPEVLTEHFSVELPT VICQGLANKDPFVSDNSALASGKYLLSNDGDHAFESSKTIFEALASAIQVGNPVDTRR LSLVVIRTVSRLHPELARPHLALLAPPIFASVRDLVIPVKLAAEAAFLSIFSVVESES EVFDKYMAGPGASLPPGPKRSMSDYFKRIAIRLANQARERREAEGGEGGLGLSNDELD DEKEVWSIGKVDLGEAFGDDALSNSHRRDFSVPRTSSANDRRYADIKMVNLTTQKRLA ASVVGCGKRKIWLDPNEMNEISNANSRQTIRKLVSDGLIIRKPVTMHSRASARELNEA RRNGRHRGLGKRKGTKDARMPSQVLWMRRMRVLRRLLVRYRAAGKIDKHLYHELYHLS KGNTFKHKRALVEHADSFSLSPLQIQKAKAERARDRVLKEEMDAKRAKNKALRERRLE RKEAKHNALISEE PEX2_045900 MSSAFSAINTPSIPLNNFVRTWSTQLSSEPPNLVTVTSIQTETV YPTWVYTISGPGPDSSTATTVPDFSSSTQNPTALTVTLTTTKLSTANQDTIISTPSST STVISESSTSTLTPTTSVLSSSTGDFPISVTSEVPDHPSSMWIPPIPLTSSSTSIASS IPVFPETATSSVSSLNSISISASSSTAPTKTPTNTPSISPSIGTITSASSSETAQADN SSSTSKTGTIVGSIIGGSAIMIFALLACALYMRRQRRKIATAPLDIRQELLRGDSTSS STSHHHHHRYHSYPSIPANIGPRSPVLPVIPLQQQPSNPDLSLDTMYLRGETRAHDPF VDPPSTVIEISAPSRSVSIYSTSSRGVGLGGQGYWDSEREAAGAGAGSLAVPHAYLDT PVMRDSMRSDPFDLDLEPPPNAHHRSSVPPIPSTWGVKF PEX2_045910 MFGWGSGLALPSATSEPEKERRPPAHPTPLDFPVYKLSDVLENE SESRLKEMSDLLADIKRPQDICEERFKPLNLKLETGVEVAHMIPEDHSHPIAPLPWED TTEAAEDNPRPLMDNGAPYPSKERYEVLEKELALDNDDAFREVARFPPREGRNRVRVT QSRKFWTGLERMAQYWDTSLDNYFERPATPDPTPPSEIEQTEDGTMQVDAEESKTRHS LTDGEMDLDEAQTAAPSSNGIVGEEQRPSVSVYTGRRIGNGAEMPDEMRDETIRAFVE MAAWPFGCQVTVPTLQPRLTTKNLLFPVRQTFQSSRSPRDRQIARSGMLEGPVLISQC RPETSFRTADKTPGTGIGDVSDLFREVGGMLLAAQERAREGAVEKRPGEGKWWTMQPR FGGAPNDGILDDLVNHGHGMSLPDSMMEESTPSAQEAGGASKRHKFEHPFVTSLSRRP SAMRRLTTSEKWKILQPGPSLWDKRMSYLQIGKVQESPFDDIYMISSVNHHVSILHLR VHRRYLDILTNGESTFPATEGEPWHVLKLQRTRWFDLLDANDRIEALKGVWQLFHHQL RQTRREGDVPVPIELPLESPL PEX2_045920 MPPPEGHHAHPGPHTAYEPSWRPSYPPSFDNHPDSRRPSANSQT PLPPQPPGYPVMPNRELPQLTSEGPYGRPNGHGLPLHAPVHSPQDPMPPHPNFHQPMN GAPHEGSPDYRARMGYPPPDQINSAEHTPVSGALPPASQFMTPVAQMASATPPAGYDQ AFYQNQAFGARQRKANRATQACDQCRARKAKCDEGRPNCSHCKENNLGCVYKEVPPHK QEKTTQLVLDRLSQIENRMEERDNRMDERFEKMQKQMLEQMQAQMVNKPVKQPTQTVP ERPVLPPPPPVIKQDPPLKTEMLQSQASPPNVLDPGSQDVGASSKFGQNIGPLDPRLE DQKEAEGELSIPVEHTTAAHKLLMWPSIKRLLHPAVYDEDYVMRLEEERGLISIYGQG EISYTADDSQLPMDGDSKGGRPDGDGAGPDADVDIDVAGNLRLDATTAKRYYASYLEH MFRLHPFLMESELNFKVDCFIRCYCRLNTSPSSASNYSRIARDGPPPTKRRRSNDNLG VRGEFMETISNPLRPRVGKNIDNAMVLLCLALGAICEARAPLPGPIMDKKITYRDQLI PRPLLPFVPQPTVNGTYVTNGVLSPANSDSVPMQMSLSSSIYSMPTQPPGQSFPAAPM SEHIKGLSRRDVTDTHDEQGNTKNYQAIPGLTLYGYATAILGHLQGGNELEHVQCALL AGLYAGQLAHPFQSHSWISQASRACQVLVRTKRYERLEEGATQDLYNFAYWTCLQLES DLLAELDIPASGISRSEGRMAIPKGKWTIVLPNDLTAPQTMMMLFYSAQIHLRKVLNR VHTDLYKVEKQGQTRWSSTVQEALSLNLDLWRKSLPQSMQWEENDPPANEINAARMRA KYYGARYIIHRPLLYHALHYGHTGARVGPVGQSLVDSPTSQQLSPSMLHSSARATKMA RMSSEMGSMPAAVSTDWQPPKVRLHDLPKKLKAACEICIASAIKSTEAFDGVGGHRLV VTNIFGTAHAQFGNMLVLSATFISSLQELVEPEQLDRLLVRTIGFLIQSENISPTLRA DARILTEIYQKIFDRAPDLSQASMSSHTPSLNSHTPSLSSQTTSMSFP PEX2_045930 MYAVTPNMVDYSASKTAAIAFHEELAVELVMSYHVPKLCTVLVT HGFTRTSLIDDVTLEDTWFDPLLHTGTAADELVNRLLKAKNEFCWVDCREFGVLSGGL PEX2_045940 MFKALMGGGRSSSSSDVRSSSKSSRRKSEKTDKFDTRSISSRKS SRGDDRDRGLGDLSSYSPSASRSKRGPPSIAGESIASTYVTAEPEAIDDSDRYYIERT PKRRDSERESKSSRRRDLDRSESPEREKRRSRRGTQDTLDDDLDQERDRRDRRRTHSG DPYMPPISTNMPPSAPGAQFAAEIGAPGFSQFPMQHDTGMPSADHTPPHEIPYDPHVQ QQFPGQFPEEVAAPYRPPNPAGAAADYYGDQGQSVEHQPGIRPAPPSVLPNTQAHLMA ASPSANPPPEPSSLGETGAAAAYFDDDFHAPVQQSQPPAVSSSRPPKPSKPAKPSSSG ILPAAAVGAAAYGIGDMMSHSESHSPPQHTTSYTHQGQSVVQGQAMTHNQAMEYNQAM ASSSQMPPSKPSHSHSFSEGVGIAAAGAATGYMVGHHHHSSSPEHAPQYAFQHHEQAS QAGGMLPYAPGHNNALYTAGAGGYAAQAAYNPGFYPHGPSALAFQERQRGPMGRFVDF WRDPEAVGRFEDYTETIGVCKYCFQPGTSSVDAPRKHHYHRRRRNSPDRRSSGSSRVS KNSRYNSSDDEGRRRLKSKKSSSWLPGMLAGYATKSLFSSKEFDDSYSIRSGRVASSH GGEDDRRSHTSRGVTRRSGRSPQREHYADSKHASQSGHSRHTRSRSRSSSRSGRHSYL KEAALGAAVGGAALAVAKSRNRSRSRSPERRHRRKDSSSSSSFVNLPRPAKKSIAGGI GSFFTASSENKKKRHTKKRSGFFSFKSGSSSSSLDNDLAFGDGFSKKSSKFKKKGRKG KDVDAALVELSDTATRLAGSSPHGPGRSAGQMYTPRSRQSNYAHSTTQDEEWVDAESE DQSSTSVSSALAFGGSSADSSSDSASSKWGWRWGSKKDKKKKDKRSSASNAVMLAGAG AIGAAAISSTRHHDSSPPSRSGSLQQVYPMPTSDPSRFDVAKMSPSVSAGEPALIRPG PIPLQQPQPFTPVSQSVYTTQGAVPGSIPVYSAPAVPPIFANGAEHYNWQVQAPRDAA WAPEESSYADRRKPHRRSDSSPVFSTQETASSLKRRFTAKDQASVQFNLTEEQAERER RLIRRDKNYRDEFADQPVQLIDREEELARLETERQERRRKERDDEERRYAGDREKDSS SWVGVAAAGAVGAVAASTILSRKTDSDEASEASQRYNERRERRRAERKHDTDTVAASS IVSRFEPAQPINEEVTTVEHREDQKKGSPRSPRPAQVYDDYAEFYAPEELRHSPDDHA RSRESTNMPTIVEIEPASERRTHDEPAPTEVDYSYEPYQHVDRLPWPVPGLNLIEPTP PQSVNGGSVRDVTSPIPPVNKSHDTKPRERSTGSRVSWGEHETHEYDIPSSSEQDPLE HDISPTEISAKDVPLPASEISQPKDIPSTSQYGADIEFAATIAAATAAAGFDPALITD DPSYHTRTSPPGSEDEHTFTSPWSASARKEPHGFVEGEIEEIDPKSTIDMTYAPEHVV QDKDELFFEEPESFSGDRDISSGRRDKASIAQEVIEQLNGKYGKRDRTASPEKDVDAF SMPGGFDTADSRDLADARSVVSAPAPVAMDSESQTKSESKKSRRSGDVFDLPEFQERE LAEPPVLKDETPESRKSRRSGDDFEIYESREASPSPDDSYSIVSAPVSKEETSKSKSR KSRRSGDDLGIVEYPESVVPESRDDSFSVISAPVSKDETSKSKSRKSRRSGDDFEIYE SREPSESRDDTHSVTSTPAGKEYESSKTGQSRRSEDEYDLPRSREVSGSYDDTRSVFS APVSKDETNKSRRSRLSGDDFDISRSRDVSESRDESRSVVSESAKSRKSRRSGDDFDP HRDAEVAPEDAEGGEEKKRRRKRRSKHEGDTFSVDDDARSAITDIGDDKSERRKHRHR SSREAGFDDNASITSSPARIDESRERRKGKDEKEKSGGFLRSIFGSQVSAPAERVRSD HSRSSSLDKRASREAISEVGVDDERRRHKKRSSKHRSSSNGDELDRYMSDKEKGTQDD TNLEEYRSSRQQKEERRRHRYEEIVESGRKRESEKDGYSDNFDDQSFLRKHPEILAPE REDYGASWLPAAAASAAVVAAASGLNEIPQQRARSHSTSPPATEKTLDLTPKSLSRPA SPETSHPQGSRSPKQRRHSVAKSTNESPTAVPLHFRRPPTSPGLSRAVPVEPPAPIAS PGSPSQQRTRRPGSVEFRNSREIRPLWLVERHSLIKGEPESVEPLPSLPSSKTSSRAP SMDNQKSIHDDEGLKSWEHVDLSDSIMEIQRPTGLTISTDQANESHDRDLDLLGSQLA TPTAEHFQDSESRKEKRIYEFHSPSELLQDPAVLDELPPSPTLDALPSAEGSMVGVGS REQETQRALDALEGVSRPQPESATPTQEKESFMDFAEGAGLAGVVDAAAIAAVKEHDQ SRSLPADEPEKAHGFSDIVDAAVGADGPSHDHDKAPVDEEPPSEPVTAIEDNFPAMPE PVHSEMETVKEFVPESTEQPVESPDPQPLPDAPMVEAVSAEPEVAIPEQSEPQPVETS RELGPELGLDEPGLESAPIESTHVEPEVVVPERSEAEPIEVSRELNIEPLVAATQETE VVTTPEFEPAEVAPNETEQTEDTASSTKKAKRNKKKQKKKSKNTSTSEEPEDDTSASK EIEDQEEDKAHAPVEETVAAPLSADIATSIESPENTVDQTAIPSAATDEEQAQSQQES RELVEPVEQVSTEESVLPVSEDPSLPVEEQQTPEPDFTDANETQITGATQPDAEEVSR SLEDIEKPTEDSTPEAPLDDTTPEITETPKEDTEGEDNFQEAVEEHIPQPAEEKEPAP EPPIEVAADVADTAAEASETKAEKRKNKKKKNRKSAAVEENQVSAETPASETELQPES QDLELEQSVVVQPVDTQSPPIGGEALPSDPEHLEHPTNDVEQPIETTIEEPAATQEQE QVPLEAAVDATHVVTEPVDPVGPSDELSQPAVIDVEPNAELPGPAEEASREISEPAAV ETKPEVEVQAEPQLEVEAEPEVALTAAQKKKAKKNKKKGKQSESSIPDDEKPAESISP APEIEIAAEDMAKEAPLITEDAPVDAPTQEREMELPVEVEQSLEPEDLPEPEEISEAM PPQAEASPDSLPEPTIEVTEAETLKEEPTAPEEQPKAEESHAEPEVPMTAAERKKAKK AKKKQQKEQEEGAVAAVEDIKSVEAEPAPEAESAERSKDITPVAETDDVTPAELSALV SSEATQTEQDVAPTFTDEPEAAPVAEDTLLPTVTETIETVKEIESPVEDVGSSSQIES TEVIADVPLVSQEVTTPADVLQGLDQQDVIAKAEEPKSENVTPAPTEGPSVDAVETDA PKTEEQSPEPEVPMTAAERKKAKKNKKKQQKQESVQLDEQPTLEAEPNSTEEKSVEHS GDILPESDATPTTEAVSGVDEPTEPEVARDLEAEAKPEEEQTQEVPIVGSELTPEAPA DEAIPTPEVAEQAIDIQDGDKETTPEPPVEVPASTEDLQEEQKLDPTVKEEPALDKSI DDNPTESTAEPVVAVTQPTESTESPVAEQAEDEQAATSSKSKKKKNKKKRQSVAAEEE QPAPAEEEPKAVPAEEEVKLAPAEEEPTSMPTEGESTEPTPSQDLEQPSVDKDVETVA VTEEPSPQETTQSETPADAAAIEAAEEESMTPAQKRKAKKDKKKRQSVAAEVEQPIPA EEEVKITTPEEEPTSVPAENESTEPAPSQELEQTASDKDVEAPVVMEEAPKAEEPTQL EAPTNADTTETAEEASMTPAQKRKAKKDKKKRQSVAAEQEQPTAADEEVKLSPAEEEP ASVPAEPEPTESTEAVPSQELDQPSPDEVTDTPIVTDEAPKAEEPSPEETPADAAAIE AAEEASMTPAQKRKAKKDKKKRQSVIAEEEQSMPVEESKPEPAEEVVISAPIDEEPTP ESVREEPVEPIQTQESEQPIVGEDAATSIPIEEEAPKTEEHAAEEIKQQDAAVDTAAA EAAEEASMTPAQKRKAKKDKKKRQSVIAEEEESMPIEESKPKPAEEVIIPAPIDEEPT PESVREEPVEPIQTQESEQLKVDEDAATSVPIDEEAPKAEEPSPEETTQPEAPTDAAA IEAAEEASMTPAQKRKAKKEKKKRQSVIAEEEQSTSVEEPKPESPQEEPIEPESTREI EQPTIDQDAQAPIITEEEAPKAEEPSFEEVTQQNTPADTAATEAAEEEAMTPAQKKKA KKDKKKQRKSVAFDDEATLTEELKAEQELSAPEDKVESQDAPADLIMSEESAKELQVT EEVAEPAAEGEAPEKTEEPASSEQSKGITELEPVSTAAPDTPIPVEVEEPISAAELPE QPEQDAQTLSEPQSPSAQEPEVPLTAAQKKKAKKNKKKGKSVDLADNAPTATDAAQES EPIVQTSTDTLTETPELQDTPASDPAPAQPAEGLEQENTIEPEATKAEELVTTETVSD DVSKEETPDVKETDNEPPATEAIVDAEPTPPQEADSIATSPTETTEDAGMSAKERRKA AKKAKKRQSKNVDADNDAAASDSLSAPTTEPATPVEAANSVEKVLTSTDTDAPGLSAV SASSPAEHDGKEHQSHDIETYDATAQNTASTDTYMSSQIEQTPIESPFLDYPPQPVLE RSVDSGELVEENAQQEGDVAPTVQEREEERVEPAPLEEMKIVENGENVEERSSVPEAG DLSAEKGVEEKDVEETPVDVPIDVVPETQTQESPVEEEASETAVSKKQKKKNKKKNKK QEEEAAAPEPETSITDDKEQPEAAPLVEAEPQVELKETTEAEPIAEVGAVPVPDEPPA NHPQHIEENDDAKEISAAEVETESVSVPVEQPADHPQVIEETAAVQTTEEIDHTKDIS IPEAEVVAPTEETTQPEAPADAAATEAAEEASMTPAQKRKAKKDKKKQRQSILAQEPE AEPRPKEQLAPEAAEASVQEAEISTESVSAEIITQDEAVASTEASTVQPLDATEEAAK DLVTPSEDTEQHVPIQEIESGERSLELPFTESKIEEGDKPAEEAAETPAAISDAEQPS EQASPEVSTKPEGESMSVPKKMSKKEKKKAAAAAAAAALLEEEKPVESQPEPEEPSII IPQALEEVVEQPIVQEPQPSETEDKSQPEAEKSQDEIPELATSEPKENVLEQPVEALK STEPLEFEDKPEEYTKEPAEEPAAADTVTRKASKKDKKKAKKQAKQEVIEPAFPLTDE HVPTTEPAPTEIPVPEATFDEIPTELVPLTEPAITGAAIEREALEETPTETILESESI GPSEIQEVPKPDAFSIETPALEEIGIVSEDKQPSEGETNEAVVSHDTLDTPSPVGPTD PDTNNEPVDLEGSAEKNDQEDEAHVGADARDLEKTADLEVVDSLVEERPGEPEVMPAL SKKMSKKDKRKAKKNASITEEVSTQQQDEPQADVAELPTESAIQPTEPAVEQEIPKGT DHVAEAQPTTERDLSMETLLTIEDQPIPNLEVQVEPTRPDEEPVSEETPLSRKASKKK SKKAQKANKSLDTEPAAENAIDADQHATIGAFEEDQSKAQDPEVPDEKSSYDEEAWPA IDWQAKFEESQRLRETNSDPEPDIPPPEPEIIGEFVDSSFPEISQDTNEAAEEDAWAL PASRKDQKKAKKNKKQSQQAAPEVEEPLLEPSNDKEIEPPARTTTPGGSKIANLFPGL ERSGFRRSALDQRTPSLKDSAEEETTADLEANRDIAIPVSEAPLATTETKEIADDFTS ELPSSLERQIESAISELKAHSDTPTATEDESIREPELPTCGEKSLDAPLSSSREPSNE RTDAEEPSSPTRFPPPAEAGEELCGLRRSPSIHGRRQHTPRTWNLEEPSLQALRAPSP PRSLFGPTDDYVRPRTPLDTIAEQEPRDAHGVTMARRGTPRLEMKPEHVLPRPQTPVR KFTDNAFDREAWPTENQKEGLEPEVSKTPEQGILKPSTSSGKLRRTNRSISGDLRAAS RALDSQPSNLDLDQLPSSSSYDPVTDKGKRPLRNMSDVYEGWGETPNSPRSPSRPPSV RRRRSMQHLQEIETRLDQLISENRLLIAARDEAEDKLRNTSVARRKSDRALNTRDGDL RDRESEVEQLKNSVEWLQKEMNRVTQENEGLAASNSALAAAHAAEVTNVRESSTRELA ELQSRHTQLSSQMEDRVRQEIESALAQKDIELRRLRTELEEARDKVKELQQQIAASVH DNALVFRGEEYFEAACQKLCGHVQSWVVRFSKHNDKRRCRPLSELQDEKIADRFDNAL LDGSDPDAYLSDRVRRRDVFMSVVMTMVWEYIFTRYLFGMDREQRQKLKSLEKQLGEV GPTRAVHRWRATTLTLLSRRPAFAAQRESDTEAVTLEIFQTLSQVLPPPSHVESQLLD NLRKIMRVAVSLSLEMRTQLAEFIMLPPLQPEYDTNGDLARQVYFNAALMNERSGLTN DNSELEAQQSIVRIVLFPLVVKKGNDVGEGEDEEVVCPAQVLIARPSKDKKVSRMMSG DRMSIDASRSVHSITQSIAHSVAPSSMMDMSNVI PEX2_045950 MSMLGFSELYIGTEITFTAPSLQKWVIEEKLTEDVQQMSKWELD GGGGPPFAVFKYLCHSATDNDKKAFLRIYFQIPIAGTEFQRPEVRQRQAQAVPPRRHR ELDVLKDLKLRQCSVVPTLLAYKEAKQGNDGVVPDGYITYVVWDKVPGKSLSQDEVWD PKSGPLREAIRAKFRDIWEELRRYGWEPGMPGLENIIYDELTKTMHIAGFRNPAPLDP EHKFTNKTFVDWGLAIPPSNAGWENDSTKWAW PEX2_045960 MAYRNFHQCHPDFEGQLPIFHDTDGSPVYEAPYRILLQSTIVHN DTAALNSYNNSPHSRVFLQAYDGSYDNPFSIAFGYRSFDALRILIKMYLSDTSLTEPL EEYTRRLNVSFIHDACATADQELVLWTPLYCGAQALGEAGELMPYPKAGETPVTARQR AREHHERTEKFIYWLLDNGCSLSKSSVFEGDWDKTLPGGSEAASQLRSTVLGMAISYA SYEMVGHLIAKGADVHAREVWLGPSGMQALIDHRGDVELADMVTIADDKGRLPLHWAM IGVRNHREEKDNADDIISRMIATVKTLLDARPATVDSRDQYGATAFHYALYTHIEYRE VFQVVQVLLDAHPSVETLNSRDNRGMTVLGEAIRSFKSYGGTVEEVTSLIMILLANGA NPRLCDNKARNILHMLCMQSIEESIAPVILDQILKFVDVNETDDDGHTSLHYLVKTVE QIDAVRHLIIQGADIVKSDHQGSTALHELIEGQIIKKRFETRVQRGRMRGRGYGNRKK GTRDELIQVLVNAGASMEKPNGAGQTPRQMLDNF PEX2_045970 MESNSLAPALYITGLGSQYPPYLLRPEDLEKLTARFHDVSRPGI KKLLRLNRSTGIETRSAIRPYGVGFATQTDAPSISEIDQFFREAGVNLAVEACRKALE EAHVTPEQITHTIAVTCTNQGNPGYDFIVARKLNLPSNVDRMLLHGVGCAGGLSILRA AAQIAGGASLRRKPARILAFACELCTPNVRRYLSLAEVTPDSDPANIAAVLFSDAAAA FVLCNEYAIAQDDQVTPQYRLLEWGHDLIPDTAEHMSFYADVNGIRDFDWALHPGGKS IIDGVAQILQLSEDQLQDPY PEX2_045980 MAPPTSRSEILANLRRQIEDGKPIVGAGAGIGLSAKSVEAGGAD LVIIYNSGRFRMAGCGSLAGLMPYSNANEVVVEMAAEVIPIVQNIPVIAGVCGTDPFK HIPRFLKQLRELGFGGVQNFPTVGLIDGNFRANLEETGMGYGKEVEMVRQAAELDFLT TPYVFNVEEAEAMTKAGADILVAHMGLTTSGLIGAKTGKTLEQCVVEVQAIRDAAVHI NKDIIVLCHGGPIAAPEDAKFVLERVKGLHGFYGASSMERLPVEVAIKNTTAEFKKIA LKR PEX2_045990 MIDTPSGPYPFGEHDAENKHNLLAKLPPTEYCNQLKDLYFQSIA PLFPILHSPTFHERYRRFSKDPDQASLAWLALLFTILGTAVLALENDSPLLKTLSRKL TPWDRVTELSERYYTAAMKCLEADRYLWRHNVSTVQALLNLIYGIHHSHGQTWTLLGL VYHLALSIGCHVDPATFSLDIVEAEERRRCWLGLVTLLCNQNMAITGLDIYQSVFSSR VLPPAEVCDEDVVQGQPGPIATSVGINPISYLIRKSRLFQISSEICDPVLAARDPTAP LQRLDAAIRAELDPLEESYASMLRSNSSVIHTNLLLSFAHHLVLILHSNILNEGTFCL PQHSWSKQRCMKSAQRVLELHADFHRLPQFKPFYWYIRGRGAFHAFHAAFVLVLALSI EPQEPCTSNMVRLLHECHSRLEASKAQSQLCTRTATILGQMLSSKWMTASGLVPDGLQ SAVSQGSKSNSQMNSIGQPADLSNGHAFTSEGVGFPSLVRQIEPQQWINPVNMDWDQW DFIMNSMGTTS PEX2_046000 MPHIILLGTLDTKLAETLYLYNQLKQNATRFSTPLEITLIDCGR QVITDDAITIGHTDLLSKYASGDSTGILNQSRGEVIELLISCAIKCVTDLVRTTEIHG IIGAGGSGGTSLITAVMRTAAPLGLPKLVVSTVASGNTGPMIGECDITLMYSVVDIAG TNRLLREVLGNAAGAMFGMASTYQHRLGERQTQSAQDNQREDKKTRVGITMFGVTTPC VDRVRRHLEDNYSVEVYVFHATGHGGKAMERLVEERRLDAILDITTTEICDIIAGGTM SCENSRLERTLKRGIPNIISVGATDMVNFGPVDTVPHQYRDRELLVHNPTVTLMRTSA AECRKVGAFILDKLDRFTQDQEMVEVWLPRGGVSSIGTAGSAFADADADAALADTLRS GLKGSMIRVVSDERDINDDGFALDIADRLMALVAQNSCHTPFSAVDHADVGSPVIIST NKINPVCVGLAPLVDELLKSSPPLQYSCAVLETPNLYRDPIIEAVTMDPPMIPTPGTA ASRPPRSSHSSSPRKRPQRTDYPPSADNNASYGPMSGGRAPGTGAVPVAEATANKTRL ETPADNAAGKPSKSSKRKKNRNRKRRNRHQSFIPPGQEESHDSPGEISDAGGVRDSME ADRPTSKDKSFFKLGRNLSNTSLESDALLDHRDQPLMRPRRDSRLASSFRPSSLTSNV FRSNDGQPRSTPRGGRSQQYHNGDSDEEDANDRTPLMRPISSHTPGHNRYGTDNRGGY FSSRARQSSTQTMSSGCSPKDDRSPLYSPTVERDYDVNNPPSIPGSPKLGPEMNYDDA VVTGADWDFSMARSMENHKDSLNALNDTLIDIDGNGLHPHSAPSSSPGSPLLSPHQEL RRRRTVAVPAQEDVCFPTETISELGDEGPRQMRDEPGERRRRRHRRWPDLSALEEWSR EEKEDRNGDIRVKRISEPMLIEGRLRPQYTGWRREEDEAPYRFTYFNEEFPSTIHAQT ISELVQPGGSFRDLFIPDPPELEDSSEDDDEEEESFVENPAFNNSTHHASKPTYQTDN QSQGQAHQTNVNPYMIPQTVVPNGRSTSDLITGNAPPVRGPSRLSAISEGRPDSHREP SPAPSNTPFNNIPNPKPKRYGPRPTFWLDVLSPTDAEMRTIAKAFGIHALTAEDIMMQ EAREKVELFRSYYFVNYRTFEQDTNSEDYLEPVNMYVVVFREGVISFHFSQTPHPANV RRRIRQLMDYLILSSDWISYALIDDITDVFGPLIQAIEDEVDEIDEMIMQMHSSSKEV SSNDSVLPSFAPGEMLRRVGECRKKVMGLYRLLSNKADVVKGFAKRCNEQWEVAPKSE IGLYLGDIQDHIMTMTGNLTHYETILSRAHSNYLAQINILMNERQEHTADVLGKLTVL GTIVLPMNIICGMWGMNVKVPGQEIDSLTWFWCITAGLCVFAFVSVWTAKRVYKIV PEX2_046010 MAAESERPPHLNGTSGRSREPKDTEAASDPVHQSASENGMMERS SITSQTQPMSQVPKLFPNLPTASATASTTNSAVSSREPSPVRHSSRTYNPSSPSRASS HSRKTSQDQSSPTRHSNGLTSGPPTNSPAPNIQRSSSSPVRSLVLSAPVDQLANVPGP EKSNMPSWAANRRTDLDSTLPNTSHKRSSLPLEDFAPKPDRNTTRTVARGVSGPGSSL ETVEEMASNPSTPSSDTPTKLAIPEESRLHRIDEDPTPRASPQTLGSGSDSGENRSSE PKEEPRPQASGAAKPPKTLMSQRSTTSLSAGARGKPADGSVRNMIVETETVSSIPQVS MGVGNGERGSASRTDQGTLRMKPSNETIRPRKEKKKTRRPNALLTTGPVTSKADIFEA RVANAVDEADVSDSDETFVYDSNPPDPYPSRPPRYHSRTPSATSMASQADQMSSRTRG LRDASHGVTGKRSMKFTNANPYNNSSIDGDGVEAEMASRSSRTDGSGTITPRHHNLGR LHGRNGVHPNLFDNDSATSQSQSQKSPRHFIGNTYRHSRHSNTRLSPNYRTINGTKKG SDFHTYDYEAEGADDERTPLVGTPRTIHSRNGRRPNSASLRQMEYMAQRERGIFARFG SCAIIFLMLLIVAGGATSFIIAATQPLLDVQVIAIQNVLASEQEIMLDLNVQAVNPNL FPVTIDDTDVNIFATSRFVGTDKLWREHGGSDNFPRVEQSRRRWQLSQAVRCLGNVDC IKEAMPSHSDPHTTDGVDKGTDPPTDPEGDSRSMLLGRVFHFDSPLSFESSPWNHLTS SSKGQIRLARPGNKTETGGTERWERVLQHPFELTVRGIIKYQLPLSSRYLSASVSSSI KVVPNSDDNDPEQIPPINGTVRISVPQKSAPSIRLSLDTRSPGSENTRRPFTA PEX2_046020 MSYTYRDRERDWDETRPVSIKRYVISPEDDRREDRRDFMSRHDD SFGGERELVIRRKTDREEPVTVSRYEREVEYEPPTRYERDYYDREYLHPYTNYPHSRS MDSLEQIKQSHTATTIATQKEPVLIREARTSYTSPRESEYDVVRRSEADEDPYYYHRH RRVREYDEHRSRRELSPDDSVSQTSRRRRGDRDQDYSSDDSMVYIRKETRDYDDHSHH RRHMAEGALVGAGAAELFRSHSKKDGEVSHGVSRIGKTVGAGALGAVAVNAASHARDY YRRSKSRHRSHSFEDDRSSHRHSRHGRSRYSRSRSRSHSHSRAKTLLELGVGAAAVAA GVAALRSKSKAGERKGRSRTRSRSRAASRGRSEKDGEHGERSMSERRKHMAGAGLAGA AVAGLVEKVRSHSRSRKGERSRSHSRLRQALPIVGAGLATAAATGLYEKKKSDKEEKG GSSRGRHRSRSRSRAPSQSYPDPNRDSAGLIEYGNDPVAGSIPSEHYYGQPGAPYYDA QEAYAPRRHTRSRSRSRARYSSSSGSDREGRRRGKKHHSRSRDLAGAALGATGLGYAA HKYNERRKSKEREREHSKHDDNVHRDPYEESYDPEPYPLSPQTAPGAPPMADPHYYPN NNYFPPPPGDSTYNLNGGTPAPYNPADYPPPPGAAPPQPYAYGAAQPGPGPGPGPEQY APRPRRADENVSSSILPPDANHAHKGLNIHPFPPSPPRSTSQPPQVSKSVAFDLTDSP RDPGYETDDSDSTVETYSSSHHGGRDQRHDRDRDTYPHHRRRSSSVPYPPMPYPSPAS SDHYDSYHASSQHRNHPPAPRHHEGRDHNKVSEPESDSTIDLPNRFDGKGHFLPERDP AVEKIEDLVNKFTKVLF PEX2_046030 MNLSALLGLLAFAAAVSADAKAQQSPHSSGSIANLKSKIKNVVI LEMENRSVDNLLGGQTIKGLENPINNGPFCNPYNLTDPAAGNVCSSAKDFDSVLDDPD HSVTGNNIEFYGTFAPSNVHIAEGKLTPTQHGFVHEQLRSYGDDADKAYLAKQVINYY IEDEVPVMTTLVQNYLTFNHWHSDHPGPTNPNRAFVLSGTSAGHGTNDDAFNPDVHGL TQRSIFQQLSETNHTWKNYYTSPSMVDAYFFDWTFTSGNSDKAVPLDNFYADAAAGKL PEFSFVDPSCCGVGTNSMHPTGLVSEGEALIKNVYDAVRSSPQWEETLLILTFDETGG FHDHVPPPLATRPDDLTYTEIAPSGEKYTFSFDRLGGRVPTLLISPWIAKGQVEQKGT NSDGEVVSYSASSILRTLGYLWDFEPFTPRVGSSPSFEHLIQSTARTDTPVKLPVPKA FRKAEI PEX2_046040 MTTAAFRYIDRASYDPNATEPFKKPWGKVDGPGRSYQLTELDRK VEDLRGQESQFTTDNSGFALYNSPAKETAFTDDAKVQAGYYAEVEELLRKKLPGVKKV AIFDHTIRRRTPGSARSPVQLVHVDQTPRAAEARVRRHLPEDEVEELLKGRYQIINVW RPIENPASDFPLALIDWRSIAPSDFVKVDLLYPKEWQENQEVAPDSESMFSTEGYEVK GETYAIAPNEGHRFFYAKDMTPEEVMFIKCFDSSSHTMTEGKTDIAHGSGHTAFFDPQ TPAGSPGRQSIEVRCLVFYE PEX2_046050 MSSSISELRNQPKTWTKGEYLISTDTSLIPIKTLNAWYASEEFY WAKPMPEPALRETLQNSLCFGLYHTTNQSQSQPDKTPENDTSPESTLEFVCFARCVTD YTTFLFLTDVFVLSSLQGLGLGSWLVTCVQEVIETMPYLRRSLLLTGDWKRSVPFYEK VMDMELMVCNPPVDGKDAVGFAVMQRKSWGAPGFGEMP PEX2_046060 MASSSSRSTGKRLPISCQACRTRKIKCSRDGRPCKTCVRRGLGA EDCIYLGQPRLSTEQSSPGDSAVQNELLARIRNLEGLLQKQMSSHAGTPTGGAVSPLG GTSAAGSFSEPETWDSLGPMLDNVGTLRTSPSGHVRYVPLASQWESLVAKSPAAECLP NSDSDIAEDDDDLQIPLARNGSISRAELLSILPPGRYCDTLKDVYFQVFSSVFHILHD LTFEAEYQHFCHDPGSVSTSWLALLFAILAIAVSALDDDHPLLSDLGRERTVSRNIKV LSARYRSAALRCLAADGVMSRHSINSLQALVLISYARVHRGLPIWTLLGFTHHVAISM GCHLDPERFTLGLIEREERRRVWAGLMMLYTIQNTAFGSLDQQTLTQDVKMPTDIDDV DLLTSPSLKRPAPSIFPRPTQMTYLLLNFRLYKISSKICETIFSYPSTSRFTTSHLEA EIISVREMVEARYAFDTTNPLPIHHQANHHILYSQIHQLLLLLLRPGLCRYLQGEITP ETCATRAKCIASAKASLSIFETLLETPSFKPYKWYTSGLGSFHAFHAAVTLAVILLIP EGQSEYEEIKEILDRALDMFASLSVRSVFCSKAVPIIRQMIDVASSRYNPQSPTHSQS HLQAQAQVQAQVQAQVQHQQNQANIQSQSHNHLLPSIQMPLSMSNLPPGMTMQDNYAV SHSHSQSGSPVPTIASISSEHTMHSSFGQMHPQNWIGPTSVPWDSLGSANGGYGFD PEX2_046070 MAMWYSLSIAFFAAIGTFLFGFDTGIATTTIAHQSWIDYMQKPS NGITGAVVAVYIAGEAVGALTQTFIGDRLGRIRFMQMMCIIVTIGTVIQTASVNIGMF LAGRVLAGFAVGGMVATVPIYLSEISDPKYRGLIGGISGCGISFGTMMSNWVGYACSY APYGPIQWRLPLGIQIPWGIIMFIGLATFMPNSPRQLIRHGKIDLARSEFARIRRGLA LHEMEEEFGLMKAQIEYEMEREMTSYREIFKLFRHRVLVSIAVQTMTSLTGVNVIQYY QTILYKGLGIGSHSILALAAVYGTIAFLSNSVTTMYMTDQWGRRKMLITGLAGIVLIE IYAAVMQRQFQNTDNRVGKGFAILGIYLFVVCYYGMLNSTTWLYGAEVLPIALRSKVM GLAAASHFIVNVGITEAGPSAFANIHENYYYVFVVCSAFFLVIAYFYFPETKQKTLEE VAAAFGDRVVDQDEGPKRALSVVGETQHIESTHGTATAV PEX2_046080 MANEPVQHGTADNQFQKLITSSENDPKQLQIAYEVHRSNRNASF RNQICQPGFCEWKEDEILSKVLEGEKGLTDFVDPRHNLAFWARPPQHIQDLISKIQQE IGPLIGPGLWLVPPHHLHMTTLEIRSELTGPKIDEITSSLEMTGSVAELANYTLTHRA RLVKPIISYDTSAIALSFVPAAGEEDRSEYSGKDDQFTYHHLRSDLYNIVTQSGCPIA ARYTVPSAHITIARFITHSGAKEGQSNSQKQFEKKASELIDKIEDLNHELRSNVWWRL GDPSQGQWVIGHEKGLELMKGPTCTIFLATPKKVSAHPIGPKRVKSHNPTIALALLRQ ATIQRPPPSYPAKSVKMVNIPKTRRTYCKSKECHKHQQHKVTQYKAGKASLFAQGKRR YDRKQSGYGGQTKPVFHKKAKTTKKVVLRLECTACKAKKQLALKRCKHFELGGDKKTK GAALVF PEX2_046090 MTPSPLHHRITPNSKRSPSEAQVDIPRLNRVRTLTGKEIELDIE PDYKVSRIKERVEEKEGIPPVQQRLIFGGKQMADDKTASEYNLEGGATLHLVLALRGG CAAMSM PEX2_046100 MPAPSLLHLATATAIRFVKDLDDLGAMPYSLARPILLNVHNPEK LHAMELASPHLAEEDEEMWLELIKRDIPEWEKYQLPENTNNWYGVYCDLRDQAQRSLD ADAEKLKMAIDGISAKRALLTPKLIPESKGLRMAGSRPSIRQRHTTYDRKTGLVEKKP SIFQPQKRNTALTMPTKSLNNRASQVKRAPIGLVEEHRRPAEQPAPRPASKAQPLPPR IIRRPGTLPPSLQHPMLAKNEARLRALTSTASAASPRGTSSSDAPGVKKSPAVASDPK LKRRATSPPHGAENLSSSSSLPASQTSSLPPSRPPPSGPALPRPGVIRRRPAPSIFMP AKRRHLS PEX2_046110 MAPRSQLEITTSSVTRLVKEEASYHKELQQQSERIKKLEADTTD DENREYTLKQEHMALEETRKVLPTLKEKIVQTVANLGALIIEEGKKGSESNVEHITAA KEAIAQAKIAQREIS PEX2_046120 MASYGLLGQPEEDALHKSRLLNVEEKPFKRISKRLLNPESLIVS QSSLPLTPPPDETDADSDATATEAEKQKRLEEWRHFREDVSLDFAAFEGSIARVQFLL TSNEQERQRYATERVQILSTMQSVRESTADLRSQLEEAQRLLSLRKTYDELTDKITSN RLLKPREDQSANLQKLRLEIADLEKESKDYAMTWAERREQFGRIVDEGMQLRRLIRDE KEEVERREGMQEGEDGDEGDVTSKGKASSGNTPGPESDAVTPSQHGQDDAGRPSALHV EKGGAAGAASPLRQVTTAQSDGAQEDTNMLDEGEISTVSDGELSELEEGEELPDDFSG KMDTT PEX2_046130 MTEMYSGLHVGQRFCSLEEFKTVVRSISVRQHWELRVARSNRKS VVIGCRSSANCFFRVVCRSNKNATYITSLQDSHSCRRSADSPAATPARSEASHVRFLL SEIPKLFDMKSKIRAQDVVDAVKRYHGYDISMRQAQRALTKLQPRHAEDQDEPGPDVD ASAEEQQSPEESPESQGEGAHAYGEISENRWLPDNLPSSLMDNDNMSPNETSNNHLPA PPSSSQALPPPQVQHVPIPAPNQPSFDHNPHSMTIPQSGVEYQTAASLPVGVVGPPKD YPERSDHGAVSQMVLTNFKIEFTCTTCGSLNQSFFPNQGNVTGASYMTHHAVPSSGNV PRHAGPTPQNGVGNSSNAVGENPAYDINASSTPTVQNAWPGGDLGVQIGPAHT PEX2_046140 MNRQREAEKALHDQTNILPFAQLMVVFTGLAISLLICMVDQNGI SVTLPTISRELGAQNTISWAGTSSLIANTMFTVLYGRLSDIFGRKIMYISALILLCIA DLLCGLSQNPAMFYVFRGLAGVAGGGITSLTMIIVSDVVTLEKRGKYQGILGASLGLG NIIGPFLGAAFTMRSTWRGFFWLLSPLAACSAVVGYFLIPNTARKDSKDSFRENIGRI DWFGLLASSIGIIFLLIPISGGGSYFPWDSPMVISMLVIGGCSLVAFLLIEWKVATLP MLPVVFFKNKVISAIFLQSFLYGAVYQSTLYYLPLYYQNARGWSPIVSAAMTCPMVVA QSSFSIISGLYITRVKRYGEIIWIGFGLWTLGSGLILLFGTHTHPAAIAVIVAVMGAG IGFTFQPTIVALQAHCTKSQRAVVIANRNFFRCIGGSCGLAISAAVLQAALRSNLPTK FAYLAESSYSLPSRANISATEWTSILLSYSKASHTVFVVQVPLIGVCFLACIFVRDRG LERPKEPEEIEEEKRKAQEERDAEAATSEPSEESVDHQTYHQSEKRHSASTFAESSMP PSRAEPNTEEYGEKDQHRGI PEX2_046150 MYALEQSPESSRQTSPASRDHNPRKRGRTACTRCKTRKQKCDNE YPTCSNCLKAGVPCDKSSVREDQDRQNDYTRSLEERVMFLERKLVESDRSSDHNAIAT NTASSLFSPQGSHTTPHTTTSGFDNNPVREIVGLLALSPSEAPAYVGSSSGLSLAADL GEMVQTSVWNQFISRMQQKTSTAANNSLNKTGQVHGPSEQPSATQIRDRPTRMEDLLP ANVEPPTDEMGTRILETYFTRLHSRYPFINRKQVWQIHADRWRLAKIKREDLTRSDQF AIFKLNLVYAIGATMLRVSEKYAYTSPERFYTAALQHVPTMCEARSIDNIEAMVLLVV YHLRTASSHGMWYMIGLAMRTAIDLGLHRKAYETNMDPFTTQMRRRLFWTVYYLERVV SMSLGRPFSISDRHIDLDLPLEVDDDIEDPTLLTAPLDPTKTTTLTFAIYLFKLRRID SRIQHKIYRADRPLSSLRPKMDPLYLELEQWKESAVLRFNGPDLDYPMLHYNRAVRLL IQPFLPLLPITDPYYQICILAAGNICQSHKRLHQTVEYGHSFLAVQTVFMAGITLLYA LWTHTDQVWSVRMSNDIRACSTVLFVMGERAAWVKKYRDAFELLVNATMEKLQGNETA RNAGMAELMTAQYGVNWNSTTAPGMSGSDKFPPVNPTGLAPDTVGGTPQPQGCPDDDS EHAVRMALQLAPWIDQDESDPLWVPDFETLESLSGTFWSHGDTRLFDPL PEX2_046160 MGEDMDLDHLDPESRTSVAAEGLLGLGSTHIPSGPSPLSSTPIF QPPRFPAQRNRPEAGRYRSPHAAHLSPKSAHPRAGSIYPPPSLNPNGNVTAMGAISVA EDDPGTDSPQEQQYYGNSSVASFMRLAGESMPLQSYMSALQGNKNESSRTQGPDTGIW RDVGHPSVDLRFDDFSLPPRSLADHLLECYWDRVHCLFPFLHRPSFEQAYENLWESDK ATKPELPQLNIGLGGAFDCGPNSIVFHCALNAIFALGCYFSDIPPADREAAVYSFFLR SKRFVNLDLLDLGTIGVVQTLLIISLLLQSTPYPNRCWNAVGLACRAGQGLGLHETTT HASNKPLETEIRRRTWHSCVIMDMIVSMTHGRPSMTSHISPLPLPAMGTDSQEADPCE LSGQPCDHKLGYMTFYVSTIELYKILESILSEVYNAWQSRSNSARTSLLRSSKLCSLD VLMELDDKLTAYETSVPQPLNWTDEPSLHRPGSGNASIFKRQQNVLRARFIHLRLLLY RPMFTQLCSDERAGSSRRSDVQPAEKNIIYSSVFSKCAASCVMAAIDLVSLVHETYRT TVTDAWWYNGFYTSTAGFILIMSYSCHSIREQVDSQIVDESWRKCEEILAFMAMFSLS ARNSLQFLQVTHQHIMQNYSATCRPSDSSSLIPTQLHQRGNQQQNRPDLSSQHTEPMS DEHNPRHEPNNMDINLFTTWDEMGSGQEEFGFLGRFDLPDLASWFTDIPP PEX2_046170 MENENQMFQLDHNTLSRHCPWQADNPQPISRQQRPTEEGLQWGS DPSFCYHGYSSPIGTWTEERLVQNLMRNMASFCVTMDIGFNIPESMDEPSQKPILSPS QLDGFTLPMFQPSMIPQNERLSETPSSPSLSQSSQGQILSPDDTECTQRASCVKARNR SGQKKRKCVQKPGQKLCHCRSEKKRRELVRDRYKELCRIVPGLEKQEYTRKYVLEEAA LWIQRLVQGNDALHQQLGHLKEQEKLKQLRLFPIEEEEETI PEX2_046180 MPSPLADPVKLPCGLVLPNRLSKAAMAEMIAKTNQPTTALADAY EQWSDGGWGSILTGNVQVDVNHMGGPYDPAIHSEYIDSKTNSALVAEWKKYADTCQKH GTPAIAQICHPGRQSFRVSGYRGIFAQTLAPSAIPMKVGDSYLESLIGYLVWSKPKEM TTQDIERVIRQFVDTARLMADAGFSGIELHGAHGYLIDQFLNSKTNLRTDAYGGTPEK RARFVLEILSQTRKVVPANFAIGIKLNSADHSSATFEETMTQIALLAEAGIDFMEISG GSYEDPKMMGYGKANPAAAPKSARTAAREAFFLEFSKEVRQRHTGLVLMLTGGFRTRA GAEAAIRDDACDLVGIGRPAAINPRFPHLLLDESVSDEEAQLVLNKVAVPWYTRFLPL HLIGAGAESTYYSAQIQKLAKGIAGIAPSW PEX2_046190 MARYPSLPSQQSRPVHATPAPYVNHASPSDANYDTANPAYIRKY LRTYGLTPPRAEGYETQKTRCLAQLGLKNTPIDKFLYLSTLRKNNVHLFYRLVTDHLR EMTPLIYTPVVGEACQRWSEIYQQPEGMYLSWEDRGNLASVISNWPESNVEITCITDG SRILGLGDLGINGMGIPIGKLALYTACAGIRPEATLPLTLDLGTGNKALREDPLYMGS RREKISPEEEREFLDELMAALTERWPGIVIQFEDFKNPFPALERYRDSYTCFNDDIQG TGAVILGGVINAVKRSGLPCKDHRAVFFGAGSAGVGVARQIVEFFMREGMTEDEARNC FYLVDTKGLVTADRGDKLADHKVYFARRDNNAEQYKTLEEVVDYVKPSILMGLSTMGG VFTPEILRKMADWNTAPLIFPLSNPSSKSECDFETAVTHTDGRCLFASGSPFPNFTFT NSAGETRTYYPGQGNNMYVFPGIGLGSILSKAVRVTDSMIYASGDALSTALTGEELER GLLYPDITRIREVSVVVTRKVMRAAQEDKVDREISLRSMSDVELDNWIKARMYDPHTE VRALEREVGHLLSSLGTISPPITASGSPTEEKNAKL PEX2_046200 MPSETEPLLPRYEDDTSRQRQLHQKLHSYQMIRAISEGYMPTTE QTIANLRTLLASDILNLRKQDIGSVGRQLVRDSRLWIQVFIEFLQQKNSQDQLQEFLW RLARSRIEVDSERISRQATHVKARADTKAAYDSFRTVGGLLLTNADFRLFVDDIVTVG RQIFADTADSLAETSKRVAEQIKPSEEEERALQGPGADEGHALSKAEVNEEIAHVADV AGEGIAQTSHDAVQSAKEHLSDRERDTLLFRLKQAVQKLRERTDYSDSVATLAQLVAR YAKIYADVAENTASVAQEDLEVNADLKRAVDEFWILLRSFGSAEEWDRLQEKFHNVLR HANKDPEFDNLLGEVGTSLQDMLTNPDFFDSAPQKLDELKQHSDKVGSETNLRKDVDD FLAQTKRTLRTVPEDPAVSKLINATKKVYQGAWGAYNDKKADLPADLVNVFLPVFLRA IQYIPIPRLEISAPEMDLLLENLILEPGHTVNFSSFLPYRMHLLTRNDIDVVKTHSKR TETLMKTAFTVTVQGLNISAEDFGYWFRTHTGFFHLKDEGIASFYLDRRGIDISLDIE VGRSSLEQIFSLRGVRVCIHKLDYTVKRSKWRFLLWLTKPLLKHLVRRVLEKKIAEEI VAATFALNRELVFARERLRAARIANPQDLATFVRAVLARLKPADSDVETRIGLEPLKK GVFKGLYTPGSIVKTWHEEATGAQEAIENGDETRGLGHTWQNDIFNVAGQH PEX2_046210 MQLLRFLVALAVVLFSVVVIAAEDYYKVLGLAKSASERDIKRAY RTLSKKYHPDKNPAIANPSLSGDDTAREKFVEIADAYDVLSTSTLRKVYDQYGHDGVE QHRKGGAAGGNHDPFDMFSRFFGGGGHSGHAPGHRRGPDMEVRAALPLRDFYNGREIN FLVEKQQICDSCEGTGSKDREVVTCDRCSGRGMVIQKHMLAPGMFQQVQMQCDKCHGQ GKKIKNPCPICEGNRVVRNQVETSASIEPGMGKGTRLVFENEADESPDWIAGDLIVVL DEKAPELGVEEEEKTDGTFFRRKGKDLFWKETLSLREAWMGGWSRNLTHLDGHVVRLG RGRGEVVQPLAVETIAGEGMPHYSEGHLHDHHDENDETGNLFVEYTVILPDQMESGME KDFHALWEKWRKKIGVDLAKDSGRPVVPPPAEDKDEL PEX2_046220 MRPLSLASGSSGDKVIPSDALEPIAIVGLATRFPQQATTTESLW ELLLQARSTWSSIPKERFNSDAFYHPDPEHGGTFHVQGGHYLSEDPAYFDGSFFNITK NELLTLDPQQRLVLENVYHALENAGIPLTGAVGSNTSVFVSGFNHDYLGILNSDPETT LKYKPTGVTNAILSNRVSWFFDFKGPSMTIDTACSSSLVALHLAVQSLRARETSMAVV SGVSILENPVESIGMSHHGLLGPQGRSFSFDSRAEGYARGEGVGTVVVKPLSAAIRDG DTIRAVIRETGVNQDGRTPGITVPSADAQERLIREVYWRAGLDLEQTRFVEAHGTGTS TGDPIEAGALARAFKCRRETPLYVGAIKSSIGHLEGGSGVASIIKSILTLESGIIPAN FDMKQTNPSIPAVDWDIAFPTEALPWPSSGLRRVSVNSFGIGGTNAHCILDDAYHYLN DRRLTGAHRTTSTVPTTQKIKSRLLALSRSGNEPNDIVTDSLDDSDHLGQENKFVDTP TSDVFCASPTFTSSTYTSLVEKPKVFLLSAFDEDGVKRNAGEFAKYLNRRTTQSVLPD HLLDDLSFTLSKKRSQFLWKSFVMASSVKELAWNLSESNFAKPARNTRVPEVEFVFTG QGAQYQAMGRELMIYPVFQESVEEASEYIRRLGSPWSLLDELLTERETPRVNLPEIAH PLCTVLQIALVDLLASWEIFPKRVTGHSSGEIAAAYCSGKLSREGAWKVAYFRGYVSS KQLSANGAMMAVGLGASQLQPYLDSVKKDNTGELIIACRNSPKNNTVSGDDAMIDCLK NILDADSIFARKLNVKNAYHSAHMHAIAQDYLRLMGTLPYGRRLAAPRPVHMFSTVTG QQVEEHHLPAQYWVDNMVSPVLFTSGLVAMTSRPISSNGSTDSTNSLRLIVEIGPHST LQSAIKETLALKSPKLEFKYLAVLKRTDPSLNTLITTVGFLASSGCELDFHAVNQASR SKARRRPRLLVDLPPYSFKHTEKILFESRMSKNLRTRKFPRHDLFGAPITDWNPTAPR WRHFVRLNENPWLRDHMVTGNYVYPGVGYLIMAIEASRQLAGEAKITGFELRKVNIRR ALIVPDTKEGIEVSLAMSTVEDSLASSRTWRRFQITSYNASSGEWTEHCIGNITVDLE TAFDPVDNGREAEEEGRAWESELLHTNETCTKSMPFKSTYNNLQTSGLNFGPLFRNLT DVRASGHGLGKVVGSVTVPDIAQSMPKQYLHSHLIHPATMDSMIHLMIAAVLDFTNKS TLDQIRLPTFIRDVWVSADLNSAPAHKFTGHATVSMVGSDKFEGQIQMLDEGTNTQRI RMDGIELTPLESGLAGSNERKLCSAIEYKPDVHFLDSKSACAVTSLDATNDTEALYWV KRLQLATMLYVMDALEELKDIDVMKFDLHMQRFFDWMEHMQGLLERNQIIHLPYSEFK EAFQNEAFKEAIGKEIEAHSAEGAITARMGRNIAQVVRQKTDPLHLMFGQDAVMEQVY KEGLHLYNLPQHLKSHLSLLRHQHSELNILEIGGGTGSFTAEVLAVLSPDLAKSKGNI ASYTFTDISSGFFEKAKQRFQSWSDIMNFQSLNIERSPVDQGLQLGAYDLIFAGNVIH ATANLQNVLQNLRSLLKPGGQLVMQEGIRQDFLWYPLVFGQLAGWWLGDEPCRQWCPY IPATEWNPLLLKAGFSGIDVEYPSSNDPDLTWQSILVSSAIETPKEDSLNTAVILTLG TSKAEQVIENLQGLLSELGYTTILVKGPEEVGNSVLSDAVCISLMDLESPYLFDMSEA EYGTLKKMLIESQNLLWVTCDPTTQPHASMSLGLLRTVRWERDADGSNIVTLTEAESE NTSPKILATAIGKIVKRQFVNKPENDRHAEYMLQNGLIHIGRLNEWEAADQFLAAQSS QQAPQLQRLGDYDTTIELQETAVSTGEYHWVIDAQHEEPHKDTEVEVEIRAIGLSSGA SAGRLANEIAGVVSKVGPKVKGPALGDRVIYISGDEKGGCVRTHGRADQRQLVRIPDE ISFEVVAGLAWAYATAIQGLGEIAHLAPENAILIYTSATDISQAAIQYAQMIGATIYA TVGTVEERDLLVSDYGISQDRIFSRRDLSFVKGIMRCTQNAGVDVVFNTISGEALRGS ISCLAPFGTFVDVSNRDLRADTTVELASLARNVSVHTVDIPLLAQHQPKSVRLLLTEA LRLYSEGKIRQLRITTVMDFTQIKEGIRAAQSGEVAKVVIAPNPSNMVPVVPRPLWPC HFDPHASYVLAGGYGGLGRSLARWMASRGAKSLIILSRSSASSPEKMELIADLDRMGC KVHSLVCDVADVSTLQQLSAEAFPNLPPIKGCIQGSMVLRDGAFAGISFEDWQAAIRP KVQGSWNLHTVLPDNMDFFIMLSSVAGIFGNRGQSNYAAGNTFQDALAAYRVSKGMQA ASINLGSVSNVGWVAENRSSMRTHTATLFELLREEEVHSTVDFLIRDQQDGGNASSRS QLVLGLPTAEMCRQNGVPLPTYLNYSMFTHFRNTATAKSREVSQQKTVSTAALLSAVS GFEGAVVVVSDGIVERLASLLAIPSSELDAQRFGFGGIDSLVAMEFRAWIVKELKAEV SLLDIMGAENIRALSEKIAGRSRLIAGGSGSRSS PEX2_046230 MENTADTPSKMSGRPRVDIEPYKAEIIGLYEKKMKSDDICKHLK RQHDIQISARTLTKRLQLWGVKKVKENNSSNPALHARIKNLFFDVGLTDQEIVTVLHD EGYDVSNRTLRRLRHQLGIRLRLDSPTQQQAQVQEILDALTEEMDKGTIEGYGKELLH NHFRSKGYVFARDRLYSVYRMLRPDTVERRTSDVPRRPPPPKVLAGPNLTWHVNGYSK LANFGFRIHAELDSYSRYVLWIHVGVDAHTAVGVLKNHLETVASKNRQPRTLRSDLES EVPLLADAHFALRRVTEPDVQREQCCAPGRATDTHRIESWWAQLAKSVVTLYNNYFRE LHNEGLFSSTVIPEQVALFAIYMPTLRSHIKSYVQTWNMHNIRKQTDHPERTPGKPYM NYHHPPKGVENFGLPADVPMLQAMQQNHTDYDTEQYLPPDTLHWCEEQLQQLGFDPRK PPARLPGDLQPFRSVYLALRERAWHHERSGAEPKLAVCAAPGQGLRGYFPSGSAR PEX2_046240 MVRELKHHEKKLLRKVDFHNYKSDGNHREHEIRQRYLLQDPMDY RKYNALCGSLRQLAHKLSQLDPDADPLRKKLESELLEKLWRMGILKQSREQGAGLSKV EREVTVSALCRRRLAVFMVRSGMVETIKAGHVRVGTEVVNDPAFLVTRNMEDFVTWVD SSKIKRNILRYREKLDDFDLL PEX2_046250 MSNYQIREHEKKCLYSFDSGVVELNVHCRIPESTRGSSWVPLTD RSTNKPLYDGTIYCALHPELSINNGLLWDLYQVLARQGCAFTIFPSVAAFELYMNRAK ETGGEFKEGNWDVRDGESRIWRDVKSNAVDPDTKSGYWVTTHVVDWFTLPYINFV PEX2_046260 MAPKVKRINPPGNEPGRRESQTSTSTSISHSRSASRSTARSRPN SRRSSVHSPRRAVPNAAIVPVASPRSSMQDKRESLLALHRESCRLFQGDGSNRSSIET RPSLHRAASATYRSQRERRISTEKGNSAPSSPITPLYSSFRFEPESTSPTSPSAPHFI TSRDRSNTLPTKHHHSPSSSSIHVPATVMEWTSPDTRRREYEKIDRASSGVRGLWRRV APRCFQSRDSRTPFFEEGKTEREGSVRRFRMDIPEDEEPEPDSHQGKPQPQLLDFLGK TPTSNSNSNSNSPDGARKRWTCLRSKSAPLPNT PEX2_046270 MASEEKATGISSSVDNGIDVGNIKHVDPMIEKHSHDADEALKAL GDLQGETIELDEATNRRLLRIIDWHMMPIMCLIYGMNYLDKTTLSYASIMGLKQDLNL EGDQYQWLGSLFYFGYLAWEYPTNRLLQRLPLGKYSAACILIWGLILSCFAAVNNYSG AIAIRFMLGVFEAAVTPGFALLTSQWYTKQEQGSRVNIWFSFNGVGQIFGGVVAYGIA VGAEKHGSSIDPWKIIFLVTGLLTICLGLVFLWVVPDNQLNARWLNKEDRILAVARVR VNQQGIGNKHFKLYQVKEALLDPMTWAFFFYALIADIPNGGISNFFSQLITSFGYSAK ESLILGVPGGAVEIVALLLNGYVGHITGQRILASLGGLVASIVGMLLIVALPLSNNVG RLIGFYLTNASPTPFVALLSLISSNVAGYTKKTTVAALYLIGYCVGNIIGPQTFRPKD APRYVPAEVTIIVCWGVCLFLLVGVWMWYRRENQKKILFTSRPEYVRLENQEFLDLTD RENPEFLYSL PEX2_046280 MSSKSQLTYSARAQSHPNPLARKLFQVAEEKKSNVTVSADVTTT KELLDLADRLGPYIAVIKTHIDILSDFSQETIDGLNALAQKHNFLIFEDRKFIDIGNT VQKQYHNGTLRISEWAHIINCSVLPGEGIVEALAQTAQATDFPYGSERGLLILAEMTS KGSLATGAYTSASVDIARKYPSFVLGFVSTRSLGEVESTEDPTQGEDFVVFTTGVNLS SKGDKLGQQYQTPQSAIGRGADFIISGRGIYAAADPVEAAKQYQQQGWEAYLARVGAQ PEX2_046290 MTTRNGAITSAREASQVNPTNGTSPTATEVSPPSASTSKKRSKY RHVAAYHSETRHSSLSREADVLPNFLGFRNLMVLVLVAMNLRLIIENFMKYGVLICIK CHDYRRQDVVLGSILFASVPCHLLVAYIIELSAATAAKQTVGRQKKTEKEKEQDQKVF QSTWPYTAFLHTLNATLCLTVTSFVVYFYIHHPGIGTICQLHAIIVWLKNCSYAFTNR DLRLAMLNPSADPGLPEIYSSCPYPRNITINNLAYFWLAPTLVYQPVYPRTASIRWSF VAKRLAEFVGLAMFIWLLSAQYAAPVLRNSIDKIAVMDIASILERVMKLSTISLVIWL AGFFALFQALLNALAEVMRFGDREFYTDWWNSSSLGMYWRSWNRPVYLFMKRHVYSPL VGRGWSPLAASGMVFTLSAILHEMLVGIPTHNFIGVAFFGMMFQLPLISLTAPLDNMR GPEGRVIGNCIFWVSFCLVGQPLGALLYFFAWQAKYGSEPQHHLGRPNHNTTPLSHII SPDSLLIMVAFIVPGNYGAVIAVALGAIPVLGFVHGCITGNLRKEAKVPYPHSYASME LCKENAKAEKFNCAQRAHTNFLENASQTMLFTLVAGLKYPELAAGLGALWVFFRVLFL YGYVYSGKPQGKGRMMGGFFWLVQGALWGLSVYGVGRPLLSF PEX2_046300 MQVTAQGDLGRISTVQSDLADHVSSRIMALRWYNGCEHGNRDTK EDIDWRRNDQAPALRSMYVSFELLWPGKDIDLRQVLPPMARPYSAVDTIPVRHLHQSI GKSKKPIVVVRWTPEGRRLLTGGHTGEFMLWNGTAFNFETVMDAHYDQIQAGVTSLAW AHSQDWLVSGGQRGDIKYWRPNFNNVETIDDAHHDAVRDLAWAPSDTKFLSASDDTTL KIFDFATRTADATLTGHNWDVKSCDWHPTKGLIVSGSKDHQVKFWDPRTGRCLTTLHS HKNTVTSTRFSRVNSNLLATSSRDQTARVFDLRMMRDICILRGHDKPVSSLTWHPIHS NLISTGAEDGSLYHYLLDEPNLPAGQIPTVAPYDSPDPANTPPQVIYPAHRVQYAHGA TIWSLDWHPLGHILASGSKDNFTRFWSRTRPGETSYMKDRFHIGEEAAEAQGTWNRGF GRKQMREDEEQEMQDEADSLVDQRRPGGPALPGIQSAPPAGPQPDVPGLLPGIGSAQP RQPGMDGGAMGGMNPERLAALMSSHPPSQSSTPTPGMHGFPTPQGMTGTPPMNMDLAQ LQKQLQGFPMPQNLNLQALANNPGFPGGFGGLPGLQGGGMPDGNRRQ PEX2_046310 MANNRVPINYEVPSFPSLYSPLPATHQQAYYLYYTKDIWRFTLF WTLIFYSATHLAVAGCAVLTHFRHCNVIWIVPLVYIFIAAFESLLAGSIIGVVLGAVY EAGNFRMSTLLPMIWGGVNVMVLIVTSFPMQGGL PEX2_046320 MATERSLATLLRSLQATSSFHDAASLLPTATSFLSMLGNPLNLT LLSSQLLTAPSLWSHPVDLHSCRKILSVFNTAAIAVLQNDTTDEPRIPYGRNRKIEYE AWIRAVAEGADDKSPRWRHMLLLGGILMGFEGQNRRGLPRHIRVKLESALSRAAQLAL EELGPETGIDGQCITMVLNYTFELLSDLERSKLDYDRLLPAMIKSAYLSSEGLEGGYF LGSIDQDVVEAPGKQFRWSSNSPTFGIVSAVAARPLVSTLGPLSRLIAHSVDNVRDPR IVGQTVDYLAGFVRTLMVQWRQNKLSEIDVAEEMEFLDAESREITLPALWKMLRNCLY SVVITLRAVLGRTINDPALAANSSAPYLSMQCLHILRNMYFISSRLGQNASSQQTFVL LAAIDILSQYPVLAENFLRSIKPSDIGQIPAHPVERCLDLFFLNVAEHFPLVISSETN EELLLSAAFPYLATGANSLLLEIFEAAHSLVLVVFAIPHNSELAAKHLPFYIENLFAV FPNNLSARQFRLAFKTVIQITAPPSPLANSQPLLPSILLEVVHDRALNASSTPLPPQG PNPDMSQSPPVSEQAVLTLALLDSLSFLRVEDLKEWLPLAAQLINTISDRNMRHACID RFWEALAGGEMDVDRSHCCVTWWSTEGGRELVLFGAETAPDESDESGPYMSGAVGGVA PESKL PEX2_046330 MYPNASATTSGAEPNKPPIFTHVSKHTSPPRPPIHDRSDSNSSS SSSDASNVAPNTDTPIYPDGKMSLAGISLRAMLLGTSLGLSASMSLFLSTVYLTPLWR VPFFVASLSLFHFLEFYVTARYNTRYATVSAFLLSSNGWAYNIAHGSAIVECLVSHLF WPGQTAAGRLVTVTEPFFGSSISLLLVAGFVLLLIGQVVRTIAMAQAASNFNHHVQSQ HQEGHVLVNTGLYRYLRHPSYFGFFWWGLGTQLVLGNMVCFVGYSLVLWRFFSSRIKR EEAYLISFFGDEYVEYRKSTPVGIPGI PEX2_046340 MSEPTEKEKMLRGELYHAFTPDLIAARSRCKWACNRFNKSDEVP RRRLVEMWRDIADDKTPLPPKLDDPAADEALFEQDPWVEAPVHIDYGFNVKLGAGVFV NFNCVFVDTCPITVGARTLFGPNVSLFSGTHPLDPALRNGTKGPETGKPIVIGEDCWL GGNVTVLPGVTIGRGVTIGAGSVVTKDVPAFHVAAGNPARIIRRIETTMKE PEX2_046350 MAVPPPDSSYTPPHTPLIEESPFISDSMEDLDPQATRKRPRLDS GSRVSPTLSLDGTSRTASIAPASDMDAASDSGNPANKVTINTKSPLPSMAPDLPPSNP ELSGSELELLPDIDTAPNPISVSSSPSSPRSPEIEVAEPEDINQNPNNSNWKSLGQVV RDQDEPEVIEIQDVLPLSDSFPKVHNEMTPKENFKALGDMLEHGKTVLHPTTLGHILI QRTGHPREGTALVAIQKWLHTCVHDLDRLTIEEFAADLDFWEYLPSVLDRLLRRQQDL QLDVIEDVSGFFEQYLLDYAQIVLHLVRLDTALLRAVDEDDEDIEIPPSTCRRYLHSF PWMFHGDQIPFFRVLENHSRIGTLDLSARLKARVSAPPFDAPGALMQYASHLLALIPK CPQIVIMLSAPLVNLLAFFECDTERSQNGGSDSPIDLPGDATSLQPFYETVRGIDKVY RTFVEKKSQLATSELSDSLLNPIFRSYRFLCFGYSEFLLQLAQDLSIQVPEEADAEQA AFCIIWTWKFDTLKKQIMEGRMELRVHGVEMMQSDLVSIWGQNVSQNRDGTESPFLRY LVEYLRENKILDYLVGIDSHPQLISRSSNIFGFLIVTAKYTDHDTDVIWKTVTESQDD RTVCEVMSMLARTFAMHQSGSKALLYVCSKLLEFPLDRFDSRIIDFCEQLVPRMRERP QDRDRDYRNMSGEEHVDSIPLKLCIRLIRESSGAKDLPLEQKELMQGFGSEQLGQFIK AGLNETDMAETYERCIQDIGEMNECTAGSIQVLNALVPRDDARELWKLATDFDLTRLV IIELHHLVSEDHAKLKDPSFKHDFSSRVEILRRLIDLAPDTITPDLGRTLWKDIFMSE NLAPEERQTIWKMMVDLTNRTAKENPFLELCIHKYLPDVLPKDYSREVLWFAQQSVHY EIRIKSPPIVGENEVISIPGMDRIWNFILTAPPQSIEAEAIKFAIDSYLDHGIVRRSP RSAIDATHIALVNRCVDQLKSAAVALKPSCNKTSNGDVSMDIDTSNGGIGTDELMFSR SLHFLRQLLHGLRTRPQYSSPRGSPPTLPERPLKGEPVEIRYQCFNGASTSKICSKRI GGLSTAAELVEMLMQMSRFSKFTTILGGQKIELLQDPEALVKNLKLSSGLLIIRKAPD AHEITWAGRRQSLTAVDSEVLKHFDELYDMLDLKDDLARQIIDFLVVFPPQVRAVELV RSSNNTEKDMFPLQRPFKALYSLNTLSMCLREEAAEMSPDQAFVSHSNQVLVGFLTSD ELFSSLSGNSITTVLATRAIECLLLAISVYRPTDDESVLIADPMALVRRILDLLEIGR TGPTDPPAGTCAQSLICSSFAVLVEGSLRDLNVWNAVKQHAQFDGLILSLLLEENRKP IRTDILERLKILCGPLKPFKLSIKNPDIESPAAENPNRIDMLATLWSSFLQVIPKAVE HVPQSEEFFNAALWMFRTVAQKSPRDLIFNEYLQQWSSVMLTHQTEEFVGREPADNLI IGFSALLEWCLELANAAGITLDTLNIAEEIFNQYLFPDFSPDTVEHAVPQVPVMHSYT RSSLYNVVNLLCKQSDGTYCQVLELLNTNVPRDSPYLEYAYNRSLMLRSPEGYAGLKN LSNTCYLNSLMTQLFMNIEFRDFILNLPIADRISQKLLYETQRLFTWMQETWSKSIEP SDFVKSILTYDNEEIDVTVQMDVDEFYNLLFDRWEAQVLDSEKKKKFRSFYGGQLVQQ IKSMECDHISERLEPFSAIQCEIKGKATLEDSLRAYVAGEVMQGDNKYSCTACGRHVD AVKRACLKDVPDNLIFHLKRFDFDMVHMLRSKINDEFQFPHHIDMTPYTVEHLSDPEQ TIAPDIFELVGVLVHTGTAESGHYYSYTLERPSSSGEANWVEFNDSDVGKFDPSTIAG QCFGGPSETIQYMNGAPKNKVWNAYMLFYQRVSTIEKSKEIFKPTKPDLPIHLPVSIS TQNYIAMDNEMLIRIYCLLDPQYAYFVGRLLQRWPDMATENVNKTTAESLAINVGMDT IEQLVTRTKYFQGHQEVYRELDDMIKKSPNAAQSALEWVINRPTSMYNLMIKPQNTDI RNKGILLIKCALKHLHSMSTSQDLDEDERISWRERFDEAIQQIVPMVTGLWTEVQCVL RVWDDYFGFLLELCTYGPKIIQVLLDHGHFAACMEIIWIDEEDKKKLRIRYANYVRLL NKGRRFNHLILLSLCLVFFNHIDLSLRPTPIGVQRRYLNGKFSPTVREMELIKPTEDD GSLSIMLKLLKHETLARSPATHAIIEVLLDGEPEAGFLGAIQSTLENGARIEPAVQCT PFLDAAITFCRRCPDKGRVIGMVDFVAKGIDSIDNSGGQEHMDFFMSICRSTNKRLSM GSADFTELVLDNIPVWAPTLLIDKDENIRRNMQNILDEMVFNDMIVEGNTEDSEQNGD WRTAITREQRHNICRRLPSACIERLKSAFLSDQISHIDARLLENITPVINYCLATFYD DSETDQQEVQQANELLSLLKSMSLEEVPDDAPSESDVASVEEWDANSVIASDSEMGVA GSP PEX2_046360 MEKMNRAEWKGKFPRNIDFMRASIIHQRNLFGILSASRSSILIR SLPQTTQNHTVSRQFYRSFWRDPSAPHTDLSEVFQRIQWPTTKEQEVEVVKGVRTAIW GERNGAIDKRQRHEDKTEAKRRKIENGEELNLPIYATQFSNEEIDSEERRPKKKVALL MGYSGTGYYGMQLNEQQRTIEGDLFTALVNVGAVSKANAADPKKSSFVRCARTDKGVH AAGNVVSLKMIVEDEDIIQKINAELSPQIRVWGYEVTSKSFSCYQMCDSRIYEYLMPS HCLLPAHPSTYLGKKIVEFADKAGELDAVRARQEEVAGYWEDVDEKYIKPILEALPEN VRTIVEKSLYEDESRDALQPSEEKEVVTSVPADEPAQQETGEQPVTEQPADAEAPKPF VMDPRQKAIINAIKSIKAAYLTAKRTYRAPASRIARLQECLDRYEGTKNFHNYTVQKT YKDPSAKRHIKSFKVNTTPIIIDGTEWLSLKVHGQSFMMHQIRKMVAMATMVVRSGCP PDRINETYGPERIAIPKAPGLGLLLERPIFDAYNTKTQGLDRQPLNFQKFEAEMNEFK QREIYDRIFREEEQSAGFGSFFNHIDHFPAGYFLYVTSGGIPAAKLATAPTAADPSSP KAGKRTRGAPRAQQDALAAVEVESDEGEAPAGGEAEG PEX2_046370 MDLSKTLIRTVARAFYETRHILVVDALFLHSVLHAEDLAFLMGM QQKDLRKLCAKLREDRLIGVSTRAEIRDGSTRPVNREYYYIPLHPVVDAIKFKVSKLT AIIKAQYTPSEERKEYICVRCKSEWTELDVLSLVGDEGFECQNCGAILERTEDVKGAE GMDRTGHEKNSKLMAQLDNMLKLLKQIDSVEIPPNDFETAWDHKVEVIRNQNTHPVRA AIAVPPKAQDITRPNAKTDAAALEISLTSSEEKSAAEQADEAARKAALEKQNALPVWH THSTVNAAQAGSAQIKTEVGTLVKPELADEDQKPSVDALDDKVAAYYAEMEREKALQA QADASSADDDSDEEDFEDVEGISGPSGPGTPAMAGGVTSVPTTYSGGAGIKRELEPAS SVPPSSAGTPSTPADDGPAAKKIKVEPEIKPDPDAKIEVEVKKEAEESDEDDEEFEDV PEX2_046380 MDGDILSPDLGLPQNELSTLRREVNVIIHSASSINLAKSLDELS EVITGASERMTDIALTCPSLDRFVYVSTAYSNGHLPSTGEGIEVEIKEDIYDPNPKDR SSVMKEWIQVQETGSSDAYEAHDFPWPYAYAKNLTERLLLHRFTQSGAQDKLLILRPS IIGPAQNFPVPGYNVPLSSPSTLIAAVLALIPGGMALVATRAVGQSSQISIDEVPVDV VADRLLAHLAVGTNGCVHAVSGKRGRHQFINWWDSAVSLRRLPRNIDLYWKDLDWKSD EQHWLAQFYVILGSSFEFYEDKTVALSQNPLIESCKELQLFNKVNFGEQLRSRTEDIY FVMNHIAQSDERARRVIKRYYQDSVKAKI PEX2_046390 MPVYIGIIGTLVLVYFSAFAYRLLNNINAARSIGLPFLIYPVNQ NNIIWVLTSVPLRPWLRRILPTRIYNRLTLVIYGWEFHEKRRPFDEYAAPQGDDRSFF LATCGTLELWTADPVVASEVLQRTGDFKVPTITGLLLAQFGHNVFTTNGTRWAKQRKV VASVINERISKTVFDATVCQTTGMLDELILAASEKRGSSAESNELFDMIKRVTIHVLS EAGMGAKVPWRSQDGEKPMLGFKTTYIEASKVVMGAVAGPIVIPTNILLNWPSWLPGY QWLKQLGISKREFSMHTKSLLDKERHRTFKKKTALGRGNIMSQLLQASAEQGNTDDSL SEEELNGNLFLFTAAGFETTANTISYAIMLLIRYPKWQEWLFEEVDSLIPTDASETLQ YTSILPRVTRIMAVMLETLRLYSPIIRILRTNDAPQALKTSKGIIHLPANSTVTINII ALHLDPEVWPDINRCSDPSWVADDQRLSDESTFRPSRWINPDTSPRRLYQPPKGYFLA WSHGPRVCPGQKMAQVEFVAVMLKLLQHHRIDAVPLAGEQREDVERRLDTVMSGCIPK MTLVMEGIYDASKTGGVPVRLTRRK PEX2_046400 MATTLFCLFFLAAASLYGLGRVVYNVFFHPLRNFPGPWMAGATS SWKAYKEVIKQESAVHELFHLHKKYGDIVRVSPNELHFGSPGAFHDIYHSSKRWDKDT GLYRTPGVSSGSFTFLKYAQAKERREVILPIFSKKAINSLEHLVWRNANHLATSIAKT NASKSSVDLLYAFRSYTLDTIMCFTFGNCINALDAPAFSDPLILAMDASLRMLPLLKN FPIIRDLIFAVPPALIMRFVPNAQKLAPRLYQVRDLVQKQLHVVLDCPSKLENVPHET LFHRMLDPESYRSKEVLNLTELHDEGFTLIFAGANTVADTLLMGHWHAMQNQTLLTQL RAELLTVWPDVEKQPSLTDLETLPLLTATIKESLRFIPSGVSLTRVVPPGGAVIAGQQ IPGGTVVGMAILHVHQSAEVWGKDALVFRPERWLESAEQANAQNGKSELDHWLVAFSR GPRMCFGMNLAWAEMYIAFATMIRHFDLTIDGTTEEDMQWRECIAAYYPNRHLHAWCR PVGSGNMK PEX2_046410 MKSTHPISLLLLLLPLNVAAETEVITGTDVTCSWSHNVAQNPSF ELGSLSPWAVYESEGTSTIVADTSDDGGYVAALTPATSGWGSLYQSLTDLTVGQTYAL SFDYKIASAANSGTCNFYFAVNGVFGTSRITTTPMGYVAYSGSSATPASSSWRTLSTT YAPTSTSLDLMLFVICSGNSRVPQAMPQVYFDNVQFSNDNVEIETCTTSTYTSTVTIA STSTPVSISSAVATSSVVVSSAVVVSQTPSSIPAASTSSSSVIVVSSSTQTESPKTST NPVVASYIPTSSTPSSTPSNTPSNTPTSAPAVISSSIVSPSSVVSSTKLQSSSVEVPT QSNLSPSFSSATPTRRPLPSSSRIPISHTSPSASPPKQSSTVLPLSVPSLSSGNPNSI GQSLPSNSGIPISQIVIPSSPATAAPQSTAPVNLPVPRPSASNDASSIHVAPSAQTTS VASISVISTVDIDGSSSLVPMPAAPGATTTTTVATIQTSANSMAGTKTSPLTIGTMTV IETQLSLLPTVTAEPLPGNEGSASNLGFTISTVFSTRTATITACPSSVHDCPASAKST YITTETIVVSTTICPVTAVEATTAAAPQITGSTGAGDIAYTTSSVFSTRTATITACPV SVHDCPASSKTTSVTTETILVSTTICPVTATVTATATTAVLSVGAGTNPVAGDMTTET LLTTVTKTITACPSTVTDCPASQKQTYTTAETLVAGITTYPVSSAKESSAANDFDVST TTLSLVLVGNGSSKPTETGTTILIEPTVSASKSTFVYADSTSFEVAGSTSAAPTVSTS GSPVDVDVDVSAQATESQSAEAAGNSVSALQTASAAIHNGVNGSTHSFSALIPTTASS STLTSLKSSATTSATSTQINSDVTAAPSVAYTGSALVLRSEWLKVVGGIFLILLV PEX2_046420 MAEACHNLPPATELSNAERFKATHLAARHGNYHQYYAKFRAPTV PDERLSILPPDILRNARVIDLGCNAGKLTYEAIAHCGAAASVGVDIDPWLVEQAKAAY PEGPCTFEHFDFVNTPAYTGTALGKFDVVLLLSVTKWIHLNNGDSGILKLFAHIQSIL NEGGYLVVEPQPMSNYARASKRNKELRETYKTIQIRPPFEDELKALGFERILQVERDE EGFARPVHVWKKSVVS PEX2_046430 MAEIPTILLVESTVQQIDHVRRQTLSEKVSILRYDCASVEDFIA RLQPGGLYSNICAIVRTGWLKAGPYASHRLFASEVVPHFPSSLKLICCSGHGYDAADI DALTNRGIWFCNTPNACTEAVANTGLMLVLETFRYLSFAQWCARYDWQKSRELGLKAV DPAGMVLGVVGLGDIGLAIAEKCQNALGMKIAYHGPKRKEEAEARLGGAQYYADLTGM ISAVDCIVIAAPYTQSTHHLLSHKQFQLAKGTGLRVVNIARGKMIDEDALILALEAGK VVGVGLDVHENEPVVHEKLRENWMVTLLPHIGVCSKTSWANFERQTWDNLESFLRTGK PACPVNMIKEPSMLQVNSPNSF PEX2_046440 MTKAGIRIAIDRGGTFTDAWAQIPGHASDIIFKVLSESPEEYDD APTECIRQILEIASGSPVPRGTPLDLTPVESIRMGTTVATNALLERKGEQVALVITKG FRDLLKIGNQARPNIFDLSVQRLAQLYETVVEVDERVTIEGFSEDPDPKPIDANDSDL YIGLTGEAVRVLKTPDLDAVRSDLYVLWNQGYRSVAVALMHSYTFQKHELAVAAVARE IGFKVAVSSELQTMAKLIPRSQSAVADAYLSPVTQKYLDGFRKGFQGELRDEHAKKVF VNQSDGGLTSIANFSGLRGVLSGPAGGVVGMSRTCYDAEDKTPILAFDMGGTSTDVAR YAGALEHIFESTIAQVTIQTPQLDINTVAAGGGSMLFWENGLFKVGPHSAGAHPGPAC YGRGGPLTVTDANLFLGRIIPDYFPRPLDMAIVKEKFTSLAATINAEKDGLSQLTAEE VAVGFLSIANATMTRPIRTLSEGRGFETAAHNLCCFGGAGGQHAVAIARDLGIRRALI PRYSSILSAYGMALADVVVENQEPEAAAFTVDNIPKLQERFERLKDAGVAGLVSQGFS KSQIYHELFLNMRYQGSDTALMIPRPANFEEFGDAFAARHQQEFGFTQPREILIDDVR VRSIGKGMDVALSSASAEMKKLADSPPATPVAPEKNVNVYFEQTGWIDIGLYSLKDLS VGTRISGPAMVIDKTQTIVVDPASEAVILPEHVVIEISGIEKPEINIADAVDPVQLSV FGHRFMSVAEQMGQTMQKTSISVNIKERLDYSCAVFSGDGSLVANAPHIPGHLGSMSY AIAYQARRYGPGELKPGDVILSNHPSAGGTHLPDLTVTTPVFDDEKNPTKILFFVANR GHHADIGGIQPGSMPPNSTELWQEGAAVESFKIVKEGVFDEPGLLEVLVDIPASYPGS SGTRTLRDNIADLKAAIASNNKGIHLIRSLIKEYTWPVVQYYMEAIQENASQSTRGLL KIIAQRFEGQPLEAIDYIDDGTPLALKITIDQDTGDAVFDFTGTGPEHFGNLNCPPAI MYSGIMYCLRSMISSDIPLNQGCLKPIKLVCPPNTLLSPSLKAATVGSNVETSQRIVD LIFKAFRAAAASQGTCNNLTFGYGGTNPVTGEVVKGFGYYETIAGGSGAGADWDGESG VHTHITNTRISDPEIFERRYPVILHEFSIRKGSGGAGRHRGGDGCIRDIEFRLPMQVS ILSERRVIPPYGMAGGDEGQRGVNLWLRKYPDGTSRTISLGGKATTHMNTGDHIIVHT PGGGGYGRDPNKKDEVFMDIFRIHEKFSPGSSLLRTQGSLAERNATAVGN PEX2_046450 MNCLEQHRAAVGLSVITLLAASLWAVYRIQSESELPPDIPARID RILKDTPLIDGHNDLPYLLRVELQNKINDDRFTFREGANSKGTFETSHIDDLLTDKIR YLGLASHTDLKRLRQGRVGGQFWSVFMECPDTKQIDDPSHIVRDTLEQIDVARRFIEA TPELKFCPTAASAVEAFKAGKIASMLGAEGLHQTGSSIAVIRQLWDLGVRYITVTHNS DNAYATAAATVTALGTDSDAGLSPFGALAIHEMNRLGMMVDLSHTSHRTMRQVLDIAR SPVIFSHSTCYSLAKNFRNTPDDVIKRLKTNGGVLMVMFVQRFLNATHPESADVETVV DHIMHIVELAGWDHVGIGGDFDGTVTLANGIHSVADYPKLLEAVMRRGATDKQIRKLI GENILR PEX2_046460 MDTKSIKEAHTADIYEPGMGVGSAEIYIDPVKERRMMRKFDIFA IGMLGAFYMMANLDRSNIGNANTAGLQEDLGLVGNQFGTATTLLYATYVPFEGPIAVL LKIIGPKPLLSTCAFCWGITTLGMAFIQNWQGLYACRLMTGLFEAGLIPCINVYIALV YKKSERGKRSALIFAFSAFSSAFGGLLAYGLTQVHGPNGWEGWRWLFAIEGAMTLLLV PIFFFVFPKHPTTAWFLSAEEKSMIQARYDNDPHWGQDEEFSWAESLSALTDPKWYAF WIYQFSVDVSLYGFTTFLPKIVSGLGYSGVRANLMTVPIYMVGLVWFLMIAYCSDRAN VRGPFLAGPLLCLIMGYSLLISVENLNVRFFACFVVALGIYPTTGLSLMWLQDNTARH FKRATMVGMTLCFGNTAGVAVGQIFTTESAPRYIKGLSISLGLAVVALLPTMSLTEDQ MLGDSALSIMLHVAKSRSVRVSYTRPKDIGVRDLEGEVKALRAQKQRSRASSELDETS CLTQDPVQPSAQSPDIDVSNPLLESPTGFVAARSSSQPIFIGEASCVAFGDTLLQCVD KDADLSSWASPTYFQHDIFHRLMRPEVVLPDRIQARLLVEVAIRFIGTDYHLILKKTF FDTLDRTCAGEIPRNPAWMCKFFVLLALGEMYSNRKRRMADQHVPGTDYFLRAVGLLQ DLYETPSVEQVEVMVLFCFYSNALGRVKTAYTYSGIALRMALGLGLHRSLPTDTKLCP VDREHRKRVWWTLYTLDRLCSSNLGYPLLISDAVIDVELPTNEGLIPAELEEFTDPGH LVANVKLAKITGQIPPPRVFSPTTLALAESCVQAARASNSILSKLFVEGSLASFGYFD AHYLFSSTLILVMSAVMDPNVGMSDAVSYAFTILRAMKEDGNLPSFDYYERLQRTRAS VGKMREANEASSRVSTAPNGSTAPQEQMVHDADGSVLGFGDGVPLDNPLIDSFLADKA FIWPDGMNPQDESLRDLACELGDEFLFGPA PEX2_046470 MASAVVTLLGQLMQIPSISNEEHEIGLFLEKHLHGLGYTVERIP ISPDSLRCNVYAYLGSSRKTRICLTSHMDTVPPHIPMRLTPTTIYGRGSCDDKGPLAA QIIALEELRAEDRVQPSDVSLLFVVGEEKGGPGMLAANSMNLSWEAVIFGEPTEGKLA VGHKGHFVFELTSEGVPAHSGYPQRGRSAISVMTDVLAALERTTYPSSDIIGPSTFHC GQITGGVGYNILAAECTALCAIRVASDLPEIERLVEAIVSKNEFVRLNKKFMYPELYL DHDVPGMGTMPVAFGTDAPRLKGGHKKYLYGPGSILVAHGDNECIEIEELIESVQVYK RIVEHCLHG PEX2_046480 MSFGFGIGDFLAIIQLANKTRKAFVDAPAQFKSISDEVRSLSLV LQDVEIDISAKELSSQQQAELQEISTGCNEVLADTLKKIEDYTELSTRNDAKRNIAKR VWKRLKWEPSDVQELRLLIGTNIALLTAFNGQILKRSVAKLVQHQDEAERQKVLDWLS PLSYAAQQSDYISRREEGTGQWFLDSSEFKEWVSGSKQTLFCPGIPGAGKTILASIVI DELHDRFGNAGDDIAIAYIYCSFKRHDEQSAIGLLSSLLKQLAQSQSSLPSSIQEMYA KHVAKETRPSMGEISESIQLLSQPGNFEKVYIVIDALDECRTYDGSRTKLLDAIFKLQ GARNIHFLATSRVIPEIQEIFEETPTLEIRASTVDVMRYLQGNLEMLPTFVSRNPELQ MEISTKISGAVDGMFLLAQLYLNSLVGKRSPKAIRSALKDISSGTKQYDSAYGDAMKR IQGQISDETELAMQVLTWITCAKRPLTTLELQIALAVEIDESDFDKNNISDLTQIVSV CAGLVTIDEQSDVIRLVHYTTQEFFERNQSIWFPKANHYIGSICVAYLSYDTFKTGHC RTDADFLSRLDDHPFGLYAADFWGSHMREREVDGEQHISDQAIIELLLDIPKVNSCVQ FMVYRRPHSSNNTYFRGGDLCYRYLLYTSHFATGLHLAVYFELLDMTQKLISLGGSVD CVDGLGKTPLAWAAEHNRLGPITLLLANGADPNMKDTLDRTPLFWAAMFGHEQAVQLL LEANCDWLSSDDQGESSLHASAMNGSEKITRLLVQKGLTQSTDMWGNNLLVEAALRGD LKLVKLLLDWDPDIDPQDIGLNASLQAAAMLKGNEEIVQYLLNRGADPNYIDYLEITP LMHASTSGSVSIVQILLKCGVDLERKDHSGKTALNRAAGRWGSKEIVQLLLENGADIN SEDNSGHSALFSATFQNRYETLLVLLTYTKIKISPDRYGRTLLHVAAIRGHLESVLIL LKFDAIDCEAQDKFGRTALSDAIMRNRFDVVKVLETFSETSSQVTVKSVVKASS PEX2_046490 MTEPVIGMGAPRDRDYHAVEQWIAANCNQATDLPATTSFEIPMI EPVLKLVSNFGFWHRQVVQILECHNLHRLVDSDQERPLRYHPNSKLWLQLTKQVRAWL SSCIDPALEQEFVGDRKVMYADEFMRKLKDHMKSSRRGAIKRVCFDIWDSRLEDFSTI REFVAGLKERLHSAIDLEANLLPYHALIVMLRQLETLSTLETFAMSELTKLEARSNPV VDTTMVDFYDTCTVVLNYVKEKGLDSEDVTPSVPLAVTRAPGK PEX2_046500 MAITITTPEDKDQPRRVLLVSIPRTASNLFLKILNIPNQPNVLT NQKGGYFFHDAFTIASRDGRVEKPLDQWTTDAKSETKAAIQQCFNELEDYSTRARTES KIMFAKEHAFWFLNPGFFTSAMSGAPKPSLEQLKEFRISMPEQYGPSQTFSPNNKTVM PDEYLRTWQLAFIIRHPALAYPSLYRAMQKLSKVGYIDDDGIKGLSLTNMSLEWTRKL FDWCLEQPDESVIPLVIDANDVIHNPGAVAKFCEKAGLDTASLQFEWSGSEKKSESWA TESANIGNTEELALHKNVASIMLSTLEESTSVVKDKAPASVDVDTEVAKWHVEFGDEI AELLEKATRDSMPDYEYLKAHRVTV PEX2_046510 MSLVNEMNADHHVSGDLKNPRNESVPVDAPVGLLGQGSPGVRRI EIITSHFQLVDRICLFFAVFLIAYVYGLDGTVRYTYQPYATQSYGQHSLLATINVLRA VIAAAAQPTAAKVADVFGRIELILVSILFYTVGTVVEATATSVEGFAAGAVIYQIGYT SIMLLVEVLIADVTSSRSRLLFSYIPALPFIINTWISGNLTAAVLKVTTWQWGIGMFA IIYPVCSLPLIAVLFVVSRRAKKNGALESYKSSMQLLGKRQLAVELFWHLDIVGNILL IGMLACILVPFTLAGGVTAQWKTAKVIAPLVIGILLIPVWIFWEKTCKYPMLPFNLLK DRAVWGSLGIAVMLNTAWYLQGDFLYTVLYVSFDESVASATRITSLYSFTSVITGIIL GLIVIKVRQLKPFIVAGTVLFMVAFGILIYFRGGATNSSHSGVIGAQVLLGIAGGMFS YPAQASIQVASKHEHLAVITGLYLAAYNIGSALGNTISGAIWNQVLPSELEHRLGNET LAASVYAQPLAFAALNPVGTPDRDNVILAYQKTQRLLCITGICLTVPLIAFALVIRNP TLTKEQSLTKAEEESSDSDIATR PEX2_046520 MAARLSRSLAQANCRVFTGLCSRATPRIAHVGARAGLLAHRNTW NLAPRNCRVKQANTARYLHTDFDPSAKQNASILNATTYKGSSITEKHWMGQELAVVDT SIDPQAIYHFMEFIMYGILPNGKKTDLALLNQDEFPLFMTPSAEWAPAPFNKAALPTI QKAMERITTPEDLSRLCHIGQNIYFLKSRLWGGLAPVPASRWREKDLNNPDHFTIAHE HLTSVIAVFEYLNIPTIQNNMRDTFNKISGDFGEMQDALNARRKAQDGLSPELNLTAL WEQFIRAQYEVMTSTAHSWVLARVAELRERTTDIFSTVDNPESPEMEVITQRWTDLVS ATSMADFNIWISMDGYNGFHPPSEVIAGLHNPDLTHLDKNYGFSGLVMERLTKCIEAQ NEAATVQGPSAIQSDTARRERLSISTEVQDELREKIRGRTPSPQPPVLPWIQKLLRVQ EASLSMDPSERHDFSFGLAIYRAAYQLSDEEWENLKRDLEAHLSAWSDGVQRADELKP LLKLHWFDCKELGFDTTNPVTAARSHFQQIRSSDEWANHIAPSVFLLVDHFGVGSYTD DEFNASFTKDKGFLKGDFQGHVLAIDADFDNSATTSESADGMANEELQDEAIQYPGHM RILGNLVWSELYPMTMLQSVGLQNFWAQAREHPKKVYTGPTVPSQVESWKERNAMKTL MMDSFVDYLKEKNPTLAGKVQGYRKEGHI PEX2_046530 MAAAFSIFPLEIWFLIFQYLRDDESPQHISRLAQTCQPFHQELN HLLYQPVRLRRVENAQRFANTVSSLPGLAALVKEVRHTQDAGFSDFAGYSEPFYKALT KLKNLETLVMRETTHPFYEYSPQAAVQEVLAEICYDAQVSGVRGSCLNDIIRDMEGLG YPLGNASDPLGLGFHPRMIHSIECWAEDLIERTYFSRGNLKDLMPALRTLLLLNCRVS AEDLALIIRFPQAPYQEDDYTVFSDQLSALHSKSLEYVDADIYGGADYGLGFDSFEVL KEVILTPPSMLANVDEEMILPDSVQRLTIRYEEGTLLHLSPLLDDLKDGNFHNLRSVI CQIPDNICEFTTNLEPCVEVAAFKPEFKDFGVELSTEFVPYPLTMPKYDVCPCENLTF YHQFPFHPRARSGPQDEQAAPRMPLL PEX2_046540 MMSLSQRVVIIGAGIVGTNLADELVSRGWSDITVVEQGPLHMPG GSTSHAPGLVFQTGPSKTMTHLARYTVEKLLSIEKDGHKCFNQVGGLEVATTPARLEE LKRKHGYTSSWGIEARLVSAEECFKIYPHLNNEMVLGGLHIPTDGLALAARATQLLIE RTSEAGVRYLGHTPVTGIERDGRRITGVNTPNGIISADIVVSCAGFWGVELGAMVGVD VPLLPLAHQYAKTTTVPALAGRDINSLPNGMNAELPILRHQDQRLYYREHGGQYGIGY YGHRPMPVVASSLGLTPKDVDEQNMPSRLDFTTEDFDPAWKESQALLPALQDTEIADG FNGIFSFTPDGGPLLGQPSHLDNFYVAEAVWVTHSAGVARALAQILTTGRSEIDVSEC ELSRFEEVQLNRDYVSETGQQNFVEIYDIIHPLQQRSSPRNLRVSPFYPRQRELGAVF FETGGWERPWWYEENKDLVKSLPPSWKPVDRDAWSAQLHSPISAAEAWKTRNAVAMFD MTAFHRFEVSGPGALGLLQRLTTSDITTKPGTVTYTLLLNDYGGIRGDIFVLRLDDDV FQIGANTATDLAYLTREARVQEQKYPGKSTQVRDITGSTCCIGLWGPRARDVISGIST VDLSNKGLPYFSLKRTVLAGIPVTMIRKSYVGELGWEIQTSAEYGQRLWDTIYQAGKP HGLIAGGRSAFNSMRLEKGYRTYGADMTTEHNPFEAGVSYAVDANKKDDFVGKAALQR LSSQPPSRRLRALTADDGRSMVLGKEPVFYNGKAAGYVTTAAFGYTIGKPIAYAWLPG GVSEGETVEIEYFGKRISATVQAESLYDPQMNRLNQDSLPSVTGPQVSFRSRL PEX2_046550 MTEPGEPPVTEQPPNAILTDSRPIPDSRDDGREALELHSIQTHE DNELDYSTPSGSSGDEHHVPTHRTTSQADSRRRREARSGLWGQICRFWARQVIITVPQ KSNRDHFALERTFLAYIRTSTVIAMQGVLIAQLFRLQRPLEKVDRLSFHEVGIPLSVT CHFVAVIVALIGAFRFWRQQNAIARGKVYAGGWELNSVGIFLFMIIVATLVLTVIISI QVNLNPSALPYRILWS PEX2_046560 MADLIRPALFCKHCEIDGHDVVHCHRLFKDLTSFATAVGIPTGQ RTQSSIYQTSGMRTPYRVARWGKRKKSINYASFTAASGVPGQGNHTSSTLRTYLNPVE AQMLANYLEQHRRQWLSRRNFWAHLFMYEVPWQQEHGSDVPR PEX2_046570 MDQLYCPHTNDVSAVPSPTGDCTNSRCGQYYKVAANETCTTMTD KSSISRNNLWVTCLFLAGKTKANGDSLFLNPELFQNCTNLLADTYYCVQLVGYIATYL GYGQSLNSKVPFNGTLSTSLPSEPLMVWLTGSSPIIPLANGTRTDCTQ PEX2_046580 MATQSPWFLSFPPEMISSIVSFLPNKDVKSLRLTCKALGEISPF SSSRVFLSANSLNIQVFRAVADHPKFRHEIREIIWDDARFVLAPLIWGAVHPSIDPER MEINSTEGCPIWFTEECEENRYKMKHRKYRDVDRPDHVARQHQMDAQMPLKACWKYYR QLWDDQTSIIRSEDDKKAFLYGLEQFPRLKRVTVTPAAHGWLFAPLYETPMIRAFPYG FNYPIPRGWHCDPVDCQVVEPLPWSEATEDYKELWRGARIVLRLLSQAKRHNVSELTF DSKQLHTGLNFFIFDRPCEEYNQFAAIMKRPGFRRLHLSLLTGSTGD PEX2_046590 MEYIQPNHIYSRILDNIPWKSADPSVKGDPRKSVKWIDGLRGIA SFLVILTHLARAWDYDLFAPRDDVDTPPRILQWPVFRIPWQGRLGVTIFAFLTGYVCA LKPLKQSRNGDILGSFTSVGKSAFRRPPRLIFPATIALLISWVMAQFGAFIAANRSDC WWCRYAAVDLAPTFWEEFVRLFKTFLEVWTTGYMAYDDHQWALLPLLQASMLIYVLIC ATMFCKFRFRVAIYVGMYLYFHQNAAKNTETFQMQAVYGMFLSDLSYEKGFKEFVERH SWGRKIVAGILLCIGLFVCSYPGEHPEWATWSTYMEQASHYIFPPKVNVGRRYSALGV DLIIFAIYISPSTKDFLSSRLLLWLGKQSFAVYLVHGTLLRTVLCWMLYGITGQPWDG DIVDEKGNPIYGEDGEPLHPHWIPIRAPWVVAISIPTWIALVYFCATLWTGYVDPFCA RMAQKLEKWMFEEGEQAPPQLPMTSIPMPTT PEX2_046600 MRLGQTFTTFLSWALVASATILENGQVRENSYPGQLQPVTLDDS WRNYPADAPEISYKGRWDSQHISWWSAPGIKVEFSGEKLAVHFGPSTNTGVLVAYRIG SLDWQFSNITASSTYQFVGPSSELKDGDQPVDKNIFEMRVQIAGVAVANDAVLTKPPT FEKRVEIIGGSLASGQFATYETLSSWSFLFANGLGNVEYGITAYPGVCLADEQCYNGG SRGVGWYWHRASDPGSRAHSIYDRNPEKWDVKAEQPADLVIIQMGGNDHRHPNEIPGR DYYHAYVDLVDDIHSNWPNAIIILMSQWGGFTREGKKYVGSTFYQEETREVNEHFKDR GFVYYFPTEGLLQHNDINPKNHLTDVGHVKIASHLLQWVKLVLRWNLEPTGATTSGTT YWNDQQEY PEX2_046610 MSGHRYDQMFENNQAFKPARGYGVPDYRPPESRLQYKVDLMFEM DIFANLITAEYKFRQTLQRMENLKENIRALEKVPEDLEDFEEKKQLQQYHEQLETVKR EHRKNEQTLFAEESMLPPGPLKQDYDATRQDPTWYLRKQLVEDCIGRGGCCARDCDCC EDRAPAQFKKGVGHCTAGCGCCASERGFEHTAEEVQEAVDQLDSMLRSRNPSYVIKMA EAYFVKPRQGEKVEKKKEREKKAREEKAWEERVQREKAQAQAEAQARAKKAQAEKAQA EKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKA QAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAE KAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQAEKAQTEKGA EVKVQEIEVQEEKGQKKKVHWWKQIF PEX2_046620 MLKEIGDVVDDQSAKRIKDLLQKNNSKSGHVSNGMPDKSQDQTG SSKRSSSPSSIGSLEAVDRVEEDLNLTESSRATGYMGKSSEITWMGRVQEEAEQRNRG QSPKATSENHTGDKISPTTVNYHIDDLGVDAPGLVQMYWVPPRHVADHLFETYLLAVH PHFPIINSTLFSTQYRDFFDETSYAGDKWMAILNMIFAIATEYLYNSDVAQRRDIKDH LFYLTRARMLSMGGDSLFEHPDLQQVQIEGLIAFHMLSTNQINRAWRISALAIRSATS LGINLKSSCRKMLGVSKEIGCRIWWCLYTVEHTLGSMTGRATSISTNMYTTQLPLPFD EDHLSEPSAKLFLDNFDIREKRVDMAMTSPHIRYPDSQQTEDALAGRLWLQSLPVNSS LYFLYYCDLTVINQEILDQVYSAESAAVSWEDIKGSISRLKATVDAWLLTLPPGLDFT ALKDENQKTYWAKTNLAFHYHSTRIVLGRPCLCRHNPNQTDRRRNSTEERGFSHDMAV MTLESAMKMLDLLPDEPSSVHLYQFCPWWCFLHYIMQAATVIILELLFRCVHMPDRQS SLIQSAKKSVRWLYMMSTHCIASHRAWQLCESALRRLVSSMGYDDSDTPQTPNQQPDG LSGDHVDHVPPAQRDGRHDGMQGLSYNGQYQSSIQPHENHDVGHSNNDSDLAGSLAFG ILCAPSTEEHTAGDAHFPYDPISQEFIRYLFPSLDGGDNPNGEKHTF PEX2_046630 MLRLCRSDNMGVRDMVPALMLRLHKDQDCYYFINWWVLSTRNPW YDWGISPTSCPDSRRADVFEGVGGFLNKCGDISHTSCLTLLKIKLLLDLMRLEQSSSS LASRFPPAILHQIQSSVPQNPVVCANRSIMDGEAGTRPTMIRRLKKQIDTLYQDVHGA NSDFWTAIVNPPNRARKFPTVYGNGSAEEIQLMIHFNYAAWVETPGAIDFIKAKVHGG N PEX2_046640 MPRPQAQPLCDCLARTTQALHRFPNFSTGSSDTYYIPLDEVLTV GDSLIDHWKLLHGCRVTDTHLDKRMLQTMIDAAIKMLTLYDAAVASIIGGWRETQEPN SGGIDPSNNPASTCDGNRDDPVETKPKVIVTKVTVNVGVLQLDIQEVAAVAGEILRQA TTWLGEMLHDIEEDMSILRDGVNHSQGSVKLREVRDLRSWMLRILGRINSNNMNMNEL PEX2_046650 MAPQPIPFPGFTPISDRVYLRNGHEKTKPSPADEPTTIIISGWG DGMPKHVTKYSDGYNELYPSARIIVIISRTFQASHQPEEARIAAMMPVVDTVFPTPTG SGNEKERVLVHAMSNTGAIFTAAVVVAYQRRHGADKPFPHELLVLDSTPGSLVFTSQV TRWSRAMTVGTAKFFPWPFIVTQGIWYIFLWASYLWQLLSGSEASGVWADRIINDKSC VTSDSSRVYLYSKEDEIIGYKDLEENVAHAKTLGYSVDLEMFEGSPHVGHMRLHPEQY WNKISSSWKQAVAEK PEX2_046660 MASAAVQLPSSHGPLLSEKPGAPVSEKPHHVQTTLNFFKENEDG SPPAPTYVNKPETYDRPVAPLAATIHDISGHELDYKLDSHGFQLYYHESQEKDFLDDE KIKREYYPETEQLLKDATGASRIFIFDHTIRRAQTDGSIGVRLRGPVQRVHIDQSYTA SKSRVSHHLPDEAPELLKGRYQIINVWRPIKTILKDPLAVADAHSVPDSDLVPIGLIY PDRQGETYGVKPDPNIKWYYRYGQTPDLVTLIKCFDSKLDGRARRVPHTAFVNPETEN EAGRESIEVRALVFHPDDRD PEX2_046670 MPAIQARWTKAVRAEILQRSSQTVSVIDRRVYIFGGELRPREPR DNNVDVVSFGDPATLSTEPLTEPSPSPRVGTASTTLNGKIYLFSGRGGTEMAPIEESG AVWEFDPSANKWSSLAPSESTPTSIPAARSYHCTANDGKDTVFIHAGCPEKGRLSDLW AFSVSQKQWTKLAPAPDPPRGGTSIAFAQGRLYRMNGFDGEREQGGKVDVYEPGSNLW SSHSFVADGVTGPSPRSVAALLPVVISARVYLVTLFGEQDPSSLGHQGAGKMLGDVWV FDIEAKSWTKVEAQGDEYPDPRGWFDGDVLDDNSIVVHGGLGESNNRLGDVWTLEFF PEX2_046680 MGNGSGQRPLSEVSPMAQRRNSPSWNQVTKQTPHGESPAYDVSS LNNNSSPRLFWKGRDSPSPFPKGAENKAPYDPEGCYFPKKRPSLENLKRVSKVKNHSL FLEGSQEYDPASVVFPQRPLASERSPQRDSQMNLAKSQFDEDTTQSSRPSSPSKDQAS PSKSSLSKGSRYGKGFDPQNDIWSEYGSAGHRHAKSVTFDHAPPQINEYEMTTPDLSS VASESRENSYESEEEEDLSFEAESSIERDDSFDASLEDIEKTPVVLPEDWRFMSQSTN SDGEPFIEDVEEDSTEDRPISRGGSSQHTRVESLDSNGERRPLPPLPSVNRMSGARPS SGGKLAAAFELGSAGQRVLPAPPAPASFTKSDIPGAVSIEERLRLMMLQERSDDDTHD DHAENIEEPLELPRADEDLTPKADTHTDDEAKENFFSPPRISRDSILRGIRKGDDYED DSFDDSSQIASSPQRYDHYDPDVPIPSMETDDDDSSSVIIKEEEHDEDLYSITGYYQN MSDNSLSSRDQRAKYDEDSNYSLRSAAEAAEREHARHDSHKEDSEETTPNAETQADTQ KLSQPNKHAQEYKEPDASQDQAFDMASIRQSLARPVTPDMQQDQASEPSTPDSVIRHP IEDDDDDEEDEYEEEGEVEEEEDLELEHDDSSSDESVPEPIATIRAPGAGLKTRPSLT PADMQSMAATRRQISGQHVPPVPSLTKQISNDSNPSDCEQTQTDSPPKLGLPKDLAQR QSSCLKLDIPFSIQEESLGFGLDKEFDRVIESQKVAFHLALSQSPGSVPASLAKPPKD PLENSAHPADSPTPKQRGYLMRQNTKVIIASSRNEEEAMPSSEHTAQDPRGTRSAGSS PRKPSQQTWTTVPWNGSARRPSLKPATGIPKKKPVPGAGGVPPLPGQPSNVQEAPATI EENEPAISESFEDGEERGRLFVKVVGLKYCDLPLPRGERSYFALTLDNGLHCVTTSWL EMGKSAPIGQEFELIVQNDLEFQLTLQMKIDESKFQTQEPASSPSRQKASALSRVFAS PRRRKELDIKQQMQSQQQKSKDVNAPVYERLRNLVARDGSFGRAYVALSDHEQQAFGR PHIVDVACFNEWAVEEQMSSVKSKKSATSINTQRRPPYKIGKLEVQLLFVPKPKGSKD EDMPKSMNACIREMRDAESVAARSWEGFLSQQGGDCPYWRRRFFKLQGSKLTAFHETT RQPRATINLAKASKLIDDRSSLLQKETSTKGGSRRKSAFAEEEDGYMFVEEGFRIRFG NGEVIDFYADSPADKEGWLRVMSEAVGKGSTSGNGALKPWADLVLKRERSMKISNDTA PRRPPSGIPTAPASLPSPVRSRVNAIMGPPSSAGSGAPAPQPTRPRHKHTYSQPEMGS AEARRQKTRSLMF PEX2_046690 MATVRLRRKAARMALSPTVAKPSLPSPNKPRRERAGPFASMNQT QARIRDTPRTRSQAALKRSGEEGKTDKKESPLYRALKMQTTLTPVPYGRRSAIKARLA DITSFDHFPLLPAVRQSVFAQALAGLTDVTPTPIQRLAIPELLLDGSKKKNKVKKANE DEEEYNFDQYLLAAETGSGKTLAYLLPIVDAIKRAEVIDMEEEKVLEEQKAREREERM KTKAFSLEPDEPPMTNACRPRAIILVPTSELVSQVGSQLKELSHTVKYRSGEISSTFT PRRIKNTLFHPAGIDILVSTPHLLGSVARSNPNILSRVTHLVLDEADSLMDRSFIPTT SEIIAKVAPSLKKLVLCSATIPRSLDNQLRKRFPDLQRLTTPNLHAIPRRVQLGVVDI EKEPYRGNRNLACADVIWSIGKAGDRHEHTETWGPLNAYMEPKVKKIIVFVNEREEAD EVASFLQSKGIDAVSLSRDTDSRKQQETLSEFTEVKLPPTAEEIMINKKKNRAAGSIP FELPERQEPIRRLPDTKVLVTTDIASRGIDTLAVKTVVLYHVPHTTIDFIHRLGRLGR MGKRGRGVVLVGKKDRKDVVREVREGMFRGQALI PEX2_046700 MRFSNLIVILLGLGVTGSTAWDNPQVSLDYGSFQGQYDSTYNLS YFRKIPFAAPPIGENRFRAPQPPLKITGDLYDTNQDFDMCPQRTVNGSEDCLYLGLFS RPWDITRDTSRPVLVVFYGGAFIQGSAAFTMPPSSFPILNVSNINDYIVIYSNYRVNA FGFLPGRAIKDSSTSDLNPGLLDQQYVLKWVQSHIRHFGGNPHNVTIWGQSAGAGSVV AQVLANGRYGQPKLFSKALASSPFWPKTYKYNAPQAEAIYTQLANLTGCASYNHAHET LACLKSVDVQKIRDASLIIGASHTWTTSSYTWAPVIDGTFLVETLTDTVNKGSLNTEF VWGMYNSHEGQNFVPSGLDSTVSVNGFNSSVASFHQWLSGFVPGLSTKSIGEVESLYP AEGSTETIDSYNTSYVRAGLVYRDVVLACPAYWIASAATEKGYLGEYTISPATHGSDT IYWNRINAVQKTNPVVYEGYAGAFASFFQTGDPNAHKVTNASQPGVPELDTGEEFVVV DDGLENVKLPQLKKRCDFWRRLAKEIPV PEX2_046710 MPPKKARKNSPAVERRERLTLGKLASYDDVATDALVDHAYFWTT TRKNRTKYSPARGIHDDDIGRILLHDVIVDKDIPTAERKLLEISGLKKYMTNLRSPRE KEWFRRHLRKYIQMYLPDCPFEVTTTNRYIITQHEAAICARRFIKKGEEIKHLSGTLV SMTHEEELDLGLTRKDFSIVMSSRRKSPSFFLGPARFANHDCDANGSLTTRGNEGMSV VATRDIHEGEEITVSYGEDYFGVDNCECLCHTCESAVRNGWSSHDDTKANSKESSPVS EATPEDSNVSRKRRRSSDVEEPESSSALNCSTPRKRAKFQRQVSKLREEISVSELAGE SGPTHGSDNTPIPDTVLIPTALLAEPVSQVSELKKEITELAAVASPTDSPTPLPVVTG TGHRSVTAQGDQNGTSGTNSTIPTDCESPNSTADETHRSSTSTTPTTEDDMGTNIKTE ETILEPSESKVLNGEDRPDASPEGQLVTTPPLEISHEEGLSDLSNSTKLDDAQGAGVD KPKKRKPRTKWRFIVDSVETESQVARVPGDYTKTSRLLAQKYDRWVECQTCDTWFLQS NSYLTRRECPRCERHSKLYGYQWPSTDKSPDGEDRVMDHRTIHRFLSPDAQSRICRRD RGVSFGITPTPELSDTNTPERETSDAAESRRDARASRRRTRELRMTM PEX2_046720 MIQLKTLLSCIDNSGASIVECVNVLKKKRPATIGDRIVVVVQKQ RSAGSEATTAAALASKVRRGDIRHAVVVRVKKELQRPDGSLVRFGDNACVLVNKSGDP IGTRMNGVVGQELRNKKWSKILSLAPIHV PEX2_046730 MSASPSSTAGGDTKPSDQIHFKFCRECSNLLYPKEDRVNNQLMF TCRTCHVGEPASSHCVYQNHLHSQVGDTAGVTQDVTSDPTVCAPGFCTLCGDLITCSI CGPNPNEDLFGDAPPVGDSLESSEVEPRASTPAL PEX2_046740 MADTGLPTGWEVRHSNSKNLPYYFNPSTKESRWEPPAETDTDKL KVYMAQNHTPAARPDASGEGEGKIRCSHLLVKHRDSRRPSSWREADITRTKEEAIEIL KGHEQRIQSGEITLGDLAVSESDCSSARKKGDLGFFGRGEMQKEFEDAAFGLQPGEVS NIIETASGVHLIERVQ PEX2_046750 MSTATLKLRQKRSMTRLLNQGRSILEYNFDGNAFIVPQWILAPP EVRNAMLTLQYRAMLAVYGMKSLMIARDHRYFELCPKNMGPLVYQLHQSSMRRLLEWK EDWNFADPTLAAAAKIPRDTLQFCVDSIAYGKYTCAYTNQIDHFMSGSFQTWRQLRME IGHMAFRLMSHKPHDYNEWRAWWEGKFADDMWKWEVCLEGLILPTWEEIIDDVYLMIH DRVEDAQDLANSFYISTPGLASTT PEX2_046760 MCIYWTCKYTLCGCIWDMDPSKCPNKRFCWGPRMITLESNTATC ADCWARGDKRVYERQTSDKADKDAEASKTTTSNCESDADGSDNSSDDDEYFLAEEFLS PYPCSRPATPQLYFEVHSELCPVSEDNEFDLTRACHPIDPASMGRDYRARRHKTIPFE DLSDDTDSFVSCEEYLDEDEDPTDEESFTSGNEEDDVYYSMVGPPLRPKGLIKSLEDQ SLLLYGSAQKYYPTEE PEX2_046770 MPKVNSYAPAWLCRPSPGAKFLSSSSAQSPAEDLQAGSKSVSNG ATRTIAKRGNEVFAVVDNEIRWSNLARLKDQWQQEIKQKKGSASQTEEQPDHTQLPPY RVLAVPVYGLIKQIIPSPNGAFLAIVTEHTVHISVLPDSSHLSSSDSDSIRLKTYQLG PTTHVIPEAAVVSALWHPLGLHTNLGGCIVTITADAAVRVWELDRNNHWSFDQPTLAI DLKKLVDGTSSDQDFAPSGFGKNKGFSADVIDMEVASACFAGNGSEKEDAWAPMTLWV AMRPGDLYALCPLLPSKWQAPSTTIPSLSAAIIPKLGALEQEPEESEEELIASRQQYD WLSELDEQEPLDAPSGSETIQGADVFTRPANPSAIPRLQGPFRFDNGDELDDLDLCDI LVIAASLNVEDLMMGEDEELAVETGDQDKLSATVLSLTSGNGRVHICLELDGVEGQWL PKARKNAFRTPLSEPTELVLVESLDTIKDGEDANTWPTFTKDVHYRYSFFVTTATNVV FLSLSDWVQRLEAELQAEDTAGSAFRLQVLCDGNVTNRERILQVSNTDITVQNEHLAT SLVFYDFDLGYLLLTYHPSNPYAAVMDTPEDSLSVALDKSLYEPRAPAPGLSILPPSR APYQVPAIFYANSPLDSFVEKHVPHRQRHTLKEQVRLSPATLDLVATAHRVLSAHTNA LERAASDLFRRCERLQGEMQDQLKQLADVAERVKGVTSEIGEDGHRKEGVRNGEALDK RLQAAQDKQSELNRRYEALRTKVLNSGGRPLSEREKAWITEVKTLSASLQQGEEQEED QPLISRLETVKRLALDLMAQTKSVAAKMPSPELGTLSSPGGQPKVPQRLQRAKVADAM RMVERESAVIDAITARLERLNTSL PEX2_046780 MSLLAVNTVDRLDRPSAYYVSKSKWAIEAENHTQNKRRRFNDRE EEEPQQQEKPDDKLKNATTLYVGNLSFYTTEEQIHELFAKCGEIKRLVMGLDRFNKTP CGFCFVEYYTHQDALDCLKYIGGTKLDERIIRTDLDPGFEEGRQFGRGKSGGQVRDEY REEYDPGRGGYGRAYDEQRQREEDEYGAGR PEX2_046790 MAITVGVLALQGAFFEHVQLLKKAAEQAPQSEWQFIEVRTPQEL ATCDGLVLPGGESTTMSLVAARSNLLEPLREFVKVDRKPTWGTCAGLILLAESANKTK KGGQELIGGLDVRVNRNHFGRQTESFQGPLDLPFLGQDAPPFPAVFIRAPIVEKILPH HEGIQTEEVQQEDVVVAPSREVRDSVAQAATAEHVEVLATLVGPAARRATEGRDINPD QEVGDIVAVRQGNVFGTSFHPELTGDARIHTWWLREVQAAVLKRDKLKQ PEX2_046800 MVDVGVFGLTKMALNEIKQNDISKDQPTLVRWYIDVRNWDKKYF DLPFLQTLTQSDQTAVKKYYQTSDQHLSLASQLLKYYYVHQATGTPWNKIEIQRTPIP ENRPFYDSSLDFNVSHQAGLTLFAGTRAATAHSASGPQALPRVGIDVACVDEPSRRRT NRPPKTLADLATFVDVFTEVLSPRELATIKNPYATLKLARELGLSNSDPRKDNEEVLA AYGIRLFYSIWALKEAYLKMTGDGLLATWIKDLEFTNVIPPEPVQKLGSTGHSLTEPS VQDWGRPYSDIKISLRGIPNYSVRVQLVGFESDYIVATAASGPNIGAVSREVVMNDSN HHLPECTTVLDPENRSQNVRIAPIALRTVGDQDPWRVDSKISDPWLPMQEVDIDIDIR PCAEGRCEHTQNLSSF PEX2_046810 MSFGSYIVSGASYFVVVTVSLFAIGQKVPRAAFAARCLASYGCL LVSAAYGVLVSICLRIVGYGRVSQWAAGRSFKWLMRFTTGVKFEVIEGGEYLSTRPAV FIGNHQTELDVLMLGSVFPPYCSVTAKKSLRNVPFLGWFMSLSRTVFIDRANRETALK AFDGAAAEMRDHRQSVFIFAEGTRSYSDEPTLLPFKKGAFHLAVKAGVPIVPIVTENY SHVLSPRAWRFNAGTIKIKVLPPIPTQDLTSGDVDSLTQSTRDSMLNTLTAISHAQKE EVDVACTNGVSSAIEI PEX2_046820 MALSTGHVASQLRHLIYYQLDNNLIRNALFLASRLLAYEPRSFE AQYLLALCHLHNGEVKAAFECSQASGSRGLHAGCAYVFAQTCLDLGKYLDGVTALERS KPLWASKNHWNKHSETQRQHLPDAAAVYSLQGKLWHAHKDLTKAVDCYVEALKLNPFM WDAFLGLCETGVNIRVPNIFQLSPELLAIISSSPEEIATAPDNATHEEQIFPMQPPGN PESDPFMVSASRGEADTTFGSSALWEKLNGTSVNFAAMAQPVFHDGMETPGAQSSGSD DFRIANGVTDPEAGWEAPLAPARKTRTIQTMSLDHTGQPPPRIRTTGIRPRHKTRTEP EAQPTAPVERDPSFISRFGDRKRTVSGQVAHPIPSSQPTEPGAPQRRSVRLFNQIKPT TSKLPNSTLTGRDGREMKKLRGGPTKGRVGGVPTVGRVVSGNRKPMETPDNDGKDNRA GLSQSHAHVPPLPKNAEKTKELEALDWLLGLFNKLASGYFSLSRYKCADAINSFNSLS QGQRETPWVLSQLGRTYFEQASYTESAKYFSRVQKLAPSRTEDMEIYSTVLWHLKSDV ELAYLAHQLLEADRLSPQAWCAIGNSFSHQRDHDQALKCFKRATMIDPEFAYAFTLQG HEYVANEEYDKALEAYRHGINADNRHYNAWYGLGTVYDKMGKLDFAEQHFRNAASINP TNAVLICCIGLVLEKMNNPQDALVHYGRASSLAPNSVLAKFRKARVLMKLREFKFALA ELKLLKDMAPDEANVHYLLGKLYKMLHDKANAIKHFTAALNLDPKAAQYIKDAMESLD DDDMEDEDIA PEX2_046830 MAKKATKSLATRNGAVLSRTHLISAALHLLFLVLHFVFQRPRSL KPYFFLAVPTLAIEYYLDRMGRPRYNEDGSLRSAGDDLNATGLTEYMWDVLYWTQGCI VAACLFNDRAWWLWAVIPLYSVYAAYTTIMGVKKGFAGMGGGDAGQEADAPKSKTQLK KEKRGSTVKYR PEX2_046840 MVVKENGQVTDVTVKANGRTDLKKPRKKGFVRWTVGLVVRLCIW YALVTPFLRCPSRLADLTETSPRVCKPYLIARSHVEPYVTPYYDIYAAPYIDQARPYV DQARPYVEVFNQRVYTPASKVAKSGYEKYGAPALKQAQAYGIEQWQRQVTPRLQTAQN KVHQLYLAEVDPYVEQSVAFVSPYYQSANAVVLNVIQGHLVPFYARSRPFIGKAYSTS QGILTTHVLPGVQYTWSSAVYFANSSLWPHVTGLYSEQVEPQLVKIGQRLVSYREGKR LRAVIEDLDSSSTVQSVTSLITKSQEQIHTTTTVTSTSTPQASAQPTLTPTEQAQQVR EKIDSDLERWQGKFVIAADKGIEDLEERIVEIVSALVASSANSHGQSLSTALQSVSAE QLSSIKQRINELADSMPEEDAPEIEKSTSDLLIREIRTSAISVRDRAHALREWSISFE DELVRRVTAAINSTLDVLDSIRDLGLQEIGMRWAWMDGVTYKDWAKYHVLKTQLEEWR NEIRNVGMNHKSVSGARAVASDILDQGMHEAEQAAKELVRLKDVGIWKIAAREVSDNF QTRTEAPPARPKPQETEESDEEPAKVDSNNDESSEEVAAPISDDDYEEISSTDEPSTA VDAEADFDVANESVMGDDILVEEQPSARPAFGVAAADANAHQAPILDDEGHDVLDSLA SKAGDTYAEASNAVSEAIHDTPLSPAEKISSDASQKYSDAVAAASSVIYGTPTPVVES LMGGASSVFADSTDQAKVLYEIAKSQVLGQMSESKAPAHAQLLASIESAYSGSLKYAT DELESKLRATPTPSNTGPLAQISSIASSRLNQGLSLASEQLAQVQPPVTTASLPRGVL DPFVLDAQRRYYEAVGLAHHHYSAFVSTASGAVYGSPTPTPAGSFKGIIEEAGSQYEQ ASSLASASLAAVVASASSVISSADGGKAQSIIGDASSRYNAALSVASSSLSAASISAS SAVYGTSTGPVESLSSQASENWDNLISKASEQIYGTPTPYLQQVVNNGRPQFEAVQEL ISELIVGKQPSFTESVLSKLHAAYETPYPAAAVSSASSYINQAYGSASSAAASIASEA PSVEDIVQHANDQLHAAVEAASVGIYGTPKGSYEKATDAAADTYSTASAQISSAVYGK ESGYIDIAKDAIENIQSTASAAIYSEEPNAMNSATIRLAGAVESARSQIADLAASASS VASEAVETAASHIEDTTSSIKSAAASVKDEL PEX2_046850 MEPADNQFRHNADNTAFPDLMNDPAYDEREKGFDDLDTCRICHG EATEEEPLFYPCKCSGSIKFVHQICLVEWLSHSQKKHCELCKTPFRFTKLYDPNMPQS LPAPLFAKQALIQCFRTLVTWLRFVLVAFVWLGWLPWSMRAIWRALFWLADGRWSANE NVRNQAAQTAQEGLGQLFSNGSAVNAAVADSITSTISDAAISSTTAVPSAGSSILNLT AGEPLMLTLIKKVIPNLFMPAFTSTVGQNGQNNVTISSTKPRYPSWLSDVKFLNSLTP YPTINNMIMDTLEGQLITLLVVVAFILLFLIREWVVQQQPMVNIAEGEREAALQLIAN ANNRINDRFNEEGGLRQPEPNPARLENEVGDERAEAHELDNDILPHFAPHSPAPSSND SEYGLPGFEAELRGYNGNDPEYEAEGPPPTARALAFRDLVARTHGNHEEMLRILREEA HGDDLDWIVNAITGATINRDSSAGPSTRPHDPDNQEVPDGADAVDLEDGDVDDHPLDA DAGPSAQNSDRGPPNRDNAIPVANAQAADRPPLGFTDRVFEWFWGDITPAERDTEEPV PEDDEHIVQDPALEDPFVPLPNRMENAQAGADAAAAADAGLDPNDIDAIEGDDFEGIM DLIGMHGPIFGLFQNGVFCALLIAFTVAIGIWLPYLWGKIAIVLLANPLELVIGVPIT AVEVAADIALDTLIGVVGYLMYWFSLVFKIVLSPFSAVLPIGEWISRDKSVTSASLSL IDASSHRLNKVIKAFLVFHESDIPMFSVLSHQALKLHQARLGAVFQSVLATVKFILHD FPIRLVTLGVPGALSLDLDFSYFKNFIGQAQQQLTTFAKSSLFSMPGTRFMNASAAKA ASATVPVDYDLAVWDSKDRVIAIFMGYLLASMIGLLYLRITGLLSGANRGQRIEGLLA EILIQAGGVMKVILIIGIEMIVFPLYCGSLLDLALLPLFSDATVASRIAFTAASPLTS LFVHWFVGTCYMFHFALFVSMCRKILRSGVLYFIRDPDDPTFHPIRDVLERSITTQLR KIGFSALVYGALVIVCLGGVVWGLHFALDGVLPIHWSASAPMLEFPVDLLFYNFVLPV VIQSFKPSDGLHDLYDWWFHKCAHFLRLSNFFFPERHLEEEGYHVRKTWWGILSRSEG DWENPVVGDDQQAAAERENRDVYFLRDGRYVRAPGSDQVRIPKGNHVFLEVAEDNERV DGRPDPADGLHGRSNNMFTKVYIPPSFRTRIAAFILLIWLFAATTGVGSTIIPLVIGR KIIGSYSSTPAPVNDVYAFSSGLCVVGVLAYLAYYSSTALQFVRENSGTHLRSPRQAA QASLGLVMHAARLLYMAQVAIILLPSLFALLTELYVLIPAYTLFGDRESHVVHIVQDW ALGVLYVQMAIKLTVWQPHSWMAAAVNSVFQDGWLKPNASLATRALVLPIILFTVAAA TLPLSFGFIVRWTIFYSHVGVQPNIYRYAYPTTLLIVLSVWMAHLLLRRVAVWRTNIR DEVYLIGERLHNFSEKRARDVGVSRVMTG PEX2_046860 MSVLRHGGGGGFLQRRLTKLYTDTKTSCESVTTASKALDDPELV ALHGNFQKQRDRLLAWGLDWSDASAAQPNDIDESLTAAGFSDVVESVMSSIQELLNDA EQLQHLDAPMLPSKERKVDIPSKDTLASRPIKTQWTEEDITRSNTILNELTGCIDTLY DLSRSRRTMASSMSSSRNSARRPRPNPTSPYDSSHGSFSESKEKVQSPKQMFDPFSYS PTIAHRDSPNPFLPLNHLLIDRSALQLYGAEHDNSPPPYEPVAASSNSRAIGRLKSSA SHILSSTKESHVSVLVEFIPILAESKMDNKSARLEKLQKSLEQLLQNARISHLGLMRF LGYCIDNSNSRYAFLYQMPVDYFPFLQNPSDLLKELKPKPLVALLPSAEEYQENRVPN LDTRFRLAYDLLMSALHLRSQNAVHGNINSSNVIFFPGRSDASDDKSGLAPDLGRPYL TSPARFSGDGPTPEPLSSAMYRHPDDKRSVEDDGAWAYDLYSLGLILLEIGLWAPVGR LWKMKYDRSWFKHRVEDLYVKKLGPKCGGAYLQAVQLCLDAPNFHLSTQPMTDLGLRV PQIYHYPVLDLSDPDGTFSFSMNFMYTICKILWSCCRIDIFSAPSAEELDDCLPLALV PTPEPLTIEEKVRAYKSSKREMSNYDKKLPTIPAQAWGVVNEGKPIEKPQKKRTVKRL PHLEIPDEHLQEWNFQIMPRVSRLLQKILKESSESCGVNLIMTGESPESARTTICVTC ASVKKVRMALKKHFALGRDDWDLIVLRGDIERSKVPRNRRRRPAKARPNASNDIPFRQ RELNPCHQQRPLCGASIGAFQNEEHLPPVSYGGAVFVDGLPYGLTVHHMLEAPSEDEG LGSAPRDSNQDAPSRSAGNWPRDTSNIPHPGFMYDYPDDDSPDVNFDFEISDLEDGDD ASHGVESDDFWLSDEDSSDDDSVSPDDDDSDTASIGDTAGIEPGEEPRLLVTQPAIDD VHEDFFPSPEDRDDEHLASHSLGYVHASSGVRRWTRKGLKHEIDWALIKVDEARMDPR NIILDTTRSSIARPGRPVPPQPIFLNQVARMEELGGLQVHCCGRTSGLQTGQISKAMT LVKLQGRHSFSTSFCVNGNFGAPGDSGAWVFDRPTGRVCGHVLAWSAKSNTTYISPME VTFDDIARTLRASVVALPGDPSRSMGYVDPNSPQSAQYRYQAQRLPGDFGRLAFGAGS SPGPPVPPYPHNYPRPQAHHPAYGRPPPPPPPPPGSREARGPVYSGPVPPIPPSLLAG PRNIERQLA PEX2_046870 MDNPDLAAAAADSETFHLFSHLPPEMRFQIWLEALPEKDLPAFT PYRNGCWFPADPEHLDASSLYQDPVQWKFYHQSLDQIRVKIPLADVNHEARNIAIEWA RKQGIRVITHEDRRCTIFLRPFEPMRDVIWVGGDVYEHFVNECSGIHEWLPSPEKVFL PVDIGHFAVSMHMLVDDQCLGALADVLCSFRGDIVMWIIADEHPDFNVMHGDDRKVQP RWELHDTLEGEAMIWERTSKTFEVDLHARLFEEDSIYYNRVLEGSQLIACVLGTFMPN INFEIRAARAIRL PEX2_046880 MYHLQCFHEDPEPGTRPLKEWPCSPDKVMELLTAWYDSDKPFFK KQDLENVSNQLNRRYNTRKNNSKKQLISVKGLTGSKISYEVVTGQNHTGAAMNEDWEI QQPNIRYSCYEQLISSVEYGKIKLEEAFFPLQIEHSMLVRASDTKLPISVPYIENSEA VDEFLRNALKNWKESPEWEILKGLLKRVPTHEIHKIIGIASGSMEFGADDGDCTRRSA VQHSLMIALKEKIEDMKDAEVRCYAQEPRYTAVDAWALAEHGCQVLEDPQALLEIDND CILFSCCPAIPLKEITADFARPVMLIWDRVVYGGNRRFSPMHNPNSTRVVNMVEDEYD CYSFWDLHDTVLAPFMSELVVYIRRSAE PEX2_046890 MYKSRTTNEWIFGGLMAAQAVIVLALEIFILVEWQLWMLPKAIQ ITPSYTVPIEAAIIWFACIYEFSLSLDAMRHKNNLLLFAICVCNVFLVAFGAMQYPSM KDFCKTMPEQRAMYDEPLVDITRNIWPQIRGPQLAVPIFVGIFTLAIWWFAFQLHKQY AWSIYRSVQGDTRAKARHFAYEVYVVLVKLDAFFVICFILEYGLIDVHFIEPEFGLTM SIPPVLTLILIMGVYIIRKEHKPLMLLVIACNLGLIAYLISRIVVLYGKSLLAFTPSK GKMLLFGFASLVLTFLSLGSGMQCFMNFGYGLKHILAGKAHSVRTSYDFHTISSHTHA G PEX2_046900 MAAISPVADPLTVLPPEIVLQILHFTPVSALASLTAASKAWHQF IDVTHQEAIYTSEAKTTQPLGGSRDLSFLSDTHSFAKVFEGTESWKDLCKRQTLLARN WAEPHPVSQESVLQIGNDHVWRFRADFKRRFFISTSHAGGMNVTDMDTGRILWQLPSV SSTEKDGVRPHAHLEYQDGMAVFDSEGDSLEVWQTDLEGAKRGEFRRIAILNHDCQTR GFQLSYWTLCVVSNEGRGFVYDMTQRPPKLTTRLKIEGGGIGHLDQSEDIVIYSMGAK GYFAYNKESGAFLGILKPSKSTEKYHILPPKAASASTSAALTGAAQLGPRPQPLPPGA FQKDRLVPIEVAKGPLSPPDDPDHVWHGEDEWGAGMLNGDLFVGFSRAGRVFICPDFR KALRDEASLAASSSILECESDGSSFDMGGWLSIRNHRVMFEIQDRIYVVALDDNNRVQ TLESPARASYSLLTSSAPQLAVPVSYMALADDAIMTTYTTLGWRDPNIPGGTPPPQGR GIPRIFPIKVIRILSLAPNLSDKSSSASGDTDQPSAVDGLGGPALTLQSEGTWHPQDS LLQLIAMLGEDADLEQSDSEDEWESMDEVEDEEEEEI PEX2_046910 MWLFLLGTNWAAVGLFRGVRMAKSIPLDSLTYAADLNSLKGPIG AAFTVTYWGTFHGSMQYILTMMLFDTLETRRHRLRTLLLGMILPFMALMHSAAPIKTM GIPALYWYGINSGAYDLNLIVAAGTPYCIMPFSVWLLDQLDISLLPRVLTRPPKQALT RLLKQAFNKATASIMVVKVVRLLICVSLFVVVISIIMIDVTEDSRWWMGLLPMAPFYL YFLMLAAPLFWLCTAGYASGWYVFVAYVTRSVKASHSCFFMPCAPQSINEEDQLFALF AGLLLFVGWEVIPVLVKEFRKRYRDREEFVQHMEERMRHLQMRQALQRRFGWESNTWR TWGRAD PEX2_046920 MGNETLQKFTALDLDYLIIGGGTAGLAVAARLTDDPEFRVGVIE AGPSVLNLDDNGAINVPGRYGETINSKYDWKFKTTPQPGLGGRSLPWPRGRILGGTSA LNLMAWNRGHRKDYDAWAELGNEGWGWEDLLHFFRRSENFHPPSAAHQKHYQSSYDPQ VNGTKGPLHTTHAKQYGPTHQYWHETLNNLGVPSTRDSLAGDNTGVWNMVCTIDPDSQ ERSYSASAYYHPIAKRPNLHILTEATAMEILFESEDDEWYATGARVRWNGLEANIKAY EEIIVCAGSVQSPQLLELSGIGNQDILKAAGIETKVHSPNVGENLQEHMMTATIFEIP STIPTRDDILKDPIQREAADRAYYASQTGPWTVMPCSVAYCPLSKILSPQECDELHTQ AKEVARNTGRTHDALLASQFETGQARGQIEYLFDLGNWSPYFVSEPGKKYATMLQMLQ YPFSRGSIHIPPMRENNYEKATIDDKPVIDPRYFLGPGEIDKKVMAKALRWGDRICQT EPLAKLIHGRVFPPPANGADSEEKVYEEFVSNYTVTDWHPVGTCAMGEADGTNAGVVN DMLQVHGVRALRVVDASIMPLQVGAHIQATVYAIAEKAAMMIMDDYFARNDPL PEX2_046930 MISGIAHINLPVPQGTLEQAKEFYGTTLGLTLAPVPELQKGTIL WFNIGSSGQQVHISFGATDPLSGRHPCFKLSSREELEELKTSIHDHHVRGGPAAPMAA DTPGEVNSGSQGKEYPTRFFARDFAGNRLEFTT PEX2_046940 MFLIYKKIKKRNERKRIDSAVSNTPDNAIELDTPVDIPQPDEGK KKKIRSPEQVAEKKRRNVYRWKIILGLFSPYCLQALDTTIVASALPFIVEDFNQINQL NWIISVFNLTSAAFLFFWAQLTDLFGRHIVLQSAIFIMMIGSAVCTGAPTSAFSVLLL GRALQGIGAAGVNISIRTILADRVSLAEYAVNWTIFALVSGIGFSIGPVVGGYLTQAS WRWCFAINLPIAVVAMVVVVLVLRTELQGPQPIPELDERSGISTRSGRFLARISTIDY GGQLLFLWGFGLLILALTWAGGNYSWKSAAVLAPLVIGGVLTIAWVVYERCMVPGSLM ARVLPRQKAMVPWELLRQRDIGLLFLINFSVGIAMFAVMYFMDIYFTLVEGRSSSDAG IALLYFLPGLAAGLYMAMFSSNVWPRQTFPALFLGSITSAVGMTVLAWGVHAGKTSVI YGMMALVGHGVGMRMNPASMHGLAYFPAMTAQISCLASFAVPFGGLLGLTIMSTVFTN KSGVGQRDAKGGVMWAFIAMMPLMWLSVLLTTFLGNVWILKEGAHEVVNRSYLWGLIF GREIVRERRDRGEELEDGEVTV PEX2_046950 MGISTPAEPAPAYEDLFHERGSSSRNGYAMVGQIDDDTTDAHRD VEQGHHLHTVPAPIAVTVADEPDQHTHCAECDRQQERRERRESSQKACTMVATTFILI SLFLAILGIVGVGAWKDVRLKKLHG PEX2_046960 MSITNGNSANGSTVAKHDIPSHFIGGNALESAPPSAVKDFVAAH DGHSVITSVLIANNGIAAVKEIRSVRKWAYETFGNERAIQFTVMATPEDLTANADYIR MADQYVEVPGGTNNNNYANVELIVDVAERMDVHAVWAGWGHASENPRLPESLAASPKK IIFIGPPASAMRSLGDKISSTIVAQHAGVPCIPWSGTGVDAVKLDEHGIVTVEDEVYK KGCTFSPEEGLAKAKEIGFPVMVKASEGGGGKGIRKVENEEEFHALYNAAANEIPGSP IFIMKLAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIAKQPTFQA MERAAVSLGRLVGYVSAGTVEYLYSHSDDKFYFLELNPRLQVEHPTTEMVSGVNLPAA QLQIAMGIPLHRIRDIRLLYGVDPNASADIDFDFSKEESFQTQRRPQPKGHTTACRIT SEDPGEGFKPSSGTMHELNFRSSSNVWGYFSVGTAGGIHSFSDSQFGHIFAYGENRSA SRKHMVVALKELSIRGDFRTTVEYLIKLLETPAFEDNTITTGWLDQLITNKLTAERPD QNVAIICGAVTKAHLASEAGIEEYRNGLGKGQVPSKEILKTVFPVDFIYEGERYKFTA TRASLDSYHLFINGSKCSVGVRALADGGLLVLLSGRSHNVYWKEEAAATRLSVDGKTC LLEQENDPTQLRSPSPGKLVKFTVENGEHIRSGQSYAEVEVMKMYMPLIANEDGIVQL IKQPGATLEAGDIIGILALDDPSRVKHAQPFTGQLPELGSPTVLGNKPSQRFFLLHSI LENILRGFDNQVIMGTTLKDLVEVLRNPDLPYGEWNAQSSALHSRIPQKLDAQLQNVV DRAKARKAEFPAKQLQKAIVRFIEENIGPADAEILKATLLPLVQVINKYMDGLKSNEY NVFIGLLEQYYDVEKLFSTHNLRDEDVILKLREENKDDITSIVHTVLSHSRIGSKNNL VVAILDMYRPNQPLVENVGAHFKPILKKLTELEARSSAKVTLKAREVLIQCAMPSLDE RLSQMEHILRSSVVESRYGETGWEHREPSLEVLKEVVDSKYTVFDVLPRFFVHADPWV TLASLEVYVRRAYRAYTLKGIEYNASTEQPFLSWDFTLGKLGQREFGVLNSTQPSAPG TPIAEINPFKRINSIGDMSLFVSDAASDPVRKGVIIPVQYLEDAEELLSKALEVFPLG GSTAKRPSEQGLIANLEGKRRPAPKPVSDSELTGVCNIAIRDVEDMEDSDLVEQMKQL LADNKDELLARRIRRVTFICGKQGVYPGYFTFRGPKYEEDLSIRHNEPALAFQLELAR LSKFNIKPVFTENRNIHVYEAIGKGPENDKAIDKRYFIRAVVRPGRLRDDIPTAEYLI SEADRLMNDILDALEIIGNNNSDLNHIFINFSPVFNLQPVDVEQALAGFLDRFGRRLW RLRVTGAEIRILCTDPATGMPYPLRVIISNTYGFIINVELYIERKSEKGEWIFQSIGG TAKLGSMHLRPVATPYPTKEWLQPKRYKAHLMGTQYVYDFPELFRQAFQNSWTKIAEK IPSLYEKRPPVGECIDYSELVLDDTDNLVEVSREPGTNTHGMVGWIITARTPEYPRGR RFIIVANDITFQIGSFGPQEDKFFHKCTELARKLGIPRIYLSANSGARIGVADEIIPF FSVAWNNPKKPEAGFKYLYLTPEVKKRFDASKKKEVITELINDEGEERHKITTVIGAK DGLGVECLKGSGLIAGATSKAYEDIFTITLVTCRSVGIGAYLVRLGQRAIQVEGQPII LTGAPAINKLLGREVYTSNLQLGGTQIMYKNGVSHMTANDDFEGVEKIVEWMSFVPDK KNAPIPIRPWSDSWDRDVGYFPPPKQTYDVRWLIGGKEDVDGFLPGLFDKGSFEEALG GWARTVVVGRARLGGIPMGVIAVETRSVENVTPADPANPDSMEMISTEAGGVWYPNSA YKTAQALRDFNNGEQLPVMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKYEQPIFV YIPPHGELRGGSWVVVDPTINPDQMEMYADEESRGGVLEPEGMVNIKYRRDKQLDTMA RLDATYGELRRSLSDTSLTKEQLSEVKAKLAAREEQLLPVYMQIALQFADLHDRAGRM QAKNTIRKPLQWVNSRRFFYWRLRRRLSEETIVKRMVAASAPPAPGADAFGESLRAAH LRTLHAWTGLLGDELEHDDHKVATWYEENKKVVQSKVESLRTDGVASEVAQLLIGNKE GGLRGVQQVLSMLPVEERENVLKYLGSA PEX2_046970 MPAVEESPSERKHTSSSYRDELAHYKAQYEQLEAELADFQSSSR ELEAEMEKDIEASEKRERQLKEKLDTMRYEVDEWKTKYKQSKSEGNSVQNNLQKEITT LRDANRTLQLKLRDIEVANDDYERQARNTTSSLEDMESKYNIGIERGVLLEEEIRNGE QEREQLRIDNQRLRDELSDLKIEAEIIQEKLRNTEGHGMRRRKPTPLYHRSPATPQSL EIFDRSPGTATSSPVFATPPAKSSLASSTATPPSPPISESSVNMRKSINPHANSTPTF PRQRAAGADPVNSRTLHARTQTRTTHSRTPSLAYSNGAFSTGNGNGNGNGNGRSTPSM SISSRNSLTRMNTSRPPGLPKSGSLFQIRGLIGKMQKLEERVQSAKSRLPAPSDTSSR GSPRSGSVISDTPIVPSSITVRRNSHKRLSNSSLGSSARDSESTPSYPPQGRQSLGRT GESRPSSRTSLSSRSSFSQSVHSGVPVNARPESRSSRPGAKTPLGHYSTNPMTEGRRP RSSLSNHAGQLPNIAGMSLIDEDQDIATPTTARISQEIQQPQRPSVTTTPTPIVKKRT ISGIPAPRSFKTSIGPGAMPPPHRKTQVSDLGETF PEX2_046980 MADSTDLNLDDAPSDLQDIPELAMQLVPPPEGTYPDKASLLAAV QDHGKEHGYNVVVKSSSTPTEKKPGRTAKVWLRCDRGGHYRPRNGLTEETRKRRRTSR LMDCPFMLVAAGSPGIWTLTVLNATHNHGPIIEKPRQVPHHKVRKGQLPAIPYDWPHD ASFTPYTTALVIIDMQKDCKYLHILPLSVYHPADSLLVCLPEGYMGYQGYDISGAQAL IPRLQRLLHAFRSGGFPVYHTREGHRPDLSTLSSREAYRSRNNASGLGIGSPGPMGRL LIRGELGHDTVDELYPLVGEPVIDKPGRGAFAHTDFELLLRNKGVKNLVIAGVTTDVC VSTTMREANDRGFDCVVLDDGTAAAEPSLHLGTMQSIKMEGGIFGTVAKLEDVIHAVD NFKTVTMKKLAPQMTV PEX2_046990 MAAASKATIPSSSTVLGSISTPNNPNLLKMSYYFTILSPTDTPL FNIAFGTSKGGGDGIARFRFPETAQYMNQFIIHSSLDILEEAQWTNGGMYLKHIDTYP PAAAYITAFLTPSGARFLLLHQPPHLPSSTSTSSGLGSSSLLGSAGSTTRASSSSIAA NPTSPQTEEAVRQFMNEVYESYVKTAMNPFYKQGMEIKSPVFRTRVTAAGKKWL PEX2_047000 MPANLPYGLNLPNKNATKPGNGQKRKNIFDDDSDEDQQTGNGAI EVSTIGGLEEPPAKNKPLSSAVPPPKRKIQFGSGPKPTAKPLSKNSLFADDEDEDEER EKEQQGAMNLGLNQVKSKKPAAPAQKYTNLASMHSSNMHAKAAEELDPLIYSYDEVYD SLHAKPAKASVEAKSETPKYMQNLLQSAEIRKRDQLRAKDRQLAREREAEGDEFEDKE KFVTSAYKAQQAELRKAEEEEARREKEEEERRKKGGGSGMIGFYRDVLSRGEARHEEA IKAAEETARRVKAGEIIEDMEDKEVKTDAQKAQDLNSHGARVIVNDEGQVVDKRQLLS AGLNVAPKPKAQAPAAKAGASRPTVGRPGAGAGYQGGRGAQRARQTDMVASQYEERAR QEEEAEATKQKEIAEKSRSRKTEKDVSSAKERYLARKREREEAAAKEKSKGA PEX2_047010 MGKVHGSLARAGKVKAATPKVEPQEKKKNPKGRAYKRVLYTRRF VNVTMTGGKRKVHIE PEX2_047020 MFAKAKGILEKSSSIRDEVKQGNFDGAKELVKGQTKESTSQGDK ANEVLDKSSSARDEAKQGNFNGAKEAVTQGQTTKESTGQGAAGGIAGGAVGGAVLGGA SGSVAGMNKESLNKENVQETGKQISKGGIDQDKTGTSSIGQTNAEQRDIGSMKREAGQ KQQASTNVTQNTGQKQQESAKANQDTGSKHDRRGSVAAGELEPNYDIRKGPITMPGTF QEKNFHNAGGAHTLVQRGVSSKGISTQEKNDNVPQVVFAGAGSGNTASSNQEKTGKAV AGGDFSKESLEKEIHEYDNLSPDIFSDGTTRKDLSKKSADQDVGKYHIDRKQLDKQGS QPSQRAGTGEAAAAAAAAAGYGTSRGVTNQSSTARKQSKRHSVSETTATRPQDLTSTS AKSNVASNVGGYGYSSGQGLAASNPANRGGLTYARAVSDQGFTPKTPTGTGYSTTASG SNQTSGLRSTTTGQTNNALFNTSATGSNQPGIAGGGVLGLHQDGSYSLSGNDNSGVNK GNIVGGAGTRQGGAYGTSSNDGFNNGIANASSSSKSSSANDMTSKRIVRQLSGSGYKV TVLQEKVQAVSHKCKTQLGLSSSQISKRSPNVDAFFDAVAAERLRWMPHDGSRLDCSL RWASRLAYAVDALRESVGGFAPAANEAASLIWGFSILLLESDMDNTDVFESVFGRYGR VAVGIYLLLQYEIAYRSSPELQPYVAAVFADLLEMVSSTAMSCVEGFKSKESDQIIGR NVDAAFVTYAKRFSTHWNCVVDAHTAKLVEGSSLIYSSTELGSLRQFLGVQDRPLQFI LDSRAHSLAEGSFEWFNNTLYDFSVASSPVMLISGGPGSGKSALAQWAIERLQESAEH DSWNVIPYTIRADIPVATLPLRILKGVLHQMLDHSVSDKQTQEAILVEVARAAQGAID GAGDDAVETSLWRGIRAGLATNIQYMFVVDGIDQIRGGHTNAVACLERFCEILSEQNA GSKMIAFTRPLSLKINSSGVQQFTLQSSQTKNDLIAYVNKMLASSANFDTHKGKQLQA AVSAIVVRSQGSFSWAEMAVAYAKQQKTLSQAVASVQGLPQSMPELIDFHSNTLDFNQ QGTVNIVSWLAAAERPLLVEEIEHLLNVDTKGPSFSSNKPTSSYDALNALSPLVMTRD GVVSFAHTCIRDHIIKQAKSTGGQSQLSLKDAHYDLLTRCLSCVQLGVREEVDVSMDK LGIEERNHLFDKYVVLEYTARYWLSHLLSSPLVSDDEEFQFNSSFKKLMPATVLFARL ELTCRESQFTRSSVVELYRLASDIRRLVLGEKSIALLESLVLSARVSKLAHASHADET CYEAWKLSQELLGQSHPITLTCSEMMIQSFSERGTITSQQEDIMKYLILTDSEITGVE FNQRLKYLGMIVSMYKSRSENQNALVISKHFYQQVLQKYGTNSRQSSETAEFLTTHFS TTGSDEMSRDIARTKYDNMVRTMEVTDERRIAYTLYMAKMYEDQGDLTHAQAVLSSLW AGLNSRDIDSVDMMDKKSNVALVYYQFLRRQGRSDESEVIIRELVADLEVTGIHSEEM MQRVHLLRAETREMLMYNLDRSLSILMWRYYKETHQEYSEESTALALSIAQSMANAVS IQDASSLSSRDRKLLIELLDVISASPENMTVTTLILCHNLASIYVREGQWIQASECSM AVLQHIWPTVGQAKSHQKFSPELAPPAADLALVLAYCHFRRLHLEQATIVYENAFGSL INSEKAPVPSVLAVAKAVVEFHETTYQFTKALNLLHTMSNFLAARLGETHEHTIDNMY LEAALATRLEMNGEAKSIYQRIFKATSRTNGIAPEGMDAAIALIKLYEKEGQWDSALN VYRSLWPTLVVEDNKVEAYDHELVDRMLEKTYLGYMSILTTHKSSDFPERYRVASEYV MTCRYVHGATSEKTLNATLLFAELCESSDAHVDQAISLYKLPLETREWVAPAQSSKGL DQMTTPLPITLKHKLAQLFVRKHDSTVEARSLYTEEFQLAKKNQGYFSTTTLSWLREL ALAHSRQGTTSSVQQGNAILHAYSTDVLHADGDTDTIGDWARRIASIYLECGFIEGGN NLLDELRQRVVYSSEASPVDLRDRRDAVFVAAFEEVFGRRASYDQIMNEMSREMTNSR AFSQSLSGHDFVPTLIAGHKLYNLQIGQKRVRPANDTKDKLYEYFCSNLSATKVADKE VVQQFYQICLREIHRDNYNMNILTTTTNLVRDLCNSSRFQEATILTGVLHSFLHLTDG LNSQESMDTATQLCLYLSGHKATKCTDEKTYQAMSIKSKLLLEDVMATSKSLGIEMVD LPFNHLNDIITLLGQYEMFEELETILTQLWTSRIVQRTWTPDVVVWIGRRLVETRFCR GNVDSAIKLCRDICYNLRQVWGSCDPVTLDMTKLLSALFTASENHQSAATLHEGILYD LLGDTKAEGHARVADTASQHMELLRRAQTRLGTGQTARRASAQMELFQSVVDRFGVQS EQIKSIGEANGGDQFGVWSKPRRFSIDVEDMEEEVQTHHNHLRETSGVGFGGSGSQRR ISVQAL PEX2_047030 MSANDLRGWVMSAVSGVACVLGSSIICIDVLVRVLSRRKSFQIA NSSNFLSASLCLSAGVMLFTSLYSMLPTSKQYLTRAGFSPAVSAYILIGLFLAGVAGI QIVSAFAHRYIPSHVVDCAHTHNESELDPEHGEPTHEDRTGHDERTPLLQSNKPSSFK SMPAVVQRSQHTEPAPRRRETMRAMLARRITSLMGGVKSACDEDGPCFGVSQTCGQEC TKVLPLLAGNEAARPDPLHHGSISVPLEPEIQRAETDIGNEHPPTTQEGGYFDNISAH HDVHPCVASSASSQTIDSHSSHHSHSHSEHHPHSESHGHLDIDSERPKSAGGNPHHHH VPQNAFLSIGLQTSLAIALHKLPEGFITYATNHASPTLGMTVFLALFIHNIVEGFAMA LPLYLALGSRWKAMFWSSLLGGISQPAGAGIAALWIWSTGQHGSEDATGPSWGIYGGM FAATAGVMTSVALQLFSEGLGLTHHRGMGIGFAIAGMGLMGLSFALTA PEX2_047040 MSKATLAVIAAASAATGAGVTALLFSGKSSKPQQETLTPTPTTP GPKLPSTIPAPALASKLATGPVDAAGILQYGFPGPIADELSSLPLHGAYDRRTRNPSW VAEHITPESLAINNADRKKSTFFEDTTIPAMFRAKLSDYFRSGYDRGHQVPAADAKWS QDAMDGTFALSNMCPQVGEGFNRDYWAHFETFCRDLAKRYPSVRVVTGPLYLPHRDPD GKWRVNYEVIGNPPNVAVPTHFYKVIYAEDGTNSPTSKVALGAFVLPNARIANDKRLT DFEVPLEAVERASGLEFGSKLDLGRRRRLCQEVSCSITVREFNNAKKRS PEX2_047050 MAHSKRNTSLPHFTSYERGLLRSTWGTKRSAIGRDSFLTFGSCR LCLQPARAPVVACASNGDLFCRECAINDLLSQRQEIKRLEKERDEARKRIAEDEERSL AEMKERDLRDFELVSMGLENKGGKKRKAEESEALEKFKAREVEVDGKRKRVFELGDEE MARVAGEERERLRRELKIEKSNGKSALPSFWVPSLTPNTDANEIAANKTVKLTPVCPG STDESRHNYSLKSLVEVNFTEEKASDGTVSRVCPSCKKNLSNGLKAMLTKPCGHVICS PCVMKFMTPHEHHVPDPHASKEEQEKTAALHGQVLCFVCETDLTAKSKPDDNGNGNGN SKKSKKKDKDAIQPGLVEISSEGTGFASKGGNMGKKTGVAFQC PEX2_047060 MHWKGLRLVADGKRQNTTEPQGQSRRSEHLPRPFVPAEPRLSES IPRPSELPEEVNTGLRPPDDDGFNHGVAESGVAGPSSDDRPSLRHKRFSFMRLRHASD PQLSKSYAKAGQDTPPVPSLPPPKIITTAPTSQELDQPVKQRPKLSFRPSSRKQSMEE MSRKSMEQPAKPRRRGQGSTDSQVTDSTIAMSRSITEEPGRLSTNSLRSGTPQPSDSQ RSSVIDPRFSESSRSDQSYGDQTISRTVSPRDGQSATAAKRFRMPRLKRTRSPLFPLP PKVSHPAAGVDNRPKFPPADTPKSEGSDGHDQVSPLPSPSRSTVGLAASTVPPLFRND STNSARSVRSNPSFKNRGRSSTMGSLAENQDDLSIPPYLASSARTSTSTSGRKSFGDM FNITQRLRQNSSPPSPRHGSPTIGGASTPVQELPAIPKREEIDTPASYLTRLEEALPR GMIAGVLAQSEEEFYKICLRKHMRTFSYFGDPLDMAIRKLLMEVELPKETQQIDRFVQ AFADRYHECNPGIFTAPDNAYFIAFSLLILHTDVFNKNNKRKMQKADYVKISRSEGIS EDILECFYENISYTPFIRVEDANMPDRHLAKPRRTLFKSTSSENLARIAREPVDPYAL ILDGKLASLRPSLKDVMDLDDTYNHFGTAGPPDMDALHQAFTKSGILQIISLRSRPDA FMPASLDSPQDSNPGLVDIKVAKVGLLWRKDPKKKKARSPWQEWGAILTFSQLYFFRN VNWVRSLMAQHEAYVKNGRRGTLVFNPPLAEFKPDNIVSTGDTVALLDSSYKKHKHAF IFVRHNALEETFLASSEPDMNDWLAHLNYAAAFRTTGVRTKGMIATNYEGQRYRKSQR LNSISSQKSHQSTDIEPPSPSIDTDIVAEFVAARRQLMSHKIRERNEKLALSQKQLDD LLQNARHLQVLTPLHARARESVIMAAGRLSAKIKWARQDIWRSKCYRQVLLRDLGEDD EAAESRVGSVAEPTPSQSDGARLASSGPSMISEPSVEKASSIVHTVSSADTVEPNVAQ PEQPSDAGLLAKPWNDELRRPSIPASVTSADIARVGRRRSAVTIPERAKSYSPDPKTI KLDREASVLSRWDGASLASRTSKLTSPVSFDDGEERVLREAGLLELPASPSTHKDEWI APDALPGKDTEGETSTNDKSDRHSRVRRSLHRTLRDSHAHRNHSHSKKKRGSISSIGQ EEDDQLSGEAEILPRKAPSFTVHGKKASIVTFGSEWQNMPPEERLKLRKPTPHEEPRA SDLAILDSGESIMTDRSPERPHSARRTSTTTGLSVRTNDDLAEFKDAQEEQPEEDIGS PASPVFTSPPTLDAALLNAEHSPHSPSSTIYGHLSAPRPDGSPSPSPSSTSINERIVD TPSSENLRQQSVGA PEX2_047070 MRLFSPILVASTLIPLISAVPAGSSITPPPPLQPSHFTHSNPRP WGRLRDWVIGSIWDIDHKRCSSKHSPPSNIHDRYGSDVVLRFHLRQADEAEALAAASQ VLFLDIWAITSKFVDIRLADDMIPSLLDLLPSTLRTSYTPLMDNLADEIYASYPSRHR PDSDFRSRIPSGELKTISNGDLFFQEYQPLSVITQWMRLMASMFSSHVKMTSVGVSYE GRDIPALRLGISPDTETKSGPRKTIVIVGGSHAREWISTSTVTYVAYSLITHFGYSPA VTRLLHEYDWVLIPTLNPDGYVYSWESDRLWRKNRQPTGLPLCPGVDLDRAWDYEWDG ESTRSNPCSENYAGAEPFEAIESQRLAQWAQNETVHGRADIVGFVDLHSYSQQILYPY SYSCSSVPPTLESLEELALGLAKAIRQTSHESYDVTSACEGILAAAGISSGGSALDWF YHKLHARFSYQIKLRDRGSYGFLLPSEHIVPTGKEIFHALLTFGKFVWGEEASDLSFE DLMSDQIPLAESL PEX2_047080 MEKSIKAEEYPDHTVTREFSEDGKIEQGVGSGLNDPNHVELQRA LKARHITMIAIGGAIGTGLIIGTGEALAKAGPGAILISYAWVGFIVFLVMCALGEMAA WLPLPSGFTGYAVRFVDPALGFTLGWTYWFKYIILSPNQMTAGALVISYWIPTEKVNA GVWITIFLVIVVAINYFGVKFFGEFEFWLSSFKVVVILGIILLSFILMLGGGPDHDRK GFRYWKSPGAFNSYKMEGAPGRFLAFWSTMVSATFAYLGTELVGVTVGEAQNPRKTIP RAIKLTFYRILVFYVTSVLLVGTLVPYNSPSLLFALSDGNSKKGSAAASPFVVAVELA AIPVLSHILNACILLFVFSAANSDLYIATRTIYGLAREGKAPKIFARTDRRGVPVPAL AISATIALLGYMNVSSDSKTVFKYFVNLVTIFGLLTWISILVTHIYFVRARKAQGIPE ESLAYKAPLGIAGSYFALAFCILIAFTKNFDVFIHNPKTYGNFDYKNFITAYLGIPLY LIMIFGYKFATGCKGVDPMTADLFTGKDEIDREEALYLARKEAEAERNNNAGWFYQKF VSWLF PEX2_047090 MEPLIRPITHPHSRDPNGIFPLCERDLNSVTDEALAALLTTAPI LHQLGGTTVVRLSKKLVMKGGGSVLASEAKMLSLLASKASIRVPRVHRSFQVKDDTLY FGTCGYIVMDFIQGQPLDKCWAGLPLGTQGEIASQIAEMIKEMQSIELLQPGPIGGGP CRGLFFTDYSAGPFMDTAEMEGWFNHKLDICKSVRKAPKDTPPFHFTKFVITHHDISP RNLVLDQHGRVWLIDWAYSGAYPPVFESAALSIQSSFTDFNEMVLSLIPRYPEKEVQL DSIGYGLTTAALA PEX2_047100 MGVMRLPRPTGIYVPNSPRTLTNDMISPPLSPEFSFDSETVTPS LIPALEYISSKLQQRMMHITLLIGRGKPYPTGQPSDLMIIPIHPIESQAWRVLERAIA KGSRKFSLGPSWTDAISRSQYERQANDHLVKQSILQNEVIFSQEGLTLLNMDRIYTFK RRMCILSTRPFSRDDEQSMSSCVHLLHRIVRDFSNRPFSKAFFHRVYEQLDVPDEQLT AAAVAYKKVHNQDAIILPVQAKAPAPAPAPVPALGLASAPASVPASVPASVQASVPAK IEAIVPAKVTETVQPKVQAQVQAKVLPKAAERAILKPKADPIPIRVKTERPREVKRSP IQTVRGRRVPPPSKMRGYNKRGPKTPLSASDVTPITRNEWNILVSQDIRIQPKVTKWI PSPTVLAAA PEX2_047110 MYTYAKEKVARKDIPRLTTRINLDIVTEIWSVDIVMSAFNEPAD IDSRSLDSDRKWSLQPLLRSAERSHSKMPGIRKIPLRAIGIIAFIALLNIIVWVAAAI VLSYHPSLVSTAALAYSLGLRHAFDADHISAIDLMTRRLLATGQKPVTVGTFFSLGHS TIVIITSIVVAATAAAVSDRFDSFSTVGGIIGTSVSAAFLILLGLMNAYILYKLIKQM QKVFSLPEGHEDEAWKIEGGGILFSVLKKMFKLIDRPWKMYPLGILFGLGFDTSSEIA LLGISSIEAAKGTSFWVILIFPALFTAGMCLLDTIDGALMFSLYIQPAANFLPQKPSS TISDTSSTATDPDELPQSHNNHRDPVAFLYYSIVLTTLTVIVAIVIGVIQLLSLILNV THATGKFWDGVQVAGDYYDAIGGGICGCFIVFGLLSVLVYKPWRRWMDQRHGKNVVND VERYRDDVSPDDAPGGGVIVTETTGHIQHDGTAAELSGKGTSSRVAIKETGEPRLEDH IV PEX2_047120 MLLSLEELDEIEGRLIDYGGRLTYDISEAGLVLGKVGRAKRAAL ELRTRGVWTEELIPSSASKSIGGGVGPPPKRRRMNQYDGTKDVPVEIIDLSTESESED GDTNRQGPSNHLIPEKKPEEVKNSVTVLKLEWMNASITYGKCVSKDVFVVYRGRKIPP PAKTKAENKQDLLQKSNQIIERAKQDASLPTPRTPPADDIHARRSKEPPDGSSQRPRP TLYRQTTSENEQEETNPLPQPDWVRDHVLFACLRSAPLHPPNEDFISQLVKIRQIRKL TLDEIGVRAYSTSIAALAAYPHLIQRPSEILSLPGCNAKIAELFSQFQQHGGCNHTDD DGNVAAADALETDPTLRIQNSFYQIWGVGAKTAREFYQRGWRDLDDIVEHGWNTLSRV QQIGVKFYEEFQEGVPRAESEGIATVIRDHAGRVRPEDGTGGGIECVIVGGYRRGKEL SGDVDIVLSHRDDAVTRNLIVDVVASLEAERYITHTLSLHLTSSLREQQTLPFDGDDT RKFDTLDKALVVWQDPHFDATTTGDDGRQGKNPNIHRRVDIIISPWRTVGCAVLGWSG GLTFQRDLRRHAKKARSLKFDSSGVRDQSTGRQVDLEHDGETWEEREKLVMEGLEVGW RPPEERCSR PEX2_047130 MASGRPPGSHPAAGRDDDLLLDSGPMYNSGQGPPVNDEHLLERY NIDDSDQPYIQTQPRPSVSYDNFVGSSAQQGAVQQNAASGPAHPPVNPGLHSNDPYSS GTRDRAYSQTSGLDNYRRYSLDDFDDGHSGYYDLDADEDRIASSHHGRKANERNSVLG LGGGLMGKAKYMFGMGSSQYSEMDLPLTESGTRRATVGSDASPAPPPKQRKKFRASDL NPFARKIDPSTLGPRMIQLNNPPANATHRFVSNFVSTAKYNVFTFIPKFLFEQFSKYA NLFFLFTAVLQQIPNVSPTNKFTTIVPLAIVLTVSAIKEFVEDYKRRMSDRGLNYSKT QVLQGSSFHDAKWVDVVVGDIVRVESEQPFPADLVLLASSEPEGLCYIETANLDGETN LKIKQAIPETAHLVSPSDLSRLSGRVRSEQPNSSLYTYEATLTMNAGGGEKELPLAPD QLLLRGATLRNTPWIHGIVVFSGHETKLMRNATATPIKRTAVERTVNIQILMLVSILI VLSVISSVGDLVIRKTKSSTLAYLDYGSVQMVKQFFMDIFTYWVLYSNLVPISLFVTI EIVKYFQAFLINSDLDIYYDKTDTPAICRTSSLVEELGQIEYIFSDKTGTLTCNMMEF KQVSIAGIQYGDDVPEDRRATVEDGAEVGIHDFKALKANLQSHPSQNAIREFLTLLAT CHTVIPERNSDDPNVIKYQAASPDEGALVDGAASLGFRFTNRRPRSVIFETGGQELEY ELLAVCEFNSTRKRMSTIFRCPDGKVRVYCKGADTVIMERLHPDNPTVEPTLQHLEEY ASDGLRTLCLAMREVPENEFQQWYQIYDKASTTVDGSRADELDKAAELIEKDFYLLGA TAIEDRLQDGVPDTIHTLQTAGIKIWVLTGDRQETAINIGMSCKLISEDMTLLIINEE TSEATRDSLQKKMDAVQSQIASGDSEPLALVIDGRSLTFALEKSMEKLFLDLAVICKA VVCCRVSPLQKALVVKLVKRHKKALLLAIGDGANDVSMIQAAHVGVGISGVEGLQAAR SADVAIGQFRFLRKLLLVHGAWSYSRISRVILYSYYKNITLYMTQFWYSFQNAFSGEV IYESWTLSFYNVLFTVLPPFAMGIFDQYISARLLDRYPQMYQLGQKGVFFKKHSFWAW ILNGFFHSLILYIVSQLLYFWDLPMSDGQVAGHWVWGESLYTAVLGTVLGKAALITNI WTKYTFIAIPGSMALWLIFLPAYGYAAPALGFSREYYGTIPVLFKSPIFYLMAVVLPC ICLLRDYAWKYAKRMYYPQQYHHVQEIQKYNVQDYRPRMEQFQKAIRKVRQVQRMRKQ RGYAFSQADDGGQMRVLNAYDTTRSRGRYGEMASSRPMA PEX2_047140 MRPARAKWPKKESNSDPRAGAVRPPSTMSKEAQLSIAERDFILE ALRENVRLDGRQPDDYRPLNISFGEEYGHVKLQLGKTNIIVRISAEVTKPREDRPFDG LFNINLELSAMGSPAWENGRTNDIEAYATNTLDRIIRHSNALDTESLCILKGVSCWSI RADVHIIDYDGNITDAACIAIMAGLQHFRRPDAVVRDGRVIVYGVEQRVPVALNITHK PLSVTFHTFNESKHVIVDATLKEEQAAEGDLVIGINSAGDVCYLSKYAGAPADAMVIV TKTNVAWEKVKEINAAVDKVLQADLAKRAKIGMADESRAENDRPVQIE PEX2_047150 MTTAHRPTFDPAQGKEALRGPAYHQRLLPAHKHLKVRQPGQGGA ADADPSPRDLRAELLQAEAAHFAKKNGVPVDQPAIAESSIPKRQIEAAPEDGGDGDIQ EEDPEAKRRRILEETRDIDADSDASEEDSSDEDSDDEDEAAELMRELEKIKKERLEQK EKEERERAAEEEEQREVDIARGNPLLNSQDFNMKRRWDDDVVFKNQARGTENRDGKEF VNDLLRSDFHKRFMGKYVR PEX2_047160 MAIIETQGNEDAFKPYDQFLLFGDSITQMACNQELGFAFHAALQ ESYSRRLDVINRGLAGYSTAHAVKVFEKFFPSPQTANVRFMTIFFGANDACVPTHNQH VPLDQYKENLKTIIQHPATRAQNPRLILISPPPVNEYQLEDFDAAKDTPFPSRTASFT KSYAVAAREVGESLNIPVVDLWSAFMKPTGWKEGEPLIGARDVPSNDTLASLLTDGLH LTPAGNRIVYDEIMKVIQANWPDQTPESLPMVFPSWGEAPK PEX2_047170 MPRSLPKSTPALREQEDTENTRSAQTAPPSPSAYRRSQSYLSET HTEYQSLDAPLEISETTSLLGPAQDFRRRGPRRSYTSTSGVSGTGPESLRHLLAAGSL RRTRNHSRANSTGRRFSRQGSIDGDSRPASLPPSTKDNLTASSFLDERTWYDQFTSTD WVHDSIADGARLRLLRQRKDVRGRLLAAFDGAQGWILVALIGCITASIAYFVDVTEGS IFDLKEGFCTTQFFRNRRTCCKGVAGCDAWRPWSMIFSPSGTETQWVDFVMFVFWVVT LALISCALTLLTKTVVPSSISLATLDENLGADSRGTKSGNGLGASGSPLSETENSPVH GTLPNLPSRPAMIYYSAAGSGVAEVKVINSGFVLHGYMGLKTLVIKTIGLIFSVSSGL SLGKEGPYVHIATCVGNICCRLFAKYNQNDGKRREVLSASAASGVAVAFGAPIGGVLF SLEEVSYYFPPKTLFRTFFCCIAATLSLKFLNPYGTGKIVLFEVRYLSDWQIFEIFIF ILLGIMGGAAGALFIKASNLWARSFRRIPAIKRWPMLEVFLVALVTGIISFWNRYTKL PVTELLFELASPCESDVESTGLCPRTDGILEIVQYLLVAFVIKSFLTIITFGIKVPAG IYVPSMVVGGLMGRIVGHLIQYWALRHPTFFLFDSCPAVSGIESCVTPGVYALIAAGA TMCGVTRLSVTLPVILFELTGSLNHVLPFSLSVLCAKWTADAIEPRSIYDLLTDMNAY PFLDSKLQPTTDAELGDIVRPVRKNRIIDISGSPFVSATNLRLKLEHLLMAGELDSGL PILRDGVLAGLIPAPDLEYALDTLGDEEENTICLMAMDTSAAVYDSENEDAEQVDFSR YIDPAPIALDIHSPIDLVYQCFAKLGLRYLCVTQDGKYAGLVHKKAFVKFMKENSD PEX2_047180 MPPHNPNPLPSLTLIVATTPIRTASTTAKDEITRLGIGLNGTLP WPRIKTDMSFFARVTSRPPSPGKTNAIIMGRKTYDSVPASLRPLMKRINVVITRDTSG SVSESVTTELGNMKRKIAAKAAQAQATSAEKEAFNPPKEAVPAPPADPMTDAIVTPSL GAALEQLDSLYGAQGTLGKIFVIGGAEIYNATMNMGAEELRGRPIRIVMTNVMRKGAV DAPASFECDTFFPLDGLHEKNGWRAVSPGEVSEWVGEEVDGEWKGDGDVEVQMVGFEK LT PEX2_047190 MDPASDYLDSDYPPSDLSSSSSGDNKKNAPTMDSRPTAKELLDR VDYDISQLLQRFENIVAIAANKFDGTSHVDAAVEAFQIDVESTALIRAAEDLLALHRL MKELWLFGKLDTLGEDERDVKRREKLEEDVEAIQKALDGGLLMPSLAEPENPEKSEDT EKSEK PEX2_047200 MDAQYPFASRDDIWRVFDELKELHIAQYEQGERLAQLERRRDDD ARLRSPWCTVSPFPHSAGTIAPGSPPLPSGISSPLTKISLPDPTFHSPPDAFKGFDQG PHSAMASSGVVFDGEDEPRRGASRANSVRFDESAIHGSAQASRSSNDLPLRTSSGLST HPLLERTFSHQSDGRLSSSGHSHHSARTNSLRLNTTRLLGATPIESPLIPPPGLFLLG PVPSIIRCWLTDHFTNGSLLYAAVCSGSYVSTLGLSMIREYGLENMIVRECDVQYIKL PLYLPEALIHPSSSRPGTPTHQVPAVTVRFVVRETEVGDNSIQIIIGSDVMRAHNADI LFSQDKLIMVDDEHNRVSIPLVRPENDAVFKSLCTCPGTPNSGDILGPPTNGNPSVGV IGRPANVQQKPVSVPPSTRLPGSENSDPHKSNLHNPQTHAHISAETRPAVANPATSEP TKTEDMPSWAGSWRRDAKKSTKTSQKPADKREMKVFRTGKSSSHANTTTSASAPGSAT TEQADRSSLPLTSSHHSATPSDKHGTLNPVGDASAFGWLNPPHHNTSS PEX2_047210 MARLLDLPIELILAIVDYLQMGTKQEPLLFSELGDAYRYVIEHD QSPSVKDLRSFLLATYPMNSLLLQPLFYRDIFIRRYGRIGEPVPLQQLNRSFEKNPSL KEHIISAIIPCDDSIYDIHQFFWLPNIQTLTIHKFSDWEPLEFENNAHLGTSPVKSLR LIDCGAHEEALTALLSWPAALETLHYDADQGEWDGHYGDEPANSWACAAFVRALKSQK ATLTELTLTRPPLVHEGLGDGPRIDLSEFTSLKTLRIYHVFLCGWDDPIGVWKGLPRS LEVLEIFYDDTDLTKFLWEGHDSPYDTLILDLIQHKRVHLPHLHTVNIHSSEGIFDHE TDEYLPAGLWTLPSSLAREAESAGVKLSVWLGYQDPPDFKKTDVFELLKISYTKQSIQ LNNRYM PEX2_047220 MPVDLSLYLVTDSTPAILKGRDLCTVVESALQGGVTVVQYRDKT NDTGLLVETARKLHQVTKKYNVPLLINDRVDVALAIGAEGVHLGQDDMNYTEAKKLLP ENAIIGISTSSVEEAKKAAADGADYIGIGTMFATPTKTNTKSVIGTAGTQVILDAIKD STIGTVSIGGINHSNVQRVLYQSQSPQKALDGVAIVSAIVAADDPKASAEQFVQLIRN PPRFAQASNPPRANEAEVLLNEVPQIIRKMVGVHPLVHNMINFVVSNFVANVALSIGA SPIMSPYGDEATDLCKFDGALLINMGTLTSESVPNYLKAIKAYNERGNPVVYDPVGAA ATHIRRNAVTQLMAGGYFDLIKGNEGEIRQVWGSSAVQQRGVDSGPSTLDGNEKAALA RDLARRERNVVLLTGATDYLSDGERVIAVENGHPYLGQVTGTGCAIGTISGCFLAAHR SDRLLAVLSGILMYEIAAENAAAKEYVRGPGSFVPAFVDELYAIRTAAANGDDSSSSL GCFPASPTSPIPRVPPPILLFFYFFDFYIPSEISNPHIEAISSPSQSWLSPRAHLGSA IPHSCTQNFQYQKNKDNPKTRTDRPSQHSICDIQEKFRAIYEFPKLVSTTEKMLRAAS TLQMPVYITTQNRAKLGNTVQELQQHLNGPHVLADVDKTLFSMITPEIEKLLPTPDVT PLDVIIVGIETHICVTQTTLDLLERGHRVYILVDGVSSMNSEERGIALDRLRDAGAIV TSSESVLFEILGDASHEAFRAVSGLVRETKESTKGALGAFSKI PEX2_047230 MATVNIRRDITDPFYRYKMEKLQAKVEGKGNGIKTVVVNLNSVA QSLSRPPAYLIKYFGFELGAQANAKPSDDRWIINGSHDAPKLQDYLDGFISKFVLCKK CKNPETDVFIKDEKITLDCKACGQRSDVDPRLKLSTFIVRNNPKGGKKEKKKSRRERE KEKAANGEDASPGDSNNSENGEDGDYALEANSDDEVTRQAQAIEAEAEIKDDEWAVDV SEEAVKARAKELPDDLKRALVIEDGDDEGADGPSSYEQLGSWIVDTAAEKGGVTKVTD VEIYMKAKEFGIETKHKTCAVLAQSIFDEKIAKQIEGRAGLLKKLITSDRHEKAFLGG TERFVGQDHPELVAQVPAILLGYYQNDLVSEETLKAWGAKTSKKYVDISTSKKIHKAA KPFIEWLENAESDEESEEDSE PEX2_047240 MDNLRQESFKQLRAPCVELSAVGLRFRGNQASAADVSRVLEPVH NCLKELAGNNTLDEKLAEYAFFPLSHIFNETQRLPARCLESAVHCLRILVANGWRQRL SPAMGKQLIILLTLIVGGSPNKAEEKQLPKPKVSEELCIAGFDCLSAIFTVLEGAVPE RTIYHEIGTATVVDQTVYILLEGIVDDRSDELCLTAARALQTLYARVTDRVVLASIMP RTVSALAKVLRPSTQTRRSYKLLQTSLQILTQLLRAVLNDRVASEVSPPELGSDKIAL DESWLKATTTQIKLALANVIQVRRHQRSEVQSAVLELSLMVIEECQTTLSESVPMMVE TVVVLADREDEGVNEAYRNVTHLATTYPTVLDSLKESLHTWLTSFPRIMQGNDETAKQ WGLRQISTVFQVLSQVQSGSDLLTSGLASGLCDSVGAVVKQNTNALQPLASMDAGFNW EVLGTDARSKVFPPIILEHQSQQQTLKDLRSMITRLNLSESGNEITRSIVNRMHTTSS DSAIAPFWLAMTFLRDSTTATADFDDFISLDHIEGSVSSTSRGGMIEELYYISLNILN EMSVDGTGDWRTSALALESVALQAQQLGEAFRPELMDALYPTLQLLASQNPNLQRHAM TCLNILTNACNYPDTSTMIIENVDYLVNSVALKLNTFDVSPYPPQVLFMMVKLCGARL IPYLDDLVDSIFGILDLYHGYPKLVEMMFKTLAAVVEEGAKSPSLLTIDEGKENGSHD TRKSQYQNLSISSIAANIANRKAKRYAEDELADPDGKIPHPKKPWSETYDKPPPEPSI EELLNQAESDEPPLPPPKEPEDAEKPLSKTHALLLHIVKSIPSHLTSPSPYLRRSLLS ILIDVLPALSQNETSFLPLINELWPTVASRIIFPSSQATETSKSLIAAPSKESTRNPE TPDHQEETFVTVTACEAMEAMCKGAGDFMATRVETEFSRWDRLYRRVWEKVRADAEAA NERRARSQATTVTTTTAESGDLASTLHLALSSSLSLSPSTPGSRFFTPHHRLWRALVS LFITVLSHVRLPLEVGDRICEMLAGWIALFVGPEYYFITSRTSLSVDDASGEGMLSVE DAIRAMEAWNVDLTWFIFMQHRLRADGVTAGNATNCGGATNLPLSDEGEQVRLAEVAF PEX2_047250 MADDKSRKQATLGYVRDGQQTIGRFFGSGASQPPKKQTTLAFGG RRQAASSDTADAETKDSTNGAKLEDTTDSAPIAAPNGTEPTKGVKREKSAEAEESDGS DVQPVSKRRRRASTKTSPAAKKQSASPKKPESTKLKIESPSPKPASKKPSDVTPEEKS PSVEEEEDPLESEDEDDLKPELTKKSIEKFQAKLKGSGKDPYPDWKAGDPVPYAALCT TFSLVEMTRKRLEITDHCSLFLRQVLRLTPADFLPTVQLMINKLAADYAGIELGIGES LIMKAIGECTGRSLAIIKADQREIGDLGLVAAKSRSNQPTMFKPKPLTVRGVHEGLLG IAKVQGHGSQDKKISGIKKLLAAADADTAGKGGKGVDVTKDKGGPSEAKYIVRFLEGK LRLGLAEKTVLVAVSRAVQTHEAESTGKKIPSAEQMAEGENIFKTVYSELPAYEVIIP AVLEHGLLKLPEVCKLSPGIPIKPMLAKPTKSITEVLDRFEGKEFTCEYKYDGERAQI HFVSPDSIHQYPGALDTLQKDSKGLSSIFSRNSEDLSKKYPDVLGKLDTWVKADVKSF VLDCETVAWDMEAKKVLPFQQLMTRKRKDVKAEDVKVKVCVYAFDLLFFNGEPCVKKS LRERRELMHECFQPVEGEFQFAQYGNSNVLDEIQELLEASVKASCEGLMVKMLDTAES GYEPSKRSRNWLKVKKDYLAGVGDSLDLVVLGAYYGRGKRTSVYGAFLLAAYNPNKDT YETICNIGTGFSEVLLEELHQTLVPLVIDRPKPFYTHSTVPKDQPDVWFEPRLVWEVK TADLTLSPRYQAAADEFQGTSDGGKGVSLRFPRYIKSRDDKKPDEATTTRAVAEMYRK QEAVTKETTGKGGVDDDFEY PEX2_047260 MRVFQLTTALGSIIVSAAALTPEELIAAPRRGEAVPNPSGEVAL FSTSEYSFKTHTTSSWWSLLDLETGKVTQLTNDSNVSELTWLGSTDSGLLYINGTNAE IPGGAEIWVSDTSDFTTSAYKAASLPAPLSGLKTAITKSGDINFLVYGESWPNGTAYN EELAAKPLSSARIYDSIYVRHWDTWLTTRFNAIFSGTLKKKRAGYGATSSYTSSGSLK NLVAGVKNLESPYPPFGDSSDYDISPNGKLVAFKSKAPELPRANNTASYIYVVPHDGS KKAVAINGPDSPGTPKDIKGDSSSPSFSPDGRHLAYLQMEDISYESDRRTVYIYTIDS KDTIRTLAKNWDRSPGAIKWTADGKNLVLNTEDFARSRLFLLPADAGDDFKPKNFTDG GVVAAYYSLPNGDYLVSGSAIYTSRTVYTAKPGKGVTGVVFSANEVDPALKSFGPSDV DEFYYKGNWTDIHSWIIYPSNFDKSKSYPLLFYIHGGPQGSWADSWSTRWNYKVFADQ GYVVVAPNPTGSTGFGDKLTDEIANNWGSYPYDDLVKGWEYVRDNFDFIDTDRGVAAG ASYGGFMINWIQGNPLGREFKALASHDGTFVADAKVSTEELWFMEHEFNGTFWDNRDN YRRWDPSAPEHIKQFATPQLIIHSDLDYRLPISEGLALFNVLQERGVPSRLLSFPDEN HWVINKENSLVWHQQVLGWLNKYAGIDTPGSVSLDDTTVPVVDYNPQF PEX2_047270 MPLKPSSRKGAKKDLQRAFLDSATQQTDSNPYAFGAEIKTEMSS PTPASVANGENESEYNFDLTPVPDSVSAPFPCTEDDLTLCHAPTADPTGYPIFVSRNG LWDWYYLASNNNTIESSATPANETTNGTTKNTKSEFANGLSLSPNQFPCTEDDITLFP CPNPGPNSANNPTFSSQNGRWEWIFLPSNTDTESSPTKVKMENIPEASPRVQRPSNPI STTFSAADLDRFIFPYGTHDSDDDISSVPSLVGNMVEQARTAKHVRFFMNTSHDKHFV PIEVPALTGNENWDAWLAAMRLLFRQHSVWPIVTAELQPLSPGHNLYLWYQRMCDCAV ALIYANVSEEVRKTPCFMSTVREDDADVLMSHLYAHYSEPDSTHPHEESELD PEX2_047280 MTATHQAAILPQKGGPLSIEKRATPEPGPNEVLIEVKAVALNPV DYYQRDFGLPPVPIYPAVIGSDTSGLITKVGSNVSTAPAPGSRVIAFASSFYQNGSPD HGAFQKYALAQSEGVIALPEHLSFEEGAVFPLAVLTALTAWTTIGISLDTKYTPQDTQ AVLIWGGASSVGTFAIQSAKSLGFTVYATASPRHHDYLKTLGAHAVFDYKASDVVSQI VEAVRKDGVNLHTAHCVVNGGLQPTLDVLKETKGDAAAKVAHSPILPADHPTLENTEI KFNFPSMDPTERGKHMYLCFHGWLKDGLKSGSVVPSPALQIEGGSLEGLNPALDKLKA GVSGAKIVLSI PEX2_047290 MAPFLTNGQNTFAETRPIEVSVNTAEEIASTAQFNHSDQVKDHA IDTEFLIVGAGPAGAALACFLGSHGLKGIMISSAPGTANAPRAHITNMAALECLRDIG LYEELQKLGSKGEDHMQHTRWCHSMAGEEYARIHSWGNDPRRKGDYELASPCEPFDLP QTALEPVLVRHAALKGFKCRFHTTLASFVKEEKTGLIIATIRDEISNHEYHIRTKYLL GADGARSQVVKQLNLPLAVLPGQGIAINVLVKADLSHLVKNRTGNLHWVMQPDREHPN FSWMGIVRMVKPWNEWMFILFPDRSYDRSQGKPSMEEYRKRVQEFIGDDTPAEILDVS SWYINETVAEKYSEGNIFCLGDAVHRHPPFNGLGSNTCIQDAFNLAWKLAYVSKGFAS PSLLSTYSIERQPVGHSVITRANQAYRDHLHVWDALGMLPTDVSERKQILEELKSATP QGSDRRRALREAVKHTSQEFHGLGVEMNQHYEGMGIYTADEAHPYERLGRAVKDKVLY HEPSTYPGSRLPHVWLNKATPEEPVSTIDLAGHGSFILLTGIGGGAWKEAAGTVAEKL KIPIQAWSIGFRQDWEDVYFEWENFRGVEESGAVLVRPDRFVAWRASEALQDSEACHR KLLKVMRSILGFEDL PEX2_047300 MVGKPEDTQQWQADEEKRRAFWAIWEMDVFASTIRQTPTAIDWG HMEILLPVDNADWFQGRPTPSCFMETDPNQRWKALQDSCNQSPKAWFLVINSFMKAAQ SISDPQRLSARDNPGHYQPSHRTPGRVEEGCQKLEKLGNAVRCFSLALPSNLRYHDQY LAFGALVEGQLESQRQQHSSLYNIFVMTQLVWLMIHRYDAFRPQPRQVETNYFQRPAD VAGGATFSPNDTECTAQKQCYDAADRILRIASQSCEDHIQHINPFLSSTFWLASAVQL VRKHFARAPANRSLIKSRFDVLYLTYRRCVDFWGTQTAMQRNLESLEEQLEARKRKMD TLDSRSSSDHQTSRIERHTKKRQTHHNQTHTTKHDNLTNFSTTRVPENKPTQYIPEIP ATPVDLSHFPDGGLKITAQKFAKQHSVAVDNRAMLGMMPPPQPDGSQTTRTCTMPKNR NFLDLTNLGDFQNDQILEWRDFDLSGGIQDLLAEWTTY PEX2_047310 MTGSNNTPRINLVRIAHVFYTHKDINKAHEFLLDFGFQEVKHVG KDIYYRGTSSQPFVYCARQGEEDVFGGAAFVVESEADLVAAQQLPGATEIFDLSDAPG GGRCVTFHDPVDAFPFHLVYGQATYADEKALPQLDFNFPTEKHRLGNKMQRFQKGPAP VHKLGHFGMCVSDFTKAFDFYTTRFNFKPSDLVHDSTGRNITTFLHLDRGSELVDHHC FFFFEGPKPHVHHSSFETHDFDTQVLGHDWLRSKGYENCWGVGRHIMGSQIFDYWFDP SRFIIEHYVDGDLVDDQHPINRSLASPDSLHVWGPDLPPTFLQ PEX2_047320 MSPNWSNLVRFISEEDGQIHLGEVDTNKYLDIGLAVLNEERIAV KLVKGSIFDGVVTETVVHVARLLAPIGVEEVPIIRCMGLNYRDHAKEANMPIPDVPVL FIKPRTALNGPYPAKINVPKIAQDGSSDYEAELSIILSKSGRDIPESEAMDYVLGYTC SNDVSARTQQFKNSQWCFSKGLDGSCPVGPVLVSPSTIKNPHNLRIKAIHNGNVVQDS NTREMIFDIAKTIAFLSQGTTLEKGTIIMTGTGPGIGAMRNPKVVLNDGDDIRVEIEK IGTLINKIHYE PEX2_047330 MKELYEPLWDDIYEKLRSQNQRIRAIWIADVAQQGQSGILNESI LGNDPSWYDHGRDLLVLLNQFKIPQPVVGVGHSMGGTQLAHLSLMHPSLFEGLILVDP VIQRENATRKYVLLSTYRRDLWPSRKQAAEKFQSSPFYQAWDPRVLEKWIEFGLRDLP TKLHPNSSNTRDPSVTLATSKAQEVFTFSRTSYIDKRTGLPRGNPFEDMHLDDIDDLP FYRPEPPQIFRRLPELKPSVLYLFGETSPHSYPAARQEKLRTTGTGVGGSGGASRGRV REVVLPCGHLIPMELVDESAQASADFIGSEMFRWKSRKSKFQKAWDQVPLDERVAIDE QWESHIGAPPKRSKF PEX2_047340 MGIRYLQVQTHHSLLDRDVIELCHTSCLLEDAGPLKSYLSTVKS WLDANPNEVVSILLTNGDSVAITEFGDTFSGSGITDYVYVPPKNPLSIADWPTLGDMI SSGKRLVVFLDYGADTTKVNFIQDEFAYYFETAYDVTDSSFSNCSIDRPSGAAATGRM GIVNHFLDIDVFGVKIPARHKVGTTNSATGPGSIGAQAALCAGLYGRAPNVVLADFVD KGDVITAQKSLNGF PEX2_047350 MFEPYNLTLLDHFAPPGHFSISLTFSLVGKNCVQVLQRMEDAVD RLVSKFPFLAGMVVPSTQPDGRSNVLQVRPATATELEEYPILVTQHHTESTALAVDGK LNPALIPFSVVYPPRRPSPVLRWKANVIGEQLHIVWCFDHQVIDGSGILKLTATFTAF CHDLNAPVPFTTPHAQEETRLHIKEIASTATPQNLQWTPFSTPTSENELSTDYSRAPI SYPHVLDGPKIQMLHDACNSALRFLLEKYSMDLPEMSLSPGLVVSALLGICSSRARLR AFPDDKELSFEMFIVENIRKALNLRRGYIGNAILGTKSTCDGAAPPPPEALRDIHVPE PLSPVGPDDIWRICKVAQTLQEASEHLNKQYAQGIIATMSHERDWSSLLPGWGVNFLV SNIRSATPYVNFGPLGDLQLLDIHFATLPGFCWIMPNLPSEVASPYPCWRLRWVLERA AMECLSSDPLFQWATTPSIAPSYAKV PEX2_047360 MAEGSEGWSREDELDLGQEDDPRQPDHGALDQTLPSPQEPAEEQ LDTIDDAEDHDSEKTVEQKDFNFPETPPPRNADWTATGSVDGTGSNPDDSPSLHGSIP SSLSSSALALRGSPRVSPSPARRPFDLRFQSRLSSSPQSVSRASSPFLAHLHSRQSSI TSQLSPTPETESEPLQGPWDVVRWTKLRKITGQAFSEIGKRNFGRPTCLAVSTSIVIG TSKGIILVFDYQQSLKTIIGTGTPAVGCGAVTSLALSADHSTVAGGHDSGDIFTWEIS RSAKPFLHIPPIPANQVETRISDGHLSGSAIIHIGFLGTRRTALVSADTRGMSFSHLA TRGMGAVGRTVRTTRILGRYPQATAEIKRRKPSSVLAFSPLPLGNVEQSTDSLGLVAM LTPYLLVIVSTTPVAQTQHKAPRPKEVPAHSAMTGALAWFPAIRLKGKDTQASNTKLV YCWSNVLTVLEVSEADSNEPADKDKPPSLVFRARSRWRADEAIVAVQWLSRSVLAVFT ITQRLLIIEDYSMHVTDSVDLANRHIYHTDLFSSQLHSLVEQLDEDDESMHGVVADAF HMSFRSYKGRLFLLGYNEALVGNLSNWADRLLALMEAGDFIGAIRLATSYYTGESEKL TVGLPEEDALRQPIVQERLLEMISASLKYAFGRNSEANNGRLGNQQLEELADVSIAAC IYMADEEFLWEEVFNWYEEQDSAGIFLDALEPRIVDGTLRSLPPTAVKALINHFIGTH STGRLEEIICLLDTTTMDIDQVTTLCKQHNLYDAFIYVWNRCLMDYVGPLEELLQLIP SQTEPLVNGDYVIEMRRQNNAMKMFPYLSFILTGRIYPTGDDMDETEATRAKTDLYQF LFSGRGSDMVPGDTNGADSFSQLRAMLKFDASSFMSMLNEAFEDSFLNEPESEGVSAS GMLINRQYLISILLQVMTTNFFTPLDTIYLDMFVARNLPKYPQYILLSGTTLHQVLVR VCQYPEQEMADDCELSAEYLLSFYHPPDIQSMIPLFKEARFFRILKSTYRLERQYPLL VMTYLEDPNDRDAIFTCLHDCLRPGSGLGKKQRRDIVDVVKEHAREIAAIDVTQAAQT MQDLAPETHSTFLRILEDDPQKQYQYLLVLVEPQAQTADTQSLPSIENWMIERYVQLL CKYNPTHVAEFVDGLRVGDIHLDELLPYIEESGAIDAAVILLARQGQVREALDRLVVH LSTLESGLVGILQSVRESPDSATTAEAIDDLIESLNKYTGVGTWLCQGQSKTAKQSRT GSANGKRNAPTLDQPLAFDELLWLDHIEAVVRIASNVFAFIQGNNTQITLPAQPTTSL AGESGRLTISFRTLVQQVFTALLASTVKSSPATTERTDMSFLRILRAFLTRAATWSPS LLELRAVLASIFSAYSYEKSLLTLANGMLDRDLFVHVDEVSRLRQHGWRPRGHVCEIC RRRIWGPSVGDAHWSAWEARRADAYARRIARRDEDSYNPSERGKGKAADVGVGHPSRL DAGGAHAHAHAHVHHEHGARGGGMSDANAGHGDDHDHDHDEAGVGSSTAATVADSAPE PVVVFACRHLYHRRCVLGAVSAGARPAETAFRRDHLADWSGAELFCPLCT PEX2_047370 MHVFSTLLPALGLLSQVSSAAYTLRDDYGASDSFFDRFNFYTDT DPTNGFVKYVDRNTALSNGLINTGNGVYIGVDHSNVATTPGRQSVRLEGTTTYKHGLV ILDLAHMPSSTCGSWPAFWMLGSNWPNNGEIDIIEGVNEQTQNQMALHTSDGCTVNNT GFTGTLDTANCYVQAPGQSANSGCSIRSDSTQSYGTGFNNVGGGVYATEWTGSAISVW FFPSYAVPGDLSSGNPNPAGWGTPTARFAGGCDINSHFNDLQIVFDITFCGDWAGSVW GSSSCASRAGSCVDYVQNNPTAFQETYWRVRSLKVYQDWTISVAGVEDEEVDAGVGVG VGVGTDADWDPTGLFERSEPKTSMKHRRQHYRHGHGHGHGHA PEX2_047380 MIQLCSDLRLKLCVGIFLLSSLFLTTSYNTTFAFDRPISTSVFV CFLTGFTLLVWSRFVSLTSSPSLPISKRPVIALAEQFELPSRASTSSFSLGDVETRPR LRFQLWWIKIGLLMGLCGLRIESFRQVTLNTECAPAGYAYAIPFVISLYDYWRNQRSR QVDKYVPTQRFEKLPLQVVYSASRRSFYYLTQSRSRGLIAAAFLSVGGLLASSFSAGT RSTYICPIMLHGASRLRSYRLFNLFADSVILIGITELCRIGTQFDDARRKRALTFLGA GLLGLSLVWSVIPYLVPGSFPEFASQPVLGLEYSRSAFSQSVLVLILVLSAWHMLPHF DILGVSIIAGFMIVYFSSSSILTEQQTFPYISLTHVVLSLAASLTGALLFLLARVVHG EEPKLYRTNVAIQILFAVLCGISLVFAVSKHRLADIHPIEILINNGEIKHHNYLAQAS RSKTLGDAVTEYRRRYNQHPPPGFDEWYQYATSRSSAIIDDFDQIYHDLLPFRALAPE HIRAMTHELATNPFNDLGGIRIRNGTAMVQEGIKPTHAWMVAGAADMMKNFSKYLPDM DLVFNLNDEPRVTVPWEKISMLNRQTEMQEMVPNERMVHTWSNNREEGWGPIEPADQT NITVFTDGAWRGVFDPYVSAVCPPSSKARSQRIWNRHEICLSCVAPHSMGQFPLDFNI ASEICHQPDLAFLHGLLISPASFKVSQELIPVFSQSALTGFNDILFPSPWNYIDKIKY QPTDEHPDPEYSQKENSLYWLGSTSEGVSRFGEWKGMPRQRFAHLINNNTNNQVSVLL PTDSNEKSFRYETMDGSAPTSDLGLQTAVHIADPIVRCDFGDCDEQAEELGIAGWADF QAHWSHRFLFDLDGAGFSGRFLPFLHSRSLPLKTGLFRQWFDSRVTSWLHFVPVDIRL HGLWSTLAYFAGVPATASEERGPNSQMRMKAHDEQGKWIAEEGRKWASTALRKDDMEI YFFRLLLEWGRLTDDQRDVLGFGV PEX2_047390 MPRKAIDSRIPALIRNGVQEKKRSFFVVVGDHAKDVIVHLHYIM SSVDVKQNKSVLWAYKKDLLGFTSHRKKREMKIKKEVKRGIREPNQEDPFELFITLNQ IRYVYYKETEKILGNTYGMCVLQDFEAMTPNLLARTVETVEGGGLVILLLKSMTSLKQ LYTMSMDIHSRYRTEAHDDVVARFNERFILSLGSCDSCLVVDDELNVLPISGGKTVKP LPAPETTDESAFGTKKELKEIKESLADSKPVGPLLSLARTVDQAKALLTFVDAIAEKT LKSTVTLTAGRGRGKSAALGVAIAAAVAHGYSNIFITSPSPENLKTLFEFIFKGFDAL GYLDHVDYTILQSTNPDFNKAVVRVNIHRNHRQTIQYIQPQDAQVLGQAELLVIDEAA AIPLPLVRKLMGPYLVFMSSTINGYEGTGRSLSLKLIQQLREQSRSGVKTDDTDIADR STGKSAKGADKNLTGRTLREITLSEPIRYAPGDSVEKWLNKVLCLDATLPKSNMNTQG CPHPSKCQLLQVNRDTLFSFHPVSEKFLQQMMALYVASHYKNTPNDLQLMSDAPAHQL YVLVPPIDEGAAKLPEPLCVIQVALEGRISRQSVLNSLSRGQRAGGDLIPWLVSQQFQ DENFASLSGARVVRIATNPEYVGMGYGSRAMELLVDFFEGKFTNLEEESTAVEEQMVR VTDEELAGSSLLDDNVHVRDIRSMPPLFGKLSERRPDVLDYIGVSYGLTPSLHKFWKR GSFVPVYLRQTPNELTGEHSCVMVRTLSTGETDDAWLSAYARDFHKRFLALMSYQFGQ FPSVLSLSICESANSGAKKDPKFKPHMLQKSDLDAAFSPFDLKRLDSYANNLLDYHVI LDLVPALSDYYFANRLDGKVSLSGVQQSILLAIGLQHKNLDDLEKELNLPSSQLLAMF LKIVRKISTHFRNLIENDIEKSLPAQKVRIETSAAHDDEPEVSLQPLPISLEDELREG GKKIDEEMLAKQRALSASNPDGLDSSKSSKRKKVETARDIYDKEIDSKRQKMIKKGTE GRKKR PEX2_047400 MLHSASLLLVSLLAALPVNADGLYTKNSPVIQLNAKTYNSLIAN SNHTSIVEFYAPWCGHCKNLKPAFEKAAKNLDGLAKVAAINCDDDENKPFCGQMGVQG FPTLKIVTPSKKPGKPRVEDYQGARSAKGIVDAVVDRIPNHVKRATDKDLDKWLGQNE DRPKAILFTEKGSTGALIRALAIDFLGAIDIAQVRNKESASVKKYGVTEVPALVLIPG AEAEPEIYAGELKKKPITEFLSQAATPNPDASPRSASKPKPKPKSKPASKPTSIVDDA EDLKPTASPDQDETDPSAKPVQVPIPAPPIPTLSTPESLESACLAPKSGTCVLVILPE ASEPDAALPASATEALASLAEIGHKHAQRGTHLFPLFAIPAINTASKTLRDALELADG QTVEIIALNARRGWWRRFSGADADFGAVAVESWVDGIRLGEGAKQKLPEGLVAGVEAE AEPEAEVKPEAEAEVEPEAETAEAEAETEAEAEEQAVEHDEL PEX2_047410 MARSRESHDVVFLPGELFWMILAYLEPNELVRCRRVSRAWNEAF SNPAILLLLLKKQFPWTEEVKGLGSEISPNQQNQGRHLFDQVASRYDYLKRGKPRSIQ KYRLCDDFGSAGDREWYQVQPWESHASHMRRFIDRQFSEALWTFEDGLLVYPSADHQF LVLMDLDTDRQFIVPFITRGKVVRRVRLQKSLLVIEWAEPKAFHWLNDSDGVHRHFAS SFDITRSENQGWNVVPRNEWKIMFLGHPLSERDRFFSSHSNTHYVIYIWQPNRSLYTA DEDAPIESLFVWDISKPSSYRPSLDPTGRLRDDSPGDSPSIVARFGFRDLEFFDIRQR GCPSIQRLEITDDAQAVEITENVCIRPEDQPPEPFGFPQPITTSIPLLGNGPHWRRDF EGILPPYRGNCSMQAESMRFDGSIMTDPWFGVISQVTVLEPDLGFCLHFDPWTWMQDQ IVYLTIRTPRSTITCDNWDFVGRGKMAGCERYLVGENCNRELVIYRFDR PEX2_047420 MNSLKARAKSYFRRPRKAISACSEIPCMTTLAGLPPELLLSISD FLPLVDLICFFLCNHRLLELSQRQIKRLPPPTKYNKLSILNRLERDIPEYFACDFCYL LHRYDGSEGFGLSGLPHERTCRLPCVHKEWFYLD PEX2_047430 MSTTSVTIDAYAILGVERDAKLSDINIAYKRLALKLHPDKAGNA PATIERFRKIQDAVEVLRDEDRRRLLDEVLKKKAKRHLDSEDDTQACTYKRTYNRPSK RERHGNDFHWPGSFTESASTHKPRHQRQHTYSYYYSYGTSVHMDPDSADSKERRAQFQ ADNVQWENEWAGIDPEVQKARAELRKENMRARMKRDLAELTRDQDQDAKYADMVDQVV EGVLDELNFGHDLKFEDFVHVLPEENIKTCNPADTFDEFAYEYAKNCRSDSEGPAYSD CASSPPSAASNDSSFDFSSSSNSTDYTHSANNCSNFKSATVEDYESTTDDSNSEADKL INSYMVGHDSLLPLVSFLKQKLADPHGRYTLNDLGGELNGIMLEIYCGWLEEVRLSVP NASPITVRNDSKICPHLGLWYKDFCRPVCNVCNLWMPSFILTCPGCGMKACVRCKFTG GCDSLLGSVQEQ PEX2_047440 MFSRAVRPALRAGGAAVARPAPANAATFATLREIEGRLKSIKNI EKITNTMKIVASTRLTRAQKAMDESRVYGKTSNTVFEQAETKALEDKKVLLVVASSDK GLCGGIHSGLSKATRRILAANPNADIAILGEKAKASLSRSVPENIVLSFANVCKDIPT FADAQAIADQIALLPEDYASVRIIYNSFVNAQSYEPVTIEAYSEEAITQSANISSYEV DDEVLTSLREYALANNLFWAMAEGHACEISARRNAMENASKNAGEMINKFQILYNRQR QATITGELVEIITGATASADM PEX2_047450 MSSSEDDAPLMRANGRGKVSHKSPEVVKMADSSPATNGHVDPGI SIRFGPVEDKDVKMEDGEDALGAGKRKSRTSLGEKKSYAEAESSEEDEPLSKRRRTSV KHEDPETDDDVPLARNGRKLPKAAETSIGDESDSDVPIERKLAAQKKKIEQTAEKEAK SARKPAAPKKEAKPAAAKKQTNGVKKEPATSKAAPKKVKAEPASAKKGKVKAESQDAE EKDEEEDEYRWWEDHSKGDGTIKWTTLEHNGVVFPPEYEVLPGNVKMKYDGVPVSLAP EAEEVASFFGTMLNSTHNVENPVFQKNFFGDFKEVIKKSGGAKDAKGNKIDIKEFAKC DFKSIFDFYDAQREEKRNLPPAEKKAAKALKDEQEAPYQFCVWDGRKQKVGNFRVEPP SLFRGRGEHPKTGRVKTRVQPEQITINIGKDAKVPPPPPGHKWKEVKHDQEGTWLAMW QENINGNYKYVMLAANSDVKGQSDFKKFEKARELKKHIEKIRKDYQKNLKHDMMVERQ KATAVYLIDQFALRAGNEKGEDEAETVGCCSLKYENVTLKPPNTVVFDFLGKDSIRFY DEVQVDLQVFKNLKIFKKAPKKNGDEIFDRLTTSALNKHLANYMQGLTAKVFRTYNAS YTMGCVLNEMNATGGTIAEKVKAYNDANRKVAILCNHKRTVTAGHANAMEKMGERIKG LRYQKWRLKQQMLDLDPTLKKKRGAAFFEIDEDLDKEWIEEHQTFLIEEQRTKISKKF EKDNEKRVADGEKEMKASELEERLQVVKEMEKKFKKENKTGKVEAEARGATVERLEGS ITKIDQRVETMSVQAQDKEDNKEVALGTSKINYIDPRLTVVFSKKYDVPIEKFFSKAL REKFEWAIKSVEEDWEF PEX2_047460 MADSKKPAVLIVGGLGFIGRHLALYIHENNLASEVRLVDKVLPQ LAWLAPEFEEACSKDKFVQADASREQHFPRIFDRANGEQFDYVINCGGETRHSQPDDV YEVRSYALSLALGKEIARRGIPAFVETSTAHVYKGSSTPRKETDKLAPWHKLAAWKLK AAEDMRKIPNLQYCVLRLPHVYGAYDSGYFATGVCLSAVYVDLKQDLVLLHTKDLKIN TLHVKDAASALFAAAKWRAAKGFIDPNTGVVTFNVVDHNDTKQEHVAKALMEVFDLKV VFIGSLASQLAKLNMDDVLDDMNEVGLQTWAELVERANITRPGPISPFLERDVIKGDD MSIDGSKFENEVGWKPLYPNFGADSIREIVDSYKRMGWWP PEX2_047470 MASNIPAGLRSADIGRFAIRAAQIEKAKPVVAYWCNFHIVNQII ERGLHNSDDEIKLYTTNLVDKLEQFKVENPDNDTVTDTVAASAYVEQFGLEVFGRAEA AMNANKVTKQTADTFQAAATFLELCSIWGPLDPELAGRIKFAKFHAVRIVRAFKAGED PNATNPAPKQEEELVDDPDVQAFDESVAEQASKPRQASIEEIPDESDHLGRELARKST LDESLHPSRTSSTPRAPPEIPSVPRNTPGFPLQPMDDDSNKGGLELPSTPATIGGSSS VPKLPDTPTAFQSFPPPSDDLSVSTPDPASFYDTPSASAPTPPPVAPASVPRPAAHAP VAPAPYVPSQPSHGLDDNTVQLAQKHARWAVSALTFDDVDTAIKELRNSLKCLGAS PEX2_047480 MLLETRNIVLWNSTSTSNMVADVSYDMFLASSPDGHEEIEVIVW LASFGSAGPISSTGKAIATVWISGHEWDLWVGPNGKMTVYSFVARSTITNFGGNMLDF FNHLVYNHGVDNNKYLKTIQAGTEPFTGTAKMTVDNYWIELH PEX2_047490 MHLGVSTLNRAEVHFGAARCPGEPCSAEEAVAAATSKKAALEAA INAAEHYMKALRLAPAGDKKQLDTKCKELLSKAEKIKAAADWQSAARAGPQKSFPSLR PPASTRKLTTREEIIILEGAKLNGYIFPPWSRAPLQDEFEYKGQSFTDAPDLHLSECQ RDIFAGWKRPHDLLRTKNVANQTHDVIDPAMSTSKQTDLVQDVLTDCSVVASLCATTS RSERGLGQHASPTLYPCSEDKNPILSYSGKYIFRFYFNGSFRKVVIDDRLPSSKTTRS LHVIDRNNSNFLWPAFVEKAYLKVRGGYDFPGSNSGTDLWVLTGWIPEQVFIHHDDST SDEIWGRLYSAFQHGDVVLTIGTGKLTEREQQGLGLVGEHDYAILDLKEVQGRRQFLL KNPWAGAEPNIQSSLTADLNSLSLNNKPPLSPGTFWMDCEQVLQNFENLYLNWNPGLF KYREDIHFTWDLSHGRVIAGCFVKNPQFSISSDSGGTIWLLLGKHFKTDHQQSSSWRS ENEMGFISIYIFRADGRRVSLSDGALHRGPYVDSPNTLMRLEMPPKSTYTAVVSEQSL PSSTQNFTLSAFSTAPVAVAPSLDKYLCLTKASGSWTAMTAGGNAESPRYHSNPQFSI RISEPTDVSILLETTEAELATHVKIFWSNGQRISRVRSRDIIADSGDYRRGCALAETK SLDKGTYTIVCSTFAPDQLGRFTLWISSTIPCVVQPLVSESAGRRAVLSEVGVLSPGK DRMLASLRVSRLTRIRLIARNKRSTIGSRAVAPSPVLMTVELGQGPYKEILATSEDGT HSDAASGVRVNDFDLLPSGESRYVWIVIERIGGPGGQVEDHFEVEALAEERVEIGKWA IEDE PEX2_047500 MAGQSKPVLSPWGSAVAGATGAVLANALVYPLDLVKTKLQVQVK EKNGPAQTDDLEHYESTMDAITKIAEKEGYSGLYSGMAGALLGVASTNFAYFYWYSVV RTLYVASAKSTQAPGTAIELSLGAVSGAVAQIFTIPVAVITTRQQTQPKGDKKGLIET GREVVESEDGWTGLWRGLKASLILVVNPAITYGAYQRLKEVIFPGKNNLKPWEAFLLG AMSKALATIATQPLIVAKVGLQSRPPPSRNGKPFKTFGEVMKHIVDNEGLFSLFKGIG PQILKGLLVQGLLMMTKERMELLFILLFAYLKNIKKDKLRKAVDLAAEKAKTSMPATL K PEX2_047510 MLTHDLDISVLGGVETLQQLALTVFLLILVYVLINEVLCHNQQI PGLAIPPVLPLVGNLHQLRTNAAQQYREWAKDLGPVYQVRLGSIPVMVINSAATAKVI LGHNSQATASRPQLYTYHKLVSNTAGTTIGAAPYNESLIRRRKGAASALNHPSVETYV PHLDIETKDFLEGLLKYGDSGRKPINPVAMLQRLSLSLACTINWGTRIISHNDRLLSE ITHVETEISRIRSTTDNLRDYIPLLRFIPYSSGKRKAAAYRQRRDRYLAKLNGELEER IKNGTHKPCIQANVILDKEAKLNEVELTSISLSMLSGGFETTTAVMTWAIALLAMRPD IQSKTITEIRNMYGINDLLCNVNDDQKCKYIAAIARESLRYFSVLRLSLPRLTNKMFV YEGKTIPTGTTIFLNSWACNMGKNDNPEDFQPERWLKHPNKPIFTFGVGYRMCAGSLL AYRELYLTFLRMLAAFEIVTDRPIETHPVKGVADLTNLVSMPREYEVRFVPRNQSALR NYAGPGSILDVSEAFQVAWVVMRWPPWSSESTNDEQKQTPSSRLSSAANNPSSILDWT AFTEFRTIVPTLVLTSGILIAVRFHRRYLRRIPDAPSISSSYLRRRSIFGQVTSVGDG DNFRIFHTPGGRMAGWGWLPWKKVPTLKKDLKDKTIHIRLAGIDAPELAHFGRPEQPF ARDAHTWLTSYLTSRRIRALVHRQDQYSRVVASVFVRRAFDFPPFRRRDVSYEMLKRG LATVYEAKVGSEFGGEKMEKKYRKAEWWAKKRARGLWKDYGRVGSDWESPREYKNRMG MGDPAPIEKGNGKGKTGKK PEX2_047520 MSHVTKQLRKAARIILIGAPGVGKGTQSERLLARFPQLASISSG DLLRENVRRRTPLGLEAEAAMQSGNLVPDSMILNLISDDMKSKGWLLPPPASSVLSAT SSSSSSPADTSSSAYSIDSSASFILDGFPRTATQATTLDSLVPVNFVVHIITPPSVIL ARIASRWVHEPSGRVYNTDFHPPKVAGKDDVTGEPLTQREDDSLDTWKQRLRKFEETS KSLLDHYDRQRCLFRAEGNSSDEISPKLFAEIERRFC PEX2_047530 MIRQDAHRIDPKRRAVIDPKKRQFATPTYKQQDYAHRLNIYEIP PTTEITLEQFEQWAIDRLKILAEIEACSYRNKSPAETATYITPLLQKFLPLSSNTSSP SGAADPRLKNERQKDHYSHFILRLAFSSTEDLRRRFARAETMLFRFRFQQDDSREKRA FIDSLNLDWEPVGDFEKTEIAENLLSSTPGLRRLDDETWYKVDWEKVPELIERRSVFL KKGKAYVPGREQLSMIMAEFTARLERALELTSRALPRLDEDDRLTPILNHLSKNFGSA ESVYSEGEGYVDGSPITASSIDQLAQQHFPLCMKSLHVNLRKNNHLKHFGRLQYTLFL KGIGLSLEECILFWRQGFKGKTDDEFNSQYKYNIRHAYGEVGGDVNRRGKGYTPYSCQ KILGDSNPGIGQTHGCPYRHYSVDNLVGFLQATGVSDKEVLRGVREDVGKMRYHIACN RVFEATHKNEIKKVKEDGSWSQTDLDTIVHPNTYFKRSFLLKQMAKAPKDA PEX2_047540 MDYEALKDQWSDVEDRDGIRLSWNTFPSTRMEASRLVVPIAAVY TPLKEKPEAPLLQYEPVTCKQPCRAVLNPYATVDVRARCWICPFCLSRNPLPPHYKDI TENTIPPELHPQSTTIEYQLARPAPAPPIFVFVVDTCQEDDSLQAVKDSLIMSLSLLP PNALVGLITFGTMAQVHELGYTECAKSYVFRGNKEYNAKQVQEMLGLAGGVRPGVPQQ QPARPPLGPAARFLMPVQQAEFQITNVLEQLQRDPWPVANDKRPLRCTGVALSVAVGL LETSFQNAGGRIMAFTSGAATEGPGHVVSPELKEPIRSHHDIDRDNIKYYKKAVKFYD ALAKRAANNGHIVDIFAGCLDQVGLLEMKNLSNFTGGHMLLTDSFTSSQFKQSFVRVF DKDANDNLLMGFNASLEVLTTKELKVTGLIGHAISLNKKSSSVGETECGIGNTCAWKM CGMDPSSSYGIYFEIANQGGPAAVQPGPQRGVIQFLTYYQHSSGHYHLRVTTTARPLS GPAGDPTLAQSFDQEAAAVLMARIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADYRKD DPTSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDTGDSLVMIQP TLDSYSLEQEGAQPVLLDSASIQPAHILLLDTFFHILIFNGETIAEWRKAGYHEQEGY ENLKALLELPKEDARDLIADRFPLPRFIVCDAGGSQARFLLSKLNPSTTHTTGGYGGG VSSQTIFTDDVSLQTFMDHLMKLAVSGTS PEX2_047550 MPPKFDPNEVKIIHLRVTGGEVGAQSALAPKIGPLGLSPKKIGE DIAKNTGDWKGLRVTVRLTIQNRQAAVSVVPSASSLVIKALKEPPRDRKKEKNIKHSK SIPMDEIIEIARIMRTRSMAKELRGGVLEILGTAFSVGCQVDGRSPKDVSDDVKSGEI DIPEE PEX2_047560 MYPTVAPFPPVQPHHRTHYHTDTLNQHMYDANDSLPVRNDPSKP PFNTIPRSRIQLPHPVQRLDSRDGSAYSSTTNVAEHTLRRKTPNGTLAAGYDGTLGDT IQPPAPKHILVSPMEPGQFVSPQGVQSENWQLMLDQSATKQMNFPPVFKNDPAGNTIQ GEVVQDVNGTSWVRPVNYPPGVDSMLNQTLPLQPSHRYILQNGQYVPTVLPATLQSCL GPTASAGTGPFGPYWPDGVYIPYRPAAFRDARFESPTPFTKQMSQNFYDLNQPTFNQA QIPLGSHADSGLAWGHSMSGLPTQDSLMKPLFPPRHSDQLPFHARANRPLSGLPTPQT LNNEPTNWSGRPPLHGFQPPGPAVVVNTDFKEKVLSEAHGVYVDLLATIHQARRNSIS NAALDGYPRFLKPNIYPKPPRQPGLDFSQAPPPDLTRHNSYPGSQYDLQRQKLNTAGS HRSNDPTPLSRVQTRRPSLNQFAHSRGSDTHMLGLRDMGSSQGVPNFTRARGSLFNEG SPMSNAASALSMLSDLCAESRWEWIDGMLLGGCLAYGLGDYHKAVRWYSRIIARDSTH VEAISNLAATMLALDRREEASQNWLRAVQLRPSFFEAVEHLIGLLCNSHRGREAVNII DFVQTSLRHPKDGDCFKTDEHASEPESDAESNVSDVCMYDKASFEYDDDMGRTQGITP GSPDAKPLGFASSGYAISGAENGRMLALVHAKGNMLYALGDNAGAAAAFEDAVLIAAG RRRHGIRSIIKQIFAAFSGNPSNGYLKSEHESQESILLYPDTALKTAKLVFPAGGMPP GLQYVAEGIARNAATSTTSNSLLSLAKIYQDGMSSVGSSGPTRSSPGVRDILALYYLS LSLQPSPSTANNVGILLAGIQNNPPARGLVRPNGETQHHLEIPGVVPGSGISLALAYY NYGLHLDSRHAHLYTNLGSLLKDIGQLHAAIKMYEQAVQCDGNFDIALANLANAVKDS GKVNDAIVYYKRAVKVNPEFAEAVCGLANALNSVCNWVGRGGVVNARGFRDRWHVDEK GMLRDSLNTDTGGSGWIKRVVDIVDRQLKEGEYWGRGMLTASTAEQLCLQLAPALEST RFASSHRMSLTKLFQSWAGQKWEGSRLVRLIERAIRSLTWQWYQDRYVFGKDYPLSKY RRPQLPGGLSAPNAPTVLPFHTFTCPLSAKQIRQISQRNGLRISTSTLRLPWLPSTVF PPPSPPSPYLRVGYVSSDFNNHPLAHLMQSVFGLHDPSRVKSYCYATTPSDKSVHRQQ IEKEAPVFYDASGWPVDRLVQQIVADGIHILVNLNGYTRGARNEVFAARPAPIHMSFM GFAGTLGAEWCDYILADQLSIPPETLSPGRRKTRIEDRLLEEDNGEELEDWVYGEKIV FTRDTFFCCDHRQSAPDAGEKHVSWEEEQARRWRMRKEIFPKLSDDTIILGNFNQLYK VEPTTFRTWLRILARIPNAVLWLLRFPDTGEQNLRDIAKAWAGEETASRIIFTDVAPK NTHIARAKILDLFLDTPECNAHTTATDVLWSGTPLLTLPRYKYKMCSRMASSILSSAL PNSEVGQRAREDLIASSDEDYENKAIRLCLDLQYSGHEGYARGRLVDIRQILFRDRYK NKLFDTARWVHDLENAYEAVWAQWVNGEETDIWL PEX2_047570 MPASHRRGPWVPEEDQLLTQLVREQGPNNWVRISQHMHYRSPKQ CRERFHQNLKPSLNREPISADEGLMIERMVNEMGKRWAEIARRLGNRSDNAVKNWWNG SMNRKKRGLSTPTTSRTYSGRIEAPYTRASAMSPTRSRFSSSSASSSRPSWTESIESA ISEQYSHSRRESLASSARQLSPIYTLPSINRPIETPLTSPAFSEASHGTSCEPPSMVS DHNSVCSSSPRTFPSPQLLPLPVDLRSQYEIRRPSVHVLEDSPSYPARSLESLSEIAS AQRWMGHQPTLASWHQPTDLPKSWISKSEPARDTRMGVNNLLN PEX2_047580 MSDWESTTKIGSKFRGAGAQPRETVVKGKSALNAAQRSGSVYTE KKYTTGNIGAKAGAPEGQHLTKVDRSDDIVKPKTIGHAVADAIKRRRTEEGYKMTQKE LATKCNTTITIVQDFERGTAAPDQKVLGSMERVLNIKLRGSDIGSEKFPKKK PEX2_047590 MRPILLSGHERSLTQIKFNSDGDLLFSVSKDKIVCAWWSANGER LGTYNGHQGAVWTVDVSPNTILLATGSADNTVRLWNIKTGECVKVWEFSTAVKRVAFS PDGSKLLAVTEKRMGFNGTIAILDINYGENLSAQATEPTLTIVCEESKATVAGWSFLS KYIIAGHEDGSVSQYDPKSGEQLENVQIHEFDSQITDIQFAPDRLSFITASKDKSAKL LSSRNLAILKTYISDTPLNTASVTPKKDFVILGGGQAAMDVTTTSARQGKFEARFYHR VFEDECGRVRGHFGPLNTIDVHPAGTAYASGGEDGYVRVHHFDKSYFDFMYEVEREQA RK PEX2_047600 MAAAKIDGTAIAKSIRQGLKSEIEQIQVTNPRFKPNLVIFQVGN RSDSSTYVRMKLKAAQEANIICTLINVPETSTELQVIQEITRANNDPAIHGILVQLPL PSHMSEHAITSAVADEKDVDGFGAINIGELAKRGGRPLFTPCTPLAVMELLKASGVDP AGKEAVVLGRSDIVGSPVSYLLKNADATVTVCHSKTPDIARIVKNADIVVAAIGQTEL VKGDWLKPGAVVIDVGINYKPDATKKSGERLVGDVEFESASQVASQITPVPGGVGPMT VAMLLKNVVHSAKGYFEKQKDRHVTPLPLRLQTPVPSDIAISRAQYPKPITQVATEVG IASHELEPYGHTKAKISLSVLDRLSHRRNGRYILVCGITPTPLGEGKSTTTLGLTQAL GAHLNRISFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNL LAAAIETRMFHESTQKDGALYKRLVPVSKGKREFKPIMFRRLKKLGIEKTNPDDLTEE EITRFARLDIDPETITWRRVLDVNDRHLRGITVGQAPTEKGLSRETGFDISVASECMA ILALSNDLEDMRERLGRMVVATSRNGDPVTCDDIGAGGALAALMKDAIKPNLMQSLEG TPVMVHAGPFANISIGASSAIADKLALKLAGTEPDEDHEAKTGFVVTEAGFDFTMGGE RFFNIKCRSSGLVPDTVVIVATVRALKVHGGGPEIKPGAPLQEVYRTENVDVLRKGCV NLRKHIANAKQYGVPVVVAINKFETDTEAEIAVIKEEAIAAGAEDAVPANHWAEGGAG AVDLAKAVMTSSSKEKNFQLLYGLDGTIQERIERIGKAMYGAEKVEFSELAQKKVDTY TKQGFSNLPICIAKTQYSLSHDPALKGAPTGFTVPIRDVRLAVGAGYLYALAADIQTI PGLPTAPGYLNVDIDTETGDIDGLF PEX2_047610 MASETKIKVKTPLVELDGDELILPYLDVDLKYYDLGIEYRDETN DQVTIDAAEAIKKYGVGVKCATITPDEARVKEFNLKKMWLSPNGTIRNILGGTVFREP IVIPRIPRLVPGWTKPIVIGRHAFGDQYRAQDRVIPGPGKLELVYTPTGGEPERIQVY DFQGGGITQCQYNTDESIAGFAHSSFKMALMKGLPLYMSTKNTILKKYDGRFKDIFEE IFQKEYKKDFDAKGIWYEHRLIDDMVAQMIKSEGGFVMALKNYDGDVQSDIVAQGFGS LGLMTSALATPNGDAFESEAAHGTVTRHYREHQKGKETSTNPIASIFAWTRGLVQRGV LDNTPEVVSFAEELERACVDVVNEEAIMTKDLALACGRKDREAWVTTGEYMAAVERRL KANLKARL PEX2_047620 MYVVILTSVFTGLAALSVLLRLYTRFYLVKAPGLDDLIILVALL VDLALFAFIVLEHENGLGIRLAKLSEDEVQRQLFWLWLSVPFYNMTMVLAKFSALTLY ARLFHPRPFLLVTYIFMGFFVIVGLWTTLSGFFFCIPIHAFWSPSPEIRLTKCLPAAP VWFTNAALQTFTDLWGILVVFGLGILIVATSAARMYPLSVMVNGGDFTHANAQAALWS ALEANVSIICICLPPLHPIFSRIFSFCFLPRPATEPLRRDGGIWCNEIFSPGPASYSA SISKVDTNEQASEDGIRVKRELRMQSDTINTPVFRPHSANGAHIDVEMEGALRSTTAP ESHSVERDFGDFEFPDYKERMNAPI PEX2_047630 MATSYQDRCAQKRADQLSLILPEWRLDPVPSIESAPNALAYLRR LLSPEELALTEETDIAVLLRKLSSGELSSLKLTRVFAKRAALAHQLTTCCTEIFFEEA FAVAQELDDYLAKTGKTIGPLHGLPVSIKDLFSVEGVDTSIGWVGLTNNPAKADKSVA RTLRRLGAVLYVKTNLPQSMMMSDSYNHVFGQCVNPFNRELISGGSSGGEGSLIAARG SVLGIGTDLGGSIRIPAALCGLYGLSPSPGRHPYERGNPGQDIVRSVAGPMACSLTTI ERYMEVLPESRPWEVDQHVAPVPWRKELASPGAKRLRIGFLVDDGVVKVQPPIARAMR EVVEALKAAGHEVFEWDASSHSYAYDLWAKAIFSDGGEGCRRQIEKTGEPLVEGMLVG KPEDTLTTSQTHQLNADKYNFESAYLDRWISSDIDALIMPNTSWVGYKPWTWVKSSAY VGYTSIWNFLGYAALAVPVTTASRTKDVPDEEWLAHVPRNDSDRFNKLQYDINLVEGM PVGIQVVGGRFGEEKCVAVAKAIELAMQRKITSRANL PEX2_047640 MKVKKPRGIRHDRDCGRCKVRGVKCDLNRPRCQACLQGGEACNY PQRVVWVEDNKKSKSPKRTLPQLPNEESHIEVTAKSASINLYGFVDLLDTFCQQIQSS SRDIPEEGIQLISRTLSFARSRIQDANNKESLQSHLVALTNLSQVIQSAHPIALFGIA TFAMFEVCCGSFGNWHCHLQGARSLLDLHCQHKADLDNLCDEISGLADVLAYLVWFDV TGALVRESPLIFEDWHRETLSTGFFDSVGCPPDTFDLLVYLAKHSDGDGISTMDLSSR AMAQILQLNASDSTDRNLAATVYRGAAAIMAFSRAGMTTGGDPSASTYHLNVVSSMVD RACQAIAEIPTTSRFYVHLATPAYLTGMSASTARQCEIIRGYWRNCQSCEFPRYPDAE AQCERRWRICGVGFSVD PEX2_047650 MQYTTQVPVFVQTSHQSPPNLLVRPRPRFCILRPGGFWTPLIPL DELPSWLEICNWAPDVYMGMYPASMAFLQREGEYDVICHHCSRGVDSLHQSVSEREAS SVAASNAASAKDCHEQNASSNSQQNDAVSLPTVLHHGPPNHFLEQPPFSAMLQTPFVG MCVVDMNSPYPDISPDPAGLKRRMSIENSISPQIFGAVGMGSPPLSVANSGNARRADS PYPHAHDAGPFAKPQRVASLQNESIVSMQSGSVASTRSLTVAAIEQMKRMRRRRVSRG SSLHASIIVAEANSLSQVSGSKVSKVSQISKVSKNSKVSKISSIRVMSKHHRKVILRR RRAEERKAHRARQSAISMEALQLKPEQPNSATKRRDRRERMMQRRKQSDRGKQPYRNM MRIPNWSPEMCKH PEX2_047660 MTTLPDPTNATRATPNIPIEKATLHIGSSTFITAPSHEVWAALT NTSTWPSWNTFVPRVTIRSQPTPDPSPTTSALDPTPASTSTPTSQPTNTNTTLSPILQ KGTKFTLHVRMDTTSTKAQPATDVHALVSECRAPNAETGEVGRIVWCADPEASGSYSP SLLRAERVHELTAVEAGTEVRNWEAQVGWLVYVVRWMYKVKLQANFEMWVADLKGFVE GGSNAGSS PEX2_047670 MPTSTLFCASNSGIQVGDNGGHITVQHYARPESQESPPLPSSTV PFGRDPDFVEQGQLLEQTHEKCSITGSRVALVGLGGVGIRERSPSTWVLWVHASNAAR FEESFWQIADRAKLPERQDPNLSIFKVVHDWLADAKQKWVMILDNVDDDQFLYEPCTR SRMSKDDSQGSSLKVPLLTFIPECPNGSVIWTTRFRRVATRAVNLRNILSVEPMDTSH AVTLLRKKLTSTPNEQDIKKLTEALEFMPLAIVQAAAYIECRAPRCSVLEYLEEFEKN DQRMTILLDYEAGQSRRDWEAASCILGTWQMSFDHIQHTRQSAANLLSLMSFFDRQGI PEKFIKNLSDDATALKDFLESQGISAEMIKGASVATEVREVIQSPALGPENSEETEKR TEGDIWDEEFETDIRMLRDFSFISINRDPQIFEMNRLVQLAMRKWLTANRKEEIWKTH FIWNVSCAFPAKGAGTWENCQSLFPHIKAAMSQRPALNQTRIIWIRVLQMGAFYAHFT GNTPEMLRIAERASAESNKLMPSDNKERIEVERTLASAYKLEGRLDDAETLELKVLQT CQSKFGQNDRITLEAMASLSQTYCYQGRYHDALELQTQGLEAVKSAFGADASLISEFL STLAITYRFQGRLQDAEALYLEYLGNAYQLLGEHDPDILSVREYTGRSPPPHDGVYVV FGSVEVKPNMAIHVRPTTVYVEIKVLDILRPLVGDRNPKTLLAMRDLAETHVAQHQVE KAEAMYLQALEGIITIYGNNHSKTIIMKNGLARLYRIQGRYHEAEVFGCAAFESAKRA RGQDDTLINNSIMNLLPTLMACGRYEDVIGSVTHLLNLPMHTLHADPSVAKILRRDLL LWRLNLQFLYVSDFAHRTLFSLNCIFVVIILEFWPNSLGIENLK PEX2_047680 MANPPFQLSLQTAQGKSPPLSAQVPSSLTPPVTPIAKERPPRST LSPFQDSKAEQTLSNYNDNVSTLQFTDDLEICHDENSRSFEFGRGVWSIVYKARSLPR PQTTLPGTPPSSPTSRSQVLAVKAPLRRDARPVLKAEASTLTRLTFTQGHEKYIVPFH GYHAELGALVMSAVPTSLATYIEDQAKIARTRQPVTATMFDPVQGPASYQELARKLIT GLNWLHQVAGVVHGDIKPHNILLRPIDTDDCSDALAFPYEPLFADFSATVDIPVDAHA PVDTTRASMSSFTPPFTAPEMLASLTSTEIAPTPASDVFSLAATLLAAATGDLLLYPN MNHRLRLEMARAGHQIIDFARSGMSGSRVPKNGFVERIVKPAVVKDPAVRITTPDWVE LASS PEX2_047690 MRQITAARKPLAKFPMTEKLYPVVSKQRGKVQARPQYVSEGLLD NILERASPYLRRNPPVDILDLWPGCGLWSSKVNELLQPRRHVLVEPNLQFHRLLGPLA ETKPCYKLVQEHIYGKFDWSGFFATHLPEQGPGNRNTSDIIPKNDTLLILANLPDATS AMDHFKPSRWFLNFMNSCLSQTDVNLYGAVRVLATMPSNEVPVILPRAANERTRTGVF AESIGLHNIELASAAEFDRSHTWRGWDHINNDRKNVAERAAANKIVTPRTRELPPVKL VPEIAHRGRKDLAYEPRVYTPIHEKLFADIAAGDQLGLNSAFETTDPKAKALLRKRSI ALTQLVRDNQLTHFRQKLANSILELDEVGRTFARAAADPKESVESLKALEDRMISLKS DFTTLRSSIHFVQLERHEHAIDDLRLTRISNDIDDGIMVHSRRPFEPLYIYPDEIYPR DSARGAIYFEPNPNPPVLKKVYDLPDPMVQPILNRYFALLAFVGFRGTMPVAELLETI FPLDTINNHVRDIPSLANFAERRLKPGCGPVPLPDGSTLDPAFTYQENVDYDLSGVRV RTLSAQTLLDIAIKYERLPEKLSIITFNRVLGGTLTQAQLGEDINTKMR PEX2_047700 MASNAMGGATGGTAPQSVENITRIAQNYEYNSSVPLRYWLRTAA TLLREAHIYEREGHDEQAYLILFRHAQLILVHLSKHPDTKKNEEDRKALVAAEKEVEK NLSKLEILRPRINKRYERYTQLMRERESRKQPSPAKIVAEPRDQIPDPALTGVAEPLE AGENRDLAVQLAQSELNRRATIRSSKGLSGPSAEELEARRAAGVWGDWDYATKADPRA GDRDHGQRVQNIRSNFDHTNQPYGQRAKESEVPSSATSTYKYPTVPRQKPLEPTVTPP KISILDKNAERQAPPILPPKQHLEPTRASIVDDSAIVIAPSRPAKVLPGPALPEKIQP SEETTAMRSDLNPSSYTFKPSAYLENGTPLRSVFLPANLRSRFLSLAAPNTRANLETC GILCGTLVSNALFISKLVIPEQTATSDTCETVNESALFDYCDSEDLMTLGWIHTHPSQ TCFMSSRDLHTHCGYQVMLPESIAIVCAPSKNPDWGVFRLTDPPGLKTVLNCNQTGLF HPHAEENIYTGALRPGHVFEVSGLEFETVDLRPDALKN PEX2_047710 MSSASQENIPSDTPTSSTSPPGSTTQIPTEKPPYQSAASYFSYP VSHVVSGLYRRITDPDIAKEMPSVMPWSRSSSSSEVFTPRRTASPFQPPPLTPLNLEI STGIDLQQRLLTRALAEEIRLLVPPRLQLAETWRLAYSLDRDGASLSTLYDNCAEFSY RSPRAGYVLVIRDSSPYSSVFGAYMTDAPHPDSQFFGTGECFLWRASVLPPPASFPIP GEGPQSEEALERAGLPPPPSADTTTAGRWSTLRNDKSKPARAESPAHNLNMNIKTNLA AASGGVLAPPSPSSIHTPSRSGASTPERIRFKAFPYSGVNDYMIFCETGFLSLGGGDG HYGLWLDSGLEKGVSSACQTFGNEPLSDEGVKFDVLGVEVWYVGS PEX2_047720 MSAPAAPGGALPQRRTDQVRLLDAMDSLRSLGVGEVADLPQMII CGSKSNAKRSVIEAISRVGFPVRYDFSNGFVTEIILRRESTPRFKVSIRYGPWIESDE DLQNSKIFVPTVYGSTDQSVSLIEKATTFIRPFAYDGFCVDILQVEVSGPDQPDLTII DMPRLYFTEGINDQDERKSFGRHCIEKYVLNARSIILPVVSAKIDICSQKINDFADKY DPDRKRILGIFTHLDTLEASSDEEKLWLKAIQEATTEQALGLHLVSTRSYETRDVPEE DRDQKEKEFFERGDWKTVARRYIGTDNLRRRLSTILANHIQSSLPDIISEIEKTILDN QASLAKLNAPRETALQQRALLVNLSSAFQRLTEQALSGMYTDDFFATSVDDGNSKTLD PRRLRSIIRQLNKDFADIMEVAGCRRFIHGFNNQITGLVHPGNPYANIRWPEHKSRAD FEVEVLKKMHGGREIELPGNFRQLLVSSLFREQSQPWEEIARAHLMKSWETTQEFVSA LLGNLTDQETSLAIMSTLICPRLNKMKANLLAKVDELTASNKRGYPLPLSQSFLTKRQ ISGDDHLFKTLQQKLSSTFTIEELKAATQDMESSTNWTAASDIIDQLQSHYNVSPPIS HYRVEDTDKKQNALLVFVDNIAILAIENCLLAPLKAVFTTETITSMEDIEIEELCEGL HRRVARSKSELTDELTKLQIGLLTLKQFKIAKEITAPTGAIKQPGSGSAHGSSSLQSL VMPQGVLCNSASTIPFGLLSVDSGVQGQNSTARYQLSWPYTPVSDMQTSLTVCPSGPF GLNPGMVNARTESAPSASFPLMDSSQNSGNPGAFELSGATLPVPQTSTPSMFCSRQAR PVTNLAPHTRDHDQNWYREDKETRDTGSIRNRCHSICFHEKYINFSPEELRLADYELD RETF PEX2_047730 MADIPTTTIFSPPFSLVTKPPLPLTTTFTPAIECLTDWWVFQSS WSGYTSRWANLGPENTGICLPSGWAPSTWYSPGIACPSGWAMASGPTTVNGETTGTCC PVYLPSDLTFTPRSSTSGGDRPWHSFEVCAFGATSDMKFIRTNTNKAGTTRAESGTAV AGKDGWNAYGIELRWRTTDLISAPATSREPTATHAVIPTTTQQPLGESTSLSTGAKAG IGIGAAIGGILAILGVVLLLIGRRKHQGKNADELREDGQHELDADENQIHELGSNHLL EADDSQMPSTRSQEPAELDNAKRPK PEX2_047740 MTIFQSAPYASPSASNIFCAEYWVAFTVFRELAVSTTSSSMCPH TTAKKRIYELIASPESSTISTSSRTSVLSTTAPSTTGSSTNNSPTTAAPTVAASTAAT QTGDSQTVTGSQSSQSQGWIAGVVIGSVAATAIIAGLIGWFVYLRKKAQQLSVKEESI ARQSLPYQQSTYKAPQEIDTASNPSNPFVHELSSYGYK PEX2_047750 MLSITNLNNSRALRLVVPIVVVFALAYTFYADWKPVQKVIPSSN PSGAIVTGDASSAPPTLQIPEDPSPSKSKFHHSNANTSIFNIPDKVWHSAKTDDISEN QRKWVNSWSSTTPECRQELLTDRSGEAFVRAYYQETRPDIVEVIESLSIPILRADLLR YLIVLAEGGYWSDLDVTLEKPLSDWVPTEYKDQNIDMIVGLEFDFAYRGPEAEVASQF CNWVFAAQPSSRNLLVIVNAVINELKQIAKTNNVSISGITLEMLKDVVNVTGPKIMTI AILESLGQLLNRTVDDRDFSGIKHPKMVGDVLIMPGVSFAAAQNGFPADQGDVLVTHH YEGSWKQADAEAKERKKQKEQEEHEAQSHQR PEX2_047760 MFKLALSPEQPKAMAIQTHINESLSSVFIEQYKQNEYATLARAV ADMCQQALSKNGIPHKTQSRAKEPESLRKKIEQRERKNGRYKTLEDVRHDIVDLAGAR IILERWEDRHFVKEIINETFKVQKEELKNEKSGYEAVHYRVHSKQNGWQCGPHTTEEP LVEIQVQSYYMAQWANVEHDAQYKTSKGPSQAMSNSFDVWLRSSKLTELLAEQTKALT TKQDAKDKRKFRGRKHIGNHLDKWFSDDDENAADWARNQKEMGSSTALEIYLDARGWK TPESLNRLLYEHFGPEAQDEYSDVAAKYAGIELNRVIYLMDRVVLNCGENCAGAFEIP NNHEVHAYKIQVILSTFIWMNRLFLPTYGWQRLFTRIEDKKTVREGILLLGMSARQNF AEESSLTDDEVSKLNRLWDWFYESRDRPIMLAFTMSNQGAIRDVPKEKTDLRNALRPL IAALGRGLA PEX2_047770 MTVTTTVVKGPLNLGNLPSVFSTNPILSWYAKYAVDFSIAKTTT PPTKYYASTATLVNTDASTISGAKEIWDYYIGIYGQFERCEHDLISITVLSDETTGKH TLIVETTNNLHLKGGKGIVPLAQAFVYEIAKSEGGCGTDGLQIWHLNCYFDRGLLERA ASS PEX2_047780 MLSSKLHLYEEIGKLHETYGDYVRTGPTEISITDPAAVQIIYGN QSKATKGPWYTLLDPRVCLSFTRDKQEHARRRKVWDQGFSTKAIRAYEPVVAAYAQQL VEVVERDLDSPIDMTRWFSYYAFDVMARLAFGKSFNMIAEGKEAYFLKTIRTDMKDIG HLKHMPWIFPIIMKIPLLNANNLRFWKWIENQFMERSAKEPEQRDIFSWILDEYKKGP QLPQDTLNLHGDGYLIIVAGSDTTSTSLSHLWFHLASHKPLVQRLQKELDSLKELNDD ALSKIDLLDAAIHETLRLHPAVPSGLQRLTPPEGLTIGKTYVPGNTIVQVPLYTMFRD PRAFERPNEFIAERWTTNPELVKDRSVFIPFNIGSWACVGKRLALMELRRVTAELLLR YNISLDPGKGNESFLKDGKDTFTLTAAPLHLNFTKRHITTGVLGIIGFALSSINNLRC IIDGLEEANDVIQDVKSNLKDIQLPLSALENLRISDSTTFDEARRDLEKTGVAEAVNN CGQACAAFTKQLENWTKHSTTTKLSLRDRLSVGLWKKEKIQTFRTQVSSCQAIVQFAI ESAQLVILVRSEGASQTARQETEKQLKALEAAIQEHIELTKRKQDETLHRKKELESPG AEDEDEDGGAQRTLAIQETEQQSRVLETDQTASKTVTEIVSKLSVQQAASTYNTHFSG SHNTGIQIGHSIGTINWNGSRA PEX2_047790 MASTSFYGNNSGLQIGVHTGPINLPPEQPETPTNPLSTVPFPRD EEFVSRDVLLRKIHEKGLVPGSRIALVGLGGVGKSQLAIEYSHQIRAQSPTTWVFWVH GSNEARFEQSFRDIADQVKIPGRQDPQMNIFKLVENWFQDQKIGKWVCILDNVDDDQL LSMRNSTNASNKPLLGYIPRSRNGYVIITSRSREVALKLVDHKGIIEVNPMESSEALE LLQIKLGQPGEIQQSEIQESEQLVDTLEFMPLAIVQAASYIRNRAPRYSVSQYLTDFR KSDREATKLLKKEAGHVYRDWEAKNSILVTWQISFDYIRQTKPSAADLLSLMSFFDRQ GIPEKLVRPRPQTNYGSRSTLEPLSDSSDGETSESDTGPDFEDDVITLRDYSFISICE NSTFTIHRLVQLTTRAWLKSHGEIDQWKNQSISTLFDEFPDTGEYENWERGAWYAWLS GNISDIGEMASLSRDQRIILLGDEHEEVINSTLMLASSFVLEGRWEAAEQLQLQAIQI CKTKLGEDHPTTLTSMANLAVTFSDQGRWKEAEDL PEX2_047800 MSSEHIAIHLQQRPETNIVPGETFAVQKHTTPTSAELQDGDVVF ESLYLSLDPAMRGWLDDRPSYLPPVAIGEIMRGYALGRVTASKSEKFPVGSYGVGMVG WTEQAIINESALEAVATPDPADGGRLTDVLSVLGMTGVTAYWGMVEVGRVKAGDFVVV SGAAGATGSIAGQIAKIHGATVYGIAGSDEKCRWLVDDLKFDGALNYKSEDFAEKFQG LTQGKIDLFYDNVGGEVLDLALLCANKNARFVMCGGVSQYNEQEVQGPKNYMMIWRMR IRMEGFIVFDHMDKIPEVRGKLGQWLAEGQLQRRETIVRGGLLQAETALTQLYHGMNT GKLLVEVKAA PEX2_047810 MAPNSYEEAVDQYVNVGPIRFAYRKFGAETGIPLTLLIHFRGSM DHWDPAFINPLAASRPIILIDNSGVGRSDGEVPLVMKEWAQNIINVLNAIDVKQTDLL GFSMGGFAAQLVTLNAPWLIRRLILAATSASIGEGVIPPKQDPAVMKLKDAETHEDLR QAYIEAFFGASGNCLKVGAEVWDRIVSARNEISAFLGFEGTWRQFAAFGNFLLDPRQA KDGSYNRLNEIHIPVLVAIGSNDAAIPTYNSYVLWQKLTNSNAQLHIYSDSGHGFLFQ YAAEFSRLIGEFLDSV PEX2_047820 MPPNYVAHVGQLKTFTLPDKATGSPSDVQLGKAMIDAWRQDGIL QVSMSPKQQDLFDKAFTASKRFFAMPPKQKAACVDSQSYAGYIASGEEITDGIADYSE IFTVTKDLPLEEPRVEAKWPCHGPCPWPDTDMRTPIQKYMESLGNSGETLLKMIEYGL DLDPKTLTSLTEDGWHHLRILRFPQNNNTNGKGKDGRGIGSHTDYGLLVIAAQDEVGG LFIRPPAEDEKLENWKKSAAGFREDDERWVYVPPVPGVFTVFPGDIMQFMTNSYLPST PHKVGLNTRERFAFAYFHEPSFQAEISPVAKLYDGQPPDEKIHYGTHFTNMFMRNYPD RITTDRIIKEDRLKLLDLPELRTQ PEX2_047830 MADQKLQISDPRTRTALENDLTLRIVLKAKYRPDKSRRSADVIP WAEKLAESVSRAALKEVGKPNADFEISLNIIQMLGSPDYVYICYDIFRAECDRNVRLQ IQESLQQPIHYASRRGGMYLMRKDMRVQALASASYSNMRKLDKGDLPLFSDLKFPPCY IFGKRIEEPGRSQEEWQQLRAERKKQNAQLSEGGETIQGGLEKAREGWEHDEPTDNPV PREEIQRAEPSDEDKPKAGSPEPEEKREDRQHDKPPADDDFQ PEX2_047840 MTFGVLEWSGWLDQGQHESSQEPQPNLIAFANSPRTNGRRDYNK AVKTALAMACQVMRVDAKTLVLLHAESYPTPNQYYGSRLQSSKDETVLLTPGSYSGYG PAQVLVILNKFMGTGKANCFSWEESEDITKVKLTADVMKEYVPKKTATIPDFAEEITS IINSVPEREMYPCIFHDPTLSFHNKEYGTTDAYNRPISHLTRSELLHIGRCCNPDVLR QFGAILTKLIVVVSAREMPDHISSISADYVAKIPLVLASERYNRQFWKLLLHTIEPGT KLSAQPAALLAALSLRLGIVPLMKVSEQEMLGF PEX2_047850 MFMLRNVSKFLFGDASKESIIEIPQGELYLVRPQSPKGYSELIF KDAAATIRRTGQDFQYQLVIQRAYEEGEEELADDDGEQGAGDGLDKDEKVFLLDQTLH FRSELRDGGAKILAWDDLSGDAGDLFEFICDSSVPSDKVATFELAALQCQYERKHRRS AQKATEAELQEFSYNEEKPIPSASPASSPTRNSLTAEDSVAAMAKDVEYAQSKGQIKT ATLEEEPTTAPPSAAHPEAKEILCKENAELHLFDFSTGTFVLQESDIIATVSEVGTWQ YWLQITGSDKEWLGQAVVADINPVFNFEYLSFIFNHYGEDGSAYSWLLRFKDQASEER FQEGLMQALWEQLNELKWTKVKENDRDYVLDAFQDLTMEDADDKIEEQVEEEEEEAEE EYDGDQDDAQRSEHYDSDEEEEDLVTRDADGNVNSQLAVGYKHDRSFVVRGSKIGVFK HTAHNNLEFSTTISKVETPKGTLFSPKKVMLHAEDQNMILQNGDDPNSLYKMDLEYGK IVDEWKVHDDIAVETFAPENKFAQMTAAQPFVGVSKNALYRIDPRLSGNKLVDSDLKQ YASKNDFSAVATTEKGYLAVASNKGDIRMFDRLGINAKTHIPALGEPIIGLDVSADGR WVLATCRTYLLLIDSLQKEGKNEGKLGFERAFAKDSKPQPRRLGLQPAHVAQFQHETK QPLSFTTARFNTGVDSTETSIVTATGPFIITWSMKKVVANRKDPYTIKRYGENVMADN FRFGSDKNVIVALPNEVNMVAKRTFQKPTRESIAGPATPNRARSGWSSRLGRDDVVNS PY PEX2_047860 MEEQERPRKLAKLDHDEDTTHEVLGPAMTGAGDVAGNEPEPACA QISNEPLDILDVSEFTVQKDTKPTTETTTQDNAEGAPPVMSKNQMKKLRRAAALEQKR ALQKSQKKEKLIEKRERRKAMVEEAREKGGQEAVDKLLVLWRGKKAKHTRSTLLPLTF VMDCGYDELMSDRERISLAGQLTRSYSDNSRAVYNGHMMFSSFNKLLKERFDTVLACH KNWKRVRFLQEDFVRAGELAKGQMTAARGGQLAGPFAEQTDAKPEDGEIIYLSSDSEN TLTELKPYSTYIVGALVDKNRHKAVCYNQAVEKGIKTAKLPIGQYIQMAHRPVLATNH VIEIMLQWLELRDWGKAFMKVIPTRKGGALKANQNANQNEGEGNAENDAEDMNEEDME ADQMKQLEEIAALEEGSEDENETKE PEX2_047870 MTPNFSNRHSGSSLSLQALYPLITVTMPQDMPPTGGYAQVQYKR NVPARGFKPAYYMIGMHVIMAYGFYKYFHGVREQRYVSSSKMLLCYLRSTRENRNWTP MQTRWQKKEVNSMTDMCLNDSELAREKIWSRLHLTPLLQAEEDRDQVRRHYADKAREK ELLGTDAKVYNSDRFIRPTFAYTPANLTQ PEX2_047880 MAAEELSEGGMADIIRALQLIHNPASSNDIRKEASQFVENLKES DAAARNGFLLASRMEHEPVVRYFGLTLLDHVLRQYNFTNPEEAKTLRMMILQLAENVR PEDPAYFRNKISQLWTEAAKKTWGLDWMDMDANLVQFWGASLVHKELVLAVLETLSED VFFREDTASSLRGGELNRSLIEIFTPADIVEEVAGDKNTATIPRCGQEGWLIRICEFL DNCVQNVSSSEQARDAAIKALATLRSALSWSIYKGVTASQVVPSIFRALPCQDDQVLL SAIEALHALYGRNTIGSEDSHDLVCLIFKSEYLVVLQRLYEWSIVGPDDVDDPKYLIS KKLSEMVSYIAGCLEDDKFLVSNMDRLNLPPFLQFMANIMQHQSLTVSIPCLHLWSRL LQSSKISNLDFVQEQTPQFLNICTQRLLRWESLPTESDDPTVQFLVEDIDTVPERHAF VGNYRRYCSSIIEIITLKRPQEAVREILARVDTNLDNLYSGVGPFSMATFSKSSIPLM RADAQFAVVEAVIKGYNKWVSAHGKAPQRDESKRMELEHAVETWASTLMQKSFEDPVL KQRVIKLAVDISSRALDNHPGFALKVLEHILMSRLPDQSEYPLYSEAVKELHGLASSE LRRLAMRYADYFYTFYDLLEPKIKEITLANRIDDKLQMELTSILLIIMQRAINADPYL RQNRLAAFLQPIQESWQDDQFRHNSSTFPGFCNMLGLENVGPYMQSKEAQKLADWSEV VLDAEGRLVQEEMTRKFQLLPLRGTKTMLAVSTDRLKKSTPAYVTACEMWHELIPVIL PTLLQLVRHAHAFHNPTNWNMVEGMQPVVERILTDRFWQAGISVGSRDEFYARITSSK STLEGFASSVRGKVRAVREACYSMLFSMSRMREHFYGFAELPRPLSEALFVDSPHLSS HQFSVLLNISRCLIDDCPVQFRSQFLPPMLSTLFTNIDRKVTTEWEIIEQRRNGISDG DLTTEMKSESVLRQLTYSAVIMVASLFDPQRGGKNSLIRRTLISINHPILTERDLSDP DGTESDPSAPQPTPNLSDSIRHFVLSSPQIFEPVMLFCTHALRMRDTRSGSIITRVIR SILQDFAPTNDTPDTQTIATIREFICTDVLTACISSVHESYFVDMQKDLAQLIASIWC LYGFCSETPRAVFLSLPGISAEKVASTESALHRTTSPRQQRALVLELLEPLRGISIAE QGKMLGSREERRKARSALQERYMTNEMETQQQNHRVDINDGPDLGGVADLFG PEX2_047890 MAPLRLKVEGQSFRDPHNREITLRGINVAADAKYPKTPDIPSYI ADKFFDADNVSFVGRPFPLEDAHVHFKRLRKWGYNTIRYIFTWEAIEHAGPGVYDHEW VEFTIEVLRIAKQYDFWIFMDPHQDVWSRFSGGSGAPMWTLYAAGLNPKTFKNTEAAL VQNTYDIPAEFPKMIWSTNYTRLVCQTMFTLFWAGRDFAPKAVIDGMNIQDYLQNHFI DACAYLARKIHEAGDLDGEVIIGWESMNEPHRGIIGVQDISVIPPEQQLQLGTSPTAF QAMLTGSGKACEQTTWAFGNFGPYKTGTSLVDPAGEIAWLPDDHDDTKYGWQRDPGWK LGECIWAQHGVWDPSTDTLLQKDYFSKNPRTGEPLDYEKFTNSYFLDHYRAYKNAIRV IWSDAIMFCQPPVMEVPPDVKGTADDDPNMVHAVHFYDGLTLLTKHWNRLYNVDVIGV LRGKYLTPAFALKVGETAIRNCLRDQLKFLRDESRNYMGSHPVVFTEIGIPYDMDDKN AYKTGDYSSQTSAMDANHFALEGSTSNGFTLWVYVTQNDHEWGDQWNGEDLSIYSRDD LELPAGSATRPLDTHSPAYSESQSSGAEPNVEPRNIKGVLSTPSISSDQSQPSLRDQQ GYRAAEAYLRPSPTFVSGKLDSHVFDLKNCAFTLSLTAKGPTTATAPTEIYLPEFHFP ESNLVVAVSGGKWDIDVQDIQGVRLQRLRWWHAEGEQDIKIEGLKRKPGEFSNPGGAD TSYLEQCQKGQCVIM PEX2_047900 MSSKAALKAVRAALESKDYQAAAEKAKELVKNEPTNYHANVFLG LANDRLNRNNDAENAYSAATSINPNDKTAWQGLISLYEKQGGCNHDGYHKAVIALGKI FADNDEKERCQDLINKYTKTMKNKGTLAQHKHALELQIPGSPLYEFLEGRLPRPSQTY QRLIEITERQEREFINREIGERRTRLGARIEQVTQEVRREAANKSRLDQFYQGMIDWT NDDAVRRQYEEKLFQRAYEELGVLRDAEKEAKRAAVFKAAHDMVIIKHPFEPAWKVFL GWLDVEDFSQYDVGHLREYIEFFPESGLSQVLKGFLSSKLSPFPHDPSDETNGKDDKD SKETAATQPDNEIDDDAAAPQDPFVLMVQGLDSARDSILAHRIMANVYLSFEEYQSVV NVARKGLLYIETLVKYSGIKIPNTVDALNNALANAMIHYQSPRYHPDAKKIFEGILER NPTSSNCLLGIGLILKEDQDYAEAVDFLRRSLERDSANLKIRGELAWCEALSGDLEGG LASLQATLEDLKEAQPDNKEFKSELFYRIGYCQWELDPSPAARKDRSGAYASLFASIQ ANMNFAPAYTILGFYYADYKKDKVRARRCFHKAFELSTSEIEAAERLARGFADSKEWD LVEAIAQRVVDSGKARPSPGSKRRGYSWPYAALGSVQVNKQQYSKSVVSFQAALRLAP TDYHSWVGLAESYHHSGRYNAATRAFEQAKSLEPELSTKEKEHVWFARYMLANVRREL GQYDDAIAAYEDVLKSRPDDIGVTLALLQTLTESSAKSLGLGLFNDAAELAYQAISVA SSLVQIKSDIFNLWKAVGDAFSNFSYMKAKAGKLSASTCKALLAIDLDPMALDVMTDI DGVGADWLAANASEELIPSELYVHLSIIAFKRALHVSVHDAHSQAVAWYNLGWAEYLA HRTLQPDTTKKSKKSRKFMKAAMRCFKRAIELEAGNADFWNALGVVTANMSPKVSQHA FVRSLHLNERNAQVWTNLGGLYLLHEEGYHAYRIFLRAQATDPDYSLAWVGQGFVSLD CRNTQEARGNFEHAFDISNSSDILTKRQFSLTHFDHLNETSSSNVSELIQPFFALHQL CIQDPSNLPFVHLSALLAERMGETADAESSLQVVCSGMEDEFEKTESPASLSRYAQAN ADMARVLLARHSYEEAAEKAELALTLSGEEDAEKFDPEACKKLRLSAHLTAGLAYYNL KSMDQAIDMFRDALEEAQNAPDVVCLLAQVLWAKGGEENRSVAREQLLDCVMNHPDHI GAVTLLGAIALLDADRDAIEAVESDLNAMISRDDIDLHDRAKIIKLLSAISAMGLNDN ATVPESIRRIGDATAAVMLTPGQPAGWMELSAASRNPYPAAMTISRALQSVPPYGNLG AEDLSDAYAQTGKVGDAFRAIMIAPWKRAGWEELSHNIPTRNMWTRCTGHKSEDSDDE KSPLAWKRRTSHGRK PEX2_047910 MTSPDQIAAQILSTKSLSVAQPWLNAFLSSSSTAQRNIPPSALA KTAIFRLLASDIKESLSKHRSCVLPVDIHDPKVQEQRLQGSIPVQVLDIEDIGTSLWS QIEAIERVERGEAIRGREIVRTVAVGEDPEASENNRANNNPTSGAASASGNSGYGPHR LILQDAAGTIAVGVEMQRIDGIALEKLSIGAKLLLRNPSVARGMVLLSPESVTLLGGK IEALDKPWREGRKARLLEKTAGVER PEX2_047920 MSTLSSPRPSIASSRAHSPGPTSSRPSLDALNTNVSGGLSAGST PSTTRALSPSLHVRRNRSALRDYYNLKPPGADASASRDASRSRSVPRNPDAGDISDPT ALGSGTELDSADFDPQRYVEHLLSSSSLSTVLKAENTLVGDIRTLDGERKALVYDNYS KLIRAVETIGKMRRSMDDRGAPLTMTKTLGPAIAFVAETAGGLIKEGEEQRRRMKEAK LADGVSSQKNEKDTVRWVLAAPQRLEKLVAEDKQDDAEKDWKEIQHLLDAWGDVKGVA EVREACEKVMNRESHDD PEX2_047930 MASTRTADEIFSDESSFYGDDDEKAQLEAKVSPYDPEPFWVETH QKQLSTIQQNLRTPPTRAKAASSDISMDDASPEPGTIPRSKRGKTEPIARFLSRLPPS TTQATDVGPWIWMYNPHLPPKRSDDGDIPTLLRKGRELLQDYENESAILREAHDKSGA KTTAGLTRKLNTMRKELEKSILDLARETGVTHGKWMMFPTVDRVDELWGTVVRAMEKG ELGDAAKVATDGGSGESRLICVYTEDFWDVEDVKRVVSKLVDIGLVGKGSRSVYYKSD AFTYLEINSKNEYGLKASMYSSREVLGGK PEX2_047940 MESGQAIEIKVEDHNQQDIATYIQDTLTMTEAKDNASQNTREML VKKLTQQANGVFQWIHTVLPIIEQKVREKELFEDICCWLHEVPAGLEDVYMYILNNVI ETSNREQSLLFFQWVCLAERPLTVAEMRYALVAKHVREPLSPKKWERIPGFIESNERM LGRIKALSGGLAEVVPSTDNNATVQVVHQSVNDFLRTKGLKVLCHNIGMSMSSMNEDK ILSQCQATLYRSCLVCLATAHILAETTEFKRTKKNVTQDHPLLQYATINLFIHAEKAT DFRCDVLPNEKEILQKVLISWVQIYQKLDMTSRACPQRGTTLLHMAAAANLVDLIEPL LSNNEDSAERNSGGDTAFHLAASGGHIKVGEILRRKGADQEAKDHLGNTPLSKAASGG HIEFVEWALDKGVNLKRNGDGGALQAASLGGYESVVQILLRAGADVNAQGGGYGNALQ AAAWNGSPEIVQILLRAGADVNAQGGYFGYALQAAAFNESPETVQILLGAGAVVNAQG GHFGYALQAAALNKSPEIVQILLGAGADVNAQGGRYGNALQAAAAPYKGSHKTVQILL GAGANVNAQGGFFGNALQAAVCNESPETVQILLGAGADVNARGGRHGSPLLAAIHDGY FDKVQLLLHAGANSLLADELGRTPLHIAASRDMLHILRRFPQLASDLNKRSDFLQTPL HLAVCHGHISFAIALLNSGADPSLLDGYGRHVMDWASNHETLLQEIHNHCSDLVLTPP ATQELTVHRSLIELTESLLYSKSKPSWPILQQLGRYFLFLGQFDKARYLFQLHLSRDA SSKEDIWQISCNTCNFAITGTRFVCKVCACIDLCSSCVQRYPCHSRLHPNQEHEVFEV PNVPNKNSPFTGLASERFNLFLNNIVHGHLEENAGQSEQELFNDSIFALALREIALVP KTAFSLVTTISGIIFSVSALLLGAWYFIAR PEX2_047950 MADSKTESSQLADCSHIPIIDLSTLDSPNFDDRQKLAQSIYDAC TQVGFFYIKNHGIPEDKINGIHSSAKQLFDLPQEQKMKFYIGNSPKFRGYSPLGGEKS IGTDDDPIAEEDAVSALSEAFDIGYETAMDPQKSKDDPLPRDPYGLYGDNQWPSQNVL PNFTEAYIEYCAMMLGLCRKMMRIFALALGLPEENFDSMTQNPGVTSRMMHYPPQPVK EEVREGLGAHTDFECFTILSQGSVPGLQVLSHSGEWILAPPLPGTLVVNIADCLSIWT NKKFKSTIHRVTNLTGQERYSIPFFFGVDYDTTVSVLPNHISDDRPACKEPFKAGEWV REQLSKATPPSTATASLTPFKATIPKAQLGELETLIKIAKLAPHTYENSQTDRRYGVT TDWLVTMRDQWLRSYHWKSSEDRINSFPQYTTEIEGLTIHFVGLFSERKDAVPILLLH GWPGSFLEFLPILQKFREEYTPETLPYHLIVPSLPGFTFSSGPPLDRNFGTGDIARVV DQLMKDLGFESGYIAQGGDIGSRIARHLGVDHESCKAVHVNVVFMRKPDGMTDDHLST SEIKGIERMTNFVATGSGYATEQGTRPSTIGHVLSSSPMALLAWIGEKFLEWVDDPLA PEDILESVTLYWLTETFPRAIYTYRQATSNDPRWYIHKPFGFSSFPMELAPLPRSWVE TTGDLVFWEQHPKGGHFAALEQPDELKADLVNFVAQVWPGIISAE PEX2_047960 MDDTKPWGYQWRSSRLLVVSSITVALFAETFLYGFLTPILSYML EERLHLDPSQTQNYTTALLTTHGFIGLISAPIVAYLAEKTPSQKKPLLIALAGCFLGT LIIALAPCVWLLFVGRILQSMAGAATWVVGFALLANNVDKKHLGQSMGMAMSFVTAGM VGGPTVSGAMLQLFGYWAAWSLPLVVLVLDIVARLVMIEPGLESKKNSSSGKPTSKSV LSNDGGPEESTALLSDTPSPIEADDCGEENKHDLKHEYYKVMLTDPRVLTALANVVVV ASLMSGINNTLPVHLRQAFGWKSLLISMMFFCLQVPNIVLSGPSGWLRDRIGIRGPTV FGWLALIPLILLLGIPGDSHFPWAGGDAAGKSIFTSSLIALGSILPLVRGVGAVQLAY VVKDMEAKDAHLFEANRSNLRVFSMTEVGFSLGMMIGPLLTGSLFEWVGFFYMTVALA ITCLIQAVISWRWLDTLPSPSAPEASV PEX2_047970 MASIQHPQSTTTVFLDQPPSCLEFCPEAPDHFIVGTYLLSENKT EDGEIEQSKTGSLQLWKLDPVSKTLSQIQRIAVPYAVFDLHFHPRHKNLFAIATSVGS VALFEVSTTGDTSPTPNITQLWTKQVHEDPSIPALFLAWAPQNWFPQSAADGFAVTFS DSRTAVFGTEGDIRQEDSVAEWGTYEAKQMIEVWFVALSTSTGNPDSETQNPSATPFM FTGNDFGSLHTRRFDNTTELDDPDEHVSPMLLEHDDRARHHTAGVTAILPLDVPLVDD APVLLTGSYDESLRVYHATRRGEVLAEQGLDGGVWRLQLLNTTRIPGSDVSECRFLVL ASCMHAGTRLVRVTYKHEDGAPNWGIEVLAKFTEHESMNYASGVWEGGQDTTRPSEVL CVSSSFYDRRLCVWTVDL PEX2_047980 MSDLQSLVSELHGAVERKQFDAANDLLSRSKRALLLQNALIPTP STPPQLVVLARQVLELGALASIRQTDAQLFTRYYQQLQPFYDLERHAGLPGQSSSEVD FSTSQRSKITGLYLLLLLSMGDSANFHTVLEGLVEEASLKGGRVEDDACIKYPVELER NLMEGSYDKVWRETKSERVPSEDFGLFSNVLIGTIRNEIAGCSEKAYPSLPISNAKNL LFLESEGAVIEFAKQRGWILRDGRIYFPVEPEASARSERDILLASGTVIENALGYARE LETIV PEX2_047990 MKRDEVKQFLSPSPAISDRIIAWLRSESVPASSINLDGHWISFT VPICRAEQMLKTEFFNFHQQDPQSIAIRTLGYSVPRALHAHIQLIQPTTRFATSEQRA AGCDTTVIPDCIQGLYGIDNTTTRPEWRNRLGISGFLEQYARHADFDEFLRLYASGHT DANFSVVSINGGQDDQHSISDSVEANLDVQYSIPLADEVLATFYSTGGRGPVVPEINH PDPTESSNEPYLEQLHYLLNLPDEELPAVLSNSYGENEQSLPPSYLNATCSLFAQLGA RGVSILFGSGDSGPGSICVRNDGSNQTRFLPGYPASCPFVTAVGGTYGINPEKAVSFS GGGFSEVFPRPRYQDQAVKGYLRHLGRRWNGLYNATGRGYPDVSAQAANFIVRDHSEW ISVGGTSASTPVIAAVISRLNSARIAQGKPRMGFLNPWLYGHGHTGLKDIVLGSSTGC RRSLGDPRVRNASWDATEGWDPVTGLGTPLFPTLVKLALSDDHA PEX2_048000 MAEAGIPHPRRMSADNQIVSKADISLKERFFRYFQHEITDLQQE MDRLADTSLVGGERADATDHCLAGIVRLSNEVKDAASYIPTYDQRVYAEAIKALQDRL GETRAAFEPRSKFSFKTKKNNSAVSLSDAAVMAIQGHLSIPGYHSPGASSIDSSANQT PNYPSTPLNEPDKEHQERPELAPTSFPGVSTGDFSTKSSEKPPGGFAATGISSVSVDD YHKLHIMLPAAGSTSTVPVSITSLDRCIVAMSIPTANGKPYASLTAKGINESLLICGQ VNGPAHITGIERSVIVVSCRQFRMHNCSDVDVYLSCSSNPIIEDCSNIRFGRIPKAYA LDHDCPDHEDRWSQVEDFKWIKPEPSPNWSLLDPNDAVPEEVWAEIVPGGPGWSLEDI LHAVKVIQN PEX2_048010 MATRTSSRHAAQKAKQAISTSAESTGKTTKGTKRKGSTEEAPQP KKEKTKDIKPDEEKAEQVPSTSADSPVPKTEEAPVKAEEPSKEQPVEIPPEKPQEIEG GLHKSEERENVVSSNILEKGFIYFFFRPRVNIEDPQSMGDVARSFFVLRPTVLGATFD EGQGPVDKDASCRLMMLPKKKFPTSPKERDMGFVEKAGQSMQSLHESFIAGKTYQTST RGERHTEKARPYAEGVYAITSTQRASHLAYILTIPAELGDVQEDFGLQPRGSWIVQSK SPKFAGPPVGQLPKDPEFPQSVLDKFKDLRWVPLQPEFLDYPNAQFLMIGEAQNDLGK AAFAMGEKQPYEEDPGQELEKLSDENEHRVDALRGDETVYEDLGYHAKNYPKVPTTWN S PEX2_048020 MEDLPMDDGTNPAKPDQPFEYFARFTSSDAAADHQPEESEQSMD GSGPSTPTHDTDLFDESDDIRVVKPYDIEEPDDELQTSVPRVDLLCLPDRFERWQRDL TDRLNDMDYQPGGSNSNTFPSPSVRRRGQKRKPANNTLGTQQDYPHFAQRHASAENPP EAHEPYPKRRRFGELPKWHAQDIDSFGAFREGNANESSGSETASIDLTGNDTMNDSHM ADEMDID PEX2_048030 MQSSPNMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGVDFHPTQPLFVSGGDDYKIKVWNYQTRRCLFTLNGHLDYV RTVFFHPELPWILSASDDQTIRIWNWQNRSLICTMTGHNHYVMCAQFHPTEDLIASAS LDQSVRIWDISGLRKKHSAPTSISFEDQMARSNPNQADMFGNTDAVVKFVLEGHDRGV NWVSFHPTLPLLVSAGDDRLVKLWRMSDTKAWEVDTCRGHFQNANAALFHPHQDLILS VGEDKTIRAWDLNKRTSVQSFKRDLDRFWVIAAHPEMNLFAAGHDTGVMVFKLERERP ASAIYQNQLFYITKDKHVKSYDFSKNVESPPMLSLRKLGSPWVPPRTLSYNPAERAIL VTTPADNGTYELIHLPRDGTGAVEPTDVKRGQATSAVFVARNRFAVFNPSSQQVDIKD LSNSTTKTIKPPAGTTDIYFGGTGCLLFITPTHVTLFDIQQKKQLAELAVSGVKYVVW SNDGLYCALLSKHNVTIVTKTLEQISTLHETIRIKSATWDDAGVLLYSTLNHVKYSLL NGDNGIIRTLDQTVYLVRVKGRSVYALDRNAQPRVLEIDPTEYRFKLALVKRNYDEML QIIKTSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFDLALECGNLEVANEMARELDR PNFWSRLGAEALAHGNHQIVEMTYQKQRNFDKLSFLYLSTGDQEKLSRMAKIAEHRGD FTSRFQNAIYRGDVEDRIQMFKEVDLYPLAYLTAKSHGLIEEAESILEAVGLTEDQIV LPTLDQPLKVPHPIAPTFKSNWPVKAAGHSSFEKALLGEVGAADEEDGAEGFEIEEEQ QEAAIARETLEDDEEEVTGWDMGDDVNVEEEVDFVNVDSAEAGAGSAEADLWARNSPL AADHVAAGSFDTAMQLLNRQVGAVQFAPLKARFLEVYKASKTYLPATAGLPPLVNYVR RTVDETDSRKMLPIIPRDLETVASVDLQEGYAAMRSNKLEDGVATFKRILHTVLVNTV SSDADVEEAKKIIATAREYILAMSIELERRTLSTDSPEGLKRSLELSAYFTIPKLEVA HRQLALMAAMKLAFANKNYGSALSFANRMLANGGSAKLLEQAKKIKAQCERNPQDQID IDFDPFAEFDICAASYTPIYSGSPSVSDPFTGAKYHPQYKGSVDRISDVTEIGAPASG LRLFVPSQF PEX2_048040 MSSVPPEQFSSQYKGTASIFNQQNPATSASSSKPTGDDDSKAPL PKGVVLDKDGKPCRTCTSVSSWRALAKQADLKNTNSNTASSSTSSTSKITTSTPALAA AATPSPSEIRPDCPPDVDELGRSTWTLLHSMAATYPEKANMEHQANMSGFLKFFAKLY PCWVCADDFQAWMAHPSGRNQPKLESRKEFGWWMCEAHNEVNRKLGKKEFDCRLWEER WRTGWKDGRCD PEX2_048050 MPPKYTMSDSESEAEVDVPNTPSDQALEKALRDQVATIFKSGNM EELTVKRVRLAAEETLGLTAGYFKSTDDWKARSETIIKDEVETQDQAIQNPQSQASEM SLSPPKPKPTALAKRAKAETASKPRKRQKTRTPVSDEEEELSAPLSDESDEVTKPKNR SKAPIKKSSAKKVSVQKPEKDMLDAPGFSDEESDDEDVTKPEKPSKAPVKKLPAKKGS VSKPTNDASDASDVPDDNSHAAKKAGETKDDSESEMSVVLDEEPQPKVPRKRQKSAAG TATKTKKAPKAKAEDTSPDQAEIKRLQGWLIKCGIRKLWGKELAPYDTPKAKIKHLKG MLEDAGMTGRPSQEKANQIREERELKADLEQIQQGAKQWGAKSDDEDDEDAKPRRRLS RGRQSLAFLESEGEETD PEX2_048060 MAMNKIRGAFAVPRKGETFELRAGLVSQYAYERKESIQKTIMAM TLGKDVSALFPDVLKNIATSDLEQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALAIRTMGCIRVEKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPAMAL ENGFLETLQEMIGDPNPMVVANSVTALQEIQHTAPETQALQINGNTLRKMLMALNECT EWGRVTILSTLAEYKTSDVKESEHICERVAPQFQHVNAGVVLAAVKAVFLHMKNVSPD LSKTYLKKMAPPLVTLVSSEPEVQYVALRNIDLILQKEPDILNKELRVFFCKYNDPQY VKFQKLEIMVRIANDRNVDQLLAELKEYALEVDMDFVRRAVRAIGQVAIKIESASERC VNTLLDLINTKVNYVVQEAIVVIKDIFRKYPGYEGIIPTLCQCIDELDEPNARAALIW IVGEYAEKISNAGDILGGFVDGFNEEFSSTQLQILTSVVKLFLKRPEKAQGLVQRVLQ AATSENDNPDVRDRAYIYWRLLSNTSDSNATKNIVLSDKPPIVTTIHSLPPALLDQLL SELSTLSSVYHKPPEQFVGHGRFGADAVMRAAIEEQIQNARENPLAAAAAAAAGGTAA PGQAQNNVENLLDIDFDGGAPASAHTEPSGGMSGLEGLAGTPIRVASPAAGTPTAQGS NNLDDLLGVFGDSGAPQPSSSGANSGDLMNGFSGLDLSGNAGPSQNNQTKKSNDDILS LF PEX2_048070 MAQTDYKFEGWMGLDPTSGEGKMVWQEFQPKEWEETDIDIKVSH CGICGSDLHTLRSGWRPTAYPCCVGHEIVGTAVRVGSKVSDIKIGDRVGVGAQSESCL GRQGDCEECASGKENYCSKKFVGTYNSIHYDGSKSYGGYARYNRVPGHFAIKIPDAIS SADAAPMLCGGATLYSPLKHNNCGPGKRVGIIGVGGLGHFGLLFAKAMGADKVVAISR KLGKKEDSLKMGADLYIATDDEPEWATAHARSLDLIVSTVSSTKMPFNDYLGLLKTGG SFVQVGLPEDGGLFAPVRSLMRQISLQSSLVGSPNEIREMFQLVADKGIRPWVEEIPM KDANKAIVDMEDGKARYRYVLVNE PEX2_048080 MSPTLSQQYLSTRGAEYGRTFEDVVLQGLASDGGLFIPEKIPSL PESWETEWRDLSFEDLALEIMSLYISTDEIPREDLKNIINKSYSTFRHPERTPSVLLE KNLYLLELFHGPTFAFKDVALSFLGNLFEYFLVRKNAGKTGKDRHHLTVVGATSGDTG SAAIYGLRGKKDVSVFILFPDGGRVSPIQEKQMTTILDPNVHNLTVAGSFDHCQDIVK ALFADEDLNSTHNIAAVNSINMARILAQITYFFYSYFSLTKTASFNKDSKVRVVVPSG NFGDILAGWFAKQMGLSIDKLVIATNANDILDRFFRSEGHYTKKALDSPTDHGVKETH SPAMDILVSSNFERLLWFLSYQTANGTEAERRKHACESVSGWLNELKTNGGFQVPSAV LEAAKVDFESERVSNEETITMIRDIYKTSFPKGLGAGSAKSSKTGGYILDPHTAVGVA ATRRSLARNPDATHISLSTAHPAKFASAVDLALRSEDGYDFTEILPQEFVGLEQRESR VTPVGESAGWQGVKEIVKAELEQELQGLR PEX2_048090 MAGGAAINIFKFNTGSLPKETLNAKLWFAVFAFGLMGAARGVDE GLITGVFNSHAFKLSVGIDDLEKDELASIKGTISSMVQLGSVAGALFAFVVCDRIGRV WATRQLCCLWILGIAIFIGNNGNMGAVYAGRFVAGLGIGQTCVVGPIYLSEISPAPIR GLCTCMFTGAVYLGIMIAYFANWGTQIHMADSFNRWAVPTSLHLMFAGIILILTLFQL ESPRFYIKQGKREKALEVLCKLRGLPADHPYVLNEITEMDVAFQEEMEATLGMGWKGL FKEILGIKRNAYRLFLTNLAQNMACWSGGSAITVYAPDLFTLVGITGQEQSLFSTVVF GVVKFVAAIICALFLVDMAGRKRSLIIGIVLQTIAMFYIAIFLNLVPIAENPDFVPSK TQNRASTAAIAFIYISGVGWALGWNSGQYLLSSELFPLRIRGICSSITMAMHFICQYA VNRALPEMLLKDGGLGPHGTFYFFGVISVLGGFWVWLFVPEAAGRSLETIDKMFDLPW YRIGLYGRKFAEEYDREQEQIYRDEKRDAGAVFLHNENA PEX2_048100 MKVGHLNETLMMKTSKESGLGGTVTPVPSVSMSMPDLATVVVNQ MLVVENGMLKAQEAPTTVDMEANVDVASSLMPQNWSNRRKWLILIILSLTSLMVNMSL VICTPASSVIAQQFNNYDSFLSVFFITVPNLGQVIGPLYIGPLSERFGRVLVCHFFNL MFLVFTMITGFSNSLAMVIVFRFLAGASVASICLNPAITGDLFAVKKRGAAMSLTSMI PILGSAVGPIIGGYVTQYLSWRWTFWLMAIATSCLSLLMVAVLKESYVPLIQRRALRK SGSGLGSVSSPSKYLTGWNLTTFKSISLLTVRPFVILSSSRIAVLMALYLSILFGYVS LLVATTASVFQDVYGLSESHSGLIYIALTIGTLSGALMCTFTLDYFLIRGISRKTPDD CTTLCPENRLIPIIPAMVIFPVGLLLYGWSLHFRYHWIVPTVATVLCGFSLSSSTTPI MNYIVDIFGERSASAVAAVLPLRYVAGAFLPVAAPYMYSTLGYGWGNSLLAFVLLAAV PVPLLVIVQPERMKPLTKSSG PEX2_048110 MSLTLAEAVASAKSCWICGWLVSIADNNEVALASQISVGFDSHE IRTLEPQKLPYDEENRQTAITRVAIWVDKRSAGGEFTYGGLIQKAGSTAPVHVSDMLD SGNLAGAEPYTARLRPSVADTRLFKFWRDYCTEEHRDLCGKTEFASERAPSMPSIRLI DVARACVVTLDSSEGVNWVALSYVWGEAQVHALKKDNYASYHQPGALNAENMPSTILD AITVTREMGEDYLWVDSLCIVQDDDEDKLRWIPAMDIIYGQSTFAIINAAADKVSSGI PGIDAASPRPTQDVFEVNGTWLTVSLDPPHRPFEGYLQNSRWYTRGWTYQECLLPRRC LIFTQEQAYWQCLGASWCEDGSWEQNSREIPIMYRHCLGRTSLSQETMRSLFGDTSIH WSGIYTMALEQYLQRQLTSEGDRLDAFTGVLRVLGNSKGGQEFFWGMPKSRLELSLSW TGGGSLMRNTARHAIAAGGVSSPFPSWSWAGWCGWFGSSITMDVDTVHSLTGLLPLRF YQLGRDGDPTPIHNNLDGEVSQFQNDTIAKLGSDTAYLPRYPSGVEHTWMDKNKTEIT TSDIPHTIRSSDVAPALLCFWTSTAILSIHQEPHKTQAGVLNTGIGDEKESNPWFWTY KAPRLGVTQGKFIVVGGSVQRPSRGGQLLLNIMLVEENSAAFDAAVQAGATERAHTVS FGQSAITNAVANMLFSDVEDIDRSKSVAELGVDNLIAAKLRNSFLQALATSISMLDLL DPSVAIKSQAESITDKALAVKD PEX2_048120 MDSLSRLPREILLMMFKGLDSIDDTLRLARSCKSMHSIFNESRH RMIIFKSIIFTSPQHKYDIQLCLLQGVFQNLPSKPSSTPKSLKRLKPQSWASVLKGLS ERNDFTDSMVWEIVCRWHAMKLLFDLYCDPLIRESFMRSAILDESDGIQNTLMSEELI PNPSISCSKMNQIKSTRTQKAYKRFYQALTAHWVSTETFCIARGADYETSKEHIECFD YVWDVRVDNPGRALEEKLDILEVVDFVWGFLGRKIFPGENTISDWVDQDYLELSEPAS PELNWLYFLLQTTQYLRPPHTLELLSLVTWVQPEACVIGDKSKYLSDLGFSSRVSEMR TW PEX2_048130 MFQKQPNNPSIEPARPSTKMLSKYLITGLTFIFIYYLWPSSVPY EMDRKMSSKPSIGISLTAAYGTISLRHSDGSFEDLARVEGDSSYIEMMRRLSSPAASH PSPPYSSMDDMWDDIPRQAWRSFRKKIGLAASPDVHVMSQLVKKLLSLSKSATLPYVI ISYPGMEALYEEDIYDMAEYLGLPKLTGIYEYYPQEAYAAYAGHGLGLCEHFKDKDRC KDEGNQLPMHETLLVEYTDQAILLHITYLRTVNVDRGSADPLVTASFELGANSRVDKH ASHVAEFVHQFLSAWFEGPLLPDELLVIMTGTRDEAIEGAIQEAAERVVPKTQIIASK SEFIASRGSAELAWRVNIMELS PEX2_048140 MINSVAILALASPAFAGIMQARGEDFVRRSAQSSAAVAAPAQAE GWGESWSKPAEHVSTHDSWSKTTESWTTPCTTSTTTTPVHEHTTTTPVHEHTTTTPGH KHTTTTPVHEHTTTTPGHKHTTTTPVHEHTTTTPVHSNTTTPCTTSTTPVHEHTTTPV HEHTTTPVHSNTTTPCTTSTIPVHTTTIPVNGTTTPCTTSTIPVHTTTIPVNGTTTTK PHVPVKPGTTKTLTYVTTTCPVTSSTITSGSKTWTVPVTETNTITITKTTVCTLCDEK PLTFYNATQPATAGPTAPVLKPTGEVVVPHKTASTIPAVPVETKPVETKPVETKPAET VPVETKPVETKPVETKPAETVPGVPAETVPAVPAQSQPGVPAASVPAVPAQSQPGVPA ASVPAVPAQSQPGVPAASVPAVPVQSQPGVPAASVPAVPAQSQPGVPAQSHPAVSVPA VSVPAASKPAETKPAETKPAAHPSGTSTPSTPEIETPSTPEQHVSTPSSNEFTGAASN VKPVAGLLAGIVGLMALL PEX2_048150 MPHSISHGSVQNDDDMPDAPAIETSTETNVRLEDMFNDDDDDDE EFPASGDVKMESPAANEQPPAPTPSGVDSDVMLAFYQRLFPFRYLFQWLNHGIVPTKD FGNREFALTLQNDAYLRYQSYPTADLFRKDILKMNPSRFEIGPVYSTNPRDRKTLRGG QMKPVSKELVFDIDLTDYDDIRTCCVKANICAKCWSFVTMSIKVIDTALREDFGFEHI LWVYSGRRGAHAWVCDPRARNLPDDRRRAIAGYLEMIRGGSQSGKKVNIKRPLHPHIV RSLELLKHDFARTTLGDQDTFESAEQAERLLSLLPDKTLNESLRRKWESSPDRASTSK WADIDSLAKTGKSSTLNPATLRDAKQDIVLEYTYPRLDAEVSKKMIHLLKSPFVIHPG TGRICVPIDPRNAENFDPLSVPTVMTLLAEIDEYDAKHPAGSAEADIPDVEGSAMNGS DMKGGRKVQDYEKTSLKPYIDFFRSFIAGLIKEERAGKRERDDSGPAVKADPMEF PEX2_048160 MLSLRTITRSIPRTFSRSIATSALRPALPKLALQQSWKQAIKPT YAAFSTSSIFKAPSSEVDIELLAKLEDELKHEKSSEIPEFEEQLEAIEETIKHGEWQV KDVAGDQEVILTKKFGTENIRVSFTVADIQNISEQEDFDDASLTDELDFQNQSRGQDA EASAEEDIEQPEPSFPARVTITVEKPNNGALLIQTVVQDGVFQIEEVSHFAKAELAQS LTAEKDWARQSLYAGPPFENLDEDLQGLWDRYLEDRGLNAEFANMVPDYISVKEQKEY LRWLETVKNFIGA PEX2_048170 MPVTLEKVHKQISKKRSSTNALHENSRDAHRLRKAGARDDRLSR HNATVNRARQPYLDRIDYIFEAIQELTEALSTEEISEFVAKYIDRDTEEIKQLESERR KGRPPSKREEALKQRVQTEGREFSTGLWMPDLGDQYAMTAMKNWNGHWSGLSAIKFMR FTKAGEKLTSTFPPKSMS PEX2_048180 MGIKGLHGLLKSIQKPCHIKNFNGQTLGVDAYGWLHRGTVACSV DLVLDNPTRKHIDFVLNRVRMLLFFGVKPYLVFDGDNLPSKAGTEQDRYKRRQESKTL GLELQRKGRMPEAYQEFQKAVDVTPYMARQLIEELKQMNVQYVVAPYEADAQLVYLEQ QGDIDGIISEDSDLLVFGAKRLISKLDQHGECIEINRADFTACREVSLVGFSDPDFRN MCILSGCDYLANIPKLGLKTAYRIIRKHRNVEKALRMLQFEGNFRVPADYLANFRQAE LTFLYQRVFCRKAEKLVTLTPPEKDVNLAALPYIGADMEPEIAAGVSRGDLDPTTKQP ITIKPLTANRWTLGINRRQTLGTSSELKPRKSINSFFTPKRTPLAELDPNSLTPSPSQ QRLLERNANNSWQANTAPFRSNSVRSTPLRAFSDQGLSPMVRSVERSSFLAQSARSST LQPTKRQRLCSEVEGDPLPACSPARSRFFAPTSEQASPSGQKLFRSKRSRKSVVGVFS DEMAEDIMSSIPDPSTAPGPAESRPESNLEISGKPSEVEPLSNPTSNKAVVTENLEIS QNSPRDTTPNSPRFSQALDYHVDRQNSNLLSKFTFQAGQKPETTAVSPIMTRVPAVQT SHRPSPPSTPRSPAAIARSNVFQRRLTPLQRMGQSALRRSNSINIPTKLRDAEAAEPR TEASSPVIHKGSSFAGTQGSEDMIVPDSEDEEDEDEPSTGHSTTLDLKRFSFAAN PEX2_048190 MATPFAQKWARFIPMIGYHHVLMIIIAVAIILLSLLLAGCSSSS PQMPNIFLISLYYEKYNPIRDLAQVDPGVVTALSNIVGGAELEVRVGYFGICVQPDKG SFICNANATALAEIVTVDQDPLNLIWVASTFKDAVVFPYLLIVAVILAFFCFILLATF PGWHEEVDESGSEHEVKPFPSRPVSQAALALIFVSAVFVLVSVLWQHTASVAASTIAQ DMGNGSVRSGVGSSAMVLGWFGFVLLVIVTVGLLVMILSISLIRKLTDEE PEX2_048200 MSNSSKANNAANFKQGAFNPSAPRGDPITDKGHQLGRKINEADQ HPEYHAQPFPSGAAPASNSYLPDPVSSIPGQANNFNVSAGQEDEVATYTSAANTLLGA TSGDVNRGFGTPMQGQTINEIRHNGAHGRKKQAASLEGASSSMQEHDSGDQFVDQRAL ERE PEX2_048210 MGDSKVTDKYGNVINRGDYVWTRIRGGTHEGHVEEVITDQQRAE EVDVKNPPKVSRKDNMIWECD PEX2_048220 MLSPKLAFLLVLLHAVSGSAYRWFNWQFEVTCESDAYIAPQNEH ATAMFLKEQYPTGSHIKVVGNGHGFGNLTTCVDNTLTEKPTYIVSLTNLKKLHINKKN LTVTFGAGWDVDDLVQELKANDLSFSNLGVERVQNFVGAASTGTHGSGSSLGNIATQI IGLRVLDSQGNLRVINEKHNAEELKAFRISLGALGLITELTIKVQPTQLLKKTTKVLN ATSDYSKMYKELAQLYKEHDRMTVWGPHFDWDANTSSWALEPTYFLSYWEPTNYTGVR NCTLNYCANGCGDCKKEYICYDEVTDAASCSPQGVCSRGFYAEIEHFLPIEQYAEAAT NYTIFQQAQTSRMKAPYNKQMVIQHRTLKGDDTYLSPVNTYNLGPESSGVFAIIEIDW IQEYNNFTTLWQNQELAYEFLPHFGETYNARSHWNKMSAPNATYTLEKFPKLPEFLAI QKRQDPKCQFVNEFLVEQLGITRCADYINL PEX2_048230 MKSIILSCFVISAAASQSYLPTEQIDVQSSLLSDPNHVAGKTVD YMIAGGGLTGLTIAAKLTENPNINVLVIENGFYESSEGEIIEDLNDYGDIFGTTVDHA YEIVSQAINNRTENIRSGNGLGGSTLTNGGSWTRPHKAQIDSWEKVFGNKDWNWDNLF PYMQKAEIARPPNDVEIAAGHFYNSSCHGTNGTVHAGPRNNGEPYSPIIETLMDSAKE RGVPTQLDFHCGVPRGISMIPNALHEDQVRSDAAREWLLPNYKRPNLQVLTGQFVGKV LINQTATSGAIPGYKAVGVNFGTNKNVNSNVYAKHEVLLASGSAVSPRILEYSGIGLK SVLDAAGIQQIVDLPVGLNMQDQTTTTVGSRTKPSGNGQGQAIYFATFNETFGDYAPP AHELLNTKLHQWATETVARGGFHNVTALEIQYENYRDWLVNEEVAYTELFLDTSGKIN FDLWDLIPFTRGSVHIQGNDPYLRRFSYDPKFFMNELDLLGQAAGSKLAREISNTGGM QTYFDGETTPGSNLAYNADLDQWVDYVKQNFRANWHAVGTCSMMAEELGGVVDSAARV YGVEGLRVVDGSIPPTQVSSHVMTVFYAMSLKISDAILADFHAKSSKH PEX2_048240 MRSAKAVISEKLARLGSTNMSYSTGSGTHRPPYTITSLRRWSIV NKELPAISQIRAIHVYDFDNTLFLSPLPNPQLWHGSSVGFLQTNDSFATGGWWHDPNI LAATGKGAEIEEPRKWEGWWNEQILSLVRNSMEQKDALTVLLTGRSEAGFSEIIKRMV ASQSLEFDLIGLKPEVGPNGQRFATTMNFKQNFLEDLVFTYEQAAEIRVYEDRVRHVK GFRDFFEGLNRDLQSGNSTARMPILAEVIQVTEGCTYLDPVTETAEVQRMVNAHNLTL RNPALNVTKSRCGRLYIKRVVFYTGYLVTPEVSNSMTQNLLAPLLPPGLAESNDLKYM ANSILITPRPAPRSILDKVGGMGKKLKWQVTGTGNLENKIWAARVAPIPATEAYHTEN PLPIVVLAVRKGARLIDAGKIQNWHPIPADRAMTFETVVGEKVVLRVEDSGEYRQGEQ PLNRSQKRRFQQDDENVVWPPSQNNGYDAPSHGRGNSHSAQRGVGDGRPQYDDSPRGR GAHRGRGRGVGRGRGNNARGRGRGRGRGEYYQYRSLDDHSNGHDGAYDGKSGHNSGGG SGRGGYSMDY PEX2_048250 MDDVDLRQKILQRTLQEVADEEKETANPCVICLDTITEPCVAQP CHHSNFDFLCLVSWIEQQPKCPLCKIELTGVQYDLNATQGPKLYKVPPPSTTIPTVPA AARSRPSNRYAPRGGRRPLPLPRPQPDDPLERRRNVYRNQTYSMRVGSNRLSQYRELT PELFSRDEELVSRARKWIRRELRVFSFLNPEPVEEERISHQVSRPGPQRLENRRANNA EFLLEYVIAILRTVDLKGSAGQAEELLRDFIGRDNARLFLHELQAWLRSPYNSLEDWD RHVQYSNTPRDRLL PEX2_048260 MADNVLTSSVEVGAQAINVRAELKTWEKAFSSANGGRKAGRDDI KQNADIAAKYKEYSRLKALEASLSRRENSRQEPYESHSKKRKHASPPGQDSAYLQVTP RKSSRGLFATPSNNRTKHHPAQLDPYDSPSTLRRLFSPSTHRQGPPAPSPFKAAIGPT PQRDGKTLGLFDMLSESGGSGGTPSAERQTNNLAAAFRTPSKKRMNTMPQVPEEEPQQ ETPRLARTPASETKQFYLANLFATPTTMRYAAMVEADDNVDSQKINPLNLVPEISPQE AQSGTPSFLRRSNSGRYPPPTEQHDGPGLSPIVSRKPQRFASKGLTHLVQGLRDMEED RMEDDWDVMREMEEEAEAEEAAKIQVEDSQGPEVNRKYKKKGQKRTTRRVIMRPVIHQ LKPKSNPAPAMDEDWEGEDDVTAVLETQHPPAANDGWDDVPSDIERADEAASLHTMSE PELDTEAEFDPDSDDDPEFGEEPKPVSRPKSFSERIKEAVSSAAKSQEQEPLGKPPKK PSEAAEAKKPRARKINPQAHANYRSININRGGSSRGRGRFRRK PEX2_048270 MRSSLSTWTLFLSFLGCSAAAPTVPILPHHLIARDTPFNSSLPN ITIFATGGTIAGSAASNTATTGYTAGALGVDILIQAVPALWNISNVKGVQVANVDSGS ITPEILLNLTSLIQEALDDPYCQGAVVTHGTDTLEESAFFLDMTIKSEKPVVVVGAMR PATAISADGPMNLLEAVTLAGSPEARGRGAMVVLNDRIGSAFYMTKTHSNSLDTFKAT EQGYLGFFLDTKPLFYYPPVLPIGQAYFNVSGATELPEVDILFGHQVLNPKLARSAVE SGAKGLVLAGMGAGGWTSAGTNEIEKLVEEYGTQVVVSSRTMGGFVQTDSTGNMYGGQ DLNPQKARIMLQLALYAGYGSQQLATLFGFAS PEX2_048280 MVAQRRDATSGGDGERGEHDLPGVPPPRSRGPDAAFPANDKVSV GDGSLPPDLSKESAQGDPSQSEATELVRNFFEHLYPVPSYAFLHPSVTLAKCDEGTLE RPLVLAICALTSLHVPVHNVVIDREKSDTWVSNAEETIWKCLENPSMSRLRALLLTVS YRMTTGSYEKAFMLTAIAARAASAMGLNHEQTHLDPIFEEIRRRTVWCFKLLESYFSI GLTEFEVCPFECIYLHPPSSEDSFGLICPPGSEDFVIYALRDQNELGSLNMCIRLASV RRDIMKLTRELAVCSEPYLHLKDVTGGLEDILCELKTKMPNQAEISTKGLKNLIESPW LPRHIMMFTLWHGCYFDLYRIFLPGYPEAPPSVVLSTIDAQFVHIATRTCIKHALSVV NLFCDLNQTCTKPRLLEFATGVCVYHAIRLILFIAHSSTEPDLLSLEFAVSRAELCLA AIKRFFHGLALAQPILDDIAQLIETFSSSNSAIETLSIFHKVNHGRKSDTWILSAARP RQHLAVHSVLQQAKFLTEEPLS PEX2_048290 MAANIGSKRPNIIFIMADDHASKAISCYGAGINQTPNLDRIANE GIRFDHCYVTNSICTPSRAAILTGMHNHVNGVMSLHSKIQRHTPNVAKQLRGGGYQTA MIGKWHLGEGKLHEPTGFDFWSILPGQGEYHDPEFIGPDGTHVMEGYATDIITDMTLD FIKCRDQTKPFFVMCHHKAPHRSWEYQEKHKDLYQDSIQLPDTFSDDYKNRAKAAAIA KMRVSEDLSYKDLGLAQPDGDQRKVGEKMAGGMRKVPSPSTDEEVRKITLIDNEDGTV FRFGSKTELAEFKFQRYMQRYLRTVQSIDDNVGRIFELLDSDTELADNTIVVYTSDQG FFLGEHGWFDKRFIYEESFQMPLMIRYPKEIKPGTVCSDIVCNVDFAPTFLDFAGITT PTYMQGRTLRSILRGNCPADWDQLAYHRYWMHNDAEHEAYAHYGVRNHQYKLIYWYND DLLVDGARPGGEDCREWELFDCQEDPLELFNCYADPKYGEVVIEMTRLLEKKMMEIGD EPAHEKLTVQ PEX2_048300 MDGESGRARAISDAQPPLSPGQNRDASDTDYISPTRTTGADEGG ARQRSSFASRRSSESRVPSLAPRTTLAERTQGRFTLAGPDETPQLRSAQEPFVQPGYA DLNPSYEQPSNTRPVWSLAKPLPRVVRPGMVPTKQELLQNLANAQRPTENSDRLNLDV DANDIEQGRIDKTADPRKMNAQVDDARLQRETNFMNKILSGDGNSVRQTSRLSRSMSV RRRRPTIGDMTQGQLSVVQEGDSQHDQEPGERPEVGDEPLGGDEDLGATLEQILSAHE LEKSGFPDDMHPLVQDLIEDEVHNNHTVWSVIRTHHREAFAEALGVFVQLTLGLCVDL SVTIANQGNPNTTSWGWGLATMMGIYICGGVSGAHLNPVVTMVLWFFRGFPKRKMPEY FAAQFLAAFLAGLAAYGIYYQSIQNYLHLNTETGIINSFVTNSREAWIGPGTAFFNEF MGTAILIVTVLALGDDQNSPPGAGMNSLIIGLVITCLLMCFGYQTGAALNPSRDFGPR LALLAVGFPNTLFTDPFWFYGPWAGTLSGGFCGAFLYDFFIFTGGESPINYPLERTQR AITKSRMKWKRRLHLN PEX2_048310 MATSLRNIIVVGGSFVGRTTAQELARIVPSTHRVLLTEPHSHFH HLFTFPRFAIVPGQEHKSFIPYTGIFNASPNSSSHGVIQARVLSVKPTHIELDREWQG SKEVPFDYVVLATGTRLSKPAAMDDDDKASSVEYLKKHQAGVKKSQSILIVGGGAVGV QMATDLKEYYPEKEVTVVQSRPHLMPSFHSALHDLIKRRFDELGIRLITSSRVTVPPG GFPNDGSTFGVQLTNGTTESTQFVILATGQTPNNQLVADLKSSRPDGESVVNPDNGFI RVRPTMQFLDEQYSTLFAVGDIADTGAQKAARPGSAQATVVAKNIQALIEGRTAEDKF VKGPGAIHLTLGMKHNVIFRNPNTAEGQTEPWINAKDDGREDMNVEAMWERLGISVEN PRQYHL PEX2_048320 MSLRAFEQQNVAALQVKFLSHSQISNITMPGHFGQKVLKTLGLN DHAHQQNSSAVRLPGRPAVNNDERGLSSPPNNGTYPRLCRLSDGTILSSFTRFPDGQR SLRVAKSTDNGLTFEDFSEVTRAAGDVDNMFLCEVAPGTILAAFRNHDMGPNGPTHFR ITVCRSTDGGRVWQFASQAAEKRPPLGIWEPFMRVGRQGEVQLYFSQEFAHNNQCTML VVSRDQGSTWTQPTCLHGDQDPLRDGMCGIARTFDNGREALLMVFETTRYGPFSVEAL LSYDDGATWGWRHEVFRPRQGHNAGSPQIASFADGSMATIFMTDEDSNHVEWVKNASI KVVFASQPVEGRVQWSSPTLISPASSFWPGIMALDHHNVLATYDRGGPLAKTITWHPA PEX2_048330 MHFHTTLLSGLAILPVVLASWPFFDTTKKTSATPYRNEQPNVKV ETLFQFPNNGSWIDNMVVRSDGNLLLTRLDTPEVWLFNPTSGNATLAYSFPNVTSCFG ISEIDDDVFAVVVGNFSPKTFQPGPGSFSVHKLDFTKMDAGENERVLESPKSSKIVAM PDAEALNGMATFSRGSNLVLIADSPKGIIWKVDTETGDYSVALNDTTMAPAEGQALPL GVNALTVFGDYVYYTGTTRMVYCRVKVDEDVKPIGDFEVIASGFLPDNIEITADGTAY IPTAPQNSVVRLTPSGQISLVTGGQVSTGLAGPSSVRLSKDRQTLYVGTNGGQIAPVL GSFIEPAKIVKISFEE PEX2_048340 MIAYDQLESPGNMIIDLENPSLLVMEKSGAFGKPHPATEEEIRD IIHRFVHVAVYLQKSVHDGVQLHSAHGYPSSTPGISALSLESKLTRWNSKNMDLPPRS RRNYRTRRYVTGGFCTASGMVQALETVDGVGLGRRNPAEDRSPELFKTSPAGGVRMLR IAKDEEPIDLSNDANMEVFMKGPREWAQQMQKDGAAMNMYGYAQLPKG PEX2_048350 MLFESKQPILLLSMETESSDRPRTRAKKACLSCNSRRVRCNVLE MQPCQNCVSWNLTCEVGVSRRGK PEX2_048360 MLFIGVSLCSDTALNSTGFNNRYQAKEAFYQRAKDIYDSGWETD TVIKLQSLFLLSFWRGSPTEERDVRFWLGAAISLAQKKGMHVMLKLSFLNTKDQKLWK RIWWALYVRDQQTSAALGLPPRIRDEDCQVADLEAIDFEESEPMGPPEIFRPPPAVHI PYVIGMAQLARLCMVSSNIGAMPFTDSLIFIDWESCLPKEMQFQMPTSREAMFLVGML HMAYNNLYILLYRPLFLQPPVQSANPEGNVALDAATRSTRILEDMLSENLVQHGSVHL ITHTFSALCIHTIHFGRVTGTARKLAEHRAKLCLLGLKELQKSWDLENWVLDLFFRCL DDRTARDLRLADPAMPSSTSQAGSGRNIEMSPASPASGLYRPRSPNAAYDILQSPNMM PHHNPGEEAAINMDWYELFNVEGDDVMGLAGSLSNPDYLNPQNLEFLYRFL PEX2_048370 MKSFLSLTSRPQALSGAVQHARGSHLTLQLYSSASSGGYKPLPL AGVTVVSLEQAIAAPFCTRQLADLGARVIKVERPGVGDFARHYDTRVGGLSSHFVWAN RSKESLALDLKRKNDHAVLMKLLKKADVLVQNLAPGASARLGLSHKALKAKNPGLIVC DISGYGQDGPYRDKKAYDLLIQSEAGMLSVTGTGKEPVKVGISIADISAGMYAYSNIL SALLQRGKDGQGCQIDISMLESMVEWMGFPMYYAFDNASGPVPAGASHAAIYPYGPFE AGDGQSVMLGIQNEREWVSFCNVVLSQPDLATDPRFSSNSQRTQNRDELKSIIHDAFS NLTTEEAIARLDEASIANASVNDMQNVWNHAQLQARDRWTKVQTPVGAIPALLPPGST KSAERGGYSAQMGSVPQVGEHNEAILAELGLSN PEX2_048380 MSILREKRESMSWLYTEPEILPFLCIKESARAFEHHIPRNATVN IKCGTGHCTRSTATTHDSPKGFCDFVDDVFPNIYYSYSDRVELPWFEIAQYDRGAGIA MEWAFRSLGTLQQGRVNGNQRQILASQEMYGRGLRCLAQAIRNPATVGTNDTLGAAIL LGIYELMNATAENPWVLHSRGVSHLFRLRGAKSHASGYGRTLLLSFRGFLVYEAFTQG EACFLESEEWRSTLPDTLEDDERRGKSCRLGQLMDYAFNEIAQCPGFLAKTKALVGSP RTTDAERDDLMGTINNSREVLGDVEIQIMAGVKADHEGNKKESQAFFGLIPLSMKNAS VKFTLEGVHTAIALLQQLSVILLSDRNRQKSVTPWLKLGPRVNERNLVKSVGEIGQAQ EDTPLHPTGPQQQGSPKIWYDRIAMAMGMPETV PEX2_048390 MPSSMTSSERSSSVKSHHSPKTSLQSKADPNMALHEQEPTAVNL QPGSRDAFSLRSIQHKDRDGNLITDPDLSNPTRSRLERPLDTIRSFDAAINAHRKQQR M PEX2_048400 MKFSLVALATLAGVAVADLDPIVIKGSKFFYSSNNTQFFMRGVA YQKESTSSSGYADPLADATACARDVPIMAELRTNVIRTYAINASADHSACMKLLSEAG IYVISDLSDPNQSIDRNDPSWKTDLFARYTSVVDELAQYNNTIGFFAGNEVSNTIATS DASAFVKAAVRDTKKYIKKKGYRSMGVGYATADVSDIRADMADYFNCGDTDDTIDFWG YNIYSWCGNSSYAASKYQARTEEFANYSVPVFFAEYGCNEVTPREFTEVKALYGDTMA QVWSGGIVYMYFQEANNYGLVSVVDSTSVSTMADFKYYSSQIATVNPTGVNKASYTPT NTALRSCPTVGSAWDAEPSPLPPTADVDLCDCMYDASACVVAGSLASTKYAKLFSTVC GLTDCSGLTANATTGEYGAYGMCSTKQQLAFALNKYYVEQSRASDACSFAGSATVKAV TSATGTCSAQMKEAGSSGTGTVTTENTATGGSSSASSTGSSTKTSSGAISMHSSSSFG SFQVAAYIATALLAGVGMIAL PEX2_048410 MTTTNLQDSYQGSYRAGTIAMTVLGVVFVILRFLARWKKSLKPG PDDYVIMAALAPFLTLVGLMLASTTKVSILLMYCRIFPTREIRIASMILGGISIAWSM SIILVSVFQCTPIARAWDTRIPGTCINLKGSFIGNAVPNVITDILILCLPVRVVWGLH ASITHRLVIFTSVYRFTTLFEFNPTDIAWTLGKSCTWCVVECSAGIISACMPTLRPLF MIVSSKFASRSGTQRTRTTDIEHSKGYELDNSALRPSDEPRNKTRVHLDVSRADDGSE DEVPLNAIRVQQDMTWQESRGDSFRGYK PEX2_048420 MNISESPVFVFVPGAWHTADAFDVVRDLMHKRGLATEAISTPSV GAPCPDKGLHADIEHTHAVLKAMVNAGRQIVVVNHSYGGVVGAGAVEGLGYAQRCKAG LPGGVIMVVWMAAFVTPKGKTVKDMLGGTLLPWMVIRSPDDGYCWSSSEETIFYNDMS PEAQQKAISKLKPQTQNSFQEPATHEPWHEMPSMYLFCDKDAAIPLAGQEMFAQTLGN PVTYHVDGSHSAFLSMPEKVVDGLEIALKEGQQQSGIAVN PEX2_048430 MPEDNYGNEYSYKSFEGNHHCSRDYGSGASSSNPYHYSNHNGSY YYSNSDESKYYNSGKGDAVYTPPSGSTQNFGNSRN PEX2_048440 MREPKIQNIPSYGRREIITLPNKSHHVIQEPDMKKEATFNQKVF NLSLALSQSRINDATELRCMVLDLDGSIKESETRGTRDDLAKEWGLDGRDLRNVDLVS EGTPHLLVRPSVIFISMFTLRLLVRAHGVLLFLLPIEDCHVKVQDVFMTNLQSRLQPG PGSGLLAKLPFELRVVDAALASVIATLEAEHIFIRREVEDSLRDSTREDVVYSVLRGL QDHRKRLVAIQQRARQFRLALREILENDEDMATMFLTDRQAGQPHGVEDHLEVEYLLE AYYKNTDAIAESASALLGDLERTTETIQSILDVRRNQILVFEAQLEICMLGFAVSTFV AGLFGMNVANFFEESTSAFVILVGACVIGTVTIAKYGLWKLNKFRKLRF PEX2_048450 MVKITSIIGVLMGGASATAIATSIQTRSSAAAALSSLGVPLPAG DVLVGNAGYTCSLLNRVFSKNETFTATSPYYDVLIDEARSQNCRLNASCVVTPDSAQE VSRLLQILGILETKFPIRSGGHNTNPGFSSIDHHGGLIVLGKLNIMSISADRGTVIIG PGNKWGAVYKYLQPYNVTVLGGREVDVSVGGGLSAFHNTHILAIDSVTRFQVVLPNGK IVDATETEHADLYKGLKGGLNNFGIVTEYDLTTGIDIYYELKTYTVSNTPAVLEAYAK YLLDADINSNVEIQINPTYTLVFYGHLGHVPTPAAFGPFSGIPVASTVYPATNGSLSE LLLTIGSPGLTSDGVSYGGTFTFEVTGPKFLRNTFSAYLEAAASLPSGASQNGGNLLG LEATTQIRANIFVQFPETLSQSVVTGTEDSVLANLISSAQSQGLFLPYIFVNDGSPNQ KPLQSFGEKNIKYIDIVAKKYDPKRIMQNLQNLAYFVSNEL PEX2_048460 MGFFAFEEWAAANRNYENTPAPYWHVNSVPNGFTAISGILWSIS YILMTKKAFKDRSYAMPLHCLCLNITLEAVYGFVYGPGLLNQLVFAQWMIFDVVLFYA ILRSAPYAWRQSPLVAQHLAGIIVVGHVYPENWSEGRVYDRMAHAGPYQSKLDSTATV AWKHPRSLLGDLVDQNVRHYRSCMVYAVVYIHIQRLEKQRDTPVNIRAKRSR PEX2_048470 MAPCKPRTFTAGQDPLTKFDGAISVRNLPRPTDRFFLFHGIMRP SRGIYAKLIATGQKPPTHFHPSQWEFFRVLRGNLTIEFNGELAVPPYTHHVIYGTPGT EMNEVEFIVSASDPAAEEEGATMMDQPFFENWYGYQEDVFQRGEKLDFVQVLSMFEAG GTYLSPPWPVDRWAAGFYPEWTTDWEAACELMQQSRFQRRFADPQAQQRAQERFRGQL GEEASAKGEKSE PEX2_048480 MVNILQPKIDPLPTGIDLTGKTVVVTGASAGLGLETAKQLLRLR ASTVILAVRNVAKGEACATALRQDRRIQTQNPNPTIKVMALDVDDYESVQIFTKQLRE EIPVVHLLILNAGIGLLKLERSPSGHDRTTQVNYYSNVLLVAELLPYLEAGAEKTGSP ARISWVGSRAHESPSLEKKSPIKPGEGVLAHMDKEEFFVPFQRYGDSKLLCVLFMYSL APRLDPKKVIINMMCPGMVNTSMSDILPLHLRLIINVVKSIRARPVEVGVWIILHSAL VVGPESHGKFLGDKTIADKSAFIQSPAGQEIQKKLWQETIAEIGTLTTLPAEFK PEX2_048490 MPLSEPRQIKKPRLSLSCIVCRRRKVRCGREQPECANCVRMKET CVYRAMVRDESTGRVRPVSPQDRDSRDTTDTTDARSELPWLHWGRETNAPYPEKHSRP PSRPQLPSNASPSPHRQEPYPPVPSWEEIQLPSYRDANVPQIGSSTTRHPSPVPSTSY FSALSDPICHDYLSTRRGGRVRYIGRSFWGFVAGKENLSDDFFDENQHAHPDLPLPHI SSMGMFNLLRSLPTKPVSDALLGTFFLAVWPLVPLLHPPSLQADYDEFWDWCRNSESA IPSAKLRDDPTFICLLFAVLYCGASAAPAATWTYPNLPGLQKETTATHLKSAYTTSLS LCQHLEHPTLNTLVSTLLTTPFLDRPFEPMCSLVHVSTTVRIAQTMGLHREGIWSALS DVDKEIRRRIWWHIVWLDVQSSISTGLTLCCGNEALDAVRMVNPHREGSSDIPAGLSP RTDTVTNGPSVAILYAIGRYQTARLQAKIVAHLQSAQGPTQDEFGELITDAKELLRKI DSLIARIPTQGIPERGYIPFRLANASPSTHPSLYKDDTSQPTVFAARCPAPHLATKAS ELRATPHQPSPDASSSTTRTNRNYETPYMTTGPPPVVAGNKPGPPNNAFVDGSDSVLD MDFLATISDLEAWSSSLILEPDNLLAHPDNITPDHAVIIGLGPHSTTPGHRGLHEGLD FPAK PEX2_048500 MPDATRPNETDAESPGRVRDPLSVCHAISCKAERKGPGTAGTRL TADYSADGGRYNILWLTSTRGVRTVEVTACTPYGVSEGFDWWNLIGRLQGEGNGRIGV LGCVCIHVRSRKRCALSRSSAVHKGQKMDLAGLHGVRSLWN PEX2_048510 MGVNCDDYAKLVLEDLPDVGAHMGVGTAYCGIPSLAVHHARQAI RAGETDLAIAGGVNALLGPGLTRVLDEAGAISADGKCRSFDDSASGYGRGEGAGVMLA VLKGSAVASDGKTFGIMAPNAQAQLLVAQKALKEAKMTSDSISYIEAHATSTSLGDPT ETSTLAEVYGVGSGRHPPDPCYIGSIKPNIGRLEAGAGVIGLIKAVLVLRHGRVLPQV NLQMFNSRIRWKESLLRPARELVTLSNGGPSRPLRAAIASYGYSGTVSHAIIEAFEER SLFAERLGQIPDSDAAPVLLLLSVPQANRISTTAGGLSQWLRGNDGALSLATVASTLS QRRAHHRFRHAIVADSVANAIAALDDLTKGVPNRWVINDRIGTEAAKGTVWVFSGCGA QWPDMGRELFHSSPVFGEAVRNLEPIIQAELGFSEIETLHAGCPDRTDVIQAMTFLMH LGIAAVLEAESGPPTAVVGHSLGEAAAAVISGALTWHEAALVVCRRARLYRELIGQGA MALVRLPSSEVRARIATHPGAFIAIEASPTTCVVSGTVDAVHKVSEQWREEGIEVRAV ATDVPFHTPLLEKPAAPLRDALKGELHPQVPHRALYSTSLLDPRSEAPRDVQYWVINM VLPVLLQSTVASLVDDGFRAFVEVASHPIITHSIAETISEQTTDRFIAIPTMMRKQQA RRSILAAVGRLHCFGCAVKSTDLDPTAPWSSSVPGTTWHHQPFYRAVSRMTDAQLAPT HKPTANNLLGTRTALWGTDEVLYQTRLEEDNRPFPGRHPLHGSEIIPAAVLLNTFLRA LSPRSVEEVSLQVPVVVSPARKIQIRHNTRNITIKSRLSTSNEDGSGDREKIAAETVE QLFDYLTSVGVSAMGFPWRVAHHVASENEMLAKVDANPDSLAGMDDLLTSVMDAATSI ASSLWHREPRLRIPTAVRRVVAVDMTTQQIVYIHCAKAQSSVDEADVIISSEDGTVLM EFQGMAFAGVEGESLSRKNTSGLVHQISWPPATLVEEPLEFSHIAFLVRDATKTHVES YQGQLESRCISTSVHQHASDLPLTTHSSLAVVYLPQVTDQIFETATLSYNGLVSAAQI ILSSSNKPTVRLFALTCETNLGHSALTGLGRILHTEHPEI PEX2_048520 MGSHAPLASARSAPPRPAVGPAALTFSPASTYLITGGLGCLRLS VAQWMVSQGARRILLLSRRSLPPRSTWTASHKPETQSIIQSILSLERLGATVHSLSID IAHPSAIINLRSVLTILNLPPVAGVVHAAGLLRDQLIEKITPEAFEAVLAPKVAGALA LHAVFPPNSPGLDFFVLFSSCGQLLGFPGQASYASGNSFLDALARSRRKDGDNAISLL WTSWRGMGMGASSNGALEAELYARGITDMTPDEAFRAWDSISASDGADHGVVLRVRPL ESMEPLPHAILRDIVARKESVPDELTGERGEQRKTLTGTKLAEYLRVVVKKCVSIDET VALPEMGMDSVMTVSFGPTLV PEX2_048530 MNALHYPIDDEGHYIRPHTILEIGDVALVVQREDVAIKMAIVYK NDTLEKVEENRSRIRREQEVWRRIQPNFDTPVEGIVHCIDLPGDTIEMRYMSGGTLSK WLKYRARPGIELQRRWLRQLTIGLHNLHQRRVIHSDILSRNILLDGSLNVAICDLGAS SIMPIDTVMAHTVDEYNCSIWTDLCQLGLVFYEIVTGRETGISLYHNVGTDNSIARFP SRDMLPALGKRIWARDIIETCWRKGGYGAAGAAEILVKLDKMQGPRHH PEX2_048540 MASTDYKFEGWVGLSPEAAQGKMVWQEFEPKPWEETDIDIKVTH CGICGSDLHTLRSGWGPTNYPCCVGHEVVGTAVRVGSQAVGDIKIGDRVGVGAQSGSC QNKDGDCESCAAGLEQHCSKMVGTYNGTYPNGGKSYGGYSTYNRSPSHFVIKIPDAIS SADAAPMLCGGITTYSPLKRNGCGPGKTVGVVGVGGLGHFAILFAKALGADRVVAISR KSDKKEDALKLGADDFIATGEEEDWATRHASSLDLIISTASSSKMPIVQYCGLLRVHG TFIQLGVPEDGALEIPAFVLIGKGLKLGGSLIGSPGEIREMLELAAAQGVKPWIQERS MKDANQAILDMDNGDARYRYVLTNE PEX2_048550 MADQDLFDEKHKIGEQVIDSSSESDHGIVKDWDTEESAVRRKID FILIPILAVAFFALQMDRGNISAVLTSTITKDLNITTNQINIGSQLLSAGIVLSEIPS NIIMQRIGPRVWLSGQLFAWGLVATFQAFVNSFPAYLVTRVLLGFCEGGFIPGALYYL STWYKKDETSLRTSLFFYGQMFASATSSLISAGLLQLNGTHGMEGWRWIFLVEGLITL FIAIVFTLLVPPSAGDGRPLIAFGRWSYFTERESHIIRNRVLLDDPRKAKGHIQISGS DIWQTVKQPRILQHVFVTLVSMTGFTGLTQYTPSMIKGLGFGAVKANALASVPVYCGM IWLIVLSFAADKTRHRGPFVLLAITWNVISYACLRTSSPHASPWHRYGVIAVANISYC SMHILNVGWLSFYCRTPQERSVAMALVIMAANCAGIAGSQIFRTSDAPKYLHGLTAIC SIAGASWVLAFVLSVQYYFRRQKAVPSDNGETKA PEX2_048560 MKLINALVGLIAAEGVTALNLQSNLQSAVQSILKDSETQSRKPN ILFVITDDQDLQLDSISYTPLISKHIRDQGTFFRNHFVTTALCCPSRVSLWTGRQAHN TNVTDVHPPYGGYPKFVERGFNNDFLPLWLQGAGYDTYYTGKMFNAHTVDNYHSPHIN GFNGSDFLLDPYTYSYLNSTYQRNHEPPVSYEGEHTIDVITGKALGFLNDALAGERPF FLAVSPVAPHSNVDPGNIASGKIYMSAPIPLERHEHLFQGVTVPRTANFNPDQPSGVS WVHDLPLQNQSVVDYNDHFYRSRLRALQGVDELVDGLVTRLEESGQMDNTYIIYTSDN GFHIGQHRLPPGKTCGFEEDIRVPLFIRGPGVAKGYVQDAVTTHVDLAPTLFHLAGIP ARDDFDGTAIPVTPEFGGERHEHVTVEYWGSAVVEGAYSGIGPGGSTLIPNNTYKSVR LLGQGYNLYYSVWCNNEHELYDLSTDPHQLNNLYPTTSHGNINEPRILGRSFTQAINR LDALLMVLKSCQGMSCVHPWDVLQPVDPVSTLKDALHKKYDGFYGAQPQVSFDWCDAG YIIEAEGPQVPLTSRYGVSWDVWV PEX2_048570 MPSLSTAAQSGSITRLPVHLEESSRQHRDSCLQSHLPGYHESSS AETMTLGPPNLTTLHHAGLRNVTDLNINPMQIDSLDGLTENPLNLRQAWIRGPFPNVN HIAIGFTSADHVRMYDNPSLALGGSSTM PEX2_048580 MGPLNSQLPPSVLTDFAVIPSLNFTSFNCTLFVELKRMGCLLND TLWFNCRDSKSSTSGLFLLIYQLPRPCECSARDLDLGAVSESPPTQGQQSVVPYID PEX2_048590 MSALLTTLGLRAAPGQTAGNHASALLVVNWFFAYILTSPRGAKI RLGLDHNVNPREDLTTYGEAAVQSGKITRQTLDKLKRQTSAHANVQEGFTLFVAAILI SLYAGLPNETINTIGIWYSISRVAYHLFYCNIETRSLSFLRSAAWWSGNISCLYALVQ ASKKL PEX2_048600 MKFLAISALLLSTVGALATEHIIKGAQIIPASDKTALKKVGAHG HHKHHDRRTVTIRSSKNDTDDVSDDFLWGIKAANHGGRLLLQKGKTYVIGKKLDLSFL DNIEVQLEGEVKFTDDIDYWQANNFYYSFQKSITFWVWGGQDIKIFGKGTLNGNGQEW YNAFAGLEVLDPDNTFYRPILFLTDNATRVSVEGITQLNSPCWTNFFVRTKDISFDDV FINAFSTNASALPKNTDGFDSFNVDGLSVTNTRVDIGDDCFSPKPNTTNIFVQNLWCN NTHGVSMGSIGQYSGVEDIIENAWIENVTLLNGQNGVRLKAWAGPDIGFGRINNITYK DVHIENTDAPIVLDQCYFNVNATTCAKYPSSVNFTNINFENIHGTSSGKNGKVIGDLT CSPNAVCSGIKLSDIDITSPAGGPPVVTCDGIDGDIGVECQSSSA PEX2_048610 MEKMDGQHQHIEGAKANAQGMESGKQCDFYVEDQVAHLSEEHRQ YILAKHGTLDLEPIPDMTDADPYNWPRWRKNLNLTLVAFHAMMATFTASSIQSAFENI SEDLDVSMQRTSYLVSLFIAILGGAPLFWRPLCNRYGRRPIFLISLICSLVGNIGCAK SPSYATMGLSRAITAFFISPAAAIGSAVVAETFFRKDRARCMGVWTLMVTLGVPAAPF IFGFVALRVGYRWIYYILAIVNAIQFVLYFFFGSESLYIRSNVPSNNAIAARQSLFGF NRIDPTSLKLWDFFQPLSYVTKPCVIIPAVAYAMIFLWGSIALTIEISQIYPAKFGLN TQQVGLQFLGIIVGSVIGEQIGGFISDRWMLMRQKRTGEPSPPEYRLWLSYIGHALTI CGIVVFAIEIRNSGGAWNIAPVIGAAIAAGGNQIVTTVDITYAVDCYREDAASVGVFI TFVRQIWGFIGPFWFPEMFEVVGWAGGAGIAVALMVGFSVIPTILVQWRGAGWR PEX2_048620 MGLDFGSLHNKPNKVADSFAKTLEPTREKMAFLVMNFALPQWMA RRGGASTNFAQITFLHGQRSCIGKDFARAELRCAIAGVVGRFLFEMQDPKQVIHVAGA VTIKPVEGTHLRIRRVDGW PEX2_048630 MSTLNLVIQYTQAALFRLPGPTQQFLRNPTAQKAIGVLTALVFL RATNRFFSKRTLQNWTTNQPWIPARELILLTGGCSGIGKQVMEDLARTGVRVIILDIN EPNFEPPTNVTFYKANITSSADITQVASTIRENHGEPTVLINNAGVGHDGTILNEPEE KIRQTFEVNTLSHFLMVKEFLPAMVKANHGHVITIASMASFVALGEMVDYCCSKASAL AFHEGLRQELKYWYNAPNVRTSIIHPMWVRTPMIKMLTDNEAHFSQPIMTPQVVSGAI CKQILTQTSGQVILPTSQSVASLVRAMPTWMQEGVRTLASGALKKMRIAQQAEEKAHA Q PEX2_048640 MSLTKIIKVNLSLKEKLDFFPAVASIVIAYIYALLTGLRRTERQ AKTLSLHLGYALFRKTTSRLTASQMQYILPPSHKVYEQYSKKTGGLPESVELGDGALG HWVGDRNAENVIVWFHGGGFGLPANMGYFKFYAQLLRDLKASGKSVAVFSLTYTLAPI AIYPTQLRQAVNCLRYILSQPNRDPSTVFLGGDSAGGNLVGGVLSHLAHPHAEIDALP LDSNLGGAVMIAPWTLLEKEFPGMEIYDGGDIITEAVAGPWATAYVGAGKRDYYTDLS TAPADWFATFPVNSVLITAGGNEIMLPLIQDLAAKFKEGFENVELFVGHRECHVAPIY HLELGDVTKTEQGKKVEAVLAELMV PEX2_048650 MNGINGVNETKHFDIIIVGAGISGINAAYRVQDQLPGYSYTILE ARTDLGGTWDLFKYPGIRSDSDLFTFGFQFNPWSRDNPIAEGSAIKEYVRDTATKYGI DKHILYNHCLSLADWSSDENTWSLAVDHNDSQHTYTARYVIFGTGYYNYKDPLKADIP GLDAFQGQIIHPQFWPEGFQYKDKKIVIIGSGATAITLLPNLADHAARVTMLQRSPTY ILSVPNRSSSRWLSYILPNDLYRRVQRMISIYTTRIFFLFCQRFPNFARWLLKLNVRK QLPGHIPYDPHFNPKYNPWEQRLCVCPDGDLFRSLRQGKADVKTDTIREVTKNGIILS SGEQLDADIIITATGLRLQIAGGSALSVDGKKIDVGDKYLWNGIMLQDLPNAAFVIGY TNASWSLGSDATAQFVCRLLKELESRKLVAAVPRLREKEAVTLQDRPLLNLKSTYVSL AERVLPKAADRGPWQPRDHYLKDLKFAHHGDIDTGLEFVQGPNLRLRPKTS PEX2_048660 MVGSMICRVLAVLSLSLTTIATPVQLARRAISSELLERFTLFSQ FASLSACDQNINNTGQSLTCDYGTCELVAADNTTVINTFHSDHGPTGYIALDHTRQLI VLTFRGTVSESDGKTDLDIVLTPIDDVCTGCKAHHGFWIYWSDVASQATTQLRDATTA YPGYRLSVVGHSLGGGIAALAGTVLRTQGFTLDIWTFGGPKPGNMKLAEFITNQQAPN SIYRATHTTDPIPKVPLNLPFLDWSQPSPEYWITQETGVQVTTDGVEYIGGINSKAGN AGSARDLRWPNPNHGWYFGNMSRCAKASDASS PEX2_048670 MPPKGKYSNPKLRDEIKKEVHDSDKGGKPGQWSARKVPSTIALI SIPWYHLLWILILVQAQMMASEYKRRGGGYNTTKEEGQTESQKHLDTWTNEEWQTKEG SGTARQDDDSRKRYLPKKAWEKLNEEEKEQTEENKIDESRGGKQFVSNTTEAKEARRW ASSDNGDEGQKVEGDSGKIQWNKEAGKQRTKKNEEEKTDDKQNDDKQNDDKQNDDKQN DDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDK QNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQNDDKQND DKQNDDKQNDDKQNDDKQNDDKQNDDKQDDDNKGGAGTKRSAKQDEGPKKKQKDSARM SSLRQRK PEX2_048680 MNASDPVDVAEIAKSKAISHDISVNEKVPVVDELTIDNAPPLRE VSIEGDSMDKSAPTEEEMATLRRIAGTLPVAAYSVAFVELCERFSYYGATAVFVNFIQ RPLPAGSTTGALVAGVSDWSNDSPGALGMGQQASTGLTLFNSFWSYIMPLFGAMVADQ WWGRYRTIMSAIACALIGHIILIISAIPKVIDSPNGAIACFSIGLIIMGVGTGGFKAN IAPLIAEQYREDRPYVTTLASGERVIVDPSATVSRIYMYFYMMVNIGSFVGQVAMVYA ERYVGFWLSYLLPTAMFCLCPAVLLLCKKHYILTPPGGSIYPKAFKVSMLAMKGHWTL NPVRWFKTSGTDIWAAAKPSQLGANKPAWMDFDDAWVDEVRRGLLACRVFLWYPLYWL AYNQMLNNLTSQAATMKLGGVPNDIINNLNPIALIIFIPIFDHLVYPGIRKMGLHFTP LKRITAGFIVAALSMVVAAVTQHYIYKLGPCGNQANYCLEVKHEHTNISVWIQALTYI MGGISEILASITSLEYAYTKAPKNMRSLVQAVSLLMNAFSSALGQAFIGLADDPLLTW NYTVVAILAFLGGVGFWATNYKLDAQEDAMNMLPDSQYTPHTAVDEERK PEX2_048690 MGFTAIWITPVTKQLPQNTGDGTSYHGYWQQDIYNVNPNHGTSD DLLALSKALHARGMYLMVDVVANHMGYAGPGNTVDYSVFTPFSSSSYFHSYCLISNYN DQSNVENCWLGDTIVSLPDLDTTQSSVQTLWNNWIGDLVSKYSIDGLRVDTVKHVQKS FWPGFNTAAGVYAVGEVFDGDPAYTCDYQKYIDGVLNYPIGSISDLYNMIGTVASDCA DPTLLGNFIENHDNPRFPSYTSDYSQAKNVISFIFLSDGIPIVYSGQEQHYSGGSDPA NREAIWLSGYSTTTELYKYIATTNKIRKAAVAADSSYITTKNIPFYQDSHTLAIKKGS GSSPVITVLSNAGSSGSSYTLSLSGSGYSSGAKLMELYTCTSITVDASGNIAVPMASG LPRVLVLASSVSNSGICGSSVPSTTAVTATQTTATTTTTTGAGCIQATALSVLFKELV TTSYGQDIYVSGSISQLGTWDTSKAIALSASGYTTSNPLWQGTITLPVGTTFQYKFLK KTSGSSAVTWESDPNRSYTVPTGCSGTTATVTASWR PEX2_048700 MAPRFWTALWALTLGHSVVAAPQILAPRATGSLDTWLASESTVA RQGILDNIGSAGAYAANAKPGIVLASPSTSDPNYYYTWTRDSALVFKTLVDMFKNGDS ALLDLIEEYINAQAYIQTLSNPSGDLSGGGGLGEPKFNADETAFTGSWGRPQRDGPAL RATALISFGQWLIDNGYTTYAANIVWPVVRNDLSYVAQYWNQTGFDLWEEVSGSSFFT IAAQHRALVEGSTFASQVGSSCSYCDSQAPQVLCFLQSFWTGSYILANFGGGRSGKDA NTLLGSIQTFDPEAGCDDTTFQPCSARALANHKVVTDSFRSVYSVNSGIAAGKAVAVG RYPEDSYYSGNPWYLCTLAAAEQLYDAIYTWNRIGSLTITSVSLSFFKDLYGSATTGT YSSSSDTYASIVSAVKAYADGYVSVVEKYAPSSGSLSEQYSKSDGSQLSARDLTWSYA ALLTANERRNAIVPAPWGETSANSVPGQCQYTSAIGTFSSATNTAWPSTLTSGSGSGT TTGAGGVTTTKPTTATKTTSTTTACTTPTAVAVTFNVIATTTYGENIKLAGSISQLGS WSSSSAIALSASSYTTSNHLWFVTVTLPVGTSFTYKFIRVASDGTVTWESDPNLSYTV PATCGTTAVTVSNTWR PEX2_048710 MSPQMEIFALFGIIIAIASLKSKLSYNLPGHGVKRKLENMAIES STVESVPPGTIASQGIDANTQDEESPLLPRSDTDSKLKALPGVGTIIAVLLLGEFISN ADATLVMAAAGRISSEFNRLRDASWLSTGYTLGLCAAQPMYGKLSDIYGRKPMLLLSY LLFAVGCILSGIGTHMWVVIFGRAISGMGGAGIMTISSIIITDIVPKREVATWRAYVN IAMTLGRSLGGPLGGWLSDTIGWRWLFILQAPFLALAAALVIVKLNVSEKPQPTSKES KLAKVDFLGTALLGSSIVAMTTLLDQGGKSFPWSSWWTVLLASTGVSLLIAFVLVEAY VAREPIFNLRILRRTNVAMAYTIGALQITAQLGMMFSVPLYFQVTQRASTTAAGVHLI PAVVGNTLGGLLAGMFIRRTGQFKILLVLAGLVAGTSYVLLYLRWDGHSGFWESLFIL PGGLGTGIAGASSFIAMSAMLPVEEIAMATAGYMLVVGFSMTAGVTTSNSVLGMEFQR QLRLNVHGPGSEKVIQRAMADTNYIAQLGGQLREIVVACYVAGLKHTYLVSLGCSLLA SFSGLFIARHQI PEX2_048720 MSEDPYYDLGSYQLLVKTSSPECQLWFDRGYRWAQGFNHGEAAR CFRKAIAHDETCAMAYWGLSYALGPNYNKAWIRFDRSDLLKTTTEARHLLQKAQTYTT QETPVEFALIEALKARFPRSEVLPEDLGSLDLSYGEAMRPVYERFSDDLDVAALTAEA LMCFTPRGLWNLDTGEPTGAHTVLAREIIERAMTLPGGAEHPALCHLYIHLMEMSPYP EIALPAADRLRFLMPEASHMLHMPTHIDIACGDYRRAVDSNHQAMLADDKFFARTEGT NLYKLYRAHNIYVKLYSALISGRFKEALSAANRLPGILTTEVLSISSPPMADWVESFL GAIVHVLVRFGRWEEILQNLPIPEDKQLYCSTTSMIYYGRGIALAVLGRVDEAKLEQA KFETARALVPRTRLSSLPALEVDVLKVASAMLQGEIQYREGNFEVAFRSLREAVALED GLPYSDPPPWMQPTRHALGALLLEQGHTEEAELVYQEDLGLSDTLPRRKARLNNVWGL HGLHECLTKTGKIREAKVIGIQKELALASADIPIAASCFCRLSSSQGTNGLQSDKSKC CA PEX2_048730 MSSVKSFRQLIGIPPSTASTSDSTLIIIDAQNEYATGLLAVEKV AESRKVIAKLLEKYRQGNGKNIVHVVHEVPAGAPVFTPGTTLAQEFEELTPKPNEKVV TKNFPSSFAKTDLHDYLQGLGEVGQKTVLVGYMSHVCVSTTARAGSELGYDVLVVRDA IGDRHIPGADADTVVSVVLSELGDGFATIVSESEIN PEX2_048740 MVKARCQGGSPCTECSSKGHLCIFDNPVHLEPTQPDLEEELWEY GSGDGDDGINTSDQINTSIGNIAQVDHYVHLYFTHFHQQWPILHRHTFSVADEPQSLL HAVIMIGLWVSGSPAAQEGARNLHSKLKLAISAQQVSSSKA PEX2_048750 MMLHPILDISSVNVVLSLSGIYVLIFGFIAVKIKQRWYLGEALP SFMIGAIIGPFGAKLINVSQWGGDTDSDGGDIGDIAYGLTRLVIGIAMVKVGYELPKR YIRLHLVELTICLLPLMTIQWLMTSTCIKLLIPNLSFLTSLIIGSCVICIDPVLSQAI AKGPFADQYVRRHLREFISAEAGGNDGFGFPFLLLSIAILRYADTPGTEIVKAGLGKQ SRDWIGEPDTGRLGGDVGRALAHWAVEGVLYMLVMGAGYGVLVGFLSRKALNLASKRR WVDKESFFSYPVAMGLFIVGTCGCFGSDETLACFVAGCALNWDGSYHFEVEERHDSFN STIENILNIGTFMFLGAIMPWDQLHMPTQNGITIARLVGLGFLILVFRRIPAIMMGYR FMPKVCENWKEALFMGYFAPIGVGAISYVEYARRLLPDPGESDTEINNLTAAMIPVVY WLVFFSIVIHGLSIPVLNCFYKWFKVPTIRDHPVEIILLSENEPVPNNSVVNRRDHSV ILNNRFSCVSNQRSYSDPGDQDREGTDTMMLRSGEASSRGSLERASTKGSSRELEQTV SPRNVV PEX2_048760 MGSIEGLSKQLSDQANDCSYVYGTRFATRALPSHQLPDGGMPKE VAYQMIKDDLALDGQPILNLASFVTTYMEDEALKLLAESSNKNIIDHEEYPKSVEIEH RCLNILADLFHSPVSNGNPTAFGTSTIGSSEAIMLAMLAMKKRWQINRQAQGKDASKP NIIMSSGVQVCWEKAAKYFDISEKLVPCTETRYVIDPVQAVDLVDENTIGICAILGTT YTGQYEDVQAISELLLEKGLDTPIHVDAASGGFVAPFVNPDLVWDFKLPNVVSINVSG HKYGLVYPGIGWALWRSSEYLPEDLVFNIDYLGAEQLNFTMNFSKPASHIIAQYYQLI RLGRSGYTSIMRNLTKTADYLTSQLQELGFILMSEGNGKGLPVVAFRLSPSQNVIFDE WALSRKLRERGWIVPAYTMAADCQEMGMMRAVVRTDFSMGRCVSLVRDVQDALGDLAG MEIRNIQRYQALVQSYVSISKKKWTSSRILSQEMGKEIEGI PEX2_048770 MSPPQLPYGGVEEQSGRKSGMFVFDSKLGPNSTSNRVSKRGHSD ELHELRSRLRVLENSLGKSSHLQTPETSVSDVFSEVGTANSFENVGVDDRVRFLPDWS FRGKKNKTRYFGRSHYTTTISFFKDIGTFMRRGHCRGEEKDKEYTDMKKFKTELWSRE TQDHQREFREQAFKLDEMVPQRNVADHLLQLYLDTFETTYRILHTPTFLRQYADYWAN TQPVDMAFVAQLLAVMAAGSCFYVPPLGQDDRDIFQKPAMKWIMAVQSYISCTFVSPE IDLRMLQTQTLLLVARLGVASDGDVAWASAGSLIRSATTMGLHRDPTRFRKARPFYSE LRRRLWATIVELDLKISLDRGVPPSVDLDECDCDPPSNWDDADLIQDMENNPAPLSTA LYTQNFYQSLLTKTLPLRYRIAKKINSLRFNLSYDDALRMGDELSRSMQYASSLFDDN APGIAPGESARHRFAQSLHLFIMRKFLLALHRPFSLSVARLPKCSYSRKICLEESLGI LSQMELPSSTDDILYPHITQLGSGMFRDETFHAAITACVELSLQANEMSTSATSGMDG VNSSVLMSMIQSQQGVMMQAVERTADNFGRRIGPGGKGVKSRVKGEDPLCNVDTASKR SVRIGRAILNGLTYQEAKNSVDHRPVQASIPSETPPASATPSDIDPASLLSTDISDFT PMDLGGWFDGLDYTGPELWDWDANLSVNLPTFS PEX2_048780 MPAPLHPHLKHSSSFATEKHNNEPPRVQVSHEDENALADIHHTL TAQTHPDPKYPEPHSSFDKFLEEQVQGKKPANLGVCFQSLSTWGDGDTHADVKTFATA LWRTLTLQDIYEWTVQPWIAKKKPEHGRPLIRDFSGVVQSGEIMLVLGRPGAGCSTFL RTIAGHHSSFLGVTGSIDYSGLSPDEVRKHYRGVVAYVPEDDVHFPTLTVRQTLEFAL QSKTPKRYRERIPRYLEIYGRVFGMSHTMNTLVGNEYIRGVSGGERKRISIIESLATD SSVACWDNSTRGLDASSALDYARSLRIMTDTCGKATLLTLYQASDAIYELVDKVLLID EGRMLYQGSANEAKRYFEELGYECADMQTTSDFLTSITLPERRRFRAGWENRAPKGPI ELEAAFRQSAAFARIKRAVETYEDQKFVGRSSGLVDSECDSVEEFKKTVQSDKSRFVS SKSPYTISLFRQVVLCAKRQMWQLKGHTGSLYIKLISCVIYGLLIGSMFYDQPQTTDG MYSRGGVLFYSSILLAWLQMSELEEAMQGRDILSRQKKFAFVRPSAVCLARVLSDMVT TVLLVFLYLIVMYFLSGLRSDAGPFFIDCLFIYMSTICLTAQFRVFAALSNNFEVALR YCGVSVMFCIVFGGYVLSVDKMIQDVPWVGWLAYTTPALYTYEAVMSAEFHNMNFTCA AASIIPSGSEYTDLAYQTCAYAGSQIGSTVVNGDDYLAMKYGFYYSNVWRNFGILCLF TVAFIGMTCWLSEVLEWELDSAGPIQYKSSRKLFQKEKNVQYDEEKSPVSVDSAAPPV GYETKHDQTLTATESTFSWSDLELNVQIGKETRKILDGVCGYCKPGSLTALVGASGAG KSTLLTALTQRESPGTLSGSLFVDNRSIDQSFNRQIGYCQQMDIHDESSTIKEAFEFS AMLRQSHDVPTADKLAYVETVIHTLDLVELQDAVIGSLDIEKKKRVTIGVELCARPNL LLFLDEPTSGLDSQGATSIVALLRRLANQGLAVLCTIHQANQQQFEEFDRVLALSPGG STYYFGPVGESGHAIFEYFARHGNIPEKVTNAADFLIEVAVGGTKDTGNKTNWAAVWR NSEEFAEVKNEIASIRSDKNTRSPSLPPTLPPLSQQIKLLTQRTCRQFWRTAEYPYSR LYSSFLHALINGLTYLQIGNSTTDLQSKAFSCFLVLMLVPEFINAISMRFIMNRDIWL AREGPSGVYGWVAFCTAQIISEIPYSVVGSVVFFVLYYFMVGLPLGFAAGYCFLMFFL FFLFATSWGQWIAALSADSMIAATLMPFFIIMCELFNGILRPQSQMPAFWKYTMYYVT PFTYWIGGVLTSVLRGTPVVCSQSELTIFGSPPNTTCSEYAGAWLDAKGVGYLSNPDG MVECGYCEYSFGDDYLSGIGLDSSKIWPYFGIFLAFTVANYLMVYLLVYMRSVMKPFW RSK PEX2_048790 MQTLYNALIRTHHITSRKKVAALKRAADTHQCAVLLRSGGCPGI MYVEGGKEPVESWVDVVRRLRYKDFQLVTRPGVVKEEGGCGKQGTGDGNRNGEGKDGK ELDLAVGLDEVESVKEFGGIMARRGIWNWWRKGMGYA PEX2_048800 MPPKKPAFPYRRGSNASEDDFFDIPDEPCLSIVKRQLRHVRTWA VVALIVLFMLWQRRERPPPLPLPHIHYDEVDWSRFAYTQYATNEVYMCNCLMVFEALH RLGSKADRVLFYPEEWDLVVEDDFDRVSQLLLEAKHKYKVVLIPIKIEGVQDPSVSGS RASWDTSTAKLMAFGEHEYDRVIHLDSDVILLQTMDELFFLPPTTVAMPRAYWLLPEK MLSSLMVVIEPSLREYLALTDVTTLAQNGQIDMNVTDNRYDMELLNRRYGDSAMVLPH RQYGLLTGEFRTKDHRKFLGNDDEVWDPDHALAQAKFVHFSDWPLPKPWIMWPQEMLA AEQPKCDNNPGTPQESGCRDREIWKMIYDKYRRQRKDVCKLLSYPAPF PEX2_048810 MDIPKPGEGDVNIGTGTFQACWVLTAIVGVALLFRYAIKVWVRW ALPQVTAPGRIWGTEDLFFLVAWGFDITHMIFIQMSANWGLGRHFFYLTNEERLNSMK WDFLSQPLAVTSAMVSRAGMMWFLLTCFAASDKKIRLSIIVCAVVQVVVNMVTIVQII IQCGPNPYQTTNRVQYFHYMWTPLPEDGSVKCQSPTVQTTVGFVQGGFNTVIDFFLAV LAAVELWQFFLRTLHRNPHTSFWSQFCKISGTVRSRRIWQTITLSGPLLLSGCASIIK TYKLKSLGDRQDFTYNIVSFVLWVKIENYSILLASCAPVARLFLRSFVDHRREGRSHG YWSRSRSTEHKEPDTELKRRAHVQDQWLDSATVTNMTNACIKDEEDQWDGHNHKRSSS RISKAEIPEHLDGGRVTVKTDIVVQVDDGRSISSGGTRLLPDGGESHSAGFH PEX2_048820 MSFLTRLASLLGLSSPAPVVAPPGAALAATITVPASLGFVPVAI HFDLFNILVGHGRPATADEVSATCNARLKAKGENEPELSTRLASDTLYIMAGLGLVER VDEDCFTANAITNHMVAMPSAQHGALHLHALTPPSTTEGLMAGAFLMKKLQDTRFAYP FAEADGPLQYAYRLMGQSKLAEQHTYSIMETQGRMDSFNHFMVGKFLKFGTFPDRVKS MGYDLDSALAGDISTGTGTGSATMVDIGGGRGELLLEVQTAYPHLGAEDLIVQEFNAD IDSVPGVRLMEWNYKKEAEQPVREACVYALQHILHNLPDLDAVALLQKISRAMAPSSR VLIIEYAKNLTYTSLHASMIALYGGRERSSVEWRQMAGLCGLEVTFEVYVRAGESLVE MRRADR PEX2_048830 MSTMEKPSPVYFTQEMAASASSSLETQELKECYSNSDVKARDPL NPRNWSSARKTMLFVSLMTSSLLADGAMVWGGTLVTEQAMDWNISIAHSATSMNYGIL LQGFGGIFAVPLIEAYGRLPVWLWPQVITMFMVLGATFSNDWSTFTTFRSLQGLFGTV PQVIGLPIIHDMYTSAEWPRMINIWGTTFLVGPFLGPAIAGYIGAGSDWRTSFGVLTA IYGVSTAMVIFFGYETYHEPGRTAKQSRLASYFGIGNTLLPKGSTLSYWSRTVVVYVF KFPLLMTGIAILVTFTWPIGITTTIDTFLHSPPYLFDTIGASSMRFAGVIGALCGTHP HIPPPLLILTVSLGWAVGHFFNGWIFRRHSSTWRTELRLHGVWFPIASMASGLLTYGL TMHFGKHWIGIAIGWIMVNIGMVATIVAISAYALEKYPEQATCVSAILNMWRTCGGFA VGYFQPSWIQRNGLGLVFGIQAAILCVAVALTITPVFLWERKRWLRADVDADVDAEA PEX2_048840 MSSSPRRWLFVVFPILTFCFIYYLIRLPESNPTIVNNSVQIPQV GGETFVVGEEKQTSPPPPTLSKEEALEDKCGHLLHALDNVMVVIKTGATESLEKVPIH LRTTLECVPHFAIFSDYEETIDGIRTYDVLRNISESTMKKEPEFEIYRRLREVGREGL TNEEWGDDTNGPLGKVNNPGWKLDKWKFLPMIDEALEVMPDAKWYVFLEADTYMVWPN LISWLAHLDPDRNYYLGSPMQIGETLFGYGGAGIVLSSWTMNLLHEYQIGAKEDLEAM TAHEWAGDCALARALHDVHVDLTWAWPMMMTSRPWEIDHFSEGYGRQPWCYPVISYHH MAPRDIEEMWEFDRAFFASGKNALMLHADVYQKLVYDGTFSARDDWDNLSAIKIDFAA GTIPSLDVCAEACARNNDCLQYSFNHVEGVCKQASTTFAGVATNGTQSGWITPRVNKL LKAFQSSCNEVQYIFD PEX2_048850 MSNRQIVLCFDGTGNTFRTDGTDTNVLKICRMLEKSDEQPGIGT EITPGSLASTTIQKRGKLDNSKVLNLALGRSFDRHVLGGYRFLMRHYQAGAKVYIFGF SRGAYTARFLNEMLDYVGLLGPDNEEVVPFIWDAFVSWKLGRTDNNREEKRKAFRVMK DSREILSRPMARVHFLGMFDAVNSIADFEVNVDGSPSSKVVRHAVSIDERRIKFRPVL LRSHKDKWVRKTSSDEKTLAKETSTNDFERTSGPPILPEIPNISPTSMKREIESDNDD DDDDMQDIEEMWFPGGHADIGGGWNLGSSETWPLTHAPLVWMVQEARRAGLRLNPYKL KQHECIEESDGDFNLGDTSNPKKNVPSLELPAAHKDYLEALHLAGTQGHIHDLLAYGN DLPLTSVLSWRLMEYLPLRRMELQADGMLKAVRWPPPRGQTRDIPATAQIHVSAIERM KLDPSYRPDNLIFGSSERKGDTGRAVGIGNWVVHSHEGDPVRERYVRR PEX2_048860 MKLTVVSLLLGASIALAIPIVERDSSIQISDFWARASAGSFATM HFVATDPNYPNDTPTDCNLIWSYGSSPKESARCNNSQYYIHFPDGAVDFNQFTLGLER FSGPIAENGHILLNSGTQWSCVSNPESNVQLSCSYDGVLSMPV PEX2_048870 MAAVMLFMQRQAESSGTLEKTRSGRVWWQSIAYYLIEFDVIRII LITAAFSLILIPFSIASYGPNGWATGYIIAMEVLGVATWNNYFSSYPQVVNRLDITTA NYVLNAFSLTSFVFSPIFSLVMSWTGDFKWTAMAGVPIFLRNSSASPVPRTRHTRRDI NNDPNPRGTR PEX2_048880 MDEGSDKAPRRKRVSRACDRCRSKKDKCDGIRPTCSACQTSGQS CSYDPHAKKRGLPEGYVRGLEKLWALSICNIDGFEDTMLAMLGNTAESASRREKLMSV WSDDSSSESLHESWKTSRLFGALEKMLSNSDAPGPATGKRSRNPQGDGSDGQWGFRVA RTSTSLGPGAPRVVEPFAVSPGVKRARLSKESDGRGIPGPNPHTLQLPPQTSQLLDIY FAVTHSWFPVVAKHNILRASYLYANSPFSIATISPGSGDHAALWAILSYTITQSRTIP RAGPAGTVALAKEYYAVSRNIIPTEKERYEPGHIQALLLLTLVNMGLEDWTAAWLLSG QAVRMAIAMGLGTVSDSRRSDELRQGKAVFLGCFVVDSLLSFRLSRSPAMPPSDLTAV GLLEEDGLEEWNSWVDVLPPPTGAPQGSKNTPRRGPLLALSCFNRLVELASVLNKISR HMSSGYNMATFAQQLVMELKQWDDCLPLGCRLIGPESIYPERHSALLPHQSYLGLTYV ATLLWLYLQLMSGEQGLHRSQRPATEGAKKLLYRGLSMLTQHLENFSMCGLPPLFELS LRTIAEQAFRLRTTADSSDTFPFVRWVDELRHKTTAFTTTWPAYGSLVSTMERWQHSK DVGGSSTFPRAVGLPFSGAPMVGPMHPPGGGRNLPQNGEGDYTSSILGISMPVDGQSL TPKDTVMENTDLGMTEVALHHSREVHPGLPDKINPRSRADSVYGTSSAQHQQPQPQTP DSTTSNPMMPGNIASVGDIDAIFKDLAYLDTTEWSTNREAGLKDFGFLDDSTFQAFCH DPDRLGGSQPLVHPPSIADIWPPPGFFPETFQEVPEESMGG PEX2_048890 MLELEALRYVAEHTSIPVPKVFNTHYHDDRLYIEMEFIRGMSLE KAWHRGYLSQDQKKHIINQVASYTSHKKE PEX2_048900 MLDPKPSIPPTIDAKSDIPACATKESSSSTMLRPESAPRTFAPN ASLVLIGIRGCGKRSLGFVAATALKRRFITEDHYFREVTGVTRQEYLKRYGSQEFQRR DIEVLKMMLDNHRTGCVMECGLASLTRPVQDHLRRYARTNPVVHLLRDIDRIQQLLGL EDQAVRLFREGDPMHRMCSNFEFYNLEDRTRASDDGSPDRRSADYSFKLQEVKEDFTR FVQFITGLDVNYSSYDSPFVLLETPPELRSFTHAIFVRSSDLIADNVNLTELESGGDA IELCVDRWSPDTAATVSKQVSVLRRNARTPVILSVDTSSTGIGSPKPAASSSRPYFDI LEHALRLGVEYLVVDLTQDRSRLAGTIKGRGNTKIIGQQIFEPSSPTTWDSAGCVAIY NEAEKLGCQLVRLLRVATKREDNAAVIEFTNKIRSLPGDHPPLIAYNIGALGRTSQVF NSILTAVTHPAITQSVENKHDPQITSRDAVRALFQSYVLDPLKFYIYGENVAYSLSPA MHNAAHQHCGMGHTYSIPESPSLAVLDRLGRDPHFGGSSIVQPWRVQVYQKLASKSRH AEAIGAINTIMPLRGHADGTMYPLQEQASRRNQAGRVLGWYGENTDWVGIMTCIRRHL SPRNAISAKTTGLVIGAGGMARAAIYAMLRLGCRKIFIYNRTQSRAEEVARHFNSWAS SQVDSAEVVCVLHSLQEDWPADAAQPSLVASCVPADPDRDEPPANFEMPVQWLGSSTG GVILELAYKPLDTPLLRQMRRVRSETGRPWVLSDGLENVTEQGIAQFELMTGRKAPRR LMTYEVLRNYVGESGRFDEKTIQARLDGVGSPVTWEKE PEX2_048910 MPGFSPEQTDSITATWKKRKWDHEEPRTLARSTTYVPSCRVDRP EYDGGCSLPLSRHPDGTDISFRPRCLPRKRRHVQGPYLTLPSQQHQHQLQHPHQLQHQ QSPIHLSPNVYGAPNQDPYSPPVSPKTLVPLHYPAQQSASPSALRPCHICHRRPTTRQ VLDAYADCDLCHERACFICLRQCDSASCGGTFGLPEVRMHMHTNSTDDGSYNTSEAPR RKVCSRCAVEEVTETGAEIVRCLDCVRGAGSWAAANIPSDWALDDMRHEDMTG PEX2_048920 MVSQRDREILRDDLRARGTKLSVEEREALLKSYLPDPAELRQKP APQATASERRKTRKTPVRTFLKSQTHYLTYAFTHIIFGIVVRLLQAYHAVVDRIFAIV YHHHRTPELIRKDVKNLKRLPQHLSTILTLRKEDDALAVLMDEVAELAAWSSCSGISQ LSVYEKSGVLKSCIPALHQIITTKLASYYGTPSHQPILQVYAPHHPVHRSAPITKNTD TTSQPQTLTILLLSSTDGRETLVDLTKTLAEMSQNGKLSPEDITPELVDAEISEITTQ PLSMDPTLPDTVLKPEPDLLLVFGPFLKLDGYPPWQLRLTEMYCTGGRSHGLSGDGEA VEYQGYMRGLWHFAGAQMRFGR PEX2_048930 MMDNASITTNSARTVFPQGPSFTLEDFSSRDFIVKEFIEALSDT AISNRRSLAGTTGAANQPFDPKPLIRTLEGAQRRLSELSGDLELRENELSAAVRRAEA QHSQNLNTLGQKLRHTIENFQQLDTSLNAPGRENPAGTGNMAVETGKRLEELDRQRRR ALDAHFLIQCWDGVCNRGEISLLEGLRRSGTGEAKVRSAHIARQLLRISQRLDPQSWQ EHRARSNGGYGNSSSSEDLSESSTPRRNTREIIEKFSETLEKDLLKQFDDFYRKANFD GMRDCATVLQDFNSGASVIALFVNQHQFFIERSQLVTEEVGGDPESWEKLADPDAELP GVESSLQSLVDEVKVVVQEESAIIRRAFPYYEQVLGKFLQRVFQQSIQQRLEMVLEKA AGVSSLAFLRCLQSSRGYISALIEDLKSHGLTEHPDPVSSQTAVLLDQQLEELFVPYF VGSSYIEREKRTLEELFNAVLFRFTSYHARRKKAATTFMASLSRAGSELLSTTRDAFI SRLDSSEVSPFQRKVLLGVAKIGDTLETTRLTEIKLTEEDGQPNLSDAKRMLKWLAEA VGRGLELSVNSDTPKDVSALLNLLLLAMGEGYVDVCLDAALEAATSQESGKAEPDFSY LFSARTTISITTLMVMCIHAVLLPLSAASITTRRDMEKRTSQTTSRIEDKINTIEQKT IDATFAWVSRLLSNQKKNDFRPRESDNTAWLEMLQTPTCASITSFLTRLHNTARTSLP SSGANVRQVLTEIALGIRGLLLEHFKRFSVSGPGGLMVTKDMTQYADLFRSWDIDDET KGPGGALDVLLEVGSLFVVGPEALRERIRGGAASGTTGGPGPGGSGRNTTQEGKLSVQ EVRAYVSRREDSNTFAMQQVLNAL PEX2_048940 MAEDNPRKSIVEDVFIPKELLTTAKFNSPWELARWEQSLPDNNR ISLPEARTSFNRPLSLSALRAVARPKSAIRSRGRSDPPAFQGTFDYFAKPATFEPPSP IPEKGPITPVENAFLGPQPFSNSSSQTLSSEQSSLQEVYEKAKLRGVAIQRKHWVRLL FEYSIYAFLVLFVYFVLIGMPLWKGAVWWLYYVVRTKFVIQGGYAIIIGLAALYAFCP LMILFEKDPPPAPDSESESPDLENNISKVKSTALLIPCYKSATIIGATLQAALKIFPK ENIFVIANGNSKTPLDNTEEICKPFGVNHLWVPIGSKIVAQFVGCYAAKDFENAVLID DDCLLPPNFPIASDRLKKNVKCIGYTIKSVGPNSSKGTYCQQAQDLEYKMSGIQRAFF GKFGSATFPHGAISLWNIEFLKQTFHEHPGFSVSEDWFFGDACRRLGGRITMCSQVFV ETETPASVFWSGSGGSRGGFGEMTIFQQRFKRWNFFFVVGVYYDLKYILTSWRLGWWE FGAKLCVFQEVYETLIYLFAPFILPISFIVRPSFCGMLLGVTVAMYILNVIIFNEIHL RLKKERIDWKVLLFYYTFYKIALTVVNVFSCYWSLYKYARYFAQRHPKVIEDRDAVEV LLSLEKDSNSRTSVEDDVIPLEGGMLGRSVGRKLTLTKVQAGKRKTTGEDEKGGWV PEX2_048950 MSTANSKQFFSNVERLRIRLAQSDHSSPTCSTSNYQTDNRVYRA PRLGSDYHSRGLRNGSRKRGLEKRSSPSKKLRKAGSLQLAYLAAQADSQCFHTLPAKL QQKLFSPEERRRLRNAYRQSLIYDAADEAYRRDSGRDSGNSRSSIDTHLSQATVPFVQ PSTVFYSDSESDEEDPNMDQTFHDSFRWLDEDGDLDLSLDEYHAHVADAATRKKSRPS FRRSLSFSNHIRKTLEITPISARGLPPVSQSRPLTPLRTPESRPSSNHRFQHPPRSST SSIDPCAQYYQDPDARLKLRVYLASPQKFDEAIEFGFPSLDQNNKENTGPEPITPQPA SPKSTLVNSDFGTFFEDDDGTMVGSLGGSVENEPIPSPVLIHRDASRPSIESSALLQR RQSWMPGAKGVPQRLPGNREMTLKMTLTRPDLRTNSPTAPASSKEKDDPLRLAELPPA DRSQSIWDSDLDDQGMVRKMWRKLRRRV PEX2_048960 MIPRWLLLTLSLLLVWASQPAAAKGNEPNIVTHELNAPPSDLFY FRGTNTILYRDKNSLTAHVSFDGGEKWETVKGKDGTIEGTVELIWRHPFDVNRAYILG ENGKHWITTDQAKSWKPFQVDVMPFASMRSFPLEFNGLDPKKVIFMGLECTILGCMPQ AYYTIDDFENVQPLREMTLQCMWAASRPQFALDIEMPKSTGDRILCVVPGLKGPFDNT RTERLIYSDKFFKDDAEGTEAKLDHGKPLSGISVQIRSVSKYIVARLQSRGTQEQALY VSDDSNVWHRAEFGKRRIEEDAYTILESTNYSIQIDVQTTWHDNRMGSLFSSNSNGTY FTQNIEHTNEDLNGLVDFEKVTGIQGITIVNTVKNWEEAEKSDSNKKKLISSISFDDG RTFQPLKVEKDDLHLHSMTSYAALREMPMPDHRMFSSPAPGLVMGVGNTGGHLEDYSK GDLYVSDNAGLTWRRALKGPHRYEFGDQGGVIMAVLDSSQTDKVQFSIDHGKEWESID LKQKIRPLYLISTPDSTSLKFLLVGISSDETKVLMYFIDFDGLHERQCGPEDLEQWTA RLNEKGEPDCLMGQKQFFQRRKANADCFIKKEFAISGPEFKPCKCSAEDFECDYNFVR SEDRKECLPAVPLTPPAGKCKEPNDKYIGPSGWRLIPGNACIREGGEDLERQIERSCS NITGPPPITDGKPRAEKPQTISATRTNYFYLERQASNNGVDETIMMLTDKYELHISRD HGRSWKQIHPDEKFTEMVQHPYFSDAAFFLTDSKRVYYTINHGDSFHFFDAPSVPTKE DIRALAFHEKFQDSLIWIGHKDCEGKNCRSQAYVTDKRGASWDPMLIGVAGGGCNFGY HEGRNNSEKLVICEQYKDEEKNNNRQLVTSDDHFSTMDVKFENIARSATKNGFVVVAW YEGDQKEYLNASVSVDGRTYADAHFPFNIKVPQYTVLPSSPHALFLLVMVNGGNERSF GSLVKSNSNGTYFVQSLEGLNVNDRGYTDYDELQGLEGVAIANVVSNREEVLNKGAGK KLRTMITHNDGGQWMLLAPPTQDVDGKKFGCSVTESRGTDKCALHFHGYTERRDYRDT LYSGSAIGLMLALGNVGEHLTSAAEADTYLTRDGGITWTQVKKGQYMWEFGAAGSVIV LVSDRKATKVVHYTLDEGMSWHEFQFAEKEMVIDDISTVPSDTSKSFLLWGTDGGKRV TITLDFSGIWSRDCKDDENDYYNWSPSHPFQEENCLFGHVEEYHRKKPAAECWNAWRE PHIHSIGRNCTCTRADFECDYNYEIQTDGSCGLVPGLQPQDHIAQCSQDPEKVEYWEP TGYRRIPQTTCQGGLLLDQDVSKPCPNKEKEYQKKHGISGTGLFFAIVTPIAVALAIG WYVYTHWDGKFGQIRLGDGGGVSQSWLSRDSVLVAVPVAIIAGVVAVAQSLPLLVKSL ARSTSGLFRRRGAQRPYATRGSFAARRGDYSAVVDDEDELLGADDFDDEEELA PEX2_048970 MATLTAQELTTLSTKAIDAKATAYCPYSKFRVGACILTTSGEFI SGANVENAAYPVGTCAERVAIGTAVVAGHKNFKALAVATDIKPPASPCGMCRQFIREF TSPSFPVYMYDGEGNYKVMTMEELLPDSFGPEQLK PEX2_048980 MFNLGVQVINGQKTFIPLENNPEVHKHLCKNLGVSPSLTFHDIL STTPEMLSWIPRPVNALILLCDKPIYLAARSRVEHSIPEYLGSGADEPVLWMKQTIGH ACGLMALLHVVVNLENGKYVLAGSELEKIVKSAIGLGPVERARLLYDSRFLEEAHMDA ASEGCSIVPLPQEECGFHFIAFVKKDGKVWELNGGMNGPLLRGELEGDLLGEEGLDMT KSPNITLIQGNLDHPAAIFENVKRQTSTPVWGVFSVQTANPRNDDERRQGMALIDESV KQGVKYFVYSSVDRGGERSDQNPTQVPHFIFKHEIEKHLKEKAKGTDMEWTILRPVAF FENLTPDYFGKVFTTAWQMSLEGKPLQLVATSDIGFFAAAAFTNPEALKNHACSLAGD ELTFDQMSETFKQLTGKNVPTTFSIPVRLMMAAVKELGVMFKWFHDEGYGADIPTLKK LNPGLKAFGDWLKEDSKFETR PEX2_048990 MAATEHAITISEPMELPSLPPNQGLEAIKDIIFGSSAGMAGKLI EYPFDTVKVRLQSQPEHLPLRYAGPLDCFRQSFRADGFRGLYRGISAPMTGAAVETSC LFFSYRLIQDALRATVYPGVEHLPFFALIASGALSGSVTSLVLTPIELVKCRMQVPAE SAGLKPSGPMAIVTNIFRHEGLAGFWRGQMGTLIRETGGSAAWFGGYEGVSSLFRKYN KSNPQSTSDSLPIYQQIIAGATAGISYNFLFYPADTIKSRMQTVDVSRLPAHAQQQTF WGETKALWRQQGLKGMYRGPPVSELLISLKLLEEEVEQLRSTRRNPDTDHPPDLDNRP NLEKTVRKTELLVLKEGKSRYVGDEASVALGQKVRIISELRDIIESSSDEESYSPDVK YSPVTLPEGGLLGNCESTWSLDYFRLHYCQPARLDALWRTYQENVAPLIVILHKATMT RIVQDACAGVELTPSDEALLFSVCYAAVTSIRVHTCPSILDTDHATAIQDCKKAVSQG LRRANFINSQCLSALQAAVLFLLCYRVGGDLRLVWAESAVVIRVAQAQGVHRDGRNFA LPPLETEMRRRLWWHICMLDMLSSGDQGVDTQIRSEMFDTQFPSNVDDDDIALYMTDQ PLPKTGFTNTTICIMNCQIMTEMLWPRQGGYSDMSTHDRENLVTALGKSLHEQYIDYF NLDIPIHWVVATIVRLQLSKAWLATHFQSEESDVKTYRHNDRVFETAVELVQFSYLLQ TNEGTSQWSWLCKSYKEWHVVAFILSELCLRPLSPESDHAWDVVTKMYGLWQQDMPRT DAMLQKPLDRLMARTASSRAGQQGQDSQTSFIGEALSDMSDVSLGLGDTDSDSLFNGL DWLSGSLF PEX2_049000 MSTSTATTPVVPPTTGMRKNGKNWHGTNKAFRPTAGLTSYAKRQ ELKKHSDAVKELEREMKEEAEAERKARIQRIKDRREAKEEKLRYEKMAEKMHHKRLDR LKRREKRNKLLSS PEX2_049010 MEPPYKYAPFSTSRAASATFPPTQASTRSPSQNQQASDLQSKLE DLSRFGSQESRQTDRRKSRRSHRYGSDDRQISPKGEHRTRPSPSPQSQEPSLFDGTKS HRRKYSKSRELRFPNPMSHLASSASARGLLPTWSGGKDKDREGDDGLLRPVTRETTRS RWGSESTTGLSDGRNGSLLDTPEQHEQLGPIRRHEILSMDDLEKVKKRRKLGEEYLRS ALTSIGTLATDVTRRLDYTYYNLLEKITALHSTISSFQELSDSASTLLNDFERETAGL DQDIRKQLNDLKGFEPQIEKADALEQRMKAGRQRVEELGKRLETVRHEIDSWEQRETE WQTRTSRRLRIFWGIATSALLVLVLALVLQNWPQFWSPHAGISRLTTSNHSSPSVPPQ SEVWDEVLSLGGKGAGSDAGPVRYPSNLADRRESLDKAGPTSTIRSGHDASSPAKHDA LSVLDEL PEX2_049020 MGNTDNLTLVFLLSDALNANLSTLSVSAQERAAKDAAKKEAKAA ANEARDTERKAASKIQIKRVERNKRKYVTVVIGLEVFGLENKKIAKDLGKKFATGSSV TRSPAGIEEITVQGDVSDDLREWLLEIHGKKIPASNIELIEDKKKKKSEGPMV PEX2_049030 MQDDCHARDSSPVANLPSAIPSTSFNSIPEELAYAPTPDPLAPE NELILPSYDTASLHSAKEPEDVSDASTESHPSSWTLQTPSADDTLIEDEPSRHVDYLS HDWKEEDIWSSWRYVTSRRNDYSNGVRLENASWRTWAKAKHNLKTISPESLNWLKDCD VTWLYGPLKSSVERATSPSPPPSRLETPNSYLDRKPILKKKTASEAILQRSLSQHTLL QHAGAILKAQEAENSWARPPFPRSNTDLDQLHHRTGSSTYSLGGTLTTSSSSGMTSPG ERRHIHFNNEVVQCIAVEAKGYEDDWPVTFEESSSDDGVVLMRQISSRSSLSNRPTPR NNFSGDGKTIAPLPSTTLKYRGDPPEPIAQMILDRWSTTPTRTVSPTPSVETLRPSGP SEPSANFLLDECEDPSLDFTGNYPDRDRAWFIEDDPASDRAIRLTASGMIMPEGETET PSSSILGRVVDTVNTARDIAHVIWNVGWRR PEX2_049040 METVNVAELVERLGSDEDAVRKMAVFKLQSNIGDPSFADIFIAE GGLIRLRYLTLHASGNTLAYSLTSFSRLLDVDKGWECVDQELVERIVELIVTHPLVNI LRGAMSILVSIVSHPYMGNRASQVNNFGFRALKPAIAIYPQFLEMLVSRLSSADHALC ANALQLINSLMRDSITHDPEAEWPKFIQKLQDLGVIRAVYVLMQDSALQDLAHPLLEF QSLTKILLRKWRDIPVNQEKPEHRRALKGIYLASNPEKNTDEATEHGEDTRRSRRHHP EKWRRLGFETESPAGEFYEVGFLGMMDLADYVRSHGDEFQNMLLEHSTKPSRQRCPIA RASLAVTSILYEHFEVEKSDMDDTKTYLISESRTGFDKLFQPLLLHWTRLHVAGLQAF FRLWKATAAEEEDLDKIIELVRILIESVVGGAARIKDVQDVEEELADFEYHRLRGLQM ELLELTYEDAWGQHLRQVREELHHEALQFVKEQRIRSLLQGSWFALDSHSKLESAAVQ KSTATYQYAQLSHNRRYLHFGQFNLMGDRAPELDTLPEKIDLSIVSSVVSNVSTNPDH SSTSTVKTAPRQPATKITIHGYATSAATGHGKNHSHARSSSRATQKEVVLLTLRPSSH SIASEWLDGLLMLLNQQPITAETSKLIDLVSNYGLKIRLLNVRFDDATFAGEAPQVPA RDGLDEDYYYDVFGGA PEX2_049050 MDGRPPKRQRKSKDSTQEPKAKLKRKAAPVIISDSSSPIEPTEP FALSSRPKSSYSRGTTPSTRLPSSSPSPNKKTKPTPNPDSKSKSLHSFFQPATEGQRW APQKAEKQSLPPVRETVDVDLIEDDYDSYDEIFTQHLANERAATGLTAASSSQTRQPA PKPAPKPKLKAPVKPPRKTAKRFLLSTDAGNGTAKEPLVAQLVGEPDRRPWAQRFAPA NLGELAVHKKKVSDVQHWLEDAFAGRRSERLLVLRGPAGSGKTTTVSLLSESIGYDIV EWKNPPVSEFGAHDYQSVGAHFEEFLGRGDKFGGLDLENASELDAQKDEKPRDHRILL IEEFPTMLGRASSALTAFRTSLQRYLAASANDHARGNFGSNHPPIVIIVSETLLGSAS SISDSLTVHRLLGPTIYNHPGTTILDFNSIAPTFMHKALRSILDREARNSRRVQIPGP GVIESISEIGDIRSAISSLEFLCLKGDDTGRWGGSVAKTKKARGEVALTAMEKESLKM ITQREASLGMFHAVGKIVYNKRMDPSLIEGGVEILPPPPEYLRQYARPKASQVLVNDL VDETGTDISTFVSALHENYIPSCDGDDFTDYFNNCIDALSDSDILSADRRPGQGGRAG IGTGITSFGSGVDVLRQEEMSFQVAARGLLFALPYPVKRRIGSGTGRSRAGDAYKMFY PASLRLWREAEEIDGLIDSWMNRSLDPLGQQHLSRNGSDLAGVSSWKTLQVGKLALVN SGKKDTTPSVITMMPRHDLLLHQLPYMAAIRRQDPDCWQLDKITRIRANENLRNDEMD DMEESPAQLRMKQRSSNTFGSRLPEEEEKLILSDDDIVD PEX2_049060 MLNDHEPSKRGHDDSYLINRSRVSAVNTNENANLTSSHTSRPRK SEDKLDYAQLKKDAPGLVAAAAHAAVPSWTSMVLMISLIFGGCCANVFALEAIIKEQP TAGPLITFAQFAICALFTIPSFLSPSAGPRALFLNPRAIPLRSWVVYTAYFVSVNLLN NWAFAYKISVPLHIILRSAGPVASMVIGYIYNGKRYSRGQIASVSMLTVGVAAAAMAD AQSKGVSINVNSDAADTMTTVTGFTILALAMVLSAFQGIYADRLYATYGRDHWKEALF YSHALSLPLFLTSCPQLLGQWRVVASSPSLLSHLDSELWASKGAVGSTAFSVLGQICQ VGAVKALLAHLPVQVAYLAMNALTQYLCIRGVHLLSAKSSSLTVTIFLNVRKLVSLLL SIYLFGNHLVGGVLVGAALVFVGGALYGFEGARLRSVAKKAQ PEX2_049070 MTSLGEDLLVTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINVPSDRDDKPETDEVHIPHTAASVAGQHE WAEFHHLPGRKFDDFGQVKQEIEAETARIAGSNKGINRQPINLKIFSPHVLNLTLVDL PGLTKVPIGDQPSDIEKQTRTLILEYIAKPNSIILAVSPANVDLVNSEALKLARQVDA MGRRTIGVLSKLDLMDHGTNAMDILSGRVYPLKLGFIGVVNRSQQDIQSGKSLSDALR AESEFFRHHPAYRNMANRCGTHFLAKTLNTTLMSHIRDRLPDIKARLNTLMGQTQQEL ASYGNKQFSGEEHRGSLILQQMTRFAASFISSIDGTSSEISTKELCGGARIYYIFNSV FGNSLETIDPTHNLTTSDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLESPSQRC VELVYEELIKICHTCGNQELLRFPRLQGKLIEVVSDLLRERLGPCSTYVESLISIQRA YINTNHPNFLGAAAAMSSIMQNKQDEERKAVLAEEKRKREKRRQKELGAPNGNTGGPD EEEYGDKQNLPIRTHSSKGSRSMSPHVGRTGENGIAATLNGAQSNYAAFGGGASNTAR DSFLNYFFGKEGTHNMAMSPAGMNNNRQGPHVSEPSISQSIRRAEPRAPIMPTEEYTA PSEYGGDMSVFPHENTEPALTDREMLETELIRRLISSYFNIVRETIADQVPKAVMHLL VNHCKDVVQNRLVSELYKEDLFGELLYEDDGIKAEREKCERLLETYKEAAKIVGEVL PEX2_049080 MASLNLSSNGPSISKSYQTVVNAPPPSGNGGSSTYGQWAVYSVS TPLVSAFQQDGGKESVLKVQSTGEGELADLIEEFSEGRVQFAYVKVTDSNTGLPKNVL IGWCGEGVPERTKGYFTSHLATVAKFLHGYHVQITARADGDLTPEGIVQRVADSSGSK YSAGAASAPAPAPRPAVASKPAFTPTRSGGITPSPATRVQPTPSRAGLNDDGWGADAP PVTRTQLEKVQSAYQPTRVNLQELKAGPTASRQPAATTSDDSSDVVRGGYQPVGKVDI AAIRKQAAASGQLKDERPAIIKGSYEPVGKVDIAAIRARAQKPEGTSDNVLPSAANQQ PTTLPERPAPSVASERLTTLPKPRAANKFGSSPSFPGTKPPLPTGLGPKPSSSAQIGA ASRTFADEGGKTPAQIWAERKAKERGVASSPGNTEPTSTLAPIQSQTSGQGEWKSSYA GKSWAPVQTAQEKSYIPEQPIDAKANDQQEDGPQPHVSDIRDQFAHDTPAPTPAPAPL APPAPPVPQASRPIPVPGLSSGPIESEPEHDAQQVLPTPPPQPPRSPTPPTPPVRESS PIQIAMPVGHSAADSVADVHEEQHSPPPAMPVRSLQEVVPDERDIEDDSHDLGRAAAE ATAPQHPQEPEQPQGGPRALIQYDYEKAEDNEIELREGEYVTDIEMVDQDWWVGVNTQ GERGLFPANYVEIVEDNEPVSHTTAGSHHYEAEPEPEPEPAPTPIPAAPTPAAAAAPS AATPGSKGVTATALYDYEAAEDNEIGFPEGVKITNVEFPDDDWWLGEYNGKQGLFPAN YVRLDE PEX2_049090 MGPPQPDLSSSWNANTSADSSNNESAISSPGLEGPVDSPLHENP NALDQPAEDILPRDQQQKTATYDYAYEKSMSHAEARLFYQHHQLAARNDTSLPLSPVA PARSAVEPHPEESSDNLPAPMNTQGRLSREGSMVLEESEPSTLRLQSTGDPDSSASHY LEDDQDEANDSARNQAALLSAIHGQAPYSAAEGLQSASVGIGGPQVVSGFASSNDAVT SELNAIYGKIKKLLDRRSQYMELSLQGPGHDPKDQPDWEIYPSPPEPAWDDGKEYQPG NIRDPSDLGGKKRKMGQDIGEDFDMEELMPLPKESPWTYRLDGNSVYQVFDSEAAADQ QTPIVQIPSLRDFYMDLDAVVDVSTDGPAKSFAFKRLSYLEGKFQLYTLLNEYQEMAD SKKVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKMRKSPDEVVLFRDGKHLTLRE VFESINLTAYDLSIDTLDMHAHTDSFHRFDKFNLKYNPVGESRLREIFLKTDNYIKGR YLAEITKEVISDLESSKYQMVEWRISIYGRSLQEWDKLAAWVVDNKLFSPNVRWLIQV PRLYDVYKASGMMENYEQVITNVFQPLFEVTKDPSSHPKLHIFLQRVVGFDSVDDESK PERRLYRKYPIPREWSTKQNPPYTYWLYFMFANMASLNNWRKRRGFNTFVLRPHCGEA GDPDHLAVGFLCCHSISHGILLRKVPLLQYLYYLDQIGIAMSPLSNNALFLTYDKNPC ATFFKRGLNVSLSTDDPLQFAFTKEPLIEEYSVAAQIYKFSAVDMCELAKHSVDQSGF ELSLKERWLGSNCSIPGTAGNNVAKSNVPDIREAFRHETLLGELSLIERYSEGNGTEE QKSFAPGPPGEAVPSNQDQHTRNLEPQSELGSNVAATTDVSPSEAHSASQMATSPSTP SKYLARSPRLGTGEFSSPGGNPALGADGLPEQKIFPGIVHERARKGNMQ PEX2_049100 MGHPEAHGKTNMPTDGREVCQPTDSLHDLTTLHECQPNFSDNRH QPLGSALSQSQASPTVPRRFLVQPIETVSRSSNVQQLASSEANQPDLSKPEPHSKEPS EHRPVKRFLPEPIETSKASIRRSHSTSEVQERNSPSQSNTSAAPRRFKPDLIETDTRS VRKGKPIRVSQRHNAVESSAPHTIIGPDTTSWLDPNPDVHTYLSSESHFSYSNLLRRQ QDRRHSFRVPDLPSIASDSSEDSDNSRSHSACTSPPRPSNKVTQDLDMSDAPHEVRDE QLSEFLLSLAARSAQIQLKEQALAAFPNEQVYEPVDHFAIDEDGGDSDDDHVSMKYHH VKSRRQSSADLSWELEYMRHHKEEAEMRIRAMGASARPKSLIKHNKNGKSPPMLGSDI VLPRSASPEGTMCEHPSTDAGSRGVQDLCTGCGDLWCAAPSQGSGKGSGLWMGTCCKN EGQEREVHGLMPGIVTPMPRVDEAGISMGLSPSPSHTHLDRLTASPGNHNSQKPASPN LQKVIEAEFHNGFVTQIYNYLSLGYPCLARCYDYELSRISGISIEDLRRDDLHTDARG YVVAPETDNLAGACARWKALRLYIQEWARQEPGMAEEDTNLESWGLPERKGSWAI PEX2_049110 MDSKDKRKFDETDDPLPGSILKQRKVIGPSLPPPQEAEAANSEI SKEDEDEDDDDDDDDDDFGPSLPPTGPLKTHARQEPTAPDTSKPVEKESQRDQWMLQP PSHSDWSSKVDPTQLRNRKFNTGKSATAPKNMDSSWVETPEERMRRLQDAVMGVAAST NQPKDHKDASNAKLMEDQIKKYKEMTGKSTRLENPNQAEKEDDDPSARAFDREKDMAV ASKISSAQRREMVKKAADYNTRFTKGNFL PEX2_049120 MLIYPLFITDNPDEETAIPSLPNQHRRGINRLVPFLAPLVRKGL RSVMLFGVPLHPSAKDALGTAADDPDGPVIQAIRLLRSRFPQLYITTDVCLCEYTSHG HCGILREDGTLDNAQSVDRISDVAIAYAAAGAHCVAPSDMNDGRIRAIKLKLIEAGVA HRVLLMSYSAKFSGCLYGPFRDAAGSCPSFGDRRCYQLPPGGRGLARRAIQRDMAEGA DIIMVKPASSYLDIIRDAKDLAPDLPVAAYQVSGEFAMIHAAAKAGVFNLKDMAFEST EGILRAGAGIVISYFVPEFLDWL PEX2_049130 MMTALATAPVMNGSHDSGAETGTEHSPSRFTAVNGREPVISGNG NGNGHGPTPSSIPNGERVSTQEKTNLDPSRDDRDDPANDQERSQISSPSGSHNKNKRK RSESRERDSANASRSPVNRPTEINQPNPNGLPGSVSEVERGNHSATPSHRSEGNDAGQ TSANSPWSEYDSQLITQAQRAQQIDPSDAHLADALQREAGQERSMTNRSTPGVQQPSS PSYAPDRHGAMQVAPKRKRVFSNRTKTGCMTCRRRKKKCDEQHPACNNCLRGGFLCEG YSSRSTWQKPSSSKTPIPLQSKEGYSDINGPYMQETPRHQDRQPNLVEHVDTTKIRPL VVEENERPVQQYNTSPTVTPSNHAWSKQAWPSAGPGTGSNTSHPPYPPEPMAKVVDYR EVPPIHELSREGRPKPDYPVISSLRDLSHPSHPKSNMPLFQNGPEQRTLPAATVDTHS PQAQARMALSIEHQLSGRTLSSDETEKEKMIRGKLYRPFDVHLVEERDRCRGALWKFN NACNPLNGISSKEQNRLLKEIIVPPASSIINSPAGTGVPRSAGSIGQGAVVEAPFSCH YGYNLHIGEDVMISENCLFVDDCVIRIGAHTWIGPNVKIISSTAHPNMQERKGSQSRY QGRQVIIEEDCYVGAGCIIYPGVRLARGVYVAPGEIVNTNIAGYMFQGSKPSFAM PEX2_049140 MSISVQELDNTVRALFEGKGAVQNQAQQTLTEFKQNPDAWVTVG NILQEASYLQTKYIALQVLDNVIMTRWKVLPRDQCQGIRNFIVRFILENSESEEKIQS ERPLLNKLNLVLVSILKQEWPHNWPTFINEIISSCHASLSICENNMTILRLLSEEVFD FSQDQMTSAKARNLKTSMTSEFASIFQLCSEVLNTANQPSLVKATLETLLRFLNWIPL GYIFETPIINTLLTRFLGEPEFRNVTLKCLTEIGGLQIGTPYNYDERLVHMFTETLTT VSNVIPLSLDLKQTYAMSNGRDQEFVSNLALFLSSFFSAHLDLIEKLPNQDYLTHAHF YLIRVSQIDDREVFKICLDYWTRLVQELYEEMQQLPITDINPLVSMSVSGLANGGAPN PTTLANYPLRKHKYETVLTNLRTVMIEKMVRPEEVLVVENDEGEIVREFVKESDTIQL YKTIRECLVYLTHLDVVDTETIMIDKLAKQVDGTEWSWVNCNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEQKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIANKCRRHFVALQPGESEPFIEEIVRNMRKITMDLSP QQIHTFYEACGYMISAQGQKGLQDRLTENLMALPNTAWDQIIAEANQNPAILQDANTI KIVGNIMKTNVAACSSIGTYFYSQIGRIYHDMLNMYRAASQLINDAVASDGAIAPKTP KVRGLRTIKKEILKLIDTYVDKSDDLEMVNASMVPPLMEAVLIDYARNVPDAREAEVL NAMTTIIHKLHNLMEDKIPAIMDSVFNCTLEMINKDFHEYPEHRVEFFKLLQAVNLYC FQALLKLDAAQFKFVIDSCMWASKHDNREVENTGLTMCLELMNNMAETDQQTSSIFFR EFYIAILQDVFFVLTDSDHKAGFKSQAMLLSRMFYFVESGKIQEPIYSPDQAPAGTSN KEFLQEHIANLLKNAFSNLQETQIKQFVLGLFAYTDDLNKFKTHLRDFLISLKEFSDD NAELYAEEREQAVRDAQVAERDRAMKVGGLLKPSEMDQEDEL PEX2_049150 MAPSSFQTTESSRDSPTTQFPTLRLRAEEAPTDTSEASSSSRRI RWSEDVVDNEVCCIYHKARPVGESSSEESSSSSSDSDSESEDDRRTARVNRARHTHSH NGGREPQGEHEHASHADEGCCPEDHNPKPKRNRRKPSPNAYEKMPKSSKNQNQNQNQR QSHARVGGMQNNVQRHDQGVTGRAH PEX2_049160 MARLNDYAAPAESIDALKRRFVRQNREIARVNSLQSLRIRSLES EVSHLLSENVSLRKQVINLTQETERLEAGKMLHNGIYDIKSKLDAKLAELSSLAADLG SLPRKVGKLCDEQFDRPKRAAESRPRTEDMMEGEDGRLPAIVEDKYYPRRTLEPQELD SLVHDEHSILDSPPQFSFMPEEGYAPMEHSSPSPPESTFRSEINNDIPEEPEPLLPPT LETRKKKKKSSSIIAPEISPAPTYRQPSPPIDTTQQATSVSTKRKYIPEDDDRFTSNL DVHDDEFQFTRPSHSPKKQTKPVEDTQRDQSPIKSHVEVTRGSKTPVLSMRKVLEPKS ANSNIGSPKKARMSSYQDSKLIQRSTKGDENNNSPQKAKDVEKLSGKTTNPKPRVSRI AASKEKRASRPTPPQLEEPALSQPVLSPHPNAMNTEEESAILRPSRRRGAVVSYAEPN LRDKMRRPTKEMVDAVALGSRRSSSFQVARESLDGGGEGTLPADFTLAAQSSDLSSMD GSSEQLLAMVSRRKRKVSSTPKDASDAGNPNSGLKKEIEDSLADISTQVCQKPLNSTR QTRRHSSNPTSTAGNMAQYEVDQVEPQSPIGDSPEEEDSFGPGSTTSDVRRGQRVVAR RKSMMV PEX2_049170 MLPGAPGGSSMRQPSGSQSQQSSALSARIAAKKAELENLRQLRD LSGTLAIQMQALENKISTLKDGTEAVAYVLSNWDNVIRAITLASSKAGGLYEPTESDN KNVEKPRNDPRLPSTLVRIPAEPRDKTGE PEX2_049180 MSTEWSLDFCLVCDRQTLGAPYCSQTCRLAELDEISDGMSRRSS AASTHWSEINQAQAQAETNTPDTHNPSRILSVSSSQTSLSSLKSNTSNSSNVADRLQD ELRDYASCFDQVRDLKRRMTTT PEX2_049190 MAIAAVLASLQGSVIEYPYQSLAAAAILVPILYVILNEFIRDSA RVKGMKGPRGLPLIGNLAQIRTDAAEQYRLWSKKHGAVYQIQLGNIPVVVVNSAASAK VLFSQNAQALSSRPETYTFHKIVSNTAGTTIGTSPYSDSLKRRRKGAASALNRPSVDT YVSHLDVESKAFVEELHRYGNHGKTPVDPMPMIQRLSLSLALTLNWGVRIASQEEDLF DEITEVEEEISRFRSTTGNLQDYIPLLRLNPFSSNSKKAAEMRIRRDKYLGALNSDLD DRMAKGTHKPCIQANVILDKEAKLNSEELTSISLTMLSGGLDTVTTLVAWSLGLLAQR PDIQDRAAKAIREMYGEDEPMCSSNDDQKCAYIAALVKECLRFFTVLRLALPRTSIKD ITYKGITIPKGTVFFLNAWACNMDPDVWTDPDEFRPERWLEQPDAPLFTYGMGYRMCA GSLLANRELYLVFIRTLNSFHLEPHGTTDCHPLRGNSDPTSLVAIPQKYKVRFVPRNE KALSNVLAQ PEX2_049200 MAARHSRKLLRPLLYTSAAAAAGAGVLYISYRPRNIPGLEAPAV PPPGYREGKLVPPSFPSIKSRLEQIQDLKRSNDEEEYDLLIIGGGATGAGIALDAATR GLKVAVVERDDFSSGTSSKSTKLVHGGVRYLEKAVWELDYNQYALVKEALRERKYFLN TAPHLSSWLPIMVPVQKWWQAPYFWAGTKAYDFLAGSEGIETSYFLTKSKAIDAFPML KRDNIIGAMVYYDGAHNDSRMNVSLAMTAALYGTTVVNHLEVTGLNKDASGKLCGARA KDIVTEKDGQVAKEFNIRAKGIINATGPFSDSIRKMDEPNVKEIVAPSAGVHIILPGY FSPSNMGLIDPSTSDGRVIFFLPWQGNTIAGTTDQPCEIEAQPQPTEKDINWILSEIR GYIAPDITVDRSDVLAAWSGIRPLVRDPKVKNSEALVRNHLVTVSQSGLLTCAGGKWT TYRQMAEEAVDEAVKVFKLKPSQLTTLPDISGVGGSGLVADGAVLDGSCQTHQVRLIG AHGFSKTLFINLIQHFGLETDVAKHLTESYGDRSWQVAALSSPTSERFPVRGCRISPM YPFIDGEVRYAVRHEYAQTAVDVIARRTRLAFLNAEAALEALPTVIDMMAEELQWTTA RKDVEWKDSLTYLSSMGLPKSFMGLSRQDVQNGRVKQVDDSGRATFSRKEPPADMIES DVRATTPAPAATPASN PEX2_049210 MKPDPSDVDLQSLSKPKLDSDKESVLPKPDLEEQDPFGDESQAG VKYKTMAWWQAGMIMIAETISLGILALPKALAVLGLIPGVLTILGVGIISTYTAYTIG QFKCRHVQVHSMADAGDLLMGRIGRSTLDVAQLIFFVLVMGSHVLTFSIMMNVLTEHS SCTIIFSVVGLLASFMLTLPRRLERLSHISYVSFVSIVGAVLTGMIGVTLVKQGPVHV PAFSPSPKVHDACLAIANIIFAYAGHVAFFTLFSELKELQDFPKALALLQMSEMVLYT VAAIVIYAYVGPTVNSPALNSAGQLFRKISYAIAMPTIVIGGVVNAHVAVKFIYVRVF RGTNSMHSQSFMARLVWAAICATLWILSWVIAEGIPVFNDALGLANEY PEX2_049220 MPNPPLSRSWPEIRQALEHARECEDGPVNAQSSAVLEAAITELW NRIQAQPDSYVLTPDEFALFNYFLTTRYRGSPVAQRAVARFWNNYQGTTNCDGVAN PEX2_049230 MVFYFTSNVVEPSAFIYVGKDKFENEDLIKYGLDNDVEGETWDN IAQPLLEDCAQLTKANSIEGNKKDNITIIYTPWSNLKKDGSMAAGQVTFHNHKLVRKI YVKQRENPIVNRLNKTRVEKFPDLQAEKEEDTKKKQRGDRKTRDEQRAKEKKKQQEYE QLKWQKDHAYDDMFTDENLEASNNQDRDEDFLDDFM PEX2_049240 MSEGNPPSAAPSAKSKKNKKKAAKAKAGSKADEDPEDAPADHND TDNEPHDANEDPIQVPEPNGAKTDTIDGSLPDRSLNNPEPMATSDAPSGTDIQPDTTS DGTEPKDRFDALVRDRDSLRAEVADMRKSLEEIRSKHTADMEALQDKLEDAENKKEQA ETQFQMLLERVNTIKSQLGERLKEDAEELSLARSQIEELEDENNNLKDELDSKSTQLL ELSGDSAEKEKELSTLRDRTNLSQQNWLKEKEELLEQESYLQSEFEQAKEAMHNWEIL AMEERSIRENLNEKVGDLEEQLSSLRDGYERATDERDTQQSTVDGLQRALQEIQLARK QELRELVESSDSQLEDLRRSLREAQKQATDADKGLQNAQEEIERVRPFEKEVKEKNLL IGKLRHEAVTLNDHLTKALRFLKKGKPEDNVDRHIVTNHFLHFLALDRSDPKKFQILQ LIAALLGWNDEQREQAGLARPGASSMPGKLRVPGTPLRTPSTPNLATEFMDNGASSKE SLAELWSNFLEQEAEAKLDLDGTKPSSAKESLSVFNYMPLSSFRVFSAFYRPFVRATH SASTMTSNAYRPIVISGPSGTGKSTLLKRLFAEYPDTFGFSISETTRSPRPGEQDGRE YNFVTKEAFLDLVAKNGFIEHAQFGGNYYGTSIQAVKNIAEKKRICILDIEMEGVKQV KRTDLNARFLFLAPPSLEVLEQRLRGRGTETEDSLQKRLTQAQNELEYAKQPAAHDKI VVNDDLEKAYAELRDWVVDGGKFGAAQ PEX2_049250 MAAATTAASAQQPTVFPHSHVGFDSITSQIERKLLKRGFQFNVM CVGQTGLGKSTLINTIFASHLIDSKGRLTPTEPVRSTTEIKTESHIIEENGVRLRLNI VDTPGYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRVHCCLFFIQPS GHALKPIDIVVLKKLSDVVNVVPVIAKSDSLTLEERQAFKERIKEEFSFHNLKMYPYD NDELDDEERAINAQIKDIIPFSVVGSEKTIVVNGKQVRGRQNRWGVINVEDENHCEFV YLRNFLTRTHLQDLVETTSQIHYETFRAKQLLALKESSAAGGHSGGNRPISPSADREL SRNSQRAAMNGY PEX2_049260 MSRSLLSESLQFPRLARFLPITPIATSGFLQPVSISLNIPSILS DLWDSVLRAVPKKKTSHMKKRHRQMAGKALKDVKSLNKCPGCGQIKRAHLLCPTCVKD IKESWRTLDMA PEX2_049270 MSKQYLSRGSADNAHIVDIFSLAITDKQILSTSGASSIKVHSTT DADFPLVQSIDGAHTIGCHHIVTNGNGSRAITAGFDGQIKAWSCQDGHWAADTKLTDD LMDADAWAIAISEDGQYLAGVTQEGRINVWDLAADGTRIRDHETKGSFGTCIDLSPDG RLIASGHEDGAVYIFTTETGRMPFSLSGLVNPVRAVAFSPKSKILAAAGDSKVIVLYD TSSGEQIANLSGHSAWILSLSWSDTGEYLLSSSFDGKVKVWSMETKTCVATHSETDKA VWNAKWLPKIGKTEGFATAGANRSIAFYREATG PEX2_049280 MTSSFEPSLSTSGMRPPLASADAPSMADSLPSINFGFEDLRNTM AQFTVKFDAFIERGRKRVLEERNQFHINLAEYEEDERMRQRDIEILNLKSQTHEQTLQ KEAAEAAEMHAAISSITLERDSRLAKRDRLKQQIAETQKAINQKVEAQKSHAQHLDAQ SRLNFPELEFWQDYLCIRIEGAGREDRLKFVYSHLLEKDWEAEAWFELGTASRDYEVF HTRPKVDRDGLEHVVDLVNDDRDFGAFLKRMRKLFVEAMK PEX2_049290 MDEHPGSALHYGQVGRAVYLPDEQDWTFTRSFARPPSIQYTGVT KTTIPSPFRPPKARPIPRVPEKNTRKVITNAHPDLAASWSSIRAEPLSKAVTTITEKY DPEISELFDIGYAVDQRRHDTRLRSVPIAVAVTGESRNIISFRTLEEEILELSSPQKL AFRAPSISPIELSEWSNCGAPVRQIHFARPLEEKPMFMAARLPTMTTIFRPLYHWDPV PMHFPEDAILGSSAHLKNSRLDANPIAEIPVSRTGGFSHADVTFNPWYQRQFAVVDTR GKWSVWEITGRQRLQQSNWATELVKSGSLPLRDYKRKHSCPRVDGWASIEWIHNVGLI VVSNRHSVIIYAFTDNQIPPRTVELGMNKQSEWVLDVQRNPRKPSQFFVLTTTRILWF DVGAIRDEDDASLSLYPQVSWRHFRDPEDTTLRLSDIVVYQDLYLVLYSQITRLVQVF PCPFISDEYTECVSIPDPLVFDAPLLDEIPPTKRDSVVRFSRFVFREIAHSVTPMGRN FYNAHLPLIKLFWMDSDLAVHESTFKAPRGDSDEPDLFRQDSVLRLRRRYAPTSYVRP TDDFIVDDWDESATKKTIVRRCKPKIGRIGIRSDLQWTLDFSGVYRIATGKMEIRRKK QNKIPRPRARTIGGLLAILQSEMKNGSKRPPGRTMFELTSKRLLAEGLDESADEVKRL ISALVPEHADPDAHCRYMLLPIPSSNGSYGMPAKLSGEADRDLLNTYDQMIDDWMSTL PRTIPVQTRLMKEKLIRGVVADLILSRLIKISTSLDSIILSKPADPVEERAIQEANEK AAAKNKYQSSYFALLSSQTAASQAKSRTFGSRRDTVPVQQSKYAAVPVLGGLSAFITF KTPRPTPRKVANLLSHWPVGTSPQNYIWDRIDDEETRNSQSRTSKNRRKKRSQTRDQS LPSTPAVPMVRAWGSQPLAPPRVNINSSQLDSMPMTQMERGAFGTRTLKKPKKKKKRQ AGF PEX2_049300 MSDHQYKFNVTMTCGGCSGAVERVLKKLEGVKTFDVSLETQTVN VTTEPTLSYDNVLEKIKKTGKTVNSGEADGESKEV PEX2_049310 MESVTQEKKKLRSVICFEAQAPSGYTFIPAGNPQLTTTCKERCR NEGLQIYAVSTTPHHRHHNLSQHVHRIGYHFPSTVVAAVCSELGFYLTSTGKTVPSTF PTDRIENSTQADSEISQITLNTQARDALKDLFPNIPDKDLNQIIKTAFQKGQKKVGTA TELPLARRAQLAVVAHVRHVYTDYDRLLKTTSFHEARGVVEHTTLAKVIEWRGDDENG QTVLEDVFREVIVISDDEDSESEEDAAASAGHQNTSVEILPSETRAHEIRCQPINNTN PLGRDLPRELSEEEAPPGFRFVTRAPATDIINRRGFNRYKAWTRAVKEYREGMQGTEQ PRFSGAPAENQSPRYTEQRIAAQEVSAARRQDTVPHHAEAHRRVAPGSASNDNQAHRV PAIPLMDPQVAKRHVGVQENYLHSDAQQKPNARPTGLTNQESPELQILEELSGPRNVN MTHSQDVPPSRMEPRFRQERLPPQSDRTNAPVFVSGPKDNRQKLTPVGRRPDCVSSPP LRPGSNTQDSILPSIENSRPSENRRTDGAHPLVHMANKMSLRSVTPGRPQGEVMHHSD VIEKEGESEQASKRRRLACREGSRTDPRPDPWSARPMGLPVSEGFGPHSYRRVELVPD HRPPDQLHFRRDYLPVEKPPVLGHQRERKQVSYSNAQHGLETRSVLGRQHISGPHEPQ PQAGLSGTSILTSEGDRTFRAAPAVSNSGPWYPYHGDRSLRPDRVPKDPRAIRHTRID GGRPLPENVHPDRNLYAEDFVRYVDHREPPVFEYAPRRAESEARPIGDHSPSGRTRNL DHVGTKNLPQTQVASDQRGPLPIGPRVAPSHDHLRTFSDSAIGKIQPHLSPPQVPRER PASGGFNSLRPSYNYPPSSQTMEQDRPVYVQRVEPRPPYYSILDGRHVVIVD PEX2_049320 MKVDQRAMVHAYRHLYRQGLKAIQYSTPSRYMLLKSLRQSYRSS PSEEFDPAKINNTLRFLERATEVAGMEHKILKNLLLARYWEQGHLVRESRVPRSLGLG HVENQLRTSVFDHYNLTLDRLNESLGTCLR PEX2_049330 MDGRNLQPEGTQSVTHNTPTSIQNIAATTDGSYDPTHEINVTVQ PDNLSNPQPSHPNEALNSHNEPQPAASAISISEPRRSLDNAFDPEGLAHSSLPADDGM GVLRSKIIAIRDLRLTNVEKARMVHGLMTEGYNSARELPSTPGMGVFSPPSSPQSLGL PTNQETPRAWEINTSRPGSPYSESVPLYENTFNLTPEDLQPTFVPKAETEPPELETGD EDRDTEEQEEIILGCVHYQRNVKLECHTCKKWYTCRFCHDAVEDHSLVRRDTENMLST RASIIAATAVYVELDRDWEKIFSIARHALKTITNMESTFRNLDRTIESQPMPVEFKDT KGLIYCNDCGSKSVVKYHWLGLRCDLCESYNTAQLRILHGDMSSQPEEDSEEGGLPRP RSSSLIENDDSMSSSVATLNVNNTSDSHSRLSVPMSSEPVRRYSSYNITRGRAVSPVV SNYFGLPAERESEKPSSMPFFGGPSRGENEDYGALNFLSKKLTYRYGLFGGETKSTEN VLEAGKEEDDGESSDSAESDGSHGTENDDDDEEEDQDHIQIFGHQ PEX2_049340 MGIVEKFLPKDDRFAALLLYGMVFSTCFNGYDAGIMTVILADKQ FIEYYSINDNKSGLVATIPWAMTGLAQLWLGGSLAGWFGRLWALRVSICIMIIGVVVE VIPNSFGVLILGRLLTGLGFGCVYISTNLYVAECAPTKLRGSFVGTVSQFGYQLGTLI AFWSGYGMSFHKSPYNIAWRVSNIIQIPIGLAFIVVSFWYPESPRYMLEKHPETPERA LNVLSRLRSGAPTDERIRREFHELVASYEFRRRYDTGYIGLLKNKSMRKRLLYGIYAA SLQQCGGIASLTMYATLIYQSLGWDTGSQALTINGIQSVLQLLIVFVNTFTVDRFGRR GLLLAGFAIQSLALLIMSCLTTAYPTNGNKAAAIVEVAMLFIVGLTYCWSNGPIAPTV VTEIFPQHVRDKGFVLAVFQQKGWGSQLLATVWFEHSCWDRCAIQISVYFILPETKGI SLERMDTIFGGPDNVAAGESEGTSEKREAMAIMNEGEETPVAHVEDAAQDRSSRGPER SSV PEX2_049350 MEYPQESFVATTFAPGSFWARRRDIVRTQTLRHQLQMLRITGRY DAFKLQWHPSYSDPPTMWPVPNHQFWDSDIGKWIEGACYLLMDHFDEDIDAAIKELVQ MIQGAQHPDGYLNIHYSVVEPGKRFTNLRDMHELYNAGHLIEGALAHRLYYKNDDMLS PILKYVDLLVATFGDQDAQTPGYPGHPEIELALLRLYKVTGNEKHLKLAQFFIEERGN PTGGTERRHYYDVESEARGENKHTLPVHFPAARSYWYQQAHVPIAEQETIEGHSVRAM YLLTAVADLVRISQPDSKVGATFLPVVNRLWSNMVEKRSYVTGGIGAIKQWEGFGIDY FLPQGTDEGGCYAETCAAIGVMMLAERQLQIDLDSHYADVMELCLYNAMLTGVSLDGK AFAYVNQLASSDKDLSQRHEWFECACCPPNVTRTLGYLGGYVWSYSTNEQKAVVNVHL YTAATLRLHLSESMIEITQKTDWPWNGNVDFTIRTEGPLVDLQLRLRIPGWAESWQVT PSPDQLDIHKGYLYLSAEWLQQNSQFQLSCPMQSRVVKPHPLTMQPVAYVMRGPIVYC VEDADHPWEERHFKMTVFDPKVPLREETRSQPDQYIAIIAENGAKGELDTSHWDRQIV SEGNNAHVGESRDLCFVPYYLRANRGGRGQMRVGLRVE PEX2_049360 MIRRNSDIEIQLPDAGPTPLSPESVSELDVEMFSEEPTLPLSLP PHIAARFYRKSSKARRSSAASSRRSSVSSLNSHTSNGSSNGSPSADHIAQHLRRTTIL ESRKARLADRALHAEKVRLRAALAKAATRNLQFEERALAAQQARERLLADITAKCEDQ VKRAKKKAEDQREKKAAEQVRLRLEMEEKFAEAEKRRALYQQTHRRQRTSSLPATEEK KMSREVTKSVTQDAAARKIQRVWRTHNAKMVMRQFQSLNLSVDRVRDMAFEDVGALLS NGKLLDTMTNVLRLCGLQDMEGGALGERGAVRTFLSSYLIVTHPQEVLSSNGDQEQDL IAKARELLVAFHQVTALLSSGCCSPSVITADLQTLCESHNVFFSAFHAWKSHDSSVLI EIMVAQFVELELIWQTVKNDKAGGAAEDYRLGIRQNQVLLLARLKRLAGPDRHMELIR DSLKKAKREKKRAASKQAIPRSAETAPPTTDVTQSVTTPLSESFNNIDAAVFQQLDKQ RTSPHERFTKILTPLPENRELVHELLINKEFKIEEAPYTEPRRQIMKQMCDTMRKGVE AGHGTNWTVAMATVIQDRLLRSLQRGNSLYVIISEVLDPKLVQGQCEAGTFSYDNFFE FMNNILPRLCAPYRDPMVKAYIEDTSGDAIDRLARLMSIIDLLSLDQTNFMIQLAAPQ LIEEAPGYEQRAFDRDVTDGTISLGKTRRFWRTHRKIIVDEMKKRDPEGVQGEPRPLS TRIYAQGLADTVLSNAIVSEDLVPETLSLDRQRLERLHARAFQIVATASILLTAKNLL KRDARSQWKPEADRILSLDFNEISPERVQSILESTHPMPPAASAQLAATIKRVLGPVA TACLAAAPQLAVVTSETSSSDASFSSTDSNGSATSTPSFSDPVTRLILSRLRSHVLSR LSASSASERVRATTTASQNLAGAGMPEFVNEVGKLVEELEKVREVDWLCHGAIYEGLY EEGVPAQ PEX2_049370 MSPKVTITHLSDLTPPSQERTWLTAPHPTLPIVATCSSDKTIRV YSLTNFTLLSTITGGHKRSVRTAAWKPHMSGESVLATGSFDATVGIWRRWDSYGQEDG VGLRMSNGDKQTPTDTLTEAPNGTGTDTADREEDEEEWRFAVLLDGHDSEVKSVSWSA SGMLLATCSRDKSIWIWEDLDDGDNNFETVAVMQEHGGDVKCVSWHPSEECLASGSYD DTIRLWREDLDDWGQVACLKGHGGTVWFLDWEGEETEQNWNGPVSVSESELSALQAQW RSQRALSGPRLLSCSDDRTVRVWRRQPKESQQAGELSSAMTGIPSIIRPTGTDEVWEE DAVLPHAHELPVYAVAWSKRTGLVASTGADGRIAIYEERFVTPEQDQQADPDAMDTTS GDVLRTEWVLVGIQDGAHGIYEINHVAWAKRADRGAGGEEEVLVSTADDGSVKVWTVT R PEX2_049380 MPFLPSTTKGGAAAMLLSALSLLLSPLVSASSAADYYVHSLPGA PEGPLLKMHAGHIEVDPDINGNLFFWHFQNRHIANRQRTVIWLNGGPGCSSMDGALME VGPYRLQDDHTLKYNEGRWDEFANLLFVDNPVGTGFSYANTNSYIHELDEMAAHFITF LEKFFELFPEYANDDLYIAGESYAGQHIPYIAKAIQNRNKGVAENGGTQWPVKGLLIG NGWISPADQYPSYFKFVEREGLIAPGTTLYNNLNALNEVCLSKLETAGAKNKLDIGTC ELVLQQFLDLTTENHQCYNMYDVRLKDEAKSCGMNWPPDLKNIEPYLQRPDVVKALNI NPAKKSGWTECAGLVHQAFTAKNSIPSVQLLPDLIESGINVLLFSGDKDLICNHIGTE TLIHNMNWKGGTGFETSPGVWAPRHDWTFEDEPAGIYQSARNLTYVLFYNSSHMVPFD NPRQTRDMLDRFMKVDIASIGGQPSDSRIDGEKLPQTAVGGQANSTAAEQTAKEKLKQ TEMRAYAKSGEAVLVVVIIGVIVWGVFIWRSRRTRGGYKGVSNNELSDSTSILNRFKS KRSGPDVEAGDFDESELDQLHYPGVERGDYAVGEASDDEDHVLRPETSENRQSS PEX2_049390 MTQPTFVVEHLDPELGPWSTLEYACIARESHDRGARFLLSSVPA ELQMPADLAATKGLEVELRSVEEIFADRKDKVCLLDPSAKTELSPEDGDTFEVFLFGG ILGDDPPRDRTSELRKKGYVGRRLGPKQMTTDTAVRVTRLVVHEKIPLEEIQYVDYPE LLINEHERTEMPFRYVKDAKGEPIMPDGMVDLIKKDADKSIDFF PEX2_049400 MDTIIEPATIMQVPHTDLLKVQIPIPTSKLRRFQGKPGHHVYLN IPSGAGSSRIDGLLSNPFTVAEVSTTEITLVLRARQGPLTQTLRTHAEQFGTKFPISI EGPYGPSAQVIDQALKMDRIHLVAGGIGASFIIPVYRALLEQLGAEAGGPERLHFTWA LKSTAEASWATDSEEQLFTQSPNVHIYVTGHHSQRQSFGGDRSTESIEMGELQLPHEL VGGIKPHIGRPDLQVLVSQTFSYHAEESVAVLFCGPHDMARQLRAHVGKWVDKGRYVS WHEESFGW PEX2_049410 MSTGSDALPQTSTAGSYDSKAYDAHLATMKEIVSQLKYLPVIEK CLHQYYSFKHNALVPRPVVLRLLEMLRSNLVSSGLILGEREERVELGNISDISEAVLL SSSTEVVITPSLDLHGFFALFSGVNLRVETIGLFYTMAARASVFFVDRDEDKDGTFVQ DMVWYSTLSLRLARDLAPQSTDVIIWLANENAQLKSFLEGDASLGVWRLVGDLTTDLL ALGLNREATYSPERTPFFLAECRRRCFVTEYYLEKMFGVVFNLPPRITSRYVDVKLPL DLSDDELFAQTPEELEEAKSRLTEDGWNTDGKLRAATWARLRYILSQFREGIVEYHFQ APKAADPVQLRELSSRCRQTWDNLLPHLRYSQDCWQSDMPLTNCYMHAKVHLAYLQIH FQIYHLLGEESSSPLPELLDVSASILETVVQIGNSRSRGAFTFNDLPEILTGVKLLSC GLPSAAVLSAALENITQDSSGILPPGIKASAVIRHLSVLASQLESVASSRERNQAFCL QGAKAITEKLDKILDKFAASKFQETPDVATSTDVSPMSVLTPNIDSPLAGTAGEIGVF NLADYENFDLMSWAIDFDLGDAASNWTMI PEX2_049420 MNPHQQNKVDINSLSPEEQRLLRLYGKMPTKKDERKYFDSGDYA LSKAGKASDLGVTNIGSRHPVPENIPHLTSTSPGANNSANSGSISSQGGQQVPGSISG HPGSIGFQSRSPIKEGGSFLHRGTSISEGEAGSGLASSSVHGEQELSVSPPAAREGVP IRR PEX2_049430 MWLFRVFSSALFLAITVSSIPLAFDVGGKTCGLAFSLSLAVFYF LVSLLKVTTPDRSWFRSSIIAVLRFIQWAVILVLLIWSLNRFSVDADSTGTGWVERTF SGKRAQDASIQEWLFGRDGLVETVTLGNWDRLLRWSTPVFQLAEGFCSLLVIQAAGQI TRWLVNRGGRSDSWMIGLLVLSATIISSSVYFLWRVLQFPEISNVDAALIGVSVTCAV ILCAWGIGSGRGNPVESSLLFAYIVLCIYQIFTDYQPSHPMEQIPSPAQVGDFPPLPP IIMASYTTLMHAVSLLPSIIHAAFNVITAVFSAVTPSVLISLAYRLLVLYASTRIIPA VRESGARALSQEASIDDTDAAGQVLGFLSYFAPSILIAVYTSLLMQHFASTSQAMGGS GEWWSSQGNGGGNPWRWINLACTISLYAVELWLGETNDLDNGVAGHWKTD PEX2_049440 MAENVAKKLKTSSPLIGTHNGHFHADEALAVYLLRLLPTYASSP LVRTRDPAELEKCHTVVDVGGVYDPAIHRYDHHQRTFSTTFPQHATKLSSAGLVYMHF GKAIIAQKLSLPVEHADVDLLYEKLYTDFIEAIDANDNGISAYDQAALAAAGVEKRFK NGGITLASMVGDMNNPDPTSPPGEPQDEDSLFGRASTLIGNAFARKMHHACTSWMPAR TTVGSAYASRKDIHPSGRIIVLPQGGVPWKEHLYNFEAEASGTKEIDPAAQTYYVLYP ESATEGAKWRVQCVSVSESSFESRKPLPEAWRGVRDQDLDGVMAAEAEKNGQSKIPEG AVFVHASGFIGGHKTREGAMAMAERSLEL PEX2_049450 MEHNILDSLLDLEEQFYNEGYELGTADGAATGYTEGSVFAVEKG FEKFVEMGRLYGKALVWAQRLDIKSSESAASQVDSTTDTLSLDPSICKDMPSLPPHST RLARNLQTLLELVDPATLDMSNTEEAVNDVDERLKGAATKAKLIQRAMGEREDSTTQA EPKDMTTSGDGSGSIEDISALNIRH PEX2_049460 MTDPILPKAGERNILVTSALPYVNNVPHLGNVVGSVLSADVFAR YHKACGRRTLYICGTDEYGTATETKALEEKMSPEDLCAKYNKIHQDVYEWFNIGFDHF GRTPTQKHTEISQSIFKRLHENGFLGERTAEQPFCEQHGSFLADRFVEGECPRCHYDD ARGDQCDKCGHLLDPFDLINPRCKLDGAAPVRRETKHIHILLDKLQPEIEKWVHPAIE KGNWPRNSRIITESWLKEGLKDRGITRDLKWGVPVPLEGYENKVLYVWFEACIGYPSI TANYTDEWEKWWRNPEDVQLWQFLGKDNVPFHSVIFPGTQIGTRDKWTMLHHLSTAEY LNYEGGKFSKSRGVGVFGTNARETGVSADVWRYFLLKNRPETGDTQFEWGPFVDSNNG ELLAKLGNLVNRVIKLVTASYGSVIPEFTVPESFNPFLEEVTEHVRQYHEDLEGAHLR AGVQTAMRIAEAGNGLIQANRLDNALIANEPERAAAVVGTVLNLIHLLSSVFSPYMPA TSKSILEQLNAPFQYIPSVEELQSGWKPVSLKAGHKIGKAAYLFTRIDPKKADEWREM FGGSQADRKKKEEDAAKQAAKKAAAKAKKKEKKEKGRAGAPEGGVESSAKGGAEKVSV TTEGKNDEAVEKIADGVAQVTLPTS PEX2_049470 MSLFGAGASSASAGQTNTTGDISKDVALNTPPEDGISDLRFSPT SEHLAVASWDKKVRIYEINDQGQSEGKALFEHEAPVLNCCWSPDGTKVVGAGADKAAR MLDLGSGATTQVAAHDAPIRSCHMIPNPSVGGSPLLITGSWDKTVKYWDLRQSTAIAS VECQERVYTMDVKNKLLVIGTADRYINIINLDQPTKFYKTMQSPLKWQTRVVSCFSDA SGFAVGSVEGRCAIQYVEEKDSASNFSFKCHRETPPANRDVCNIYSVNAISFHPIHGT FSTAGADGTFHFWDKDAKHRLKGYPAVGGPITTTAFNRTGNIFAYSVSYDWSKGYSAN TQQTTNKVMLHPIGPDETKPRPSTRKR PEX2_049480 MSVPLTKIDSAIAGLSISDEKPETTEKEVKKTHKRHSSVVEGIW NIKDLEKEKLEITLPIETQKTGWKLNTSPSTIEDKDILKMLLVTPPVRKIDLHFPLGL EVTARNSKGVTIKDALDAIHKQFKKKADDELDKPYLAGFEWDKEDCWTRLIVHQKKEG APHPSKKSKKKSKDEA PEX2_049490 MALSRRDLVLAGVGVFIAWGHVVRWLPILRYLGYAVTLGAVLSS VGILGLVALTIRHKNEKVNKNVSVAFIKPNQWRKEVLNVRRSTRYRPRPLYPQSFVVS EGIDELLSFITRDFISSWYGSISPNPIFANEVDRTIRVALENLRDRLVAEDMVSLIVS RIFPIVTSHLKEFDIAERSVRGRNLNRNVTESGELDLAIANKYRDGRLHPAVAISASD QKSVQQEYLRKLAVGLLPQLFPESVLNSRIVSVLIREIISCAVLIPIVTSLSDPDTWN QLMEAYGRTALQDRKTVRKLRAALDQHASPTPKSKRGQPFPRLSATDSERAFERFVRA IRKCNNLSDARRFRALVSSQLKQESVVEGQDQVYLKRLETGKRVLDQKVANLARPGEN SHVPPALDLRPEIPSKTHESSLVDVMHSASGLSYFMEFMDRQKLMSLVQFWVVVDGFR NPLEDDFGDEAAPTSLAWKPADRNDLALISETYLSKPELKVSEESRRAVKTFLSAGKR ATPEQYRKARMVVLTTQSAILEHLQDTYYPKFKESDLYWKYLASDEASAAQVPVRQSS PIAVAFETPERRPLPPLLSRTTSQPGMRTSKDLRRAAVSSSDVRSMGKLFDDDDSPRR SIDSERSGHLFDDDYDTDNLATSTYSLGKDSQNGENDAGQSQSQVLETMEAALNDIIT NEPKNGRIEDLKGDAAGLFGPERPVLSSRSSSEIPQVDNRNERTKKSIASLGLVDHAS RLGVFHDDLFPDQQKFIEDEYEEPDGVDEKDSADEVHEAAPGDLGLTEAIEALSVDID KLAAQDSVVQALTRKAELTNNTAELRILRKSKASIQREMHRKEMQRQQYILQESDNSL FGRSTVSLKSTVVGKEEDDGREFAMYVVEVRRNAGEQMPAASWVVARRYSEFHDLHQK LRQRYPSVRHLEFPRRRVVMKLQKEFLHKRRLALEAYLQNLLLLPEVCRSRDLRAFLS QRAILPRKENTRDNEGETKDLVTRIYNSVADGMDDFLGNFGVLDQLSTAGQNLISAAT QQASDTTVPDSSLATEDAVTAAEAEAELNAFEDRELEPFIKPICDLFLEAFELNRGNN WLRGRAVVVVLHQLLGGTIERKVRDGARGLVQDDSLVKYLALARDTLWPGGVLRKAPP RSAVDRLNSRAEATVVLATLIPDLAGNVVGRANAQAAARRIFATLNNQHLNTHLVFTI LDEIVLVEFQQLVFFFSLIPMAPPPTSAQSYALSVPEIFELILLSLDTRTLLTKATRI CHTWSHFINSSLPIQWALFFRPLDNALNKPKIQNPLLAETFPSIFQQSGSSSNGRNPK KKNDTDTNTTNGKTTPKLAFTTFDMVKNPHKWDAYIRPEASWRRMLVQQPPVHTLSLL RSNVGHGGQYLYIYEALDDQKVSAGGLRMDIVFESLIFGDRWDQNEYSATEMVWGQEF LPKRICEDLELFGVRDLDLVFYTRLGEASRGRNYYYSSLESSLELDVVKKVKAAYTEL GMKPKYSDEVFLRGRKSWGSLWD PEX2_049500 MASLTDFVDFSSKPLLWSAATIAFNPIFWNIVARAEYRNHFLTR LFGGAYNGCYFLAFTIFSLGILRDHVYQLALADQPFYAPVHQPIVGGVLFAIGSVLVL SSMWALGVTGTYLGDYFGILMDAPVTGFPFNVTGSPMYWGSTLNFLGVALYHGKVAGI LLTAQVFVLYWFALGWEDPFTAEIYAKRERERAKKQGGKKQ PEX2_049510 MAAQAALIADTIIGMKRALRREREDNGPDDPIMAPTNRGNKMHA NAKYVSEGALGYINAEDYYKQRVDHAGYTRYILQPNPVRYDSEGDEIDEDDEDSEADA AAAEENPFSGIALETLLCPLKHPSELHTHPSMSQAYTSQALEKMTSAVEHKLRQERAL LWRARNLHRQFLGDSGWMPCGALETPNDHLIFDPRLASNDPSVYAGQGASGTGTPSIT KDLNGQQDAAQKVPASIATQDPQSVQNGTKPQASSTQNDVEMAEVPAEGEPTKNGLQL KSEETDATVKDLPPHPNTSIYDRESNNNDAAGASTNLPPNGRQDMDMTKYADPVDTTE LNDDTEQDLEMHDGSSPEPPRRMTTRAQANAAPNETENGSPLSDTAFPLPTPHPLFLV PDNIRPDANFGLPAAEAEETRRLLWSYIQKQEETVRGVEHMLDSLNRSCRMKADVLEW CKAEGHVGEMSDGEDWYDREQWGLAEGEDLKKGTDEDEVETVDESRTQGKRGRGRRQ PEX2_049520 MDAYPEDYVNHNLPLVLLSGLEAGPEHDSETSSSYPLILEKGTH IFSDFPPLSGAVAEELRSLLLEEDSSQMPWKSKVTISGNTTTAHIGYRIKSSGRSCRL PPRKADPPIPSPPTTPSDDQDNEHSEPNAHYVLHSPISPLSPGSPTFPDGLLTPLWVT KHQDLVPAAVINFFPFSLDPNMNSLRDNQLKIEINSLKKEWHSSGYKTRFVVVLISEE GEESGYEGEIDDRIAGIRRATNLDPRSIFVIPPDAISSELQDFVKSLFSLLQPSVVEY YRDLSKHARRKRNRGNIPPPTAPPTTGTSQTLSFQGWNVRYEFKLGIFAEFRQEMDAA CRNYESAYDTLFGHEVFENIAGWNPRFNDARLLADALAIRIIRCLLWTGQTTAAARLW VDHRIRVKDIVNRRGKGSKHYGWEAWEARWSMVMAQLIRRAEIPSLSSEISSEQPGEL YALPEKLIPTGERVRPWENLHHEGYWLHRSAKHTMIRRALAHKIPMEDRMAPGQSPAS LLANKSYLYDTYLVPDTHAEAPQEGRTGFDHSGLILNTLKAAIEEFAKRHQTRKVESL SLEAAEEYMRIGSWSEAHSLLQPLWSTLSWRRSGWWHLMINFGWALRECAIRVQDSET VLRVDWELLNKTFKQRPGWHYDIHRSLEKLPSEKPKHSLVLRAEDVITSLTASLVFEK SDGNVGEPLQVQLSITSCAHKSSAPIRLSEVKLVFEGCLRPVKVQSDQNQDADTTTPC CIATLPLREPSNPDTVIQSPAGGLTALVGIADLTLGPSQTKVFNLTCIPREAGEARVA SITMLIEEEQFDLGYAITEPDQRESFWWQQTEKGVTRRRVGKDRDTGRCKVMPKPPKI RLTTPNLKETYYTNERVMLQIGIHNEEDEAADVSAEIRLFGTESTAQIQWLDGDSDPE PLESGASTPIEGPSHYLKRAMGVLERSSNKTLTIVLADTQEATDFTLEVSAVYHLVSD IQTPIMKNITVDLSFIRPFEANYEFLPAIHPQPWPNFFAVSDTLLDDGSAPSPGGLFQ KWYLNSKVVSFAVEPLVIDKMSLILLEANGGAICEVHSEELVSPETPHLAPEELRESN FCLDVQKLVLGDRRPTALTCALEVNWRRQSSGSAASSDAESSVTTTVLDIPRFVVPMG EPRVLASATPSSSMPGLIHMDYVLENSSTHFLTFNLVMEASEHFAFSGPKTTVVQLVP LSRHTVNFNLFAAKRGLWIQPQLVIIDTYFNKTLRVLPTGDMKSDKKGVLVWVDADD PEX2_049530 MSNENPVQAESALLNRRRRRKSLPSRNGTDPTSPEVISSLISSL STISVPLNSHFDTVPKFDTESDPTLPQVPRTAPVHTLPPSEQHGFGMSYGAYKPTVEP PALPENPFLHPDDAASSPVIRMARAPPSPKSPRSPRFKPFRTTDSSTRPASRESFTSV IAAPEPSSIGTISTEPGPRRSTPSIASNSSAGRTKSLKGQFSLLKRSSREFMGEKDPY IERLRKTTSYNDSLRHNVPRSRASLRSLHSMAEVTEEARPADVKEEPYEEKLAGTPPT KDYQSFHGTPDASNPGGIGSGRIIPTRASSLRHRHSQSSSSKVHRSARHSRYSSTASK DFNTDNGSPGTSNDAEQVTRRIQELKDQQQKIKTELEVGNSPEHSTKTSPVKQPKASK ASRVLGYDVTEAMQNGIARDQSSFNESAPSPNVMTGKSRSGNRPGASLGSKSTLLPSH LPKPSAENLDSERARYRRSLEPLAPTTHRRTPSGHLSHVSNGRPSYSTERPSSADSID LAVDDYIFSPKLTQRVTHPATGRSIAFSEVGAPKGHVVLCCVGMGLTRYLMAFYDELA RTLNLRLVTLDRPGVGESGPHQGDEPSTPLSWPDDVAIVCNHLRVTKFSILAHSAGAI YALATALRIPQHIRGRIHLLAPWIPPSQLSTIGSKKDPAPTNAVPYSQKILRALPTSL LKVANSSFMSATSASITTSLPKSPRRTKRKAAAKEASNANAIPPIPPIPSVENNLQTQ RVDIPPNIKPSASTTASHRKSDTTLASRAKSPEDEVERQRDYDTRLTYKIWELATTNA NPAVDLLVCLERRQTIGFRYVDITRSVVIHHGSKDPRVPVENVQWLGKTMRRCEVRIL EGEGHGLMASAGVMGNVLTEIAKEWEDWTILVQGKRRATASHATRPGLSIQT PEX2_049540 MPSSQRRLRLIVVAVIAILFMVFYYTGDASKIQNQKFYRSTLDA MKAKEQAKQAKAQEKIQNPVHAPGQNGAAGAGVGDKVPIAEVGKTGVPPATGNEDTEE IPIAGRTKMTVPKKGNQDTPSEAESEIKSEEEKEREEKAKREREEKERKEAEATTELN AILKRAPVIIFSKSYCRYSKKAKLILLEHYSIEPKPFVVELDQHPLGPYLQALLAQST GRRTVPNVLVSGKSIGGGDDIAALDQSDELASTLRQMGGKWIVDVSHQEVEKLLPKMA LSDAQPRDIQFRSTTKSIRILNLPDIDNLHPRQPPKVTSRCVKVQIRSRVVSVEGPRG KLVKDLSHIAVTFGRPEQNVISIELHHGARKGVATLRTVRTIINNLMIGVTRGFKYKM RYVYAHFPINVNIEPNPETGRSVVEIRNFLGEKYVRRITAQPDVDIAPSANVKDELIL TGNSLEGVSQSAADIQQICRVRNKDIRKFLDGLYVSEKGNIIEE PEX2_049550 MGSWWLGLWKIVGAIWNMVLDVAQFWRDKLLSWWHSKSPRDRLF HTLANAQSYEEWEEAAFELDELQSKDLWRQNPVSRHYDYRLILGRLEALMSARESEDI LTLVNLLRSGLVRNLGNITSTKLFTHAYAGTKLLIDDYITQVALSIQYVTSVPGAPMH PSGFGSQAKLELLHDTRQAFGRTTLLLQGGSAFGLCHLGVVKALHLQGLLPRIITGTA TGAMIAALVGIHSEDELLPLLDGEGIDLSAFERRKMVNGGSGGNSNSSWVGTFYRRMR RLLRKGYLFDVGVLEECVRANVGDLTFEEAYARSKRILNITVATMGKNGTPNLLNYLT SPNVLIWSAAVASNASSSGLYSPVTIYCKDETGTIVPWPHTQDAVFRPWRHVQYNEGE SPLSRISELFNVNHFIVSQARPYLVPFLRSELNLLDRRQTGWHNFSRSAMRLVIVELR HRLRQLDYLGVLPAPLSRLLIDETIPGPNLTLVPDLCFWDLRKLFQSPTKDRVSEWAL KGERGVWPAISALKVREAVEIELDRGYQLVRRRRPSDASASVCVPKQVNAMNEGVPRR RRGGSENEEGILGDRE PEX2_049560 MSLIGQVFNKVLNKNIPVYIATSGNGFIRWTSVIDQAVKDVALA TVKAPFESGKLFNDDDMRQMEQLTITNMSHSSTGDPNAHYSVQGETSAGSKVKGNHAQ EDESKQTRAN PEX2_049570 MSSLTPGHVLRGAYWNYRVLEPVKGDNTHISTVFKAQVVPHDAH VVPDVPEWALIKVALPSDEIATKNMQREVLTYRLPGVASAKCFRKMYDVIDDSTIALE WLDTTLAEVEYHPDMRIYSLIKTFLRAAFTSCVVLESHKHVNTDYKTANILLSDNRTG RFIAKVGDLGLVVPVGELFNAQPYAMRAPEVFLGKACTEPSQVWAVAAMLLCWLKPGV LGAWDSPHPLINEAWSIAKIQRLFPHWETPTPEMVKGDTLKVTLNSAQSLSKQVPELQ AILPFDEETKNVEMPQQLRDLLRFILVPDPKIRPSASFVLASREFRKFENYVTL PEX2_049580 MSKNNSCGVTEAQVPQQRAQWEISATTTLPDGRTIDWIPICSQG EIAELPAEPPPNMQARSVHEQKADMPAVPELEMEGIEKGPPGTVPVLRGKIEPNKMFA PSQSKPGPPLNDSMPVSPIPGTSGIPRVAGSHQIVKNFGAGADFSTFAPEMDQSDDYS LIEIIVENDLGAFDPSRPREDSRQAVEVGWVVYPSLLGTSAQLFTFFTTCGYKNDLAD YNDKQGWNSDVTGWIQTDDLIFPGTVFENSVVGGDQYKITARWRLDNGNWWLWVRDRW IGYYPAKLFRRGRPHCTLSDHADGIYVYGQVWDSKWTTANPAGTKTDMGSGRFPTEGF KYSSYIKKIKFQPHQGDQYLQDFDSTVALTSDRTKYDAEFHFKSGSSEWGSYMWVGGP GRL PEX2_049590 MRFQHIAFFLHLLLSSALARPDAKLFAHPGTLHTNKDIQRIREK VKTEAEPWYRAWQHLESAKLAQTSWISKLHEVVVRGTNATWQPTPAQNNGDAYRDAHS AYQLTIRWLVGGNTSYADHAVDILNGWGSTLRDINGTEDKFLAAGLYGYQFAIAAELL RIYPGWTKANQTVFATMLNDVFAKYNFRLSLLS PEX2_049600 MSNHNIQTFRFEGKADGWFGPFTQPFDVPHEYLFSAVRVTITGD VGKRNHEISVVLTCKPNDTYVNIIYGEVPDRKPSIKGPLELSRLLPGFDYDLNFTAQG FSTDEHVLITVELLELDSTVAAVTRDILRDAEFDDKSVNALAFGFSEASFAHLMVQPS TPPEVPIGPEIGPVKFLKVRLSMESLEYPWYPMVYIDVDAEELVIAGNLQLAFYRTAD PAEFPEPVAVILVQVSVRCRPVMVIEDKEILGLHFVSAQLLGSTSIDIRDPSAETGVA GGFASVAQFEKSVSDYVTQITSKSGELGFALPAYLESRPLPDYWDRVLNMKLDFLGFQ FKTVQVHGRPISYLFLVFSMRSLNLPPPCYCEQPSLTQAASESDSSSTPTILEVLQQQ TKYGRSLPKKWSKLVTEERADYTDILGAAQVAAFGMSEKAFQEIAKLYANFGHDQTTS TSQNGAVYASVRFWYRVSLEKAKIRDNGIEAVIDLAGEGSAKAAVRDRCGHDVLRTSV SLRLTLQNNSITWAPYIGVNSTNPREMTIAAVANARVGDPNVDFDFPEGPPPPLDQVI DWVLTQLVEGTKGSLGKLASDQLTIHLVRALEDNGAIRLRFAANEFFKDEAFVLLGQL WSHSWG PEX2_049610 MSSQHPDARGGWKPKPLSALRRPSSLLKSANPSAPPNRTTPHPN DEPLHQIPITYSTIAARALSFHRQVLTDVTPLQLAQNGLYCKPSRSGGSACCFACGST ILLYTLQDNPIEEMQQLHLADCIWQIICRDLKPTFEMPNMVTPSDTASPSQRPPPESA PASILPDEPSTMNIDTAPEQSTTIPEPGPQQPQPTYSSKVSQPPQPLYSTPPVTSTPD QQPTYASVLQRPKPCLSHPTSNTYQSVPSPKRTLTIEDLYRRFHNKPSPFQLNKKTSK SSASRARNKKASASQSLARILISALPAFSRLLAEMQPAAGDCWRPYRGTHYSRAMKAA PEX2_049620 MQSRLRLLLCVAAISLGGAVIGIDTGIIATVIAQDSFNNFMFPP GTKNASSLLGAIVAMSSTGSAIGSLLCGLTLEKLGRKYTIMISTLFTIVGAVLQTAAN GVIMMIIGRLICGIATGILSPSISVYICELARPQERARFIGIFGLIMAIGFCIANWIG YACSFAHTSHIWRLELAMQFPVAVVLLVFCIFLPESPRWLAQKGQAEKFEAVIRRIYE SEPEEVILKLQLEIQSQLAIEAAERENSTLGHALIELFSRKFIKRTALAIAVMQVGIL SGSLAIQNYQSLMYEALGFNGTEVLLISGCYGFMGVIGQLINLAGVSDRWPRVRTMWV GCVVLAAMLSILAALSKEYGSGNNIAGARAGIAFIFIYSALYAVFFNSTLYTVAAETF PQHLRGYGTGVAALCQGVSGIWIGQVTPYAFDAITWKYYFVFIGSLLALGALYGTFLV ETNNVSLEEIAGRFGDHVVSSDKIDNAIEEKRADRTTEHIEG PEX2_049630 MPKVIDIRFEYHEARDIGIGEDCPRISWSYSGEDQNWMQQSYAI EISRDGATQTFDFHSNQCLFVPWPTTPLLSMEIALIRVRASDEKGNLTEWSDIARVET GLLHQDDWKCHIIEAETAERMENYHRPIVFKREFSLQKPIRRARVYVTAHGIYTAKLN GSSVGDHVLSPGWTNYTNRLTYQTFDVSSLVDHGLNTFHITVAPGWYCGRLGWGAGKT NIYGSALGLVALLRIEYEEGDSITMGTDSEWQWGYGPTISAELYDGEVFDANQSLSQD TEWGRVACRPITDRLESPDGPPIRRTQEIQPIAILKSPSGQSIIDMGQNMVGWLRIKV SGGTTGHQIQLQFAEVLEDGECATHTLRNAKARDSVILDGHGTLEWEPDFTYHGFRYV GVDNWPGALSTDSVKAIVIHTDMKKTGSFSCSNPLLNKLHENISWSMRGNFVGIPTDC PQRDERLGWTGDINVFADAGNYLYRTGGILKTWLDDLKYEQKEAGGIVPLVVPNVIDG FNQDAHAIWGDVSVMLPWSLYRATGDSQVLSRQYSSMKDWLDVIPRRENLLWNYISDW KLGDWLDPAAPPDDCGKATTNPTFVSDAFLAHVTTLMKNISGILGEKADAQTYTVASE KIRSAFSHEYITPAGLVANCTQTALALAIQFNLIPSERQELHAARYLSSIILESSRYK IGTGFAGTPYIGHALSKVGLTDVFYRMLLSRMNPSWLYPVTMGATTVWERWDSMLPNG LINPGDMTSFNHYALGAVASWMHSVIIGLRIEEAGWKTIKIEPIPGGGLKWADGQYLS GYGMYRVRWKIMQDSESQDESICLEVRIPPNTTADVKLPGSGEVKQVGSGSHSWKVPY QSTQWPPEPLVLPFGQRETFPTDESLPCPWDRNIE PEX2_049640 MIAMGACMMIDRDLARLRPTAESSTPETENLDKATVAMIAMIIA VELQIHHPIGAGTRTKTETERVIEARAAIEVELAALVEASPIWDRSAGSGVWISALST TNADNVLINTQIAAELSNAYHINGLEDIRVIRDRQTKLSRQLGFLRFSTIDASREFVE RNHPSIFFYAVREKTELVQKVQATGTAGSVSFSTFPHVHIVSSAVTHDPVRTPSPPLP SPISALTHLCPHPSLLPALTIQADMDPTGPPGVPAPKMANDGDNDVAPETQPSQFLLI RGLEASVTEELLAKGVAKLYRPSGTNDSAPDNQKKGSKVASTTGDSNLGAREGSLRRV LLVRDRRTNASWRYGFAEFAGIKDAQAAMTRLKSFEKFTISSKPVLVTYIHGGVFVPV MNAQSGASYYTFSPSNNPLLKLMYWDDAAYVTELVLSTAEDDAAQEQKANKTASNHGD GQAKGTKDSDKGKKRKADVTTSANTKKLAMPSQLQFWSDRHAELHGINRDDAGNPAEG SKSAAPDTEPAALTTESAAPATTGLPVSEHEANLPRPSFADWEKLCCYLCMQDFVDIG HLRWHTYASPIHREKLKDVKLRELGVCWLIIYGVIPMPTPNYEGSKDEYRDALEREYS IPPTARSFADLERNWCLLCFNDLGTPGDLYVHDRFSERHRKELNDEEAMKWGLDQLKQ AGIAQDIVQAPAEYRDRAKERRQAFGREDANARQKAPEPEEEEETPVQTNTIGASLLT KMGWREGSGLGAQGTGVTEPIPTEIYAQGVGLGAQGSRLGEATEEAGRNTRGRYDEFL EKTKDAARQRYDELDRP PEX2_049650 MVSLFGWGSSPKPESSEPKPQEKPTPDESAPPAPPAQTQIAQAP SPQSNTNLKLFFGGMTFFALSVLVTRRAFHKKRMACMPPFYTSSTYHQPHSNSAADAF EALNLATLNVVSFGLMAGGAVGYALNINGLEDMRRVVRNGLQGGSDAPVKTDEELETE VTEWVTKILGDKFEKQLEKEKMKRAFREDKVDQDTKESN PEX2_049660 MTNPIRNFSLRNVNTSRPQLARTESQQSEAASDDYYSFSDRAPS SPESLATIMRFRTPDSHASSPAMSQINLAQEDLESQRPPTSSTVRFVEDRPAHISPIS DSARSYETSRRSPSDYPGPAPTPGLDNSPYVRFAIDQLTEDRTRGVQRTDSISTTTTS DYPTDRLVWDEGLGYFTRTRTPIRHDTPPVRPSYTSPSPQALPQRPMSVDPESFMAVD APENSLLYPRLDYLPLPLRPWALALTIFCCLAMMAGIVFCNVWSRGEEGLWNYLRQGD SRYFVLQFLPQVAAAPIIIWSFVIQAAVYRIAPFSIMSAERQEGLVMKGLPILSKNFI FPDFSHFRHGEALFGFSLFSIWLSNFFAIPLLSCLFQAKHYIIDGEGVWRWASVQSVG WTLVGLYGVLTLGLMMLFVRFLRGRSGLMWDPVSLADLISIIQRSNILHDFEHSETVP SVRESLDPRVLRLGYWKLSSKTEVFYGIGEVDAPVRTPSLHQTGKNPENQPHGLAKVR FDLEDNEAFSNDPNEHHLYSPSARYRWTPWFLRKFSVAIWIIVVFALFIAFVAVSFIN NAIESGFPPRLPTLPSTTAFSSSNFLYSFIPALIGNVLFLAWQPVDVYFRALQPYAEL SSPSGASAERSILLSYPSSYPLQITIQAIINRHFKVAFISLMSLISLGIPILAGGVFI ALWFPSHDDIRISAFLPAFYALIGFCGLYALSFVAIWPGRRRYLPHDITTLADLMSYL YQSPLLSDKILREPRSKTDLVTRLIVAPPTERQLPLYGFGIYVGRDGKEHLGIDRFHR PGRSDMLITTGNMK PEX2_049670 MLLKPATPLTILLLIAFALLLLSCLSTPIIKGIPLATFKDVDYG VFGYCKGSACTNIHVGYTSNDISSTGDDFNLPSSARKSLSAILIIHPVAAFLTLICLC LAIAAHFHAPSHSPRFLLALLILLLPTLLVTLLAFLVDILLFVPHLGWGGWIVLVATI ILTSCGVVTCAMRRTLVSRKARKRRIAENAEMSGENYYNRQNAAVAVAAPPVPDPVEP KEAFVSNSLNSDSGPTFASFHTDTRDSDDDRTPLNTRTPMSDIPAPPEHRGAPYPAPQ DEFANIPPQGPGAYGAAPVSRNHSDPRIRPQYSDGSMGSRRGGAPPGFNGRGRGGYPP RGGRGGPYMGPPRGPSPGSRGGYTGPMPGRGGRGGMMPPRGPPAGYGPGPGGPNRGFD AYGRSVSPPTDDHYSYGPPGQMRQPSPGPIGMAVSPETVGQAIEMTPQHRPQYDMHES TQPMSSVPQTLSAEINSSAPESPTSMYSRPGSYVPPRSAWSGNDPRTSPRPPGQPLQP TNSGTTYYEDVDPRFARRPSPPTESAIPPSLTPGAVHY PEX2_049680 MFEFQLLQPSHPKPAATKQVKPKVAEPRRIPTPNDEQLEKLTIL TDRAHSRAEERSKIHHEMGLIANETEATIAEYPYFDQTHINLLWDMDHELHRLEQRLM QLQAEEEMDAEEEMHIWEEVV PEX2_049690 MAENRQIEAPTDSQLEELTLLRVRLSQRAQARALLVLEAQQLLD CDNFLTTLNQYVLPPPLLEYVQEILEQRWALLLDVLEQVRLQEEQDQAMLGMWED PEX2_049700 MLTRLRTRALARSNARWDILLEVTFIMHMVQDNLGRPHPRHTRI GNILLAMEQYMEEQHDERIASAIWDDTRDFELN PEX2_049710 MGHDVRKLKRDNSGPHQSPKSEIQILPLIFYAPPRLQKKANKDK VADEHPIPVPSHADLEPLTELHLRATRRVNAYGGIVNEVAQLLQGAQLAMDQELGLPQ PHIANILRNIEIRMQLLVDEMLALCNAEIVDRALERDIWSQIG PEX2_049720 MVNQSPLLSKFGTILGAKKQSLVVNPVTIPDLDSDLDLIMNSLD KKARPDRNPPGEDIFSKLPVELRHEIFELLPAGSILALKAASSTMHTTTLRAEIWKQR LRREVPWLWEIHDVDVFQSQYFEGKTSKLLLDIDKKSKYTSENDDYIFGLANRRRIWG VCEQIRTQYFEKLGGISNTDS PEX2_049730 MQGRIHLARHAEGLHNLRNDPTSPNASLSERGFDFAEDLGHRFI REYSNNVGAIISSPLRRAIQTSLTAFRRILNSTQYPKNSVVGVINGVMLALDTNLQEI TDLSSNNGSTLDDLTTEFPEHKSEI PEX2_049740 MSFDRDSNVEVVRKYHGAVQSLDHAQQPWSPETGLHGPSDAISA AHQYLLTVADDYEIPQGVIGGTPIKLSEPASPTDTPFGLRLVQERPRFRSSKAVIYQQ TLGGLPVWDAKLSILVNKENQIVSSSSSIDTNASKPEIPLDDAKYMQNDVTEEDLRQL LAVSVSSDGIYFKEEQKQQRLLVYYYHKDDRQEISGDSAPTLVLPEVPETIGDGQYYV VREVLFSLPISGYGDLNWRAFIEVETGSIIYLRALTAGLSGWVFARDPATKLGHKAPT ATGSIADLNLLRDKVFLPDTVATTPQALNGRYAQIRDIQLPMSLPPTTSSGEFNDSVE TDNFAAVSAYYHIAKLFRLLAELGFPVKTLFDNTQFPVPVDHRGFNNMVNAQAPGNAT GNGSGGFIFGRADMNTQISIAADFRVAAHEFGHALLWDAIHWPGFGFAHSPGDSLAAI YCDPGSDAQDRFDTFPWSVVRRRHDREITDGWAWDGPQYRQDDRAYYRREQILSTTLF RLYQAIGGDARGDFHKQNWASRYTLYLIIAGIATITTTASMPYQYVSAMLSADNDTVL GYPGGAVRKIIRWSFEKQGLYHPIGTPSPGVMTRGPPPAVDTYIDDGRDGEYDYAPMS GNPPGIWNRQAPDGGLVNQAPIVGGTNYYYVAVKNRGTEIASNVQVTVYESNIPEPTV WPAHFQVPGTVMQISGPISGNRQQTAIAGPFPWIPSPNASFDRHSLLAAVRADGDLSN IDANSGLACAIGPTDVDSLIPFDNNLAMRHFDR PEX2_049750 MEIPRVPVELSQAPAQILQLPVNVPQAQVGFRRTASQVCHTPPR FYQTPTRRIESQVPVYPSPAQAFQSPVQRSQQAEQVFRSPSQGSQTPKITRSRAESSH QVELPRSLEPGSQSLVQDAQQAEVPQSPQQRYESPEHSQSLVQSTQSPFQMSDQMDQI SQFSMQLSAQDGTTAETNETDIATPSTPTTNTTTPSLFIQPTKSNPTFSLVYHKMKHR WTDLEPLEQTLIVKYLLGRCHTQERLHCQGYNAPKTIDTTSCLKRGEPHQTHTFTRYS THRKAIVIDCEMIETTLCTSELAFITAIDFLTGEVLINSFVAPTAPVTNWLTPISGIT PEKMDAAIADNKAFKSNADARRALRMFLDHDTVLIGHALHHDLRTLGLIHGRIVDTSV VTAEAVFSNFSARTLLPRVWGLKALANELVGIDIQTGDEGHHSLEDALATREVLIWCL RGPECLKVWAERARSLYERVRQQRGGQKKTVRNSAKKVRGTSSGKGRGKKKPVLD PEX2_049760 MNDATLTLSSNPQFYRPFLEVSRYIPKASDEAHDSYEPSTLTTF ARQRQPTVQRPSSASADSQFRPSSASDSQRPLRRIPRQPEVRQSLHRRAVSSRAPVPH PNRSQPARTTPVSVQHAPASGIAHLRPALSEAETDDNGSTIDDPYQLLNTSYWPQRTT GVSSRTASAILFALEEAIRGPLQLSTDWDEVNASMSDMVGVAGPAGPVGNSRVQNGGT RVPQNPISGTSQPPSGMRTPTDIMRQRRDREARRKAEHEAREREQEELERQQLEQEQQ AQTEAHAQTQALAQAQAQAQQYAAGVAAENTSQSRIRPLRPPRGPEGQPQTMPVAAGP PSGYRPTADVAPSTRPLEYQTAPPTAATGQVANQPRPTQATGQQSQQPQHGRSQSAAE IGGQPRPSGFSKSAQALPAGQPGAPQALQQPKRVGFPHAFERWETLSSHWEGLTSYWM RKLEQNNDDLERDPLSQQLARQITDLSAAGANLFHAVVELQRLRASSERKFQRWFFDT RAEQERSKEVQADLERQLKEERQGRADAFSAAQQSEKDKVKAEELLKEMRRELQISKE EARRAWEELGRREQEERDRTNSLRSGEPTLVGGVQVVPMVPGPPSRHNTSRSQTREGP YPGGPTATTMGTDAYHGKSAGSADGQYYEDGTPMSPTGSDPFIEPKKVDPPTSKPPYP SSLYEHENTSVPYPGPATSEPDARSYVGSSEAGDEEYAHYSHDQHGNSLSYPPGPHSE GSEEYDQEGHYMPDGAYTSAASSAPPAAPTSAADYSGAGWGPGTGWESMTPRHRHPTR LSDVLEEDEPSRTNPSRASLASRSQASRSQASRSIL PEX2_049770 MDHRGLYCPGELDVLYQDRNRSETTICIPSPSPAKRGSSYYPAG PKVLEESNLDPFYLEESLAATPSWNPYTSDMRNDSFEDENQYYTLSLTHNKDIRNPLQ YPGPDRSTLAQVELENSLRFHRYTPSTEERSPLHSSQVSFSSVQTDSTTPDLTPSSSF SSSYDSPSCPDSVLEATQQLYKHAQQVQIEPRRRFYLPASTPPTYSLPPPPPPPPVAP LNPADIRPTTPCFQHSNDSTTTITMGYEDATGSYSSRSRRRKQPPPVLNLSRTSSSPS ASRRKQSSPGTRPPLDPSMISPPSLINPVTMEPHMTHFDHPLFIPANDCPSPVPSPVA SSPPISRQWTATSMERPSISTIDAFCEQSVWESDSDSESTGRKSMSRRPIDTLRKVRS RAKLRAAKSQPRLHQTMLDGQTFEQFPCMPEDNLGEPMPEAFRPSMDRPSTSKDAVRS NNGLQTLRLVAPSSTSLTRPPRSRKNSSINSSDVDRSAAAAFQAQFRRRQRSESPEYT NSNSSHSDKGEKEKLTSFCYDQYSSAPITGPRDQRPLFQRFMNSLRSLNCQKPQKSHK KTNVTTI PEX2_049780 MTSTHTDSSSAESTPPSPATTMSQDYPAVDNDNDNDNANSLDDI FGSSPPHERTHHAPLPSTANPLTQSTEPSDLPSLRRQHVTAGYRDGTSASKGAHVQEG FDGGFPVGAQLGMRAGTVLGIMEGLLRGFEERSGPGVVKKPVVRAGLSASASTGAGDS GNTEIAELRRQKREQLRVLYEAALKELDVQAVFAGADGGAAEVAPRAEDGEEERAEKQ LGRKGDVVVAKWEGRVDVPKWEENMEALEMKEKEESKGEAQGQGQAVEHS PEX2_049790 MSRRSLRLLGYTAAAAGIASYSIHHVLSHLEEKYPALPPAAGSR ALRKPKSPDTQRCAYTDIYAAQIPLRALQARVPSPKTPNQTELEYAWARSVLGSKVLR IEGSILGLLTNFRFTPCDTGNSEGGFSPDKTTGAPRVLLNGAFHVQRVPAADTDSNGL LVSLKLPDEPREFFEKIARWGYPWRLMSCVRHEMSVSEPFRVNGQEMFVEVRFSTAHD YEVVDAEGGPEKQKIIPDWTLRLHRGYARFVLDSAVRDLRRDFAK PEX2_049800 MKFLAACLTLISAYPWTVNALPTPEDLTVLAYRAWDFRLLSEAP PVCNSSVNNIEYSILHNSGAQRRDCQVLNVAEFNSTNVKSISWKSPSDVNRYDLCMFS SPDCSGGEGGLLGSITNGWEVCYLYNGFESWTVVPNGEACVPAAAGNGSKGGEQ PEX2_049810 MSFGWSAGDLVSAIQLLGKVAASLKEIDGSREHYQQDSAYLLSL ANSLQYLKDHDSALPSASQGLDTLKSSIEHFGNRLNARFGDSLGKNKKHKRRFFERLR SAPRSVQYGLFVSDEVDELRRRVDIPLKNLIIGIGIDNNNLINQSNQNGLQTRLLVND LAVSVSTLSVNASESYQQKMPTMTNWLKPLLLEDIHSRLLAELVHGSCKWLFDRDEFI GWKSAGMIHDRYPMLWVMGKAGAGKTHLAARAIEELKSVRPLAYFYCDAKDESRRSVL GILSNWNWQLLQQDPSLLDDVILIKKKRELASQAVLEDILVTIVQKVSDAVLVIDGFD ECEAQEQVKLYSVLARLSKNARILVFRRNVFNLPSRLLVPAQCVISYEISEGDNIADI NQYIKERVTHLEIDADDIREDIKAALRSGAKGMFLWVALMVEELRKPLFSDSDYLKTL RYLPEDLNLLYIRILRGLPSRPQESRISKGLLQLITCAQRPLSLHEISAAMMASLAGD KLEPSITSEEGLRTIISHYCGPLVMIHKGLQSCPTATLVHSSLKEFLLESQAEDIPVP LRIDAEHSHMALAQICLTYLSYDNIEVAPFVPLDERIADSYGSISLDNRMTRSRSGMR ANMDGRFAAFIRRYSLLEYSSLHWCFHLKRSLRTSETYEILLRLCQSKNKTIRWLQVA HYLWRRHSLSKVNSGVGILESLESLEEIAAVKETLLAGETGFSSWLRCFHRYDQNKLN SNLTKRHKFFFNEFTNDFLPEIHVAALFDFPEWVEEYLLDGVDVDQKSHMKQTPLILA SAGDSFNSMRILLRHGADINATDFFDKTALDWIAQVEDWSTLYRVPYTAGQILLNAGA RISRDSSSNILARVCCNSSTKDPFLIPFVSSLLANGADAVIENYYRELPAIHWAAISG NAALVKLLLEHGSRPDLIPWGSRGKETPLLHICSMPYVVLEVVEVLLGAGASVSARRS DGRSALHLASNHPLQLAYMLLRAGADVNARSMDGCTPLNDADIAENVEVVELLLKHKV MLDAENNDSLTPLALANTNRGFGAAQMLQAAYDQTGAPEFVNTTDSSAWMVISLCGAS LLALCN PEX2_049820 MTRKNATLSKRRSKANLTVSTGSNGRANGKMNNSIEMRRRDTPS TETSAERTAQLMSRSSFSLDNEPIVPQTPIQTNTTFQNLPRSDRRNFLLLVLLYFLQG IPMGLATGSVPFLLKPYLSYGQIGIFSLASYPYSLKLFWSPIVDAVWSTRFGRRKSWI TPVQVIAGLAMIYMGGHIEDMMVQAGANSGAGVWKFTYWWFMLVLFCATQDIAVDGWA ITLMSPPNISYASTAQTVGLTAGHFLSYTVFLAFNSKDFANRWFRTIPGEGGLLSLGT YLNFWGWAYLIVTCGLAFLKKEERTKERDSIMDVYKSMWSVLKLKNIQTIILIHLIAK IGFQANDGVTSLKLLDKGFGQDNMALVVLIDFPFEIMLGYYAGQWSTNYGAMRLWGWA FIGRLAAAVLAQFTVMIYPTASEVPMWYMLTVIGEHILSTFMNTVMFVAISAFHARIA DPAIGGTYMTLLATVCNLGGTFPRIFVLKLVDMFTQATCLPPAIAPPADSLKDTLITT AFSCALEPEKNRCINGGGTCHIDRDGYYMTNMLCVLIGTLTFIMFIKPAAQKLQDLPL RAWRLPSNPQRG PEX2_049830 MTTTTSRAPVSPDPEEEELDTEITSIRAESKHPKHLSQCQSPNP NANPKQVQNLQKYKRILTSSLLTTDPIQKLLRKQSQPLTTTNQTDPLATISPLVHAAG AHASSNHHRIAFGATAFPFKDPSPTANAAPLLGVRIDVCARNGRFAKPYYILLQHERS RAGPGSGSAKVLKVHRHTVPGFISIPKLESVYLPRPGVSRGAGNGDGDAGGSSGEDED AEPAKPWKKSGSSTRKQDLRGFVRELRRELVAWHMRVDAIDFLREKLGLVGEDGKSLP FDVSPDQDTGIVSLAAVAVEARYVRVEWVDGRVGRFKLSNAGVVERAVVIGDEGRDKK VEDAMTGGGGRVETVLDRLRVDVLSGSPGL PEX2_049840 MELNGPILDEAQYRTDVLHHPSPESEAAQAQQLAEEAEQLGLNV PEIEASAPLAASIASGMVDLSSPVLSSGSSTDRNSVCGSVTPSHEPSSPVPSVLDQIV SSLSDVTLASGHFKASSTRSLASLSTRPTSFCSSEGRTGLIGHGYNEPFEANSHRHSI FSVASADKKERRRSSLKSAIGRIHFRKRRTSSAITLPSEGRMTVSTSDKGVEHVFFEP KPEPHDAAHDVSPHASNEASLPRLEIPRFSKEELQRSLDDPELSEMHERHRMERNRHL EFQDAALSTLRRRHQTAISEKQSDGHRQEDEKREKNIQAITQIEERQLAVEIEQQREF DRAKMNSRTRIKHMEGYLRNASPPPSPAGTSIRAERSGRSSESFSECDSTPPARVLTR QHMEQLEQQYHSHKSMDQLHDARIKVLRDRQELKLQEATARMEKELDEMCDQNIQAIA TLRGEHQREETSLMQALDTKKTTLRHRWYLEEAVLRRHLEVRHGQSYGPLPLVAFTPN TPIDGVEHSPLETSTPDTIHPSQDYLPF PEX2_049850 MGILMYYYDKCFAYIIRTFMRLARNVSASPDEIRHIKSRDAGRT IKVHLYRPSGSGPSPVLLNFHGSGFVLPLHGSDDEFCRQVSQETKHTVLDVPYRLAPE HPFPAALNDVEDAIKYVFTCPDEFDLTRVSISGFSAGGNLALAAASSLFPRDMFRSLI AIYPVTDLSPGPASKIAPARGDFVIPLPVMRLFDRCYVPSSFDKRDPRISPLYAQVDR FPRRVLIITADGDTLALEAEELAQKIKELQGWHVVSERMQGCSHGWDKSTKVGTPQYQ AKERAYRLAFDMLNE PEX2_049860 MSTKTNSVDTNFTVEWNASKDQALWDILSRPSKGDIDWKALAGN FDVTLQFLLQQAAWLYDRQLSQVRAQMRKVGTTQSASPSPALGSVSGSTALSQRETPV PGSRAPSRQVSHQKDIPLRGMIQTPTRLCTGQLRPPMDRWGLTLTAAPDNRRTSFTST TATNQIRGSRDPTRTATPTIDDKEPRWDSFGRRPSTVRREQPPVAALPRSPPLEEESL SSSSEDSESDDEDTTRRAPLFKRFGKFSIHRSGLRDDEDDEEDTPAFLPMAREHQHTL LERPAQELSATLRLDAERAAAQRRHLEQRSGSRVLVATESSTSSMSSGGRSSLPGGSR RTSQGASILSSQRAAERQNSRKSTASGREASDGTPSMGSSFSDLDGMCPNPEDSGFQL IDWPDASVTQSALEEALLSNMQHGGMASRMSTISQALRSRYLQ PEX2_049870 MAAPLLGEMSPAEAESVYFNEYPPPKALPRHEALARAFINLHVE ENRRVVLVTSGGTTVPLEAQTVRFIDNFSAGTRGATSAEYFLEQGYAVIFLHRQYSLL PYSRHYSHSTNCFLDFMDEAPASADSSNPGHGPIMVRDEYQDQMRSVLRKYRYAKQNN RLLLLPFTTVSEYMFELRSIAKLMRPLGPNALFYLAAAVSDFFIPRSRMAEHKIQSGE LPPHMRGSAISSDEIYTGENEEKPSSNSRKLVVDLDPVPKFLHQLVDGWAPEGSMVVS FKLETDPSLLVYKARTALQRYSHHLVIGNLLSTRKWEVVFITPDEPHERWLRVPKSRR SKSISGVEDQVGLAEAKKGNSITLEQAEAEQIDETSRDGIEIESLIIPELVKLHTKMI EKKTQPAQ PEX2_049880 MVPRRFSRRLIAVATAIALFFLYHIGFLSGHNRQWRPHFTTTTE VPPSSLDDNGEPAPFCPPLPGIEDVLVVMKTGVTESREKVPIHFKTTLRCIPHFVIYS DFAEEIEGVQIHDVLKNMDSAAKKNPDFDFYHRIVKYGRKGLEQQDYSDEANSAIGKP GNPGWKLDKWKFLPMAQEALRYKPDAKWFIFVEADTYISWPTVLTWLARFDHTKPHYL GTETQIADVIFAHGGSGFMLSNPALQRASDEYTTREVELNNFTDEHWAGDCVLGSVLS HVGVNLHFTWPILQNSNIGELDEFTTDFYRKPWCFIAVGLHHLPPGDIEKVWKFEQKR WRDKNKQILLHGDLFREYIAPEITAHPIRANWNNMATEEQPFAHSVEDCRILCETQTI CVQYAFREGKCFTSPNPRLGHAVPGVDATSGWITTRIRDMTEKKGLCGKPDFGD PEX2_049890 MNWLFIGPAALSLLLPTSALFHGIVDHGHWNELRGLAHNTSYNA TQAKKSSPPLVIDTFKNPTRNDLGFWHGSGENLPVEYGPGFIRLFPTDPDQNFHTQFD TNGCYGLIPWYNEYLHVVFEGTDQYSISFNQHNDECNPQRSPFPGIADSVQAERYVVH QASEVFGEDDDWDDDDEDDDWDDDDDINADSGRNKHKHGRSKGGRRKHPQGNTKIPPG RRELYIPLTHFDIDFNRVVSISFHGFYTKEPITLHHVEIVPDIPMPTPDNGHYRLPGK LPSGRLVLRCSRPNSFAFGIDDGQPQFAQRVMRILDEEDVRVTFFVVGAGLRDRSSNF TNFYKEMLKKGHQVALHSNTHPKMESLPTLREIDDEIIQSIQIFRDKLGIQSSYFRPP FGTVAARLRQELARHIPNPYVVNWSVDVEDWLWANTSTPEKQLDAFFRSVGKGGNLAV MHYLNPTTIGYLPEFIRYIKSAGYHIMRIDQCLEDVSAPPL PEX2_049900 MEPYDEPDAASAAGAASAVDSVSAAEVASVDGSNAGEPPVEGVL SGVGPNPQAAQKVAREKGWTEPVPFEYAELANSKDHRDWAGIATRYEWKDEYGDVGPA VPELEEQLFRGELIARAGAKLDELNSYKVNIESPNEIKAVTEWANFGLHPVMLDNIRL CGYEQPTAVQSYAIPAVLANLDVIAVAQTGSGKTGAFLIPILSKLMGKARKLAAPRPN TAERFNPREDAVRAEPLVLIVCPTRELATQIFDEARRLCYRSMLRPCVAYGGAPSRLQ REELQKGCDILIATPGRLLDFMKQTHILSLRRVRYTVIDEADEMLESDWEEEFKKIMS GGDVNEDDDHRYLMFSATFNKDFRKLAKQYLSQDHVRLRVGRAGSSHHNVVQDIIWVD RDKKMRAIYDLLISMPPVRTLVFVNSKEQADFVDDYLYNSGMPTTSIHSGRTQRERED AMRAFRSAKCPVMVATGVSARGLDVINVLHVVNYDLPSTMHGGITEYIHRIGRTARIG NEGIATSFYNERDEDIAQDLVKILIECKQVIPEFLQEFRPEGDVLEFDDDSDKDFFDN PSNAGSDAGFAAGSDAEAEAEAEAGSAAAEPSDAEDANKEPTDDDEPADNEEPAITKP AITKPTVPKPTVTSEEEDASTWWKPASPLAERKKRNEEEAKRQENKTGLAGSHWAPRP PPPEDPDSFWI PEX2_049910 MASERMEVDTNAPRKRPNRLEAAITNPGAVKINVTGAFIVDDEP RSKSPVDAEGVHYENKDIRLPHHTGVVSHVAVDIGGTLAKLVYFTREPDTADNGGRLN FLNFETHRIDLCINFIRQLKEEHEKRNGSTREGLCVVATGGGAYKYYDRLKEELNVNI LREEEMECLIIGLDFFITEIPNEVFTYSDADAEPMQYAEARPDVYPYLLVNIGSGVSM IKVSGPRQFERVGGTHLGGGTFWGIMSLLTGSRTFDDMLAMADRGDNSAVDMLVGDIY GMDYTKIGLKSTAIASTFGKVLRLKNDAEQGAEDGEGLIRGDPSETSETSDSGESNHG PKPNPEDMSRSLLYAISNNIGQIAYLQSEKHSVKHIYFGGSFIRGHRQTMNTLSYAIR FWSKGEKQAYFLRHEGYLGAVGAFIRRQPQNWGRRNSVDEVVAPQAVRNLVQNNNVLQ QHGRSSSEL PEX2_049920 MAENVVHEIANQLETLTAQSPAIQDAPHVSASQVEGTECASQDS LFDELEETDTRAPASIDSDLTDIDESVLQDATDPPAMAQSHFINPIAPRVSYIVSASG EVIITEDTKTSNPATSAPVPRSSPPAAFLSFDRLAQGLPPKPISSPTTTTIHPPSLAV ERIMGPKQPIPAQILDLLEEWHEDSPYTEHPSALTGRAPSTWKNFKTFSDDGDECELS LFRISLKGQTRRNVTYLIMILHAQNGPEDLVVYGQPRPKFRGLLTKGTKGLYLLDWLE LESKGEVQACGLKLWRTDEKKISFSASMFDEGRKCTRFPGSNDIFNARLSNSTPKKQT DANEDNEDADSISSEAPFSPSRSRRQASTSSPNKAAKQNKERTPITSRPSWDKGISPV PTFDSRDQPRSLERPWKPQKRRRSTWSSNEEASAPMRYKLLSDASDHVRVFKTDDAKV LFEKAREFYKGLDKRTGLLCTVSGLEGVRYVGEGCLDEFDVLQEDIGKTSDPGDEDRV VEVRPAMGL PEX2_049930 MTSPPSLDPFSPPIPVNTHPNRDLGPKSPELERSLPDPNRLAPE DAYFAPSLSRARSGPTNYEQSLRSLNGESSAHLGSAAALRKKLGGAGRRRKRKGAWKK LLWVKQSYPDNYTDTETFLDHLQRNPRVRPYDFWPLVADSTVIVQHVCSVVIFVCCFV SIVQDRVSPVSIVCWGSVGTAVGWILWDNWIWKEHEESMQVADGTAGGDDGSSSTSFA SAPDAPDAPAVDAQSDDTKTNAIHGLGLSMAGNESKEQLARRSADFSESIDTVAHLTA QSGVPSAPGSSTIGTTDPFILHDTHRVSMLSPRNRQRLTTVKSAFLIYFALLGLSPIL KSLTKSTASDSIWAMSCWLLIMNIFSFDYGSGEGAGATTKFPASLSTNAAVMASTVLA SRLPSTTHVFSLMLFSMEVFGLFPIFRRQLRQKSWTGHVVLTLALVIVAGGAVGVTLS GGWASTIIGSVLGSILTAFVMGGCSWWLISLQKYKNVVIGPWDPARPIIRRHWN PEX2_049940 MFKRLALGLLAVQSVAATRFAMYIDEYHIADLPGQEKTEGIDHA IMSFAAAKLFNSDSPAAYTPFEAPETMRKRFSPDTKLMVAIGGWGDTTGFSEGAKDEA SRTRFAKNVAAMLDANGFDGVDIDWEYPGGNGDDYKDVPNSKKVGEIETYPLFLEAIR EAIGDKILSIAVPGRKQDFIAFTKEQGPKIFEPVDMVNVMTYDLTNRRDNVTNHASGV QNSLDTINEYLAIGADPEKLNLGFAYYAKWFTTDPNSDCNENPLGCHLAKLETDDGKD NGKSGALTFEAGNVAAAPKDLKDSNDGTCGFGAKAKCPSGQCCSSSGFCGTTDQFCQA GCLSDYGTCKGVSITESWRKAQKDGKTDEVGGGQYYFDSDNNIFWTWDTPAMIARKFT EIVAEKNLGGVMAWSLGEDTYNFEHLEAMQKGLESHTPKAVDHA PEX2_049950 MATLTITTTEEGVSPLQTKDIAARLLNGTLHSQWPAFEQFATID GLLKSHAAQPDDAKRPLVCYPIRGAADFEEHTAGDIDRYTDVAVRFYMKQGLAPADPN LDQAPVVAMLAGSSFEVVVTFFALNRLGYAVIFLSTRLTAPAYARLMEMANCSQIINI NQFQQVVTDICTERPGCSSFSLLQREDWFNRPIGTSLFTRPGADPSREGKKIAWILHS SGSTGFPKPIFLTNLQTLANFRKSFGLRLFTISPLFHSHALMELGRALFTRAPAYLGN HSLPVTYQNLFDALLVAQPQQISAVPYVIKLLAEKQEGIQALARPQLVLYGGSSCPDD LGDRLVAQGVNLVANYGATETGQIMTSFRAPEDKEWQYMRLHRPVADLTLMDEISPGV FECVALDGLPSKGPSNSKPPYSAKNPENSFRTADLFTRHPDPEKSNYYKYLSRLDDRI TLVNGEKVLPIPIEGRVREEPIVSDCVVFGFQRTVPGALIFRAPGKVPHLSNDEFLEA IWPAVEAANARAETFSRIPKELVVIKGADVVYPRTDKGTCIRAQVYQQFEEDITQVYA KFEGSGKKRGSLALSIPELEDWLLSRFSKDLGVQLPSAEVDIFSAGIDSLQTTQIWRC IMRDIDIGETGDQLSQNIVFEKGTVNALAKHLYQLRTGQESEKEDELQSMREMIEKYS HFTQHFPTLTRNPETKVVMVTGATGNLGAFIVSELLKRPTVSEVWAIVRAPGQAAAGA RLYKSLADRNITLTDTEAAKLHAIPGDMSQSNLGFKDHDLEHLLSSLTCVIHSAWAVN FNLGVRSFEQQHIRGTYNLINLCLRSRLPSPARFFFCSSVSAASNTPKPASIPETVIE DLNLAQKTGYGRSKLVTEHITRNAMRQTGMQARVLRIGQLGGDTASAQWNETEAVALM FRSALTTGALPELNERVSWLPVDQCGRAISDIAMNAAGSSDANLVYHLVNPKTFSWKG DLLPALKGKSALPEFEVVSPQEWLRRLESSEQDPEKNPSVKLVDFWRSKYAGYSQPEA DVSGAGKEGNGEVGLAFETEYTVQDCPFLALVEDPVAGGLIQSYIESWVSRWTT PEX2_049960 MAPCSFMAGILLGALLLATPGGARVGQVSPASVAGAPLFDSEKF QLTDHDIAKPSQHQSALVKFGSEGSDKTTQPTGKCKVFPGDHQWPSQSEWSTLDSLLG GALIKTVPLAASCYSSWPEYDSEECERIGSQWTDSHLHAADPASAMWPLFEGRTCLPT TNASASCTVGGYSSYAVNVSNVAQIQLAVNFARNADIRLVVKNTGHDFNGKSTGAGAL GIWTHHLKDIEYYENYLGSGYQGPAVKMGSGVQAFEVYAKGQELGFTAVGGEGKTVGV AGGYVLGGGHSPMSSVYGLAADQVLALEVVLANGRFVTVTEESNPDLFWALRGGGGGT YGVVTSLISRVYPKVGVTVSTFNFSTGDNVSVETFWAGVRSYLERFPTHADAGTYAYF WVLPTGPGAFTFLMNPFFAVNHTVDEFNALVKPWYDDLHELGISIQPNSTYYDSFYDG WNAGFPLEGVASSTMMTGSRLFPRANWETSTSLNATFNALRATITDGFALLAFNMKAE LQEGFTSNSANPAFRQTLMHAITSTSWTNTTSDADIKVKMDGLTKAIGKWRAVCPDSG AYMSESDIQEPHFQQAFYGTNYDRLYRLKQRYDPTGLFYVPTGVGSENWVVKSLDGLP DQNGRLCRA PEX2_049970 MEPMVITIGAHKRYETNPPGLQIGTRLVGYILAVSQSVTSWFFI KTNYVGIHIWDIPKDYNRRPGQNWNLANQLLYNPALTVVKLSILFFLRRLDSRSRVVK YLIWSSFAMVISLFITVLLVDIFQCNPVAYVYDTTIPGGKCINQGAFYVSTAALNLFT DIMVLSIPIIITARLQMPLRRKIAVCIILCLGGVATAIGVWRIIILAQAFLSHTPSPD PTYSIGFCSSAIEVNVAVVTACGPSLKAISSKFLPRLLGSSRNGKSTYGAGTGSGTGV GSRRLRSNIFRSKSASHAQASLYSARGADYEMADPLGGPRVDVVGDFEMPDPSLLKFD SIIGDKWVGAKSGKRFEVLDPGTDKAWASCPANAAEDVSAAVENAHAAFEQFRKVNPR QRAKWLLEWHNLTVAARDDLAQIVTHETGKPLAEAYGEIDYSLGFLWWFAGEAERIQG SVSTAAAPNRRVFTIKQPIGVAAALVPWNFPIAMVLRKVGAALAAGCTMVVKPSPETP ISALVLAELAHRAGIPAGVLNVLTTDLENTPALSEALCKHPLVKKVTFTGSTRVGKLI ASHCAHGLKKVTLELGGNCPFLVFDDANLDQAVEQLMSLKWRHAGQACITANRIYVQA GVYDRFAALLKERTAAIIVGHGAVAGTTMGPLTTPQSIHKASAQVEDARRLGADVILG GNPLKSKPGYFFEPTILSGMTDEMLISQEESFAPIAALYRFETEEQAVKLANDTSMGL ASYAFTKNIDRMWRLLENLEAGMIGMNTGNSSAAESPFGGLKESGYGKESGKDVAVNE YLVTKTGTLTIEGQY PEX2_049980 MDNLGPLRHLIQSHPLIDHHAHNLLTRESATDYENYPLEAITSS ADGRALENARTSLPSLRAITQLSELYGRHCADWTDIKTARDQSVREDYDDLIRKSLSG THALLLDDSLSDDEDIEPASWHNSFTVSPTKRIVQIEALAEFTVLQVSNARKNGESVW NNFRQRFQDALAEALDDPNVVGFKSEICCRTGLDIDPYSSDDTTLGGSLIRILDSGTT RSGFEVDDKQICDWIVQQTLKAISFKKKAGVAKPLLFHTGLGDNRINLLRANPACLQP LIAQYTSADIVLLHAGYPYTREVGYLASAYPNVYLDLGKVFPMVSREAQTKVLRESLE IAPTNRLLWSTGGQFHPETFWLANRQFRQALETVLVDYVQQGDFTTAQATKIAADVLF NNSNRLYSLDLTPSYTPGE PEX2_049990 MDVHRKREDGLHNADRRARELYRYYQPASQAETVPSWLPANDGF PFSAISANTITPPISEGSMPSHSTTSRPATAIGSEALVLGTSNSTMTSFAQLAALRLN VERVFISVLDRDQQHIIAEATKSLNLNDPAVHDDNDSVWLGASDTRKTWSVCKDTVSL PPNDRENGDYQFLVVDDMTENERYKNLSFVEKDPNFRFFAGTPLTTDKNINLGCFFVL DKKPRDGLTPLEKDTLGSISMLVMDYLSVCRQACEGRRAARLSRGLSYFVEGSSSFVD NIDQSRPDSVSPPSATPSSCYKRMSASGGSGRNSSENVSQAPSNSPPNDRSLSTDARS FSSVPSDLKLDYDSKLSSGSKVESGTVESSLPEWLTSSSRNRLPPDDSQGNSWCFRRA ANLLRESLDLNGDSGVIFLEANNSPLVDADMGSDGSDTGGPASVLSASTNEEPFAPQA GSMATCAAANLDRSFLQLLLRRYPKGKLWSFHRDGLISTSDDDDQEAQDKAPLGTSAT SRSPPNPTQPSKPLRKRRKAAENSVLNQYFPNAAQIMFVPLWNAVSSQWFGGCFCWST IETQVFTTSVELSSVLGFSSSIMAEYSRVESLIADRQKGDFIGSISHELRSPLHGILA AAEFLNSTHLNEFQDSLLETVNSCGRTLLDTMNQVLDFSKVVSLERTWRSMKRKKESP LDFKGSDKLAHHLDTLVATDVAILAEEVVEGICLGHVYGQSSTASADLPVLMPHQTKS QNQRSNVEVVIDVSFRDWVYRTQPGALRRIIMNLFGNAMKYTESGRVTLSLAASSHSE GRSRREGLEDLVTLTVTDTGKGISEEFLRGKLYTPFAQEDALAVGTGLGLSIVRSLVK ALNGSIRIRSRPGEGTVVHVSLPLERPVGKESPVVEPSGQLIQQRETLTQTLLLREGY PGRRASIWGADPTDVTADSNWSEIARYLSDWYNIEIVAWTPEAHIDLVLMDENDLPNF RTVAPSVTLPALLVLCHKSVDYTLAKSEWLPLASSVNIIRRPCGPHKLARSVMRCLTH GQSRSATPASALQPLSLPLRTSSLPSAVASPLRSSPDDFSAPGLDFPEIRPMTISPLQ QPSQITTLPEEISEDPLPGPLVEGPTKSRRLARVLVVDDNRINLNLMMTFLKKRQLTD LDPAENGKLAVEAVERMQSGYDIIFMDMSMPVMNGFEATRAIRSLEKDTDDRKPAIII ALTGLSSSRDESDAMASGVDLFLTKPVSFKEVARLLDEWERDEMVTERKMAC PEX2_050000 MGVETSDSKSVEVGSAPLWLALEFWRDGCYEEHDEKGTEYHGNV DMEVSLTAFLWQQMHIDNVQFSLLEASENFMATVLLLISGVITDRVGGAEMIVYGNIV YTIGSILVAAAATVRSFDFMIGGRVILALGDIATQIAQYKMFSSWFPPSSGFASTLGF ELAIGKIGGFIGKSTANIIAKKTGNFAWVFWTSVFMNLFTNAASAAFWFFNQYCNRHF EGRRDKATKEQLTEKNKKFEFRKIFELPWMFWSVMAFSVFQTSAATVFSQNATELAEK RFNVDSIKAGWYSALSQYAGFFLVPCLGVFIDVLGNRASVLFVCGLGMLLSMVLINFA TSTAGTGAAFGIYAVAVSFGPTSVIDSIRTTLWHQSVFGSAYALKVTMNNAMSIIIRI ITGALQDADDNSYRRVVQVYLVLGGGALVVGSAILIGSFVTDNLAPLQWTRHRRLTSG AAIIERIRERNLVTQQRRTRNISIFCFGALILLTLGSWVAYIWGAVTGHNS PEX2_050010 MTSPTEDRSATMEGNTGVDPVSQQPAASRWRRVFGGKSKEDPES SEDQTYRAKSTLGILSDRETDEVPGTVLLLSSNRNEPLGMRHQPHRTSTSSISSSYRP SRSNSRTRSAIPTQKRTADGQFVLDPQPDDSVNDPLNWPVWQRDTALVSLGFYCLMGG GMTPILAAGFNKVAAAYDVSTQQVAFTTGFYMLGLGLGSVVMSPTAILYGKRPVYLLG ATLFVISAIWCAASPNYPSLVVARIFQGFAVSPVECLPSATIAEIYFLHERAYRVGIY TLLLLGGKNLIPLVSAAIIQGLSWRWVFWIVAMIVGMCLVLLFFFVPETFWDRTPRPR VHKHKRPSRGVSDLVSHGFRGRRPYVQPLEESTEQADLDPALAPKKSKHAHVGFADDH PEHGDQAVETEKSHFVSEAGNATDGDRTTTQPPTANEKDDDFLQPLPHVLVPGAHPDD LETARFAAISPARTESLEPAGTSLPTTLQYTNRLRERPKIPYSQLLRVWNGRIAQDSW YRVAVRPFVLFAYPAVLWSTVVYSLSVGWLIVLSESVAHIFESKAHYNFTALQTGLIY ISPFVGGLLGTAVAGKVSDIITRFMTIRNGGIYEPEFRLVMAIPIALSTVIGLMAFGW SAEIGDSWIVPTIFFGLISFGCCLGSTTAITFCVDSYRQYAGEALVTLNFSKNILHGL VFSLFIVDWLDADGSRTVFLSIGGIQLFFMLMSIPMYIYGKRARMWTVRKRLMERL PEX2_050020 MKINQSSLLLFLLPAISAVLADTTYNDNIDSRVLRETAGDNARL VDSDIVASNVAKGAPDVPVDGKDGRPHAGPWVETNADRDRKGIKGSDDIDTVSTKYDT KIPSSEHLSTEEGKMIPHSNGGVMDDPHRAGPKEGTRGMEGGVSEKGKESQLASEKVP GGPKEAPPLPHSETQKLGSDSDDTATGSGSRSAESPGGLGMLGKPADLPEKPHDIPYP KPPAMVKDEPLGLGHDSVGSGSSSSSSSSSSSHDHHHHHDSGIPDAGSSLHSLVFSFT MILVSEIGDKTFLVAALMAMRHPRLVVFSAAFSALIMMTVLSAVLGHAVPTLIPKTFT KFLAAILFLIFGVKMLKEGREMSPDEGVGEEMREVEAELEEKEHEQLRMNRRRSSVTP HNLEAGRAGGRPKTRGSGNRLPSPPESLSSSSSRGSSPQPRQRLNDMFSGLSNLFSLL LSPAWVQTFVMTFLGEWGDRSQIATIAMAAGQDYWWVTIGASAGHGICTAAAVIGGRA IAGRVSMRVVTLGGAVAFLVFGVIYFIEAIF PEX2_050030 MSRITGSRMTRSRSREANTGFMPSKGSLERNWLTGLTEEPSTPS PGFIMPRHVIPESPENHEGHTNVSGTTLGHNDVERVDSQVAEKIATHLPFVQQQATLV MSLLVRSDMNPDSLAAESKRLVDSNHPNRKRLRRSVEGLSDELEDSPLSRDGKSFLDI SQARRMLSSAQLDASILDIYMTNCALLTLNMFLPSMGTESQSEVIEQLDSQFPACVMS NLADSFMSRPFGASNTTEATFNLALGIRTHFFIMELERRQHEQDFSPLSILRQVFAMD LAPSEDDSQIMPASFRGFNLPGVLQDDDGHLPEHLPEKFLVAVSDRFNELHEELYEWD SVDIDGLKKAYRWRLFERDLARWIYARDKEIKDDIRRLAEKVHNSPATRRLTSVPLGT PSRRQASTVPLSGAKSRQGDLSHQNQSPQKERSLNIAPGVDMTSVADRAPEAEVPSQA DKLSGNNVSRSGTAQNVPEQTVSDQVAQLAAKDPGRRKSKSNFRDPASLAALMRRQAT SHQQPLSNVESQARNLSTHTSIAAQANNPGPSAGPRAAESFEIQQSPELRYTDIGDDS TYVNNDEELNLSQGPEPDVITSTSPPNSARISHVSHNPRFFDSPRDEDTRRSVPVARR FLDKQHNAGVVSPISQYDTQGPGNSTVLGKRNRNVDLLDDDSSDGSDIEFEQNNQNLN PRRRVEKPQQNLPAAKRQRPQENVLSAGEQLQSDINKSFHHNSNSTQSRAESDDFTDE ETTVGQQEPPPSTQSRWAASNSQAAIANRRVLKTSHRWTEEEDERLIHLMGIYGTAYA AIKKQDNACPPSEGGPMLERRSQVNCKDRARNLKRKYVREGRPLPPNLEKATN PEX2_050040 MGNGKGKNMGKGRGKKRSRTPKENKVATKVENMSEVKADDNHHC LKKRPTHVRTQRCFRLGHMVECPIHPKSFALRFSECVPCNNADRRQVQEERKDRKKQD TQRQLANPRVTLSIGQYPAISPPFPFLFVLSILTLSLINSYTF PEX2_050050 MWKNTKPKHIAFQAHDRHIVTCLQFDDDKIIAGSDNTKINVYDT KTGALRARLEGHKGGVWGLEYHDNTLVSVSTDCTIRVWDIARAKCTHVLKGHTSTVRN LQMLFPVQIGEHPDGTPEMMPEQPLIISGSRDSTLRVWNLPQPGDKEYFTPAESDEEC PYLVRVLTGHQHSVRAIAAYGDTLVSGSYDSTVRVWKISTGESLHRLEGHTTKVYNVL LDHKRNRCISGSMDHMVKIWCLETGALLYNLEGHTSLVGLLYLKDDMLVSAAADSTLR IWNPENGHCQNVLNGHNGAITTFQHDGEKVISGSDGDVKMWDTRTGVFERDLLTDISG VWILRIDTQRCIAAVHREGQSFIEVLDFGASPDTIPSDQLGKRVFVDELGNEIEGPNE DVDLSD PEX2_050060 MAEIETQDSYLELGGSFLKDLPRSIKRYPYEGAPSFFRILNLEL NRSQSSGCDRTEFVLFHASRETIEHLFCPINEENTITSHCSAYDLTEELFLARMASSA EHATASYALDHAITECLLPMGLSTKIHGYPGAVIAGRDRGKAGDHGWGPRRPPPGYPK RPSVVLEVAYSESDSKLNSDVRFWLDPDDGNARICLTLRIDRDEPNIRIERWNLHNDR PHRSQVVWIKRASNRMRVDNHPLVIPFQDLFLRPPSTPAERDLRISAQTLEDIADRIW DMQGFQ PEX2_050070 MHTNYIFSALALALPVYSLNSTASMGCYSAVESFKNQGPFTYQS PGHCQFQCADNDFKVAALSRGDMCYCGNKVPSDSAKVADDKCDIVCPGWPESTCGGID TFNIILAAEDLQASPSENASTTIAPTAATAAGGIIVAASTASTPTSTVTAASTVSSKS GNTVSKSAAASGTAATASPTPTNNAAGTVRAGSSLLGAAIAGMGLLL PEX2_050080 MGILIGQFAIGKGRQPRSWDIYNWKVLNQVNPTMKTLALLGLAA LPSLALNIPTQQRLFPDTDLSDSQYNPLSNPSTRETCPQPPKVSTPNDGFHSSQIFLS DNAFRARQAERLSRAVQIPTTVGDFMEDPYDEAFEPVVKFQSLLEELFPLVHNRGKVE HINRLGLVFTFEGADTSRKPLLFMAHQDVVPIDDPSDWTHPPFAGVFDGEWIWGRGAS DCKNVLIGLLSVVEDLLSQDWKPKRTVLFAFGFDEESHGFLGAGAISAALEKKYGKDS FEFILDEGGMGLQSLGDASDSNGEILYALPAVGEKGSLDLIIDIAVPGGHSSIPPAHT GIGIMAEILYELERKELFPAWLDTNHPAHGMLECQARYSPDHVETWLSEKLVADDPAA LGEAVASSRGPAVRYTMQTSQAADLIHGGVKTNALPEKISAVVNYRVALHQTPDELRE RAIRLIGPIAESHNLTLRVAFPGVAESTEGGVSDRTLTLSPLSVPLSPAPISPTDPLT SKVWAHFAGVARSVFESHSNPLSKSAAGSKPTVVVTGDVMTGNTDTRFYWALSENIYR WSPSRAGGSLNIHTVDERIRLDVHLEGIMLYYDLIRSFDAWDGKSE PEX2_050090 MQLYRLSLLVASFASSIGAQSTFSPARPPAIPLAVRSPYLSTWL DVGSDGGNGGYLAGQWPVFWQNQITGWAGMIRVDGNTYTWMGLPGTKTVNQTAFEYTS TKSIFTMNVENKVEMNITFLSPVTPTDLKRQSLVFSYLNVEVSSIDGQKHKVQVYADI SAEWVSGDRNAIAEWEYGTTDGVAYHKVHRQTQLAFSEKNEQGEWGNWYWATDDSKGM THQSGGGNDVRGQFTTNGKLTNGGDTNFRAISSNWPVFGFSSDLGSVDSTPVSTLFSL GLTQDKAIQYEGASNYAPVPSLWLSYFDSELAALSFFHKDHTESNNLASSFDSKVAQD SIATAGQDYLTITSLSVRQAFGATQLCGTKDKMYLFLKEISSDGNMNTVDVVFPAYPI FLYTNPELLKLVLTPLFENQEAGKYPNKYSMHDLGSAYPNATGHSDGNDEKMPLEECG DMLIMSLAYAQKSGDSDFLNNHYTLLKQWTSYLVDDSLYPANQISTDDFAGSLANQTN LALKGMIGIQAMAVIANQTGHTADAANYSSIAQDYITQWQNLAIAKDANPPHTTLSYG DTASHGLLYNLFADAQLGLNLVPQSVYQMQSNFYPTVANKYGVPLDTRHTYTKGDWEC FAAAISSVDTRNMFIKDLATWINETPTNRPMTDLYDTITGNYPQNTFVARPVIGGSFA PLLVR PEX2_050100 MTDYHADDVHRIAKWVTTKLDHNTLSTAAYEQFVFGLAIRILRG PGNTTAFIGDFWQIMEHDIGLAPSGFLTGVKLADANEDISTRLKRWYLIYRIETDARG LDRCWKKGTLGQISWKTHEFHPFIRPLAVRPPAIAKVLTKVGQRIPVISKAVPQEYHK EMPMVAIYRRRKG PEX2_050110 MPFKQFKRNRDKASVFLGRMRNGVSNHDILAEALGKESKGFSDH VYELPKDQMIKSSLPDVAKYKLQPHESFNKANPNADYSDHSNVYLVGRPNR PEX2_050120 MSLQVNDPRSRTRSKSPGRERSRSRSRDSRVPSPSPSPSHRSRS PAVEVPRSKGYNPDEEAEIERQYKLISERRREPNDEPRPVVSRAPRTPRYDVDRSDSD SESKRREAESARRRRDDRYEHSEDERGSAAPSSRSNRRRPSSPDRGSRVKHDSDDSVD DLAYGDAPGNHPSYARPNRYSYAQPTQFVSAAPRQPGYPAGSVDWASVPECERPGYVP PSSQPAGPASMPGAFPMASTYPASSGAVPAPVNPSLQYTGLQGQPAQYAATSAGYMPS HYRTASASDTGYPPPAAGYANPGVYQYAQVDPNLKYASKTAPAPAPASGPKPIYPAST HEQFSRPIPQQPQGQYQPQSQQQQPPPDQYRYKPEPQKQEPQFVEIAPGGSRSSARPH SHSVSSSNNLAVGGASASHSAHPPASPLLEPYHGTYQSISPMPTTIVVPSIRDDDISD LEPLDGGNSASDSSRRSKHIRSHSSVSEKESRTRSSKDKKDKDRDDKRRSRPSTSHDR HDSSSSIISTDPRVLVSPTTGRKRVSFYDAGPDAAAMQSALNHTRNIDSKPIIQILPR LTSDEILLLRQEYKTRVRMQGKGINLAKHLRLKLGSSSFGKVCYATALGRWESEAYWA NCYYQAGTSRRELLIESLMGRSNAAIREIKNSFRDTRYDNSLERCMRSELRADKFRVA ILLALEGQRQDERDPLDKHLIRDDVQDLHRAIVREGGETAMIHIIVLRSDAHMREVLR AYEDNYGHNFTRAMISKSRNLVGETLAHILNGAINRPMRDALLLHQALRESRTGRERS ELLISRLVRLHWEPRHLDLVKNEYRRRYHERLEEAIAEEILALNGGQDWGEFCIELAR NA PEX2_050130 MATTRLGPETDINRRTSLGFLRRSKSTEPLGEHKSSGSRKKMSK TQAMEDEMRRQRESYAPKVPPRLPDLSPAPQLETFGGEERDKSTGPIPIRPESGVIAS PSMAGSINSVDPYGRTESMTHRGRYSYASSAVSTVNSPRRVRRRKDPTSYNVLVIGAR NSGKTSFLNFLRSALTMPAHKHPSRTPEEVEELERQTSAWEGFTSQYLETEFDGERVG LTLWDSVGLERNIADLQLRGVTGFLESKFEETLAEEMKVIRSPGARDTHIHCTFLLLD PVRLDENIAMAERAANGTSKATDAPVVGILDQNLDIQVLRTVLGKTTVVPVISKADTI TSAHMSYLRKAVWNSLKQANIDPLEILTLEDQEEEYSSSESADEDETEEPETGDASEA KESEAATEDAPGSPSQLSRRSNTSQATNQHVPFSILSPDPHSLAAGDQPVGRRFPWGF ADPYDAEHCDFVRLKDSVFSDWRSELREASRVVWYERWRTNRLNRNGQPAPKKQNYGG RQGPYDGRRTR PEX2_050140 MHAFISLALVGLHSVHPVWASLNDRATSDVPPYVIEYAPLVWLH SQETYMPSDIQQQVNHTKPNVNWTTIEGVESPLTLNNLDTLNSMGNTSVYLTSPEGIE ANPEPAWFRGIRPDSQGRIGDGTGSVIIIADRGNGTVDAFYFYFYAFNKGNRVLGLEF GDHIGDWEHNMIRFVNGTPDAIWYSQHASGQAFTYAAVEKKDKRPYVYSGRGTHANYA IAGKHDHTIPGFNLPAGFLMDYTDRGVLWDPVLNVYIYTYDKTTAGFQAVNSEDPVAW LDFNGRWGDDQPPNEPSIFGEAKNVAGPNGPKFKGLDRQLVCPSKPCFVLPFRIFSED ATS PEX2_050150 MTDPSAYSYPSPLEGYENLEPLSDERTEDGKSLKNPQHGVLSKA YEEFPDPLSKGREGGFDVHIYHFQNNPEQAAFAKALWERIRREFPELRIYTFFDRPIG PHPVAMFEVNLFTPAQFGAFVPWLVLNRGPLSALVHPNTVASEDERNHTQRATWLGDR LPLDLSLFKKKK PEX2_050160 MDDDYVAQILAKEAKESSIKYASQGMSAFMPSRPTSNAPKPNTR FLRNLIKVTDNHNTALKLKEEREAREQTTKEEIGKIAAVHIGKELEVVPLRRNETGPG GIDEETKSMAELERETKTAMNIGASTGIMGHPGKIDGTEIRSIPGGDVSDHTRGLPAD LHTETEVPTAIAIEDKNVNLDILLAPFPVPAHQSRTKLGVSPLPDMAARQSEMGNESD PLEDLVGPLPPRQNEAPVRSRGRGAYKHNMSNIDAHFAPGYDPAADVHLDEDKLHTVG LESSRRPVAGLMTKDDDWDMAMEALRDRERWRNKGEERLRTAGIDEAVIDKWKNNTAF AGVDGEGKPEDVQWSKKGEGREWDRGKFVDDDGHIDIRAAW PEX2_050170 MATSSSEALAALQQLEESGNLKDRLAIIRISEPISTEVGGSYPS PSKRTSDVSISNFDDPTPASLEADLAHYKELFSKLRFSYLEQVTKEKFLRAIVGDPPV IVGHNENMELETQLADVKAELKARKEDARIMTEEMELMGRDLASRYKNVELQTTQLST LPDSIENLESTIAELRAKQVATMDPSDPNNTSSSQNLPLPATLALLAEREAELAALNR QLAAVHNTLPRKTREAEAVERERSVLERRKSDAIAQAREAQRKKQQGESDGLEEMGRW YRGAEETLKELVGSKA PEX2_050180 MAPRVSSKTYKVPRRPFESARLDAELKTVGEYGLRNKREVWRVQ LTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRIGVLDEARMKLDYVLALKADDF LERRLQTCVYKLGLAKSIHHARVLIKQRHIRVGKQIVNVPSFMVRLDSQKHIDFSLTS PFGGGRPGRVRRKKAAAAAGGEDAEEEEEE PEX2_050190 MPHSYGLRAGTRYAFSRGFKEAGMIRLSTYMKTYRVGDIVDLKV NGAVQKGMPHKVYHGKTGVVYNVTKSAVGVILYKKVDNRYLEKRISVRIEHVQHSRSR EDFIKRVKENALKKREAKEKGIHVHLKRQAVGPREAHFVKQAGNKPETVVPIAYDTHI PEX2_050200 MLDQNTSGPDIGLPIKAKNMNSEIFDFVSFRGIYAQARDVPGHP KPFALRLKYQSPFQSRQKWSENPVNT PEX2_050210 MSSNKASSSDFLAAKTSGPTTKGTTSLGLNDASVSTPKSQKGSS SMLESWANAPTSSEPWSTVKATYSPQR PEX2_050220 MNITFNEDDEEVDEMMQWHGTSGSSEDFTGTDYDQDYALYHTGA YSSADVTSLMSSEAFQQDSAWINTGPISQVPEPPQQHASDLDYIDPRYHTAVENIPQT LDDLGSSILTQRPADDLRSESIAHPSQGLDRTRIGIPNSTSLPLQPSLEGAMDQVVAT YRALVYNQLCSPDQISQGMQGIDTIKNFFHSKLQPPISLSGSSERGKKLFRCWLCDPQ KERITFTTFGTFKRHLAGHGILDCEWRCTEPLCLKVLHRRDRMHDHLFQKHKKFDLLP ADVEATRVRYAPPRKCPFCEHETSSWSVYFDHIKNHCLVSSGSANASANGDQSRHGDN NGGNGNSNGHGHGNGSSFTGPSNWNGESQSNRSNNRTGGTTYHYPSSGSFRNRGNARP GPISHSISDNQLNSSRRQSAADAIGQISIDDSPGSSRGSTAQTPRASRQPRNIQPPQN PGSSQADHSTKRKRQDKQKKPREEKPSSPNNCKLCAHDMAKCEDCKSIQRCHKCGDVP RSSIQAGSSSTIPAQAFPETSSTIVNLNGSYLNSGALPNFIMPQNMATQLPFYYHTNE IMQFDPGPFDNMTNTFTDDPSPNTRFVGVAMDIQTQPPLRGLGDKVQYSPIFERDIRL LRSVGLGTLIDPISVKRQIKQPKPKASGVPAPGLYTDLAFRDHGPPPILQIPQPEYRC QCPCVTVPSTNYEAHAKFRLSTNERVEMTFKMSPARESSHPLRTRVQVFVKLFSLRSS AAKSKTKNHRTQPIASETTSTFDDDAESTTDSEQNLTPTSPSSSEMTPPLYWTDVQAW TFSFDVKWAILKLAQWTSGIDVDMCRTQFLSNPRYILDLVSLYILCEFQISWLLKGSN VQDFS PEX2_050230 MATDDDNFDIDIYGDGTYNASEPGEFKQEDSELVLDAPENQPEP STGSSNAIKQENPPTTQAQQVNNNAAQPAPQQQTPHHGLPAPPQGVKRKEYEDQSVDP DATTALLISDLSWWTTDDDIRGWVNQAGVENQLKDVTFSEHKVNGKSKGQAFVEFSSA QAATATKHNIERNGGPARKHTVHYTTPHQNPFKTLPKDTPMRNAGGRGGGTTHNTGGN PNYGMNNHAGSGFRGRGGFNNRGMGGNMNNNFNNRNNFNPMGGFQGGAPNPMMGGFQG APMGGMQNYGFNNNRGNMMGGMRGGAGMRGGRGGNAMGGGPNMMGMPGMTPPMGGMGM NPMAGMNPMMGGAMGGGMAGNMPMQGQQGFQGANPGFNQGYYNPNNQNNQASGSDGGS WNPHGAKRSRQD PEX2_050240 MSETFQELADIPKDFIREGSQFVRRCTKPDKREFIKISQAVGMG FLVMGAIGYFVKLIHIPVNQVLVGGA PEX2_050250 MSSRTPDNGRESPRGSEGIWHPAHEWLEEDERDTEYHPALESSE HDGSWEDDISNEDEQMGPGAASGNTRINIGNIQIQLTADDSEGDNLNEGHTRIPASRL FELLASSGLQHILQASGWPTAMEEEQEQLEHEEDGLSDEDFMPIFRNHLGARSAESTK LPPVPNPEGKKLMGEGHFGTDKFYGDQLRQRKKSLATSLMWRELGIDTDGVRKRAGQS ISQGLIPSSVADRIIHYDTRSYSGQFSDDGNFFFCCAQDFKVRMYDTSNPYDWKYYKT VDYPFGQWTITDATLSPDNRFLVYSSIRSQAYMATTDPEDDSDPTVLDLSAPPGQRRT RGWGSSHFGIWSLRFSGDGREVVAGTSEDSVIVYDLETRQPVLNLRDRHQHHVNAVCY GDTSSPHILYSGSDDTTIRVWDRRSMGDGREAGAFMGHTEGLTYVDSKGDGRYVLSNS KDQTMKLWDLRKMMTSADIENIDPADYSTGFDYRFEQYPDQYRRNAKNDCSVVTYRGH RVLKTLIRCHFSPPGSTNSRYVYSGSEDGKVYVYNLDATLAGTIDVGKATFNSRPRDP DAYATAYEMSGEMLWRTCVRDASWHPSAPVLAATSWNGWGLSTGTCTVHSWNAGATKD EGDPPVGQSYDDKLRYIPEFDQYRENAPRARPRRPLRSRPVRRWAGDEVAEDTIW PEX2_050260 MQSVPESRQQSFEEIYGPPENFLEIEVRNPQTHGTSRNMYTSYE IVCRTNIPAFKLKHSVVRRRYSDFEYFRDILERESTRVTIPPLPGKVFTNRFSDDVIE HRREGLQRFLQIVAGHPLLQTGSKVLASYIQDPNWDRNAW PEX2_050270 MESASALRPLDESLLRELPQLSLRIAPLREPVSSRTLSVPSPLE PNASGARDSNSISNSNGNSGKIPANAKAGLPTVTEFLNAARIKKTELSADSQSNVERP PRPILPAFVNLRALEKFPFSSSFDDDALQGPRKRRRRDPQADSFSEHLQLPIPQAQKE QRPPPFGPFAILNGLNEPPPNAALLPPIEAGSNISQLLTKPSGGDAAVDPGSLVSAQV AVPDSQPAEKRDVRLEEILRTSVNVDEDEDTDNNENVASTNPVDPDKIALAVRETEIS KSSKALLPRPAEDVPMSPKTRGRSRKNLRKWSEEETTTLLRGVVKCGIGNWTAILAQP ELEFNQRTASNLKDRFRVLCPWAYRASDPNEAARQLHETLANTLLKAKAEGSDETFGK IHMSNLMPPNQGSEPNSGVGSPAHNAHKPFISNTVSSMPDANLGSSSIAQSQSLSSGN STPALSSKSHSTLASLGLPEPHVAKSKRRSRRPFTTAEDEALLKGYAVHGFQWTLIQQ DSRLNLSHRKATDLRDRFRTKFPHAYRDGGSVSGKALTNQNQAQESATGASTPRSHPV STEQSPSKAYVMTPTPTPTSTPRNSMSRSRHAATSSQSNLAQMDPALLPPPPQGFLEH SMYLPPAAAGVLSFSLDDSSGAGTSSAGETPWEDNTLAPMIWDELT PEX2_050280 MPTIFPTWPHILFGVLEPISLALGSVFPLYDLRGFIAGQTPTIA APATLHPSSIALAYQLGNVYSLLFLVGVGVCHATTEPRVLRNYLIGLAIADVGHVYAT YLAMGWEAFADVAAWNLLTWGNIGVTAFLFINRIAYFLGIFGYAKAPKAAAKRE PEX2_050290 MQSTSPKESAFEPPPQTFPTQGDRQYSLHKEIMSTQSNFTALDW GYSYPLIFHLNLEIPAFFVSYRWWEDEATTVFWAFDIQEIRRVIQFKLFSDENFPRTS LCARTVDTIDSFLVALSEPHEYQLLGNLSHIQRVEEILRRSSIPPFQPIPWSWLPPRT RITLDAREIAAAIDAESHFHFRQIAFEEFVRAALGYKAVFVEWFLQQHAALYIMLQDH LSAYKEDIPLYTEVEKHLRSRSPFAHRALVQCLLAVRHGGYRDMPKPNTAGFEFIAGP IQALFKDQPGRLTTMLKILSVLAMKFRRQYIHAPTMDWKTPFDTSIPFLNDYLESTSP KDLARSIRGLDEHSFAELTRQSLIAEDAIVGQLLDQWRILSISIWECCAALPNMVPYL QECAQSLLATRDYHSLTAIIKGLHTYTVLTTRPASTDIPQGNAITLETLVPREILSLM SPAENFASYRQHYQKYPGIPFLIAHTRDHEKNGESVLQPVFQYLQSTK PEX2_050300 MRGPGKHQKRLSAPSHWLLDKMSGTYAPKASPGPHKLRDCLPLI VFIRNRLKYALNGREVKAIMMQRLVKVDGKVRTDTTYPAGFMDVISLEKTGEHFRLVY DTKGRFTVHRIQAEEAEFKLCKVKRVQLGKGGIPFLVTHDARTIRYPDPAIKVNDTVK VDVATGKILDFVKFDSGVVIMATGGRNMGRVGVITHRERHDGGFNIVHVRDALDNQFA TRESNVFVIGQDKPWVSLPKGKGVKLSIAEERDRRRAHELAN PEX2_050310 MAAAFPKKKCGVLGATGSVGQRFILLLADHPFLELQAVGASERS ANKKYKDAVNWKQSSPMSEKLSNLVMRDCKPEQFSDCDLVFSGLNSDVAGETEMAFIK AEIPVFSNAKNFRKDPIVPLVVPTVNPQHLDLIPHQREKYGLKKGFLVCNSNCAVIGI VIPFAALQAKFGPVEEVEVFTEQAVSGAGYPGVPTMDILDNVIPYISGEEDKLENEAQ KILGSLNASATGFDEQTGLRIGATCTRVGVTDGHMAFVSLRFKNRQTPTAEQVKEALR EYKSEAQKLGAPSAPEPAIKVFDEPDRPQPRLDRNISGGYTVSVGRVREGAPGGHFDI RFAALSHNTVIGAAGSSILNAEVAVIKGYI PEX2_050320 MASYLKNVAIIGASGSIGKIILDGLVASSQFEITVISRKESEAT FPPGVTLVKTDFSDEGLEAAFKGKDVVISAVGATAFGQQKKFVDSAIRAGVKRFIPSE FSINSQNDAVLQLLPLFGQKKELIEYLKNKETDGLTWTGIATSALFDWGLANGFLEFD IATHTATIWDGGSKSFTFTNQKALGDVVASVLMHPDETRNQFLFIASVETTQKDILAA LEEESGVKWTVNKTTTDIQVTDAVKKLAAGDFNGAFSLVRATVFGNTPGLHSNYAKEE KLANDVLGLQFETVQDSVKRVLNE PEX2_050330 MTVEDCRTELDEDKYEALKRYRTGVESSLAKADLLKSMDMTVLQ AFVIYIACSKHYNKGPDIRKFLGNAIGIALKIGLHRDASASGLPPFQVEMRRRLWWQI YILDINIAEDYGTNPRILESWFDTRLPSNVNDASLDSDMKDPPRNSSGKTDIVLSLTR SEISNFARRTIFSDQFCEENCYPVLSASQKFITIDLFKEKIEQQYLSHLDQSIAIDYF TAASSNLILAKLKLAVIKPRARNDQSMLTHVNFRKVCIEILQTTAALRRHEKGTQWLW TFQNHIEWDALTCLLINLSLAPKGEELDSAWQAVNETYDCLEMDGDAHRDHRWEDIEE LRTKALFSRDMIQINPSQWGSSPDDDSGFESSHTMATESLQQPGLGTLKRQREEDSGS SRSSLGELEPRAPNRRSEVSTIQSEAQEQLPRMWALANAAAAAPTAIDSSSQVEPASE PIDIPSSGTGCQWSATLFERYFQVLGSEQTSPL PEX2_050340 MRPVLRVSRALPAPVCRPQISRTILARGTRLNSSEAGKTENTKE GNSSSTNTPPSPFWTPAKALLVSAFAAGLGYGASSYTQTPAQTSKKPQYGSAKEFEKA INELRTKLGEDTISTEVEELQQHGFSEWSSLNADRLPVAIAYPKTTQEVSEIAKVCNK YRMPMIPYSGGSSLEANFSAPHGGMTIDFAFMNKVLEIHPEDMDIVVQPSIQWMDLNE KIKDTGMFFPVDPGPSAMIGGMVGTNCSGTNAVRYGTMKDWVINLTVVLADGRVIKTR RRPRKTSAGYNLTGMFVGSEGTLGIVTEATLKLAPLPEQTRVGVVAFPTIRDAASTAM QVIRKGVSVQCMEIMDDVQMDVINRAGGTGREWKVSPTLFFKFSGTVAGVADSIDLTT KLAQENNAQSFEFARDDREAHDLWSARKQSLWSMLALRKEGSEVWSTDVAVPISRLPD IIETSKKELVDLGLFASILGHIGDGNFHASIMYDRTDPAERERVEKVVYDMVDRGLEM EGSCTGEHGIGLGKKGSLKKEVGIETVNVMRSIKRSLDPNWLLNPGKIFDYDDETPGP GH PEX2_050350 MAEQLAQLVREVERLVTAPYVPSLQDLHDLVHQSKPSTIGAWAF SKPCQVGLLADVLIEALSRSRVALSLITAFASTLSFRDALLERHPVILDAFLEKAVDT NGAEYLPACIALFSSPLPAGVVPPARIATFITKLVSMMAENPCVETVAPIHALISGLK SSPRILNEISSEVMSNLQLEFTKTLRNLDDHMGNLLCLATFAQIATALGTTSQNQHGS ETLWLLNIKHFFGQKRGLKTLDLVVLRVILACSSSCNLAPPEAAESIRLAICITDTIE PEQKQAWMASNSPKIAKLCEKAVRDGLYGQTQTMAIVFLHTLLPAHSLPSQLRELGIK ILLSNNGQATMEKIPHQLIPRLAKSLAESGEPATRQILTFTFNILRGSKWSGIEEMAT LQLAHLVLAGMQEVHPEIMLSSVKNTLVSLREVLGEMVEYFPQQPSESQCSGRAWCTS ELYTKQNSLFLSLLEIYNRALAQSGSDIIMKSFMRRVEQSMLSLNCAFSTSTPKAFRG SLALRDRHDLLSAPINRNWRSGVTELFMQNSQSSHDSMMRKIEDTCFDLERRCHNVEG PLRAAEEERDAYASENEQLKRQNEELNKQLKVESADIETKLQISHERFVELGHENTRL EQLLQRQCAYSDELTMSLESAHEELQQRQRTSEKALLVEKEKARLKELEMMATLTGKD DQLEELQEAICSLQMKNEQACQSLDQVMGEKVELSELSSSLEQELASTTEALKQVRLL ADEKEDEVSRLLSQEEELRKELGSVETTVAQQNIEVERLYSALEESEEKSRNEIEKLK HDRESEASRATSERAKYETENRRLHAAMQAAALDASRDAQLKDKRIHHLERKVQALRD ERAAKAREFSEAQQHIGRLMGVMGFSANAPESNAPPKHQRTRSSVNATPAARIPQMIS DDEDDAQLAESFESMTSNLNGPTPKRPRGNRKSTNLPYALNTPSAAGPKTKSPPPASG MSRSVRKPLAEADRNSPTKSQSSSASKCSQVDDSFQETQAQEENRLHNLDLDMDLEFS RDFLFSSTAFTGSTDQIAPQ PEX2_050360 MAGPDIPNSQHPKSNLLRLVPPDPPNPPRHTSPVRDWITWRSWK TCAVDMLNIPREMNTHDMYDAFNKYGNVISIDVWEDAAGRPDSRGRIRFKPPPNEEFW ESGSFGVKLRSGRVAVISLCLCCGDSNGTIPSPVRPDVRLPSELELKIVQVDIGVLLG PSTVHSMQSFTNLAYPRCVVDVMRKCLLLYFKVGIRGSETADVTQHDYRVKITFLQLT QIYQQYDKATREHSFLIVLDSAAIWHRKAKEIRMTLTEPLSWREEDSWYRQTAVTHNP NSLKTLPTNLKKTGHIIDLGRWNVFKITFAPDMDSNMQRVDDTSHYLMLVGDIFKDYN ISIKDGSHFVEKPDRPVPVWHCIDFSESKSNKASALLQDLGDQNYIHLPFKVRYQLEV CLSQGYLSEFSMPREFVEKLKGLGDDQATRLLEFVATEKKQYFDPMEIFDLKFFKGVT DSKIPSYCCFMHTARVTPTTIYYNTPTVDISNRVVREWSTKGAPGRFLRVRFTDERTE GRINSSLGDSNDEIYTRVKRTLANGITIGGRRYEFLAFGNSQFREHGAYFFAPDAGVS AATIRAWMGQFNHIRNVAKYAARLGQCFSTTRAFTGSSVQTARCDDIVCNGFTFSDGV GKISKFLAQMVTSQHNIKTLTGEPPSAFQFRLGGAKGMLVVSPDPMPQEVHIRPSQQK FETNQAGLEIIRWSQYSLATLNRQLILVLSALGIPDKVFHGKLNGMLGSFHRAMCNDS KAINLLQKYIDPNQTTLTLAQMVSDGFRRNEEPFANTMLELWKSWHLKHLKEKAKIAI DQGANLLGVMDETGVLKGYFKNALPRRGASYAQKLAALPEIFVQICRLEGNGEYEVIE GLCILARNPSLHPGDIRVVQAVNRPELQGLRDVVVLPQTGDQDIASMCSGGDLDGDDY LVIWDPDLIPAKWFVECMDYKGSKAPDLDHDVTVDEITSFFVTYMKNDCLPRIAHAHL AWADRLPKGVWEEKCIRLAQFHSDAVDYNKSGAHARMTRSLDPKFWPHFMEKRFKRPS SIYKSTKILGQLYDAVVTPNFVPKLEKPFDSRILESPLVPASEIYMEYARDLKAEFDM NMRQIMAQYEINTEFEVWSTFVLRHGFVIRDYKMQEDLGRIVGTLRRGFRHQCYDKVG HQGGNIPALVIAMYRVTQEQVAAALEARRKEALQRNDLSIVEVDSTELPLISFPWIFP DVLGDVATGRARQALVSEGQAADDSAKSIGDALVFTHKQILKIVEDMDDSVKPKGEEN TKPKAEAGSGSAPLDQELIARRRTDKTKPKPKPGDSNTGVCFGEDDEKEGGVSIDAAE AGEKTEKKETVMEDIVEMEGDVQPSALDALINMING PEX2_050370 MESFRVRLNCIDHYQATASELDPPLPFRDEVSEKDFKPKVPVIR IFGATETGQRVCVHVHGAFPYLYIEYNGSLAPEDVNSAIRTLHLSIDHALAVSFRRNA YDRRTAFVAHITLVKGVPFYGYHVGWRFFFKIYLLNPFHTTRLVDLLHQGAVMKRPLQ PYEAHVQYIPQWMCDYNLYGCATMSCSQVKFRAPVPEYFELSNLAHRWHDRSIPPESI LDHPALQKQSNCALEVDVCVQDILNRLDVKERPLHQDFTELLKPVAVNERLVPSMAGL WQDETRRRKKRMGITDPGSTPFGPEELVSMSADPRNQSRGGWIHEEEFREMASQLAAN EKDEDHNKDTTFGTFLDTDDHAKNVKTALDSVQDFFPDKISTLTFDSSRSHESAEQDP PEISVDEDLALSSQADGQYYSDSDQGGDSSGGRTEQESETRQDPLGESFYDEVFDEMP FSDVAAPAGINNPEATTHGVYKEGNSVAQEKRSRDQNQSKSVAPKRQHFETLDSHDSP LKRPRHMAGHGLSDGPLEIPHTLKQQQEGKQKNVSFDSKLDVHAENPSSEPKSSSSQK TVRPKAHSYTGGLKFPVVKDPNDPLTILRYSQDETNPPRKDTESSQPFSSSFPSGYVA ELSGKTQSSSDLHSSATVTGLSYLDPHLAETMKNIHQSFNFTPNTSLRCFKFASPTSS EVSATIHEHGRPSVVYQKAFYSDETDVPERPREYGGREFRLESNTIHYLPDFDPTAEA PAMFGEQMPTAHDRDQQEKIDQHLREGCTARVWEFAPVPPSRAEVIQWFEDLQASQES NKKVAQTITAETKPDFLSQIEGPTQKDAHGFKYSLNGVSTSVEHQAQHMSTMSLEVHV NTRGTLMPNPEEDEITSLFWCIQSEDEDVEVNSHLPGVHVGMVYHGEGQRPESKISKA LTIDVECEPTELDLINRLIDIVRYYDPDIIAGYEVHNASWGYVIERARKKYDFDICEE LSRTKSQSNGRFGKEADSWGFNHSSSIRITGRHMFNIWRAMKGELNLLQYTMENVVFH VLHRRIPHYSPKDLTQWHQSGKPRNLLKVVEYFTSRVQMNLELLEANELAPRTSEQAR LLGIDFASVISRGSQFKVESLMFRIAKPENFLLVSPSRKQVGQQNALECLPLVMEPQS DFYTSPLLVLDFQSLYPSVMIAYNYCYSTFLGRAMHWRGRDKMGFMDYKRQPRLLELL KDKINIAPNGMMYAKQEARQSLLAKMLSEILETRVMVKSGMKADKDDKVLQRLLNNRQ LALKLIANVTYGYTSASFSGRMPCSEIADSIVQTGRETLEKAIAFIHSIERWGAEVVY GDTDSLFVYLKGRTRDQAFDIGEEIAQAVTDLNPRPIKLKFEKVYHPCILLAKKRYVG FKYEHRNQKEPEFDAKGIETVRRDGTPAEQKIEEKALKTLFRTADLSQVKSYFQRQCA KIMQGRISIQDFCFAREVRLGTYSERSLPAGAMISTKRMMEDPRSEPQTGERVPYVVV TGAPGSRLIDRCVAPQTLLHDAQLEIDAEYYITKNIIPPLERIFNLVGANVRQWYDEM PKVQRIRRVEGSTLARPNSRAGGVSRKTLESYMRSSSCVVCRARLSDAAVPVCGDCLQ KPHITLLDVVSRLQRAEKRVVDLEAICRSCMGVSPGDKVSCDSLDCSIFYSRTRDAAN WRHSRTVLEPVVELLERKEDEGLDW PEX2_050380 MSRKPADVASKTPNEYIPSFISKKPFYVDDETNNDYLEHQRLQK AIPDQSKWYDRGKRAGPAATKYRKGACENCGAMTHKTKECLSRPRKHGAKWTGKDIQA DELVQKVDMGWDAKRDRWNGYDATEYRQVVEEYEEMEAIKRMAKDDSNLKKLQAGEDG DEAPDSDIRYAEESDMGRQQSTATRNLRIREDTAKYLLNLDLDSAKYDPKTRRMVDMG AQDDQAAALVAEENFVRASGDAAEFEKAQQYAWESQEKGLPQLHIQANPTSGEVLRKK EMVDNEAKREAHRKALLDKYGGGEHSKPSALRETMVVENERFIEYDESGAIKGAPKNA TKSKYPEDVMVNNHASVFGSWWYQFKWGYACCYSTVKNSYCTGEDGKKAFEEEGNMLL MAGAADDNEDVSENAENADLSHESEQADGKDQGQDSSANGKKRTLEELKSGITEEEYE SYKRSRLAADDPMANFIGKD PEX2_050390 MRSTIARSWASFETLSDDDPTTSPFNGIASSIIQDEYVRCRNIA PRSSAPSNNFKLTTATAKGTSSFGKRHNKTHTLCRRCGKRSFHIQKSTCANCGYPAAK TRKYNWSEKAKRRKTTGSGRMRSLRDVHRRFLNGFQTGTPKGARGPVTN PEX2_050400 MPSQPPLPPLLASYVSNLPDSSLTLVSSILGATSNWLVLRFLHA ALSSPSPNAAFGSDELHNGIKKKVVLVSFMRSYEFWRTEAKRLGLDLARLADKQQFAF IDGLSELFYAPQATATPSISPAGLGSSPRTTLPMRSPPGAVPGRSPHPAGPIAAGARG NVTSKQAEPGIAKKLHFSGRGLASLDALEKDILSVIEQQKSSMEDGDELLLIIDQPDL LLAATGPTMGIGATEMSEWITALQQHAHATVLTLATDAPLIHNASTSGGELATPLETE HAALVIGLAHRARSVMQLRTLDTGAAKDVSGVLRISRGGGLNTSEEEALEEREALYFI QRDGGVTVFGRGES PEX2_050410 MPLAQVKTLGRLFPGGDLDATLRSLLQNPEVPRAPSSKSSSRHS TPKHEPERAEPAPEALPQQADGFDWAEKEITLGDLTDGMAALSIKPEGAGYFGASSSV VPLRALFDHGFDLNIPVRSARSGGVPLKAQLLESAPSGLIEQAFIDAFFLNYHTSYPF IHEPTFRMQFNDPSLRPHGNAWHILLNTILALGAWCIGDDSSDLDITFYQEARGYLQQ ASVFETGNLTLVQGLLLLSNYAQKRNKPNTGWNYLGLAVRMAMSLGLHKEFPGWKISL LQREIRRRLWWGVFIFDSGAAKTFGRPILLPEDSVMDAKQVRNIHEEALTPTTTTLPA ESPGPTIYSGLIAQASFHLLTNSVYQRLISSPSLTPEDTLNLQKPIEEWYNGLPSYLQ HPQLPLSMQPTHPDPDSLALVRNRLLWRNWNLTILIYRPILLRWAARRWAPLSGSGPG TADAGSEDPFETECRLRCLQNARLTISSISEYMANYMCTRLGAWYMLYFLFQAGLIPI VFLMTDPSNPEAASWLQDIETTKSLLTHPSLGTNRFATRCFEVINRLLGPPTHSVPQG LPTDGDLLDPQQHQHHQMAPQQLPNMMPFPEQLFSDPGFGGSLFPVEQHLQMNTGGMD FSEWVNFPAAE PEX2_050420 MATVVDSAPKGGFALVLQNPFLCGVASFSTLGGLLFGYDQGVIS GVITMESFGARYPRVFSESGFKGWFVSTLLLAAWAGSLINGPIADRFGRKMSINLAVV VFVIGSVIQCAAMNIPMLFAGRAIAGLAVGQLTMVVPLYISEVSIPEIRGGLVVLQQL SVTLGILFSYWIDYGTNYIGGTRCAPDIPYSGGTISKPVFDPYHDVPAGGCTGQSEVS WRLPLALQIVPAVILGVGMLFFPDSPRWLLMKERDDDSLHALSRLRRQDRDSPVLLNE YLEIKASIMLENSFARDNFPNLSGYKLHVAQYISFFTTWSRFKRLAIGCIVMFFQQFM GCNAMIYYAPTIFAQLGLDGNTTSLLATGVYGIVNCLSTLPALFFIDKVGRRPLLMAG AAGTCISLVIVGGILGGFGSSLVSNKSAGWAGIAFIYIYDINFSYSFAPIGWVLPSEI FNLSIRSKAISITTSATWMCNFIIGLVTPDMLESITWGTYIFFAAFCLLAFAFTFFCI PETRGKTLEDMDLIFGDTAAHEEKKRIKHIEAELRGTPIEEDDLIKPVNSHEEHA PEX2_050430 MTSPLRIGVLLVGTVQLLDLSAVDLLYMTTPEYLQECSLPQPLV DLGRACEIHYIAHSGPNTTVNTTSQMSIQLTDSLTDSAVSPGKLDIVLIPGPPPIAMP PAEEYLDFVRAHFAAGTPILSICTGAFIIGYSGIVKGREVTAPRLLIPEMKRRFPEAK LWDDSVRVARDGNLWTSGGITNGHDLVVGYLRGHYPAALVNTILVAADIPSRPAAYAS SATGDTIYVLWQVIRAIPNAVTRLFRGK PEX2_050440 MATATTMKALNYIGPYQVRVEEVEKPKLEHPDDVIVKVTTAAIC GSDLHMYEGRTAAEPGITFGHENMGIVEELGEGVISLKKGDRVVMPFNVADGRCRNCE EGKTAFCTGVNPGFAGGAYGYVAMGPYRGGQAQYIRVPFADFNALKLPPGKEHEADFV LLADIFPTGWHGVEISGFRSGESIAVFGAGPVGLMAAYSASLRGASNIYVVDRVPERL AAAQKFGCIPIDFTKGDPVDEIISHNGGEVDRSVDAVGYQAVDSSGSSEKANIILENM IRVTRACGGMGIPGLYVPSDPGAADTASANGMISLSFGKLFEKASDLLTCIEAVNLAN LLQGLSLSTGQCNVKAYDRYLRDMIIAGKAKPSFVISHEIGLDGVVVAYEKFDKREDG YTKVIIHPNGGF PEX2_050450 MNGLVHELATVSSPDAEAISTVLHAYGAALKARNVEEVLALYTT DGVIMPPHFPASAGIDSLRASYTRIFSTIELVITFQIEEIVLMSPEWGFARTTAEGTK TILALNESEPHANQELFIMKKENGKWLIARYAFSTMKPLVQNGIQRS PEX2_050460 MNALSPDEMERFQKLSNSYQPEVQGPLVSTKQSSHSIALDYSNA DPTLATKTNALAITHPECRIMKGDGNCGWRAVAFGYFETLFALRDTLRIETEIRRMKS LTTLLERVGLSDSIYEIFVDATEDVLNRTHAAIQNGAQDESFLVEAFNEVYCSDAIIT HFRILTSAWMKLNPNRYQAFLPMPLNQYCSSRIDPVKTEIDEVGLQALIDGVIEGSGF GVEILYLDRSEGDVVTPHQLSSNSPNGATIRLLYRPGHYDILYPVEALNMAPIVNLQY GMTSDYSPWDQAALGFDVNSSLMAIPNLMQDTSFGMGAPMSPMPSVSPTPASPFRMSP QQDMYQSPMPTHAPIPSIPVSPPQLPPPSSAPPPMTSLPSRTSDGPQIRLNPLVMKPN LSRSLPVTTPFKNSPYNQAHFQNSDFEPIHWEPHERNSGRHA PEX2_050470 MSDDDDFMQDSGDEDYDFEYEDADDDDSGDIGIENKYYNAKQIK VDNPEEAVDEFLGIAPMEQDKSDWGFKGLKQAIKLEFKLGRYSDTVAHYRELLTYVKS AVTRNYSEKSINNMLDFIEKGSDNAEAYQCMEEFYSLTLESFQNTNNERLWLKTNIKL ARLWLERKQYGQLGKKMRELHRACQREDGSDDTSKGTYLLELYALEIQMSAETKNNKR LKALYQRALRVRSAVPHPKIMGIIRECGGKMHMSEENWKEAQSDFFESFRNYDEAGSM QRIQVLKYLVLTTMLMKSDINPFDSQETKPYKNDPRISAMTDLVDAFQRDDIHAYEDV LSKHPDVLADPFIAENIDEVSRNMRTKAVLKLIAPYTRFSLQFISKHIRVSVPEVLDI LSFLILDKKLNAKIDQDNGTVVVESASDVERLRAVGEWSSALRSLWQTTLNGEGLRAN EPSQGSIFQSSLGDEGMRSTGPRARKDGRGKMPAKWLF PEX2_050480 MQLCGRQKVVQRKMVLLGDGACGKTSALNVFTRGFFPTVYEPTV FDIFVDNIHVELSLWDTAGQEEFDRLRALSYEDTHVLMLCFSVDSPDSFENVSSKWIA EINENCPGVRVVLTALKCDLRKDEDMNDNPNSISFDQGLAKAKEIGAVKYLECSAVQN RGIRESFYEAAKVALEVNASGGSSSGSNCIIL PEX2_050490 MRLAHLHLPHTTPFSRVSHLQQALTTRLLTHKKLTSPGSISSQE PGNSSATLANTPPPPPDPTIITFSPNPVYTTGRRDLPPSNTSPPSTTNPALFSLPPAL EPIRSLFTPDGNQPAKAEYHPTLRGGQTTYHGPGQMVAYTILDLKRLGLTPRCHIRLL ENSVIDLLKSYGVEGFTTEDPGVWVKPIAGSGSGSLQPRKITAVGVHLRRNISSFGIG LNVTDEPMWFFKQIVACGLEGKEVTSLQGVGVPGLEVGDVAAAFVDKFVSRVNADFAC GENAHGEKIEEVYRVREEDLLNDV PEX2_050500 MAESVNPPLSYEASATTTHPHVATSLPSEVVSCLKNSRFLHLAT VDDKTPHISLMSYTYLPSTPFDPHPTIIMTTNPASRKTNHLLSNPRVSLLVHDWVSHR PPTRAPAQGERDGSPPPAATRSSLASLLLNLNTSALSSISTTITGEAQFLESGSEEES WCKARHLENNTFEEEEITLFGQQQQQQDPNARRPSVSIDTDVRVVTVRVTEGRIADWK GGVRDWVLLPAEQQDSGLVNGVASS PEX2_050510 MLGPRAARSARSVTSLLRPFSSSAVAYRSPSIRDITVQSTEEYL RRQKEFRENLEQARLRREQQESQSVNASASSSSLSREYAPTAPQVSNASKHDRINPTF DAATALDHKGLGSLSTHRYLGDEQNQEAAAKRGPLSSLIYGTKEGQHFDKDMERSFSQ VLARGKYVHSIVMHDVKPDKVDEYVDLVGQWYPRMAGAEENRVNLVGSWRTQVGDNDT FVHIWEYQRYEGYHASLHNISEHPEFRDFDRKLKSLIKSKRTSLMQEFSFWPTTPPRR LGGLFELRSYTLHPGNLLEWETHWRRGLTARREVMEGVGAWFVQIGELNTVHHLWQFA NLEERKICREQSWGVEGWAETVHKTVPLIQTMQSRILVPMPWSPVG PEX2_050520 MVYIRQHELANLKNYKYAGVDHSPVSRYILKPFYNKCVIRCFPM GMAPNAITLTGFMFVVINFITVMWYNPNLDTDCPPWVYASCALGLFLYQTFDAVDGMQ ARRTRQSGPLGELFDHSVDACNTGLSVLVFAAATNMGQSWMTFIALFGSTMTFYVQTW DEYYTQVLTLGVVSGPVEGILTICLVYIITAIMGGGSFWHQPMLETLGMPKPSFLPSQ VYDLPFTYWYLGYGSLMLCFSIGCSIMNVMKVRRERGQDPVSPLYGLLPLVVIWTLIP AYLYLQPVILENYTIPFGVFVSLINAYSVGRIIIGHLTQTSFPYQNVLLYPLALGVLD SAGALIGLWSAPVLGHGVGQVAFTFGLLGLAVGVYGSFVFDIITTICDYIDIWCLTIK YPFVEETERKTDVSKKTK PEX2_050530 MPSANIQDLNAKMQALIEHKHTCQPPNTHPTIFFLYDFVRNSHN QLKAVDADKYAAGDNGAKTAVNEIEGRNAFANMLINDKSGKLSMMTGGDPSNPADFGA EIKNKALILTQ PEX2_050540 MAPKPPTSTRQPLKILMLHGYTQSGSLFNAKSRALTKHIQKAFP LHEVSAIYPTAPIRLLPSDIPGYEPSSESNPDNEIESYGWWRRSNTATPPLYTGLDEG FAAVARTLKEEGPFDGVIGFSQGAALAAMVAALLEPGRKASFKHFSNISDDGAAGIEI PVPFGDEEFQHPQLKFAICYSGFRSPGARYRAFYEEPAIQTPVLHVLGSLDALVDESR SRALIEACAGEPEKEGLVVWHPGGHFLPSQRPYLDAAVRFIREQLERSNGGIDKKNEV EEDVNDMDLPF PEX2_050550 MSGGLLKPEKDFTKDADKLIPEAEDLAKTDVQGAVDKLLGLEKQ SRQASDLATTSRLLIAIVTISKNSGDWNLLNDQVLLLSKKHAQLKQATTKMVQTVMKF LDETPSLEVKLSVIETLRTVTEGKIFVEVERARVTRILSNIKKTQGDLTSAADILCEL QVETFGSMTRREKTEFILEQVSLCIERGDWTQAQILSRKINKRYFSRKPKKSAEQIET LKKEAEEREKTRGPDEPPMEVDDDVTDLKLRYFEQQITLANHDNKYLEVCKNYREVLD TEAVENNPEQLRASLARIVYYVVLSPYDNEQSDLLHRIKQDSRLSQVPEESRLLKLFT IPELMRWPMVAEQFGPHLCNTDVFDAEAKQSTENQANQRWKDLRKRVIEHNVRVVAKY YTRIQMGRLTQLLDLTEEETEKYISDLVTSKTIYAKIDRPARLVNFAKPRDADDVLNE WSSDMKNLLGLLERIDHLITKEEMMARIQPTRSDMGKAH PEX2_050560 MKDASCDPGSPAPLMAAWNTESQVHLIVGSNPLAAARCARSLEV GATPIIIAPETDDMHFTLSEHISNGSAQWIRHEFQDKDLTTLGREEVDHIVDLVFVTL GGNNSLSPHISKLCRRLRIPVNVSDAPELCSFTLLSTYSDGPLHIGITTSGRGCKLAS RLRREIAAFLPQNLGTAIDRLGAVRRRLWEEDNAAGLVEGIFDREDDDSIGQKHTFNN LVTGSDTAAAKTRRVRWLSQICEYWPLRKLVSITDADMDAILKAYTSGNATANGTNDT NGLDALSKKGKIVLAGSGPGHPDLLTRATYQAIHNADIILADKLVPAPVLDLIPRRTE VHIARKFPGNADQAQEEFLVMGLAALKQGRQVLRLKQGDPYLYGRGAEEFEFFRKEGY SPVVLPGITSAMSASLFADIPATHRGVSDQVLVCTGTGRKGAAPNPPTYVPTQTVVFL MALHRLSALVESLTTFPAEDTGSQSRRLWPKETPCAIVERASCPDQRVIRSTLEHVCL AFEEAGSRPPGLLVVGASCHVLHNPQGQRWVIEEGFHGLDDIRSEVDAIAVPPLTVRL TRFIESVYFFFGLYFTTLLSLDSYAAAESSPFNINNQGNKYTTRSRWGGSTTSYGGGG GGGGGGGGPGFGPRRVGRVDDVRGPECKSCQ PEX2_050570 MSEIPSTDAEWAEKISNMRKQLPRRSLSQLPIPTQIHRTIDHTL LTTPVDLTQIDTLCKEALEYNFAAVCVRLENVARAASHVKGTDTALACVVGFPEGTHP TAEKVREAKEAVAQGATELDMVIRYDLLKEGRYTEVYDDIHAVRNAAPPPIALKAIVE ASVLDKDQLIDATIVSCMADADYIKTSTGWNGGASVDQITQMRLAAGMCGRTSFIKAS GGIRTAESLLRMLKAGAHRIGTSAGVQIMKEIDEGEVLEQGCGHAVA PEX2_050580 MLSESFVASTLSSTKSPTASLRDVGVCVHELQPSSILRSTFKKS STLTNCLAVSPSHIFTAQADKAVIHVYNREKGNQEGVVPFPERIRSITVAGGKYGDVL VLGTEGGRLIVWETCTGRQVATTASHLQPVTSLVVDPTSNFILSGSADASVHVWSLPG ILSFSKPALSATRQPTNSPIRTFSNHRAGITSLAVGHSNGRHNIVVSTAKDNTAIAWD YHTGRALRTFLLPLSATSVALDPVDRAFYVGYEDGSVQSVDFYRSQSTQHPLHDASVQ STPAQVSMEDRWLPPSAETGSVKTITLTYDGTTLLSGHANGKVLSWNVARRKYTTSVA DYTHPITNLVMLPPGGIPHPSSDLKRKAHTIVKPRHDSGHSAPHHAPGAVPAEYMFHT QITAPSDSRKPTQFSQALTHSSFPTSMIEDGLAELAAFRQPGNAEVTRVASNTAPARE VQEDTAARDSQVTELENEVSVLKKKVAVNETARHNADDEVVQLRLELANLQDYINELH EKQEAAQQEKVLRQARKQEHETKKREAWLAAEKKGHNGDAVIQKMDIDGAVTSDSDDQ SDE PEX2_050590 MDSGVTEMPKHACFPARIQTHIKNQSSNSSSMSHDAMAMAEPIP SPRRWSPTYIPRRRSRQMPSLSFVPSPVMPREESHDSSTSLSRRPVERGPACMSPFRS VRRMKEPFQLMLPTSPASIEGPQSNFPEKPTKASKAPADHTLRTWRSDQNLTCGSLEA FGLLPSPPISESRPASTGPELSYFDSQSSDGSGRMSEDKDEKDESGTTQPDVSTTAAT LEIGERIQYTAYRPPDWKSQPRTDVMNVHEAHSEFVRQCESSNKQESQPESPKKTAPP QASPKPGHVEKFLVPGSSPRPQRPRTGTVSSEASWVPSNFSYCERWLQGVPVDKVNDQ NPSTKEFTNRRKFQIVENDPPMPKLDIIPGGKALEGPVRFVVASKTKPKLVDIARQSS PSLPLIPPPCLLPNTVPTTPDQRQEEVSAFSPDTPLAMSDSGYGTRDSGYSFDSYDDS KVVGDDDDAYTDPGSLTSDSVGSTVICEKPESAQGEREPSPQPEIRSGSVSPKASSPP TTHSPGPASIISEKEDEKLRVWHHDWTLDDHEWTLDELDHSVKDFPRHMLRLASPVMV FLRKNDEKALIKPFRTIFPDVSENLLDCLCAALLARNYLLALSTIHRTKTPISPRKDA YVIDGVPKKAYTTLGIQLPSGSASKPKDPLFSSRSMELQANLERIVDNLLFAICGRSD PTLKSAVEVLAQVLETTV PEX2_050600 MAAPTRSLRHLSSFRTTISPASTVIAPICRRSYATTDSSSATNT PGTTRRKATKFQDKLNAGPSFSDFVSGGKDEPLDPAEAYALKTAIVGPAGRKKEMTRL PEWLRTPIPDSKNFQRLKKDLRGLNLHTVCEEARCPNISDCWGGSDKSSATATIMLMG DTCTRGCRFCSVKTSRTPGPLDPHEPENTAEAISRWSLGYVVLTSVDRDDLADGGARH FAETVIKIKQKKPSMLVECLTGDFRGDTEMAALVARSGLDVYAHNVETVEELTPFVRD RRATFQQSIRVLDAAKKAVPDLVTKTSLMLGLGETDDQLWDALRQLRAVNVDVVTFGQ YMRPTKRHMAVHEYVTPDRFELWRQRALDMGFLYCASGPLVRSSYKAGEAFIENVLKK RRAGSGTAERTVDQSAATTDEATR PEX2_050610 MRSSSFLQDHQQYKPMAPINPISQTIGEVLAHQVDDRLVLSANP IKPDPERVTDSGIIHSIFNHHANPLPTGTSQIVATIYYKSNNPVHPHYHPDSGPQNSP GDKLPTPKVPEGSAPTEDMDKFPREPPAPEPEPLDHLYGPYVSQLCLTNFLQILETLP TPYQRMNTSHRCLDRDEHPRVVEVTFSPPPNPEYLSFPDLRKHESIWRFEREWNVEVV LQKESVFRRHKRLAVFDMDSTLIDNECIDEIAKFIGVEKEVSAITERAMNGELDFTAS LKERVGLLNGVSSDVFEKLKSVLKIAKGARELCRALKTLGYKIAVVSGGFQPLAEWLA EQLGIDIAIANHLEIDEATQTLTGKLVPSYPIVDAAHKRTLLKSIAAEHGIPLSQTLA VGDGANDLLMLHAAGLGVAWRAKSKVQLEAPVRINGESLVDILYLLGMDNEDIAELTS DVQ PEX2_050620 MPAKNYSSEMEGVDNHDSMTESGYVSAGSGSSEDYVPEIVFTKP HLQFLNRQLQFLEPQEILRWCITSLPHLFQTTAFGLTGLVTLDMLSKLEVPRPQMVDL VFLDTLYHFNETMSLVDRVRRKYPNNNVHIYKPAGVETTAEFEAKYGTKLWEVDDQLY DWVAKVEPAQRAYREMNVHAVLTGRRRSQGGKRGDLDIIEVDEAGLIKINPMANWSFD QVKKYVKENDVPYNELLDRGYKSIGDWHSTQPVAEGEDERSGRWKGQQKTECGIHNPR SKYAQYLMEMEAKRQEEALSQALHTQLTPVV PEX2_050630 MQGLIHRRSKPAIFTGHLNILNTAIREIKHSHSFHQPPTPLRPA RLSTAKLFSGSDGNITQIASEANFLAPTGHSLRKALVGGAPSKRREWFHVAAHLGNYA RLVESVDKCLQ PEX2_050640 MKRLLRFKRRKARSGDLQGNSAITPSPPVGPEIITPSPTSIPAS SLSVQATAPSVVAASSSPTTASAAQLQTRQAVAPVLPSAGSSPAPSTGASQDIDTWNR AYEIAEKRESELMTDYASHLASLQVNPTSKRNISNSEFVEDVVKRLLDDREKKKWRLS LLENNVIIRKQVEKLAKVLLWSDPVVKSAVSTQPHAALACYLQVLSPDEAMLKGFNSI SDVQVYWTIFEETYLTSSHRQHYENLVEPLAKLYSYIIEYQARAICHFSKTQLSRGWQ NVAGGNDWAGKASEIGALSKARSILISHDNEKEIRERWSRQLREIQESTAILGEIRQV LDESQRQTQGNYAEMVERKLLQDLASDYEGYKDFNRLRVQGTCEWFFNDEKFHKWRDS DISGLLWLSAGPGCGKSILSRALIDERRLSLNITTSTVCHFFFKDGYEDRMYGVNALC AILHQLFTRDSSGTLIKLALPAHRNYGKALTRSFSKLWNILLDCAKSPHAGEIICIFD ALDECEEQSRLQLISKLKEFYCQPQGSSALSSKLSFLITSRPYAEIEREFAGFSTTEY LHFDGDKQSPAISREIDLVIDEHVSQIAENFTPRDQLEISKRLKSMENRTYLWLYVIF EIIKEDPSCHGKRSSIEKLLNNIPSRLSEAYEVILGRSKCQEETERLLEIILAAARPL TLDEANVTLTLALAEENFTSHAALKDDLRPNGNFETIVKGLSGLFISVHDSRLFFIHQ TAREFLVDPSGRGTWEGRLSISESSRIMPRTCFQFLMLSDLRAFVEDGDVSDEDEPGS DVSGEDESSEDFSDYIIDQSLKDARTLYDARQAWVQVASFKWLLKGILDGQNMTDLSL ASYLGLKQVVKNILSEQHIDVDIEDKSALALSAACFAGQSEVVALLLKKGANCNALHR HGTALHAALFFDQPDMISMLLENGADVNSKGGELGTALQMALGKNDLDIVLTLLKWGA DINFGHKTFGTALQEAFFLGSREIVKALLKNGADVNMTVGLYGTTALYEACDWDRSDI IIDLLNNGADIDYNHRIHGTALQRASSRGRGNIVATLLANGADPNIRGGYYNVSAIYA ASFNGHQDVVELLLKYGADVNIQGARNATALQVAAWQGHREIVALLLDHGADVTIKGE GEYWDRHVGPRRHKGTALDVATEKGHTEIMEMLVKKEAEFRSST PEX2_050650 MTQSVLSRRAQEVVDAGSENPMWDVMKDTWDPSTNPNGYVNVGV AENTLMHSELLKFINQKLELPAKYLTYNNGGAGSFRLKAAIAAFLNHHLKPVTPLEPS HVMATNGVSSAVEHVVWTFADPGEGVLLGRPYYGMFIPDISLRSRSPVVPVSFGEHDP LGVEGVDKYEEALLEFHRTTGKKVKALILAHPHNPLGRCYSREVLIKLMRLCQKYQIH FISDEIYALSVFENTVDKSPPPVKFESALSIDLTGIIDPRLVHVLWGMSKDFGANGIR LGAIISQANRDVHAALTGPALYSYASGITDHLTSLLLEDFDFTTRYVQQNQKLLSESY AYTVNYLKDHGIEYAAGCNAAFFVWMNLGKKYRELHPVDEGEDVGERVMQRLLQKKVF LASGFLFGSEKDGWFRIVFTQGHDILSVALERINAALKE PEX2_050660 MDTTVGPEAFVILLDPDPVIFAQNRRHVAIRSGPSARDASPRAL NANQEIAELEREYLNLDVPENDLAAFSNLQTSGTNQYPWTGGSLVLSPIDQEFQFNLP IAANWSMPASPTPNPHSLTKRPKVNVAIERTAKLLLHTLKSYVLTMLRHNSLPPFIHP SLVYAEFSMEPLVNCINLVHMISGEMKGNRKLFWRNVRMECARLCNEGPTLSKWELLA AMQALAIYIIIRLDDGETEYNGFDTLLIAAVTVVAQNLTKRDIAGKFSLDGSWKDWLF EESRRRLSIIYKVFNMLLYFEPATMCNLPTDLVLAPLPAKKQLWEAENEATWKSESRR EGEVQTEFGLTGSGDLVKLGENHRGTVMVHTSITENNPARTTASWEEWCEGMDGFGGL VMLAASMVSDHEIFELTGLASDAIHRRRSSTDSTDAPTSTDPPSAAKPPEQEPMNQNP DSAQPKSYANEAFAGTANENVVPPKKHHLWGWPHHQGKPSETNQNQQPSQKQGDTDWV VGT PEX2_050670 MAAPFPSPTTKWHTTAYQSISPSRPELSAKGRTLVVTGGGTGIG SETARHFAEAGVSRIALLGRREQPLLDTKASIEKTFPGVEVFVAPTDVTDKTQVDAAF AKFAGDGSIDILVSGAAVIGPQDQVGNVDSDKFLDAIHVNLQGSLAVAQAFLRHASPD AVVIDINSSAAHVNFGPGFGAYSVAKFAVFRLWDSLAFSNPGLAVFHIQPGVVDTAMN REAGGVKALGFEDHVSLPASFSVWLASPEARFLKGKFLWANWDVDGLKAKAKEIEESN LLSIGLSGWPFQDDNWKSAWKA PEX2_050680 MTLQGHYTARRFPERAMIHLIIECSGESEETTTHEVSITCTTLQ EILEALCPREEDRTVKPSAAVSKISASHIHASKDTTGNVSDRPRVHTAIVTFYAIFCD FNELQKFAYKLDTYDNARLSNVSWYLTDATTHDIGAESRKGALRDAVMKAKEYAEVID LKEIEVEPVELKEIEYEPLNSEAFSQRPIYRHSSGVDLTPKDIVISCAVEVYFELARR GSMWYE PEX2_050690 MTFTGSCMCGGVTYAIEADTYLAALCHCTDCQKWSGGAFTSNAV VPRTSFKVTKGTPNSYDAVGDSGKINKHFFCPTCGSSIYTELEIMPDMTCVKAGTLDG GEANLKGKVDVEFYVKDRPAYLAAVEGAKQEQLLG PEX2_050700 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELAVLCSVDVA VIIFGHNKKLYEYSSCDMHDALGRYQYFGPPHEHKGPEDFAGKRDDDDEEDEASPAPE EIQAIQQNHPAIPPHLQNQPAFQHMNHAPSASPPIHHAMIRHATPQPQGTSRPSSRNH IRRVSSNLGPQQHGTPPPPPPPGPQNGGFAYMPNPSMYNPNVHAMNQQPRPGQFAHYG HPPGQHQGTPPMHPHGLPPQQMPQQMPPQHQGQHHFQQHGHPVGMPPGPHQHVQQAYM QEHGRNSMPPGFVPDGHQERPGSEHPQDDSSGAMKAEHSQSPPQMKSLSKSRSIFTPI DDRGSVLARHFGAGAGSYESHNNHSLKVEALPQASLVSKSMPVRAATEAPRPGPIAPA PKIKPPSRTNSGPLPSKRPQLKVQIPSETSDGGSATADSSRDSTGNKTSTPAKGSAEN GPPGVVLPPPSPSAGAILSAGAQGPPNPFARPPPPVATTRNDSYGSNSGNPNNGNNSN NIETPISALPSRFVSDALLPSPSSFFPEWGFSRSGPDSNMLPSPLTFPTPAVQSGPGF SREDEQDKKRKSPDSGSAGEGTHKKPKT PEX2_050710 MSFFSRVFRGKDSTATKKQSKPTAVSTAPAKPKWTDAWQRTEVA PEEVQDLVRGCVHELKARALDTPFLLLPFRPSSDPSAARTFIRNYFNQSFDKGSPVNG DVLAQELRLTEPMVLCGVMKWCWSRLPGGVVTWEAYETFKMGEHDSDMARDAFSTFIP IGGDSDARTNIILDFFDLLAAVAAHGKSNGLGGRKLSRYAGWWAFEHVDTGNGFEAAY KNWAVAADATSHLFFAYLRSLSPDVPRGVSGISSLPISLQSLVEATEYPPETPTLLQV TTTKVVMIVENVSPTPFSLLRRAKNFEYRDDDWHLQEFANYEDPVSALTDECLRVLRC ISSANQSSVSSTKQSTSLRDASWSRFEDIGFGGSIDSDPEDEGKEAAPPATGKTTNSG SAGLQSVPQSGTGDLGRPTTPSWADFMSSGFADENNLKNHVGPLLLPPDKVLPPIASA RGMSSQSHKRSLDIEPALEPAELASINTLDMDDSFWWVWISSLSGDEPSARKAVFGRC ALLETRIRDTKWLILEEQIKGAAPEPEAGAQIVEKKRFFSFGSRKGKLSRRKSSAKKM PNIEDSYKRANNQGTQSKTSIGPDQHKRIQEAAAALQKKHREQEAEAKENRATPNNSR YSKTNSVMTLQPAIVNEASQAMKWTKNYDKGAFRAAYLNDNRAGTGQADELQDAPEPI SKDPEEKPLVPLPTSTAKSGPPPLPKEAAAAAAVSTPLPPSPKEAPPKPVNVTAPEEE KPITKPAVVEQSTERRESVDETGKKLKKKTGNSAFKSMFGTKKRTEQQQPPMKTTDAK EISNVAATRAALEAKAKAAQESGPVKKKPLPSTAAVAVTPVATKAEKPSAPERVQPTV NEPVAKPTNLTQSAALKGNGAPPKTRRAVEYDALSRVGTNERDAADQEFSKFDQGPLT DQPAFAPEDSPVDVTFAAQKTEVPQTPTNGNGVRPETATSPESTSEATQDRWKAIREA AAQRTAAAQDEQAHDHDAEANTRTSQSERTDEGDTSGEETIEARVARIKARVAELTGN VEDGPRR PEX2_050720 MAPKVLVVLSSHDKLGSTGHPTGWYLPEFAHPHEVLHDKVSLTI ASPKGGEAPLDPSSVQASESDEVSQTFLKEQKALWTNTHKLADVLPRAGEFDALFYVG GHGPMFDLTEDPTSLALIQAFAVANKPVAAVCHGSCVLLNAKAPSGVPLISGVSVTGF SNDEEDAVNLSAAMPFMLETELGRVSGGKYVKAAEPWGEMVVVGKAAETGSTIITGQN PGSATAVGKAILKELGL PEX2_050730 MVYTSDSDEYLQLLQIFQPSSEKHNSHAGTSAMQPPTSAVGQPP PLPSADWPLYDPSISYASTSLDPNCTVHTTIGLPTADNLYQNRMQSSSVMQQRATGVE TEVHLSPGRTSTYAWSSPASPFTPGRSPANENNNEVDDVEPISAHSDPQNQELV PEX2_050740 MVFGSLVVAFCLLVLGWTAEIVGLFVKDPEKAKNGTIALAVLSI YAVDFSINVVQACCRGLIVDTLPIPSQQAGSAWAGRMSAIGQLFAYVVGAIDTVSIFG TFLGDTQFKQMTVIAALSLIGAVAVTSYSVKERVLLSARDDGSAGAIQVLSQLFKTTF ELPPRIQAICWAQFWAWIGWFPFLFYSTTWVGETYFRYEVPKDDMAKATDMLGEVGRV GSLSLTVFSSITVLSSVLLPFCIMQPDTKRTRFTARPPPKVAAVLKAITAIRPDLQTA WLISHIMFAATMIFAPLANSRAFATFLVAVCGIPWAISGWAPFAFMGVEINKLTMGGY SPAIPSSRSGVTMITSASLRNNAADTELDVLRLNHHDSTDSDTDEEEQASNIPSTGEL AGIYLGVLNVYTTLPQFVGTFISWIVFSILEPAAEPKSDNNSDSWMNLDKDRYNAISI CLFIGAISALVAAEATRRLRYIL PEX2_050750 MDIAFSTIELKDDTIIVVLGASGDLAKKKTFPALFGLFRNKFLP KDIRIVGYARTKMDTDEYLKRVRSYIKVPTKEIEDQLDQFCKMCTYVSGQYDQDDSFI NLNKHLEEIENNRQSKEQNRVFYMALPPSVFTTVSEQLKRNCYPKSGLARIIVEKPFG KDLQSSRDLQKALEPNWKEDEIFRIDHYLGKEMVKNILILRFGNEFFNATWNRRHIDN VQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSSEDIRDEKVR VLRAMDAIEPKNVIIGQYGRSLDGSKPGYLEDDTVPKESRCPTFCAMVAYIKNERWDG VPFILKAGKALNEQKTEVRIQFKDVTSGIFKDIPRNELVIRVQPNESVYIKMNSKLPG LSMQTVVTELDLTYRRRFSDLKIPEAYESLILDAFKGDHSNFVRDDELDASWRIFTPL LHYLDDNKEIIPMEYPYGSRGPAVLDDFTASYGYKFSDAAGYQWPVTTSAPNRL PEX2_050760 MPSEQGHRLYVKGRHLSFQRSKHALTPNTSLVKIEGVDDTKSAK FYLGKKVAFVYRAKREVRGSNIRVIWGKVTRPHGNSGVVRAKFRNNLPPKSLGATVRV MLYPSNI PEX2_050770 MMAWPTRKNNNSTIPESAFQWSDGESGHWKAKNLRQPETLFMSP SPDPSITTRTTIARPPSYNYQLQIPSAQLPSTPVALGAVLSPPHLSSYLHPSTIKHSI NNHSPRSILPQAINTALSPASLYDTRCPSPWDDLPVSTPIIKETLQPDETGKDGSQTK MPCRSRRPTATQKDSDTYGTFKCEWKDCQYDRLFSRKGVLMRHILTQHVNPRAFKCPL CDHASSRRENLKAHRQSIHKESL PEX2_050780 MAWSQFNIPSNGSGTPDEQQNARGICYPYESTAHQAPPSLAAEP SMFSENHLQYNTSMQHPSAPAHSSYPVPFRFTHTDFDPPNPNTVHHPSIENNRLREPS MGYLSSGPSSACTTPSGSRGSTPAMQELPQSGIGGHEIQTTYGAAQRLQPRQESVISL VPS PEX2_050790 MSERQQPDASSSSTDLEQPNVEKPIDIPEDETEAADRPNDAENV TEKTTEGAPLDHAPSQAAKMGKNKIIVVMTALCLALFLAALDMTIISTALPTIAAQFG ASESGFSWIASSYLLANAACIPLWGKISDIWGRKSIIVLANVVFLVGSLICALAHNMA TIIAGRAVQGVGGGGIIILANISVSDLFSLRDRPMYYGLFGATWAVAGALGPVIGGAF TTNVTWRWCFYLNLPVGGVSLAILVLFLHIESPKTPFWAGLRCIDWTGTFLIIGGTLM FLFGLEFGGVNYPWASPTVICLIVFGVFTWALAMFLEWKVAKFPIIPPRLFNEWYNIL ILLVCFCHGFTFIAATYYLPLYFQTVLQASPIMSGVYVLPLVMSLAVGSAATGVVMKK TGRFRELIIGGMSLMALGFGLFIDLKAYASWPRIIIYQLIAGVGIGPNFQAPLVAFQA NIRPADMATATATFGFVRQLSTSMSVVLGTVIYQNIMGQQSAKLIASLGAETAATIMA SFGGSSKSLVNSLAPSQREVVLGAYTHALNRMWIFYTCMACLGFVLALFIRRRELTRH HTIQKTGLAEQERARQELIDSQRKDNSKPEIEA PEX2_050800 MTQIQGLPIELLDLIFSFLVPPDDDLDRPMEYQTTKAKDGNEKE LNDEEDDDDAPDEIETLRNVCLVSRKFREVAQPLLFRELTDDGMAGDLSPTIPFTRTI YRRPDLGKHVQQITVLPLPFHLGGSGSLAAEDFEFFKGIIKDLKLAEGEETWISALEK SDLSIFAALLANKAPNLRGLYVPVRQFSIQPFIQLFSHNPEFLSNLESIWIESDDEMS GFDIASYEKFVTLPKVILSTFEYGDLFEESFPSTWLPGTLLTEELAFHHCHIDAGALK KLMQACKKLKSFTYNNFSLDPNDRRIMRVGTVPEFNAKEAHEAALLHKDTLELFHLEY AMELPDVDDIEQLVSSHVKVGSFREFSALETILIPHAALPPHPQFPSSLETLHITDCN SSIRELTQNIATDCKNGLYPKLTSVKVFAIDITQPIKLPGQRIPEGKTPEQCFLALKE MFKGTKVNFQILPYELPDFDDYGDLDDYDEDLGYEEDFDEAGSDEEADPDIDAIPPRI LDLIMQRAMMDPEFAHLRPDAESDDDSWETEDSD PEX2_050810 MAYNKSYNPDALPAHAEPEEVAQMLGNMAVSGQSHAPSHVPGHT QSLNQKPLPTRPPQTTHNSGVPPRVPVSSAPQHPYAAAPPRLEPHQSHSHSHSYSGRS SSANAPPPNQPLSHSQSHNRPHPLHPSPPPQNYGFGPPPVHPQRNRPPPSSRPPQSPV PPPLTAPSDDPQQLFPLFRAANASHSGALTEGELGSALVNGDYTSFHPRTVRLMIRMF DRDGSGSINFDEFVSLWRYLAAWRELFDRFDEDRSGRVSQPEFEKALVAFGYRLSGKF ISIIFGVFESKGKQMSNAPKDPRLTGMSFDLFVQACISLKRMTDVFKRYDDDRDGYIT LSFEEALTEILLLQE PEX2_050820 MADPLSIASGVAGFLSLGIEVTKSLVEFYSSYKGQTAALAKITL NLENLLGILRSLDDALQNRQPQTDALVQEIEKVANGCREIIEELRDECQKFQEHTTPS LKARIQLVGRRATYPFRESTLKKLEEDIGEIRENLSLALNVLQLRNQTGLEDGMSELK ILAKQTNTMHISAMIRGWLMAPDATLNHNAACEKRHKTTGLWLINGQKFQNWLVERNS FLWINGFAGCGKSVLCSTAIERTFRERQHQPSVGIGFFYFSFTDEGKKDSSGMLRALL LQLSAQLEGGEKDLQELHMLYKSGTPPVDILLNSLRQTICKFSDTYILLDALDESPRY DKREGVLGAIKKMRQWDLPTLHLLVTSRNEIDIRHSLETPFGQDISMKNPDTDIDIEN FISYQLSNDPKFQKWKSRHEEIQERLTSKAQGVFRYVECQLLALKRVRIRNQLDKCLR SLPRDLDETYERMLCSIDDEDIEEARLVLTLLCVSNRPLTVKELTGALAIDVKASEWQ LDREGRSFSQDDIVDICLGLIEIAMIEDEDSGEATAIARIAHFSVQEYLESDRISQQG AARFTIQKEPAHTEMAQICLFYLLEPTLSSGKLDEAKLEMFPLTRFAAAEWLYFYNNS GKGRSDIEASILRLFKDHAGPFVTWIRLHNRDSVGWRQIADFGRAIEDIPLPLYYAAL LGLEDILSALLANGGQKTATHAALNNQAGFFGNALQAAAQGGYENIVQTLLDQGADIH AQGGEFGNALQAAAQGGHENIVQTLLDQGADIYTQGGEFSNALQAAAQGGYKNIVQTL LDQGADIYAQGGTFGNALQAAAQGGHENIVQTLLDQGADINTQGGEFSNALQAAAQGG YKNIVQTLLDQGADIYAQGGTFGNALQAAAQGGHENIVQTLLDQGADINAQGGIYSNA LQAAVWGGYEKVVQTLLDQGADIHAQGGRFGNALQAATLSGHENLVQTLLDQGADINA QRSEFGNALQAAVWGGHENIVQTLLDQGADINAQGGRFGNALQAAAWGGHENIVQTLL DQGADINAQGGRYGNALHAASSELSRTANQDESHEKGVYEKVVQILLDHGADANASGP LGNAL PEX2_050830 MAALLRLLKMQYTPPIDPKGVSFAGKIVILTGATSGLGFEAAIK MLNLGVESLIIGSRSVEKGNKAKADLEKATNRRNVVKVWELEMNSFQSVKGFAERVNR ELDRVDIALLNAGLWNREYNQSTEGWEETLQVNTLSTSMLALLLLPKLKKSSYPESPA HLTVVSSQQFVRVKAESVRTDDSLLAHVNDPANFKGPKQYGISKLLLEFMIKNIANMA RDDNSTHTLIVNTVSPGLCISALGRQYTNWWEKCFVWVMHKLFARTTEQGSRSLVSAT LLGVESQGKCWRSEGYLDESAALTTGLEGEELQEKAWEEIIAVLEEEAPEVSLISRGL YQGV PEX2_050840 MSIKSFLGSTLNLCESGDTSSQSQQTEQEISGWQPSYLRRRVLI VFEIIFCGVIAALEALNYVPHIDDGISASIKGRHYLGLADPLPSSLLSRPFGREWNFK SSKEHHGSRWRRSPWRPGNPCSWTMYPRYSWHQ PEX2_050850 MAAVAQGHQVHPDGRTWKSHFPKGDLWVFGYGSLIWKPPPHYDQ RVPGYINGYVRRFWQASTDHRGTPEQPGRVVTVIERTFWETLDDPLAHLESEAASTGK VWGAAYHIPASHAEEVHDYLDEREIDGYSAHYTPFHPTVDIEGADGSSGSSPIICMLY VGQPTNPQFLRNAVDREPQNVAQVISAGHGLSGKGSEYLFLLEKALEGLGLGTADVHV TDLVKRVKAIEAEGVADAEEEEAELKVTRSLEGSAEKSDREDGRATIE PEX2_050860 MSKLFVHGLSWHTSDDTLREGFQQFGEIQEAIVVKDRATSRSRG FGFVRFATDAEADAALGAMNNQEFDGRVIRVDKAFDRPQRTEGGFQGRGGYNSQPQSS YQPGAGGPGGYAGYNNGGYNNGAAPGGYGGGYNRGYNNTYAPSGPAGYSAGGPGGYGG GPNTGYGGGGGWRGNNHEHAPQDGHQ PEX2_050870 MEGNNPIYQWLSTVDEQDQSRHQDGGMLLSQEPRCFRANRALAD NQEQVKCTALATAIPRIRIPLEISDSVPGNGHSASRKRDSGHLNETKSSPAHEIIPGN ENQKTSRHSYELRPRHKTREDRYEYKGPSSAVDTQSQSRKGRGKKSRGRRHTMNDDFH AINVTGNRLTLRSNTNQGIFSKGRSSSVTHRHENSPSIALAKPAATIKSHNLVAESDL AFSEMNFLSRRNNISPYPMSTARDTLDGQHEREWYPQKLGFDHPAHDSKLDMSHSNNE FLDAERQLDASVTPLTTFEEARTSEIYPVSSHSRSVFPMPDNKRRKTSKQSSSIPYTW TETEADNTEQSDALEQHLLSLLHVGVYPQALCSEITNTVLIRRYWSLAELWELLEERK AAWSNEAGNKKRASPEANIGQLTAAKSASQEVPEIIAPGHLDLVNVSGVQNEMSKESS DSNVAGETACGVNNGLHQQPSILEQQQSGPRQASDKSGCLNIQAARTSNSPDRFIDTL NGHQCETSPQESPEDAVHFPAPVPEAKQPHMSDIEFYELSRVDDDDVFYRTLDAAYYA IVHPEVAAEVASDLQKLLESPELNCNELPNSPESDIPTRQVEAGEPDNLATVSQDITQ DQGDERPPESSFRHKLPTSHSNDDCVDQNNDLPWLTTGYDQSQPRASTEIDARQTQPA ELSDFWRQNKLY PEX2_050880 MAFPSPESSNPSFSMSAYMVPSRQNSYSESDDELASLPSESTTE SDLDTLSEYSSDAEAEWQESIEQLELLLSMVLVPFIGKYLGRRCAYWSWTRFMQWQYP VEVVMRNPAAFKAAGAIEAAATL PEX2_050890 MADAEIETKQRKSVAFSEGSVIMDTNGQVTDAPPAEKPTGEKDV DEVTEMFKGLSKKKKTSKKSKTTEAGDDEEAPAAADGEFDPSALKKKKKSSSKSKKVD PNDFDAKLAEAGIAEEAGEDQPEELPEGDLEAGTGIWAHDATQAIPYSLLVSRFFSLI ESHHPDLLSSGAKSYKIPPPQCLREGNRRTIFANIADICKRMKRSEEHCTQFLFAELG TSGSVDGSRRLVIKGRFQQKGIESVLRRYIVEYVTCKTCRSPDTELNKGENRLYFVTC NSCGSRRSVAAIKTGFRSQIGRRKRVG PEX2_050900 MSQPSYPPPPMSAPAHVTTFTHPQFPTVAAPNPQYPPPPRQPHP NGAYTSATPPAESPQPHRHTPSNGGYAAPPKSMSPPQDPIAQHNQAAFDYNGTIGLQK MPGGAPAYGEFSGAKETTQDDTGTFNGGSFRVSHRDTNSVLTVQLAMGCPLTVRPGAM IAMSPTITLRGNISFSWKKLIIGGSMTMSHYTGPGELLLAPSMLGDIMVIRMEDPEEW KIGRDAFLGHTAGVEHKYQSQSLSKGVFSGEGFFIYKITGSGVLWIQSFGAIIRKDLR EDETYFIDNGHLVAWNCKYKMERVASGGIISGISSGEGLACRFKGPGTVYLQTRNLNA FAAQMKISTASG PEX2_050910 MPTMADGESRGVLLIKADDWDDFTNNLATDLAPLITLFGERLTK QFLSESISTLDNVIFALSPLGVLTSVVSVIRICGSSSLRAFVGRAQEGPAEAESELLP CVSESTAELFNDGGITRVFGRPKIVEIVAWEDVDPNTGKMGTNIGTLKDALEEKAWSC NSKHRPSELPELHIPNLSLNKGIKRRDQFWFHCAAILGGILQSGTVMYATLTVFVYPQ HFQKNDKAVASYAFPFYIVGTTLLFIGMFFCAFIMERSSKEFYLKAEKPSKIYWLQPG NQDVGDQVFNAFLAVNEGPNSSMTKKLRYIKSIRDRRFDTKYLEIYSTLASTVLGFIF QFIGLRGLHSSVTLAQLGSTFLMAIIRTCLRTERMTPDENKMKDDRELTSHKQQEMDC FAFYLEKVESFELASIPDRPENMPSPRSTPHLATPLTEQLIRTRTQLARLTTSSSQNL GVDWKGMPIRQMADSLAETIESTMDLMSSWGVDLGKTFEFRLGFRCKEASPGPVTQAP GTYLVGLMRCGDALRWKVDVSELEAILGLWTWSLYKSDKNWRNSTLCRLIGLNKDEAS REETFLYFHKWIFRQTEARLLPSAVYGNSPRLFSFEPKGISFGGRVLAVRTENKLEAM VAQDIYIQFLKEVFLNLHDLGGDVDLVPGLQSSFLASSTRIDGLIRCFEKSNLGSRED ALLCIVPVLRHRGLLPDLAADSAKVRARREQLIEQGDWKGAFALLRWICQRSESSQFE RSVYELGFLCRQALFSPHKHVRKEGLEQTCALLTSDIRDEFIKVHTNLPPLQRSLHQD RVQWWNSFSRQLGWIAWNISTNVPGMAFCQPTLRSLNVSEDLHGSHDQNQDPDAIQKG ICAVKDWLTLHKIDNIKREEYSEDEVLGFEWMIRNNFHALLYFLLLRWVEVSEELPAL VRIGYSLAARTRSERALDILRRQGADIETIGPDNRSALNDQVCTQDLEGSRLLLQNGA DPNGNIQQMKISSLAIAAHEGFDDIVTLLLQFGANIEIPDHVGLRALHWATKNNHFDT VRLLLAHGAEIDPLGSDDLTPLHSAAMNSQIQMAELLIKGGADVNAIGQNGQTSMMLS IHENNPIPMWQLLVDNGARLDSVDFNGSTVLDQARQFNYTEAISFLCQVLEDRGIKEQ PEX2_050920 MAAPSEFASAMTTRSLRMVRTELEFLADASVITPRQLSSILSQL PTDSEASRAVHASPPPPQQHAQPAPAQHVPVQAQPPPAPYTPSYSPPVSQMANASINE NAYSQNQYASQPPQAPPAYPQVPPVLGLASATYAYTPTDAGDLALQPQDRIQITEHMN DDWWRGRNERTGQEGIFPRSYVNVVNEKAGVSSPPPTNYGNMPLAVSQSGQPENSEDP KKSKLEEGGKKFGKKLGNAAIFGAGATVGSNIVNSIF PEX2_050930 MPLMNWAEEAYFERDANGEPLTAEDYEKQSGRKGGLKLSRFEVK MLLIAGTGFFMDSYDLFIINLATPVWQYEYWGGNYPAMLHGVVNAGANIGNVFGQLLF GLLGDALGRRFVYGKELILCMIGIILIISLPNSIPSPTLKMIWIFCWRIFMGIGIGGD YPMSASIVAERGHLHSRGSLLGWVFSGQGWGTLLGSVCTIIILACFEPALNGRGEYSQ LDAVWRIQIGLALVPALIVLPFRLTMPEGKKYLESQKLNNNKVDEPVDTPESSGKTQS ANWKAFFVYFSEWRHFKILLGTALSWFLVDIAFYGTNLNQSVILSEIGFSHGSNIYHT LMRNAIGNLIVSVAGYLPGYFFTIFFIERLGRRWIQIQGFLVCALLFGCLAGDWNGMN TAGRFVCFALAQFFFNFGPNATTFIIPAEVFPSRVRGAAHGFSAACGKLGAILSALLF NWLSSSVIGLPNVLWIFFGCNILGAVVTFFLIPETKGRDADLIDAEESQALRST PEX2_050940 MCSTSTAYSSLRESALVPGNWAFFPGGGGGVGIQGVQLAVAMGL RPVVIDSGEQKRKLALELGAEAFLDFKEIDDVASEVIAICDGIGAHGVFVTAGESYPT ALSYLGNRVGGTMMCIALPTAGSHPISVSGAELIMKNKSIKGTLVSSMADAEKTLEFA KRGKFHLNPEIVGLSRLNESLQRLKNGQVPRRMVFDFNLE PEX2_050950 MATVQVTRQSRLADDAATAALYVTHPKRRASLRAPTATNTQDFN SRAVGGTPNLSHASAASALAHANRKPVEVWRPPTRQPAAEKAALCVKDYTPPQQPQPA TGHSAEGLGAAMIAVREQRASVGQAPTSTGHRRGSSATDRYHHTAVGGNPKDKALQAA TGAYTLSRKRADSAPSDPGVTSELSFGRAAAGASRYARVEEEGPLDHLDPAMEASRLQ HAASTNPKLYTSSPPVQCEIEEQNYKNSQRAAAISMAKDMYRVTSAKNQSGQSPAILA AQKGQSQLGYRKTVSTADGAAVRRAIALQEAAQKRAAEKLALMQDEQVEYQQYYGTAP QPQRSRLTTRRKRTSSDADASQIDAEQSRQIRSQMTSLQTKLDQVDVQKTRDRELLMQ AARRNVDQTLQDMEAQLYANTGRAPPSVQKGWDEVAQERVRREAEDFEATTAQGNRVN IGGQKYMDIADIDAVARSRLQPTFDEITENAELRRAHDIEARLDAEEEQRHAAVERQR EAEVKELEKQEKGASKRDSRSESKVPKFFLWRKKGKRARVEKSETEEAQAVSPIAQGA IVEPLPTTAPYNNVSTESIPEQASIETGNNASTVTIPDEGSVVTETAGPETAVAAISS VPETELSKAGEDDVPAAIPRRPIRSQTELPQIEQRDAAASGPPIVHYFTPPVSSPRAD TKLKNWFRDRLVRRSSGPVPIYPHQPGPDSNTDNEPAFQGGASLTGRDESRGVALGSH PLGVGEPIPTHNRSSSYYSNDFDVAKINSAESLPKSTKQNGNGKKRNRLSKTFLRAVS RSPDGSNDGDSRRDSGIQSSSKDVRSGKIQSLQDSTIGQSLPVPPTIGETLNGRRESR FSENL PEX2_050960 MSVTHVFKVVDGLSLEIDVLSPPTKEENSPVLLHFHGGFLVLGE KTTFPPHWLINACQKRGWTYATASYRLLPESPGIEILQDATDAVNWVYANISKRVIIA GSSAGGYLALAAAAHPATPRPLAVLSIYGMLDPASERYIRPGQPLGGPVADEAKALEE IEVAMRNDAIDGYPFPVSPPADLRFGWIRALHQAARYADVLARKPGLAGRIADEGVGA VSVEDRVLFPVSFGLKEGFPPTVLLHGDADELVGFEQSVAVAGALERVGVDVSLERAE GQGHGFEAKEVIDLDGDEVLGDKAVADTLRRVIAHLERHV PEX2_050970 MFELSPVAFSVLGPVQTISLAIGLVFLGYISYMSYFHTLSQYPG PKIASLTSLWRAYYVYKLVLHEKLVKLHQQYGPVVRIGPNHLHFWDGEAIAPIYKGGR KMGKTQFYDAFTAFNPNLFGGRDEDIHSLRRRQLAHGFSQTSVENFEPLINGHIEILL NKLNEFAKTGEVFDLKSTISYFVLDILGEVAFSRPFNAQARGEADEIHAINDHLLLSC VIGELPFQALSKFLARWSPVPWMRKLGKSRNNLKETCSECVRNKINNVSDRRDLLKSL VTTKDVETGASLTEQEINSEAFAMLVAGSHSTSGTLTLLFWHLIHNPEICATVAAEVA STLQPLQDGDISYPIKGLEASLPYTMACVRENFRLNPVFTMPLWRSVGSPSGARIGDF DVPCGTNVCISNYVLHHNPEIWGEDHAVFRPDRWLEKDEPNRSRCLIPFSIGHRMCIG RNLAMTNILKTVTTLISQFEFHPISQDDHVRVRSSGIGEMEGSFQCKVSVKC PEX2_050980 MNADIEYIEYDPGNKQERSQYWRSSNLNWAVQNGDEEIVDALLA HLDQMKVEIPGLTVESVDPALYSAAHSGYFTIVKTLIGLTPDAVRPDDYYDRVLSYAL GEEDYWYNERYCFCTKQDVDSGSRKKPLKDHYAIVKLLLDHGAHCNYTGSYFLSCMPV LLTLFKCSSISNGVLKLLVERGADVSIKEVLRGFIETTNRCVFSNEETAKFLLDHGAG SSALDYISTAVLNDNNKMGLIELLVAFRLPLNRINGWSEITKTFKGRAKLMKQLLDLD ASTKYRTAFQSVNSDTSVPWGCDFHKDNSKGLDQFRGPISEPEPEKPDYETCVSFIYD SMRLLLSYGAVGDIIDSEEQTPLYKTDNKHLVKLILAHGADVNEVDLYGQTPLHAMTY PEX2_050990 MRLLALLPVLLGLISNHFVSATDNGKTTDVTWDKYSLSVKGERV YVFSGEFHYQRLPVPELWLDVFQKLRANGFNAISIYFFWSYHSSSEDTFDFENGAHDV QRVFDYAKQAGLYVIARAGPYCNAETSAGGFALWASNGQMGKTRTSASSYYDRWYPWI QKIGKIIAANQITNGGPVILNQHENELQETTHSADNTVVKYMEQVKAAFSEAGIVVPS THNEKGMRSMSWSTDYQDVGGAVNIYGLDSYPGGLSCTNPNTGFNLVRTYYQWFQNYS SSQPEYLPEFEGGWFSAWGGTFYDQCSTELSPEFPDVYYKNNIGSRVTLQNLYMVMGA TSWGHSAAPVVYTSYDYSAPMRETREIRDKLKQTKLIGLFTRVSSGLLQTQMEGNGTG YTSDASIYTWALRNPETNAGFYVLAHSTSSSRAVTTTSLNVNTSAGALTIPNIELAGR QSKIIVTDYQIGNGSSLLYSSAEVLTYATLDVDVIVFYLNIGQEGEFVFKDAPAHLTF QTYGNSQVSSAASDYGTKYTYTQGDGTTVLKFSHGVLVYLLDKETAWNFFAVPTTSNP LVTPSEQIIALGPYLVRTASVSGNTVSLVGDNANTTSLEVYMGNSKVTKIKWNGKEIS AKKTAYGSLIGSASGAQNAKVSLPTLKSWKAQDTLPEIKPGYDDSRWTICNKTTSVNS VAPLTLPVLYSGDYGYHAGTKIYRGRFDGVTATGANLTVQNGVAAGWAAWLNGVYVGG AVGDPALAATSAELLFNSSTLRKTDNVLTVVMDYTGHDEANVSPNGAQNPRGILGATL LGGNFTSWRIQGNAGGEANIDPVRGPMNEGGLYGERLGWHLPGYKASKTATSDSPLDG VSGAAGRFYTTTFKLDLDSDLDVPIGLQLGASDFPAVVQIFMNGYQFGHYLPHIGPQT RFPFPPGVINNRGENTLAISLWALTGQGARLSQVDLVAYGAYRTGFNFNHDWSYLQPQ WKNNRGQYV PEX2_051000 MSRHNSVDLDSPDRPFDNIINFRDVGRSVNQFCRKEILKEGVFF RSARLDDASERDKRRLAEELHIHTVIDLRSQTEHQMGTRKRRSENAKSDPSTNSPSPS PSPLPTDPIPTNPDEHLLQIPGSKRALISLTGKGFERALISKLDWLTYLKTIGLVTTG YRSDAVRLVCGSVMQPRGLTGLAQDTLDSSMGEIRSVFEILACEESYPTLVHCTQGKD RTGLVVLLMLLLVGGVVPVEAIVDDYSRSELELVSEFDERMEEIRAIGLGEDYTRCPP GFVRDTTEYLENRYGGVRGYLEKVGVGYEMQERVRVKFMA PEX2_051010 MWIISFWMFPLVSACMWVSMLIAMLVTWVKDGRPHYASMDEGQT IAYISDVGAQGLKPLFVAGSAVTVVFLDLAFLSERWLRHSNQLASNKGRLDKACAIIS IFFAIAGAAGLILLSVFDTLRHPKLHDGFIGLFIAGYVISAIFVCIEYLRIGIFYRRE HRVLLVSFFIKLVFVIVELALAIGFGVCIGSKNSSKQNPGAVIEWTIAFVFTGYVLSF VVDLLPSVRTKNHVPQGEKYLMNQGGFSGTREEGVSIEEPLTHDSMGPSTGFYRGQRV PEX2_051020 MPSWGRPPGARTGRNTRGRAAWEETRLEEVDSEEDAYGRELVRS SRYKQEYGGRGPRRRPVDYEDLTDESESVDGGEYDLYDHEDSTVAYAIQLAMRDKEDQ LVDTALERIRRAQVLGKKNVRLSKRELDALERKRQQSDGSSGSRRPSVNSVKTTSRPS SRRSAVVAPEQQSGPYPTFAPDAHSIWARGTAANGRPTSSSSAARPRTPTTQSLRPQQ SNSPLRPPYPPYTPERFAPNGRPQSMQQAPVFQRPLPDDPQWAPPYYNTMQMSPYGEP VPHQPVPTDVRAGQPNRMSYPSTTPYPAYQSQSPGKRPPQATPQTRGSLAPAPALAPS KLGAEESSEESESSEEDEVQIVKVAKVAERKAPPVAAAQRRPVTGTTRKRTSR PEX2_051030 MVSIPIDMITSRFGDRFNNLRSTSLSTRFSNLRPISEFLDIKRI SKPANFGEAQSRVNYNLSYFSSNYAVVFVMLSIYSLLTNLVLLFDMIFVSCGLYGINM LQGRDLDLGFSRFTTSQLYTGLLIVGVPIGIWASPLTTALWLIGATGVTVFGHAAFLD KPIENAFSEEAV PEX2_051040 MKSFAFLSLFVFFGLVAAWSKEDYEIFGLQNDVATNEGANITFY DLLEIRPNANQEQITKAYRKKSRTIHPDKVKRAFIANYAKDKSKAKSNQGVNVNKGPT QREIDAAVKNADARSARLNLVANVLRGPNRERYDHFLKNGFPLWKGTGYYYSRFRPGL GSVLAGLFLVFGGGAHYAALVLSWKRQREFVDRYIRQARRAAWGDEMAVPGIDSVVAA PPPPAPESGDAGAVAVNRRQKRMMDKENKKDKKGGGARVSARASSGTSTPTEQTASVG ERKRVVAENGKTLIVDSVGNVFLEEQNEAGERQEFLLDINQIERPSIRETMVFKLPIW CFNKTVGRVLGTPAEEEVDSDEAEELVEEAETTATSNSRSRKRNKRSQRS PEX2_051050 MGWFDGKSSAVSSGGYVRRRSSPTPSSHSTHSRRSKSSKSGHST HHTRSSPPSFFGGLGGSRTGGRSSPSVFSSFSSSSRRARPREGFVQRMIRDIKRLFRD IYRWARRNPMKVFMMVIVPLLTSGVLPKLLAMIGIRLPHAVTSALGGAAKSNSGGGGR GMSENISSLMNIAKMFA PEX2_051060 MSSPDYYKTLGIAQDATQQQIRSAYKRESLKSHPDRVPIDSPER PSRTRKFQEINDAYYTLSDPARRREYDATRAYQAAEEEAEAKVPPTGAGGFPWSSFGF GGNRDDRDNDQFGSVFEEMLREEGLAEENEGEGGRRTRPTGRFWALVGGVSGGAMGFI VGNFPGALAGAVAGNRLGAVRDAKGKSVYEVFLDLPTQDRTRLLSELAAKVFQSTMGR PEX2_051070 MTEAASVYPSLENRPIKNTVVLFDVDETLTPARRHASTEMLELL SRLRHQVAIGFVGGSNLVKQQEQLGSSTIDVTTMFDFCFSENGLTAFRLGESLASNNF IQWLGEDKYQSLVDFVLKFIANTKLPRKRGTFVEFRNGMVNISPVGRAASIEERDEFE AFDKIHNIRKALVESLKKEFPDYGLTYSIGGQISFDVFPTGWDKTYCLQHIEAEKGIS GIDYKTIHFFGDKTFVGGNDYEIYEDPRTIGHSVDGPQDTINQLKKLFNL PEX2_051080 MAPPKHVADVPRNILPRLTWNSSSSRPSVTITHHPALATSQRRP LPQSWSSSKRQFHSLSSPPQSSQPTSTSPRDAISGFLVSRGTSITTPATSNTTPRGNP IRHNGVYVATYHPARRAFHATPVRARDHHFDTLKFVKRLQAEGFSKEQSVAMMRVLND IIQESIQNLTRTMVLREDSERSTYTQKVDFAKLRSELLNADSTEAQLTRSSHEKIAAD LAKLNSRLRDEIGRTQASVRLDLNLEKGRIREEANSQEMRIKETETRIEQEVAGLRER VEAVKFSTLQWLMGVCTGTAALILGAWRLFM PEX2_051090 MEEEPVSHSTEKVAYPRKAESHETLSDLLHGTQFPPEIPVKWGL SGEVSAMQERDLAAGYSKRELGVTWKNLTVDVLSAGASVNENFFSQFNLLQLARDLRQ KPAVRSILQDSHGCVKPGEMLLVLGRPGSGCTTLLNVLSNRRAGYRSVSGDVKFGSMT PEEAEQYKGQIVMNSEEEVFFPTLTVGQTMDFATRLKIPFHIPDGVTPADWAGDFKRF LMESMKISHTEETKVGNEFVRGVSGGERKRVSIIECMATRGSVFCWDNSTRGLDASTA LEWAKALRAMTDILGLTTIVTLYQAGNGIYDLFDKVLVLDEGQQIFYGPAPLAKPFME GLGFGYTDGANVSDYLTGITVPTERSILPGYEGSFPQNPDELLGIYQKSDICQNAMLE YDYASTPLAQERTSAFQDSVAFEKNTSLPKGSPLTTAFHHQLKICIIRQYQIIWGEKS TFLIRQFVSLAMALIVGSCFYDSPDTSAGLFTKGGAVFFSHVYQVTMAMAEVTGAFRG RPILIKHKSFGYHHPAAYAIAILTAELPVVLFQCTIFSVVLYWMVGLKATAAAFFTFW IILIAITLCVNAMFRSIGAACSNLEVASKVSGIVMKFLVMYTGYMIPKPQIKNWFVEL YYANPMAYAFQAGLTNEFHDKTLPCVGGSLIPHGAGYNDSNYQSCAGVAGAERGATYV TGDNYLAALHWKHSQLWRNFGVVWGWWTFFVAIAVVSTCMWRAGGAGSNSLLIPREKM KHFQRPRDEETQSPGNKESQSNNPITADDSQNETRLMRNTSVFTWKNLVYTVQTPSGD RVLLDNIYGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTEGTIRGTILVDGRELPVSF QRMAGYCEQLDVHEGFATVREALEFSALLRQPEHVPKEEKLAYVDTIIDLLELHDLAD TLIGSVGEGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAYNTVRFLRRLAD AGQAILVTIHQPSAQLFAQFDTLLLLAKGGKMVYFGDIGDKACTVSNYFANYGAPCPV SSNPAEHMIDVVTGGIEAVKDKDWHQVWLDSPENAAAVAELDQIVAEASAKPPATVDD GKEFATSLWTQTKLVTARMSVSLARNTKYVNNKLELHIISALLNGFSFWHLGPSVSAL QLKMFTIFNFTFVAPGVINQLQPLFIQRRDIYDAREKKSRMYSWKAFVTGLIVSEFPY LCLCAVSYFVCWYYQTNLPRDSKNVGATFFIMLIYEFIYTGIGQFVAAYAPNATFAAL VNPLIVNSLVLFCGAFVPQPQLNVFWKFWFYYLNPFNYVVAGMFTFGIWDATVTCNAE EFAYFDPPNGTCKDYLADYMAGVGSAINLINPEATSQCQVCQYTRGSDYLKNLNINHY YYGWRDAGICVIFAISGYALVFGLMKLRTKASKKAE PEX2_051100 MATHVSKPSSNHSDLDNVCKRVPKACDRCRLKKSKCDGAMQCSR CRADNSICVFGERKKAHEKVYPKGYAEKLEQQQVWLVHGLQALYHCITKGEAQPGDRL NIEPNGQPLTHDLLTRLGALDHFQGERFEENLEILQKGLWRPYLQCRESTVGSYTDEQ SSAIRIQVSPEASSQQTIFSTPPAHNSPNNKTHIKEGFMLPTTRHTIPSVQSIQGVID PLALQGGAAQWRQASSDFNIFNEMDTMTAADYSTPILDEPIPSPVFTREMQMDCIVSN EYEDYYQFLNSDSTDITLI PEX2_051110 MWVVAFGAPPIGFLTPKRIAVYGWPFIPDAQSDCGNNNCDVEIL LMDYVFGASYGAPFVGNYEPPNCDFDTVRINLTVTSRGRQFDRLALMYLGDNEVFRTS TAEPTANGIVWTYVKEMSQYNSLWKSPQKLIFDLGNILNDVYTGSFNTTLTAHFSKEH NVKTADIILPISAKKSASNSSSAFSLPTDNTTVMYEIPAAASRAVVSISACGQSEEEF WWSNVFSEDTQDFESTVGDLYGYTPFREVQLYIDGILAGLVWPFPIIFTGGVAPGFWR PVVGIDAFDLRQPEIDISPFLPMIQDGKQHSFEIRVTGLNVSADGSVTFANTVGSYWI VTGNIFIYIDGSSSASKAAVTGANIRPTVDAPLPVFAATRNLIHTKAGGNDSLSYSVV VDRVFSATSSLYSWSQKLSFSNHGFLNQQGYSQVNTQVTTGTNTITEHGDSPISNSIA FHYPLVVNATYGITSNETTIDSWMKRGLDIESTGGLGISTYTLMSGSSYLHTSQSGTA HYKSVTGGSSSSWGDTINVFDSLTNGKSYHRSVHAANGTVVYDTDPQGKNSASSLQDY GDTGRDSVRAMIGKGPGALVN PEX2_051120 MELTSYSVEGTDQFVHRLGEFYPTGIFSDLTIYTTDQALKESEE PHVFEIKDEHPRIVEAMIRSFYGLHYDINQSDHQMCPMLFNVKVYSIADRFQVEYLKI QAKLTFVTLAQDHWNSDEFLTAAFEAYKTTPKSDRGLRDVVVAVCQKHRRELREKKAF ERLVQETPGLATDLVLLSHRWLPQSASTRVRVVQSFSCLSCFAKWQIQVGLAEYFTAY ATLESLYPIFQQCDEPLTKRRKTTKGSPRSLTQENGISATGIPQGYIPLARLTLRMKP PHTSTRKYKRSFYSNLSTSRVPILLDVRSVHFLDDDLNNTRQPDSADGEPNRMELEIS SLDEEELLIYPCEDLRLFDLLGQLQAASKLPHVDQFFNDVPTACYQAHLCALPDGATF TLETVVLWSDSIEAPALNRLTEADMEAFTRYVLQEKCVRPSALTKPHEYRDKMLGTPQ QWSPRDFYNNVHVPKVTESSTNIKCPDLNCKLFPFQRRAVRWLLQREGREVGPNGEIM PIEALPKSDLPASFNSTKDADGRTYYFSHLFMILTTDLSEWHDAAYNLKGGVLAEEMG LGKTVEVIALISLNKRESQPMKADPDGLKPTGATLIITPPAILEQWKQELEEHAPTLR VHHYNGIKRGKQITDDMIADELAEFDVVLTTYNVIAKEIHYVGAAPQRSLRHEKRFVQ RKTPLVRLSWWRVCLDEAQMIESGVSNAAKVARLIPREIAWAVTGTPLRRNIDDLFGL LLFLHYEPFCFSASLWRRLCVCFGPVLAKIINTIALRHRKGQLLDELRLPPQKRIVIT TPFTAIEEQKYAQLFEQMCEECGLNAVGAPLRGDWDPEDPVIVEKMRTWLTRLRQACL YPEVSFHNRNLGSGSGPLRTVAQVLEAMTETNEGAIRTEERSLLLSQLRRGQLLENAK RRQEALVIWQKALDHATQLVEDSREQLRLLKIKGATDDNNGETLGVVNPDDKDDDQNE EDKDPDNNSRLGQCRLKLRAALEVQHIAVFFTANGYYQIKSDPNLTQPDSDEFKALEK REEEAYEAAKVIRKEMLTDISRKVERYMKEIKIKARDRDFVNIPKMNPHLYSKGVESY NLLSKFEDFCDALNKHAEQYKEWRDVMTKLVSQSLIDQEEEAMLEGDEYERSTKHQDE MYVYMEALRSIYSDRHDALTGHTNTLISHEAKAGIVQAQKGEGPAPQLFLKIMETRSQ LMPDPDLGSLRSIVSELRKLVTSLEWQAGSGNSRARAEHEIVEMVLKNAGQMIAEQLK VSSKLNREVEMFRDTMNNRLEYYRHLQQISDTVAPYDEESAGKPLDESAFSLRLGQEG VTEEKIASLKSKARYLIHLKDGATSDSNDRECIVCRSTFEVGVLTVCGHKYCTDCLRL WWAQHQNCPICKKTLKRNDFHQITYKPQELVAQEEKTHVKLDHEGHSQNAIYSDISSS HLNEIKKIDLEHCFGVKIDTLARHILWLREHDPGAKSIIFSQYATFASQVQAAFKVHG IVTTSIDSPNGIEKFKTDPAIECFCFYGKAQSSGLNLIVATHVFLCEPLINTAIELQV IARVHRIGQNRPTTVWMYLVSGTVEESIYEISVTRRLAHIMEKEKQANKALSKTPADG DSVTEEAIESANSMELQDATLTTLMQRGSVGGEMVKKDDLWQCLFGNTKQKDSTEPSA EAEREVNRFLRGEAAEQRMER PEX2_051130 MADSPSPEARELSLIGKVEFRIAMADTDEKLQSLLGTYLPPLLL KLGSDSVEVRNKIISVCQHVNTRVKSPSIQLPVAALLKQFKEQKSQLIRHFDLIYAQQ GIDRLGSEARVEILLPLLQGISKIGTSPTQGAIVFNLVLRLLPLLKLPSKGSEEDQRL KARLGLSDEDTQFLSFWLAKLLLLTPGARETSTCPGLSPDEYSFLNKGAPGNETWNPS TDGGLNLTETKVTALRFIGSGAFEDPHRFLPSLIASADANSRLADLGDETLKRFAADI ENPDVVQELYDLYFGTGGAPPVRPPLQVKLLVFLGKSIKATADPQRIMRLIEDGLLSD SARSSQGLQASKLRTQIFTFTTWVVRMGTPSDLKQIAPKLIAGLRDFIQSQGWPSPAA SGQKLPTTDLSLRGLAYESIGIMVPKVDFMSQADAVENFEFDLVRWLFASLSADDSSP QIFVSIDQALGSILNSSLDSHDKTFQDQLRPFLVRQMSMQPGDIDPDTGYRAARGVQY AAVRFANRFLPFGDVVARWIDLMAIARGPERQQEIVEEGKKGLHPYWYRLLNPAKDGK WSASAAAPVRDESWFDFPKFNEATRFLFGSGGQEEHLNVQALSASQLLSGPYKGSFMP AISFLRNTLLWEAFSASGVAADLEEDWDNKLEVLLSTSVEARSAVRKYIRESAKESVL AFLSGTLEGLVTGEREGLRQCGVHFVEICSLAPNDVVENLISRAASLMEPICSNNQEN QGITARAFGILVSHPAFSEEQLKSFITQLTATIQTWNTAIGSEVMRARGAILALSYLL TRLAYRGLVNRAPEAQIKQFIETLFDILDVSRDTLLQRTAQEAIGQLSLSGVLSLDII TEDGWKKILEKLSRDAKTENQIPISSLGLLTMTFSRSGSDSTLFNDFLSSLYSLHEIR SPEVQFTVGEALSNVAVGWDSRALIQDFDIDAEFPRSDVPRSVFSVICDKVIADCIAP KPSLRKASAIWLLSLVKNCGHMQEMQERLRKCQATFSSLLGNRDEVVQETGAHGLSLV YEIGDQSLKDDLVQDLVESFTSTGPNLGGGKIDADTQLFEPGALPTGEGSSVNTYKDI MNLAAEAGDPTLVYRFMSLASNNAIWTNRAAFGRFGISSIFSDSSVDGYLAKNPKIYP KLFRYRFDPNPNVQRSMNTIWQALVKDPTVVIDTHFDDIMQDLLKSVLAGREWRVRQA SCAAVADLIQGRRPEKYAQYLDEIYSKAFKLLDDIKESVRTAALKLCQTITNSVIRTL ETSGTEKRAGTLLKSAIPFLLSDKGLDSSVEEVQGYAIGALISMIKKSPGNLLRPHVP NMLEKFLSALSSLEPQAVNYVHLNADKYGLTGQEIDKMRLSSIRTSPMMEVIERYLID NLDESNLDEMAQRLEDVLRSAVGLPSKVGCSRVLVLLSMKNLVFRPYADRFIQILTKY VVDRNDTVSASYCSSMGYLLRLASDDRVLKTFEYAKNLYLTAEDATPRVISGEILYSA SKLSNDRFMAFAASALPFVFVCKHDGDEHVKEQFEKTWQDNVGGSRAVSLYIREIVGL VSDNLDSPRWAIKHTAARAIAQAVLSLDAEIDLPTAQLVWPVLERALAGKTWEGKEVV LKALVKFSGQAQKLWQANDELRNLMKTITVREAKRANLLYRPHGLRAMGEIAQARKEL NFMADALKIIPGVVEEMQNQDQDQMEIDSRNGRGSTQDDTLAACVQCLLQCFNPTAGS GEVLGKYVDQVTGPLVQILHLGGRQVVSTGYDELRAFFTRVDQWIALPDASGEEMAVP LTALAEKILTGEVDGSVEAIRKGRAEAILAYLKLCSHAQAGVPDTLIVRVREWRASER SGPVQRLLDEALAFPN PEX2_051140 MGRMADVKTNGHVVWDLTSPGSNDERTDYFSPGDSLPPFSLLTS DEEREKKAEAFSPGTYHVVMTPDSFASLPEYADDALEKTRSNPTSECRSSVASSPTSA TKGDSSVRGEDPNVVILRTFEDATRRSPSSGKITRISPTSEISDPFCNLSLSPNFTSL PSPDVKVEEMTFLDPRLDQQSQDSTIFSHFRQVVWRQLFPHDVRLDDSSGSDSHFMTL SMDFLEQEATRFPPLSHAMMAVSALSLSHSGTGHNVDALQYYQQAFPSLQVSLRNNDD LVSDGLFLTHFLLLIYEIAAAEPHGSNLWSHHISRLLHIAFLRRAKYGQEPHPFILWW VCHIDLYALFSGAGTGEFVRAVIDHQMLPGSECLLYPSAPEGYSVIYSDEHESLPVIM RLYHDTFRLAAQLGFLASRLRHEKQNVPFEEFDKRSQELSDLRQAFGRLWESPDVAFL HQHQDNLPRRSREIMQQSATLYHVCQLFSYSSMWPGQRVESEFSPDAEIDHHVGEILR LAERTTHTRRADRHFLVFPLFLAGATASASGLKMMAMELMTSMEDEEDGMGRNAATTR AILQIVYERQLERLMHVGHTLDVDWSDLMAQEGLQMVNFGF PEX2_051150 MSSSSRALHPEELFLNDRPARQESPTIGPLRINKRDSSSPASAA GSSPPPNAPLPYPDDRQRPQMRASSSNDRYHDNIRYGSPPAGPGSGSVSPNEYPTALR PRDGREPRVATLAERRGAAPKPLPESPSYDAPDREALAARPYPRPPASQPPGPPEPPS NTTQYDYRQQYYPPPQRQSSTSAARPPSSLQAPQGPLNRISSTSTTRAQRGSPPPPET PIVGPGQQPASDIEARYAAAGIAGTGTLQGIQSHNVAAQRRAEQYSGQQPTFPQQQQQ QQPPPPQPRPWTPTEQPGSQPHGPPTVYQGDEVVTDNSQQSTVHPGQYSSPPPQMPGS YGTPPPQVHPGQYSSPPPQSHPGQYSSPPPQAHPGQYSSPPPQAHPGQYSSPPPQAHP SQYSGSPSQMHPASGQQQQQQQQEQPGRVPPNALEQDMDRMRLSSSPPPAYSSVSGPS TSNGYPIEK PEX2_051160 MMHPALVNQPNPATKAPSPTPAVSPLDHPAFANDQRQQQQQQQQ TGQSPQASVANDLSGFHHQTIQVTSPGPSSAGPASPPPLPEGWIAHMDPSSGQYYYIH LPTQSTQWEFPKGPTPLNLNDTPLSPVGSVYSAHPLVSPGLSAFGKPLASPGVPLTPG FESLQSPIVSGFSGPPPSSGMDLYKNAPTNGVYFGPYLRYANMDIERGIWMGSILLVT DAAQPPTIHMHQSLDLSPNPRQLKAVNIAAHQRWTFYKYEIDLQMDDAGPAKWTYAIT SHLGCTRYEFLVAGRHETNWRFIATSGNDFSLNVNAGERARLGGVGYMWKDIMQKHNE IGGFHAQLCLGGQIYADRMWKEIPSLKQWLTISGKEARKNAPWTAANQQDVSYAYFHY YTSHFDQPHIRESFAQIPYVCQIDDHDIFDGFGSYPEHMQFSNMFKNIGRIGIEMYLL FQHHTTLDILRNVSNDMDLFTITGTGWHFVKYLGPGVVVVGLDCRSERNPHQVMAGPT YQGLFPKIAMLPPSVQHCLWMVAVPIIYPRLETAEHIVQTVATGKRAVTGAYNVLGKV TSSVAGVVGAKDFVGSGFDSVKRAVGKSGLMGGILSPFGEFSSMDELRDQWTHESKDL ERTYLIRTLQGIAHQKSIRMTFLSGAVNVCGAGLVHDPSSPSDHKTMYQLIASSVVNT PPPSYIIKLLHSHSKPLYIPSNGHRSSGQVSDTKEDMMEIFQTDVNGQAREYRKLMAR RNYVAIVAYDPEAVNPSYGHIPSGASKLSLAADFMVQGDGALGNVVKFGPVIVPSLDQ GR PEX2_051170 MAAPQVHHLFHAPIADHSFSSDKKTLAVARENNVELYQQSGNKF ALSDELKGHEKTVTSVDIAPNSGRIVTCSQDRNAYVWEQTPTGWKPTLVLLRINRAAT FVRWSPSEQKFAVGSGARVIAVCYFEEENDWWISKHIKKPIRSTITTLAWHPNSVLLA AGSTDSHARVFSSFIKGIDTRPEPSAWGERLPFNTICGEYLNDTAGWIQGVAFSPSGN ALAFTGHDSSVTVVYPSAPEQPPRAMLNIATRLLPLNSLIWNGETEIIAAGHDCEPFR FRGDENGWQLAGSLESKAGEAGGAREESALNMFRQMDLKGQAQADTKLKSTHQNTVHT IRAHEEANGVRAVSTAVLSFGPPDLNYLFNAGLGVCLATAQNKKHVSTDLFNSLEELS RLVDISYCVGTTGVQQPFQCLSRCDEFPDLELVTTWNTGVLLSDSCGYIALSHTPSAK QIILAFRGTYSITNTIIDLSAYPQAYIPYPDPEENTTSTIPVPAGPHCENCTIHAGFM RSWLHTRTEILPAITTLRQQYPDYAITLVGHSLGGAVAALAGLEMRLKGWDATVTTFG EPMIGNAAFAAFLDEQFGLGDGISIPPLEGGMRFRRVTHIGDPVPMLPLAEWGYSPHS GEVFIMKEGLPPRREDVVYCVGWEDPGCIAGNGVEGVLVELYRDLNVPVGLAGYDSGG NGCRLSGDDGSAVSEEQAVLGHESPDIADCEDRLSPLRWDWSLIPARYRLWELFYAHR DYFWRIGLCVPGGDPTG PEX2_051180 MASKSLPARGGPDSKAIATGPPNQALYCTNLPDRRIQKNDLRTA LYALFSTYGTVLDVVTMKTAKMRGQAHIVFKDVQASTQALRALQGFEFFGKQMKIVYA KGSSNVISKLRGTYVAPVEAAPAPVTTDLQRSIFGGPPGALPARPTTGANGEPQGLKR PREEESDNEEAPMDEDSDVPMEASSDEE PEX2_051190 MAASCSAFPFLAQSEFESACQDLADRCTSAQHIGWSAIRLLTKP DGTSLRITKYVNVPSPLEAPALVDEESQEDEDPEALVRAKPQPSLQIDYDILLSPTYQ VPVLYFGLRWHNHGPLGLDHVYQYVVPERYRQELKSVGVMGGISMGYHPDSGAPAFFV HPCNTADAMANVADAQSVTPGSYLLIWLGLVGHCVNLHVPRELFA PEX2_051200 MGNSLSSKAKDETHRSNRLSKPLTKKFNASQSAQRPETDHPTIN AGLIGWQNPWVGKNLPSTSVEKRGSHPKKAEIPPTLFETESPEETPTEERTFVDQSPT QRRPTRPSLLSTTSSRRTSYQSDTWESASQCSPLHEHPPKRASSTRVPLQRHNSAVYE KHIGDATSSNTHFLVGNQRFSLTRRRSLLTRPGVATRRTTGAIRRVPSPIGEPENPIE DPTESTVLQWPLPSTQRPMRQPSPVRPASPMDARYTQLGALKLGSLRVVNGSASPCPS ERIPLEGSGLGLENIEVIGPSRGSTLEIPSLPDLKKSDDVPDSPFSFEKSPTITVQPR RKSLFPGDPEDEGIVLCDDTRIQLEKGVLDVGLSRSTSQSLNKSDSGYSSATSVHSVQ RSRTQSSAGSQTSGSCGADSSKNVHIPKDSASYRSGDKVQRPLSLQTKPDDDSRLNPT PTRWYDSTDPTPLVPSRSRRSTLCAPRYTEYSSPRESIFGVRSTAVVSIPHQSQQGFS RGPLYGDRLSLSSSDLASTTGSATSGESQLGHHQPTTETKERLRKSVSEYHIHEEYNT QQLSRSRSRIWSNKPGVEVPPLPTMTSPGYLQDGLDLDAELASEPMRGRSRSRNDDYH RRRLTKVRHQTDVCI PEX2_051210 MAFFTQSGLSPLFLFNDYEAPTQYKSRQCPKSYQCSKPQARSSS FAPAFDVRELTHAYHLDGELPGVDQSNIEIEFTDPHTLVIKGHTDREYINDDTESNND ASSSRSASPAGWHQATVEDEDAESTSSADTAASNTPTHPAKNDQPHFWTKERSIGDFQ RTFSFTARVDQDSVRASLKNGVLSVVVPKEAAPTPKKIRIL PEX2_051220 MARLSGLQRDVLSLYRKCLREIRNKPEVGYITSSQMGYSAEFQK HLSVNKKDFSTVEYLLRKGHRQLELYSTPGIRNIR PEX2_051230 MSTFTHLIRFLAKDGQIYYGDAILPSGVSDIAKATNARIIQGDI FGQYRITDQVADVRMLLAPLARKDIGTVRCLGLNYEQHAKETKMPIPTYPILFYKPIT SISGPTDDIPVAQLAQEGEGLDYECELVVVIGKEATNVPESKALDYVLGYAVGNDVSH RDWQIKRGGSQWSLGKGFNGWAPFGPGIVSSSVISDPNNLDISTKLNGQTVQSSSTKD MIFGVAKTIAFLSQGTTLLPGDLIFTGTPQGVGMGRKPPVWLKDGDEVEVALEGVGSC VNRVVFDKPSAKL PEX2_051240 MNHSPEAWGRPRNDVYGAYDHSYLQTTGPKAHTSSPAVTGTSVV GVKFNGGVVIATDNLASYGSLARFSDVKRLRKFGDKAMVGFGGDVSDMQYLDRLLDSM DIKENYSPHGNMLNAKNLHTYLAKVFYKRRSEFNPLWNHVLVAGFDAEGEPFLSSADL LGTTFSAPHLATGFGAHLAVPILRRKFPEGTPLEQVSKEDAIEALKECLKVLFYRDAR STDKYSIAVITKDGIDLKEDEQIQGQSWAFAERIRGYGAQTA PEX2_051250 MSSPDSSRAGSTASAAPIDDHSSSRRHYDVNSADDQPLLYAKVS MFTPNKSELIIHPGTSSKAQIVAVSK PEX2_051260 MLGLGDYESSSDEEVEHKGPSSNSQHQKDIPPTASQAGQIEGNM PLNSPRASKLQEFALTIYPDNKQSHQQQTDTGFHVATDSVPEGPVLGPASIDMAPLSE DKHSTSGRSSPFSASRGLVQDLTLPPVPNLDIPPSPPGSPNPAASAKFEHFLSLKKQG VHFNSKLASSSSLKNPSLLKKMMEHAGINEQSQYDTSLLADLWNPSSLPKWGFKEELL RTQQDFRKQSEEKKASGQRSSVDFVSGSA PEX2_051270 MTSLMGNQSGTIGYSFSEPTSANVKQHSFYPYTDNGGSTLGITG ADFAILAGDTRSTSGYNINSRMVPKVFKIGGDDETGEGATIILSVVGFAADGNALKEK LDTVVKMYKYQHGKSMSVGACAQRLSTILYEKRFFPYYVHAILAGLDEEGVGALYSYD PVGSYEREQCRAAGAASSLIMPFLDNQVNSKNQYIPGSGEGHALVPKKAEPLDKETAK KLVQDAFTSAVERHIEVGDGLQMVIVTREGIEEVFHALKQD PEX2_051280 MSAPTALRQPEQALGFQPETVSHDQDDEVLIDVQATNEGAPVNP VAESAEDNEMRIDEEGRPVFTPATDVATAYKIETRKVPVPPHRMTPLKASWAKICPPI VEHLKLQVRMNIKTRSVELRTSKFTNDVGALQKGADFIKAFTLGFDLDDAIALLRLDD LYIQTFEIKDVKTLNGEHLGRAIGRIAGKDGKTKFAIENASRTRVVLADQKIHILGGF KNIHIAREAIVSLILGSPPGKVYGNLRTVASRMKERF PEX2_051290 MSVNLGRRLYTHIWGTANPFLGRIRINRVSASNAYSSRLFLDSS LSNHSRRISTLPKKPTGLTKRFASGGFFVLGVSPTSTAADTTAACIISSPKIAAQYVW KRTLNTSNDHRRGKEVSQDTHERNENDHSRNAGQFGKDTSTTHSQVKPPQDTQQSTTN SRHLMDRLPHMPHLHRPTKEELLAAATGFWSRLKVRFKWFSIRSVRPYNLDEIAALFS WVLLGHIVWVVVGTTTFFSLLILAINTVFAQETLAGWVGNYLTKSSGVKVVFESAIVP KWKNGVITFKNVFVSKRPGQGTGHVSKGSPKSAAAAAAARGDTGFEDSQAVSDEEEDT NYTQFDLSIETVNVTLSFTKWLNGKGPLHDVEVKGIRGVVDRRHVYWPEDDLDPKSYR HEHTPGDFEIDSFKMHDLLVTIYQPDNFRPFSVSIFSCDLPQLRKQWLFYDFLSANMM SGSYDNSLFTIHARQSHGFTGIRQDSEAEEDGKPSPWKKHNRIRVDGLNVDHLNRGVQ GPFSWIHEGTVDIVADIMLPAENDESLAKVMVDFYDRLETTVTTNRYPESLSSSSSPE SESEDRRFLAMDLRVHLNNVRAAVPIFTSDLSYINNALIRPIVAYINSKRTFIPINCR LVKRVGDFDGSWTVFDSGLMDDLSAATYDAFARDVVDDQARKRRFKKVGFWSLQLAAQ AIFMGMAGNIA PEX2_051300 MSQVPRGNDEGSPTSSKNQSGYAVNNGLIPRDYLARSDQRPGPA PGSRHITPSPLHTSSLPQSYRVDSSESSQSPLSPKSGNPAPSQNRSPITRVANAQYPA PPFSTSQGLSQSPEMVGTGSGNVSGRPGESSRPNPTRAPGPDRSASSSVSSIHSMPGD RAPNRAMPRTSSIDSAISSLSSSSQRSAFDVNALSSADINNLINAAGSAEAVIVHLLK EKHQAASQNAQLWKLVDKQRTLILGLNKDLERAFQEKDKYRKKLKDIQDAPPLPVAPL APVAVPSSDKENLSRKPDQPSIVPPSEASQRGFENTTSPVSATDLPSPVGDGMSRFPH PNRKPPPAPLNLQQADNNRASSASDSDSGSDYGDDQDINGIPNEGRGRRKTREQDDQD REAALQKDMFEATGPAGPAQASSSHSSRDTATCSPGTVPRELSTRSPPNVGDSNSLGS LLGSRPPPASSFNGRSIAAMPMSPGLPLSPRPEDRPINSPMPRMPRDMSASMAAGYQT PGLPLSPRMANHPTGFAPIPSGRPIPSIDPTVMIDSPRSAHFPDNQIHRGLMSDEYQG LLLPPNALPLVQVKVSSSRLRPSRNSYMVSRPLDEEPVFTLSVILRSEMSELWRVEKV IGALPQLDQKVRQSSPFAGKLPDRSIFSGHSPAKVDLRRAALNAYFDRLLDTPMDENA ALAICQFLTSDAIEPRDDETSLLKGLAQTRPDMPRGPDGKPQKEGYLTKRGKNFGGWK ARYFVLDGPDLRYYESPGGPHMGTIKLHHAQIGKQSPKSTESASPPGGEEDSDNQYRH AFLVLEPKKKDSSALVRHVLCAESDEERDTWVDALMEYVENASSENEGRGSVSSKSQP PSQDESQKQLLSGTESKSKIFNGSKRSGRGVDSPDQDLGSSVQGFSFEDAVQADPPTI GSTLEQAPRSPRPPAALSTEFREMNMSSPDHTMQSPRLISRPTNGTVIQDVEAWGNKA KTSTKEKKRSIWGFRTRSSFDLAAQASSDTLVAGNNVERTGPVRPVFGIPLAEAVQDC GPPGIDVELPAVVYRCIEYLHAKEAALEEGIFRLSGSNVVIKALKERFNTEGDVDFVS GDQYYDIHAVASLFKQYLRELPTTVLTRELHLDFLRVLELDDRQKKVAAFNSLVHRLP RPNLALLRALSQFLIEIVNNSDVNKMTVRNVGIVFAPTLNIPAPVFSMFLTDYDSIFG DTDSSFAKSGTELTVENTLSPDDIRSPRHQMFSDLPTPSYQQTSFRTAGDANGPSDGP RTHYDTGFTPVQPSYDQPTSRHEQYNQPPGAGTSYSSLNGMLGPDSEDTRSAKSKRRE SSMLFMDDTSFYQGK PEX2_051310 MWILPLVGYLGVVVGFAFLTLAIGMELHGILTTMEKLTFETPAS GLYYLSELVEEHTVLARRVLSRLIYGIIAIQVLLMIIDRFPVSLCLLSIGSHVVYASN LRRFPVVKLSDPLFLLSCLLVGLNHWLWFRHFSKPLPPSNNWRQPYGVNYDEMPSFSE VASYFGLCVWLVPFALFVSLSAGENVLPSMGSEYATGAKPTGLGPSDGKSKNKGMAKA LVDGVRDWTSETGEVMGLWRGERTKRF PEX2_051320 MSRPEDILPPDLFYNDNESRKYTKSSRIRNIQASMTSRALELLD LKSPSFILDLGCGSGLSGEMLSEVSPEEGGPHTWVGMDISPSMLDVALQREVEGDLFL ADIGQGVPFRPGTFDAAISISAIQWLCNAESSDVSPEGRLRRFFEGLYASLRRGGRAV CQFYPKNDVQRSMISGAAIKAGFGAGILEDDPGTKSSKLYLVLTVGGGGLTGDITGVV NGMDDVNVLDARRKATEINNARGPPRKGDKAWIMNKKEQMAKKGKVVKASSKYTGRKR RIAF PEX2_051330 MFSRTAQPARTLIRSASAASSLGRSVPARTFASLQSDIFKPTKY GGKYTVTLIPGDGIGAEVAESVKTIFKADNVPIEWEQVDVSGVDAGNKHSEELFRESL ASLKRNKLGLKGILHTPIERSGHQSFNVALRQELDIYASISLIKNIPGYETRHKNVDL CIIRENTEGEYSGLEHQSVNGVVESLKIITRAKSERIAKFAFSFALANNRKKVTCIHK ANIMKLADGLFRSTFHKVAEDYPTLEVNDMIVDNASMQAVSRPQQFDVMVMPNLYGGI LSNIAAALVGGPGLVPGANMGRDVALFEPGCRHVGLDIKGKDQANPSAMILSGSMLLR HLGLDDHANRISKAVYDVIGEGRTMTRDMGGQATTHEFTRAVLDKMEASL PEX2_051340 MKFTSVAVAVVLAAGTVQAAATPGGPSTTLPKWCGHIGQGCKRT AEASVDVKRSADALAEAMAKNLPLVLQKWCGHIGQGCYKAKRAADAAEEVKRTSDALA YAMAALEEEDDE PEX2_051350 MSMTQLHPAPRETIVPSQAPEIAYSITQHYHHSAHRVAQHTEQP SSIDILRHHGLDPNAFTQDQLALFQNADAEQRERLIQMWQLYSQVGNEANASAGDFAM HDSAMDDSADGNSYADAEPYMVSGYENIANQASHLPKEPTTGEAYVGSKDPVYQGQQW WELTKAGPMESTYGAFEEMRRYYPSCGVYHH PEX2_051360 MTSIGTGYDLSNSVFSPDGRNFQVEYAVKAVENGGTAVGIRCKD GVVLAVEKIITSKLLKPGANKRIATVDRHVGIVSAGLVPDGRHFVSRARDEASSWRGT YKGPIPTSALANRLGGYVQAYTLYSSVRPFGVTAIVGGWDTEAELPVDGQVGDGPKSG SGGKVEGAKAGGPGLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDLTSGTLSLADG VKEAARIIYVAHEDSKDKDFELEMTWISSVDGPTKGRHEEVPKELLEEAEKAAKRALE GDDEEEEDKGQGEQMEE PEX2_051370 MTTIKGPGAAQTHDGSGLRVAIVHARWNTVIIEQLVSGAKKNLL AAGVLEENITVQTVPGSYELPLAVQRLYAASQLQANSSVQGISATDLLSSPTAEVSGS GHSISPKKPFDAIIAIGVLIKGATMHFEYIADAVSHGLMRVQLDSGVPVIFGLLTVLT EEQGLERAGLGKSGMHNHGEDWGSAAVELGLKRREWAEGKIL PEX2_051380 MCGIFGYINYLVEKDRRFIIDTLLNGLSRLEYRGYDSAGMAVDG NKKNEVCAFKEVGKVAKLKELIDNSTYDMSKTFESHAGISHTRWATHGTPSRTNCHPH RSDPDWEFAVVHNGIITNYKELKALLESKGFRFETETDTECIAKLAKYLYDQHPDIEF TVLAKAVIKELEGAFGLLLKSVHYPHEVIAARKGSPLVIGVKTSKKMKVDFVDVEYSE DGVLSAEQASQNAAAKKSATSLLAPPDKSLLHRSQSRAFLSDDGIPQPVEFFLSSDPS AIVEHTKKVLYLEDDDIAHIHEGQLNIHRLTKDDGTSNVRAIQTIELELQEIMKGKFD HFMQKEIFEQPESVVNTMRGRLDVANKKVTLGGLRQYISTIRRCRRIIFIACGTSYHS CMAVRGIFEELTEIPIAVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMALRYCLE RGALTVGCVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMVMFALSLSEDR ASKQERREEIMEGLGQISEQFKQILKLDEPIKELCAKFFKDQKSLLLLGRGAQFPTAL EGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDNLFTKSLNAYQQVIA RGGRPIVICNQDDPEFSSALTEKINVPKTVDCLQGLLNVIPLQLISYWLAVGEGLNVD FPRNLAKSVTVE PEX2_051390 MAKPIYSTIPDVFFKAEEKSALYQACNAVACAYMVNISRTSKAT SDRAKAYGFALMATRSAIQDPQRCKSDNTLLAVWLLGLYELLLGVRNGTEPVATLGWQ IHNQVLSKLIRLRGSEQFTTRTGRNVFLIIFTNVETQAFMSGQECKEALTCRVRTLVD TGDLDDLLSNSPSILQDTDEVEQETHPLSHEEALASYVVDPPMTPYTRPKYIYPCYVG VHVLQSNFRMRLSYAVLEFLRYACKAPGCTPQQRMIFKRYQLRCVEEIQALVDRASRT LDMVPDVRSDDLLGRRKGVVNDLDGNDSRTEGPSDTAQALFIEPREQPTQAVRICFDL QQPINGKSTLLFNHNDRGMSVLRFWFGDETKMHQR PEX2_051400 MTIIFLRFLKNPAPVEDIALITETLQKINPNLAETDRTEDTITF TSPDNNVNLFDGIFEQWLHSEPPVITTFRMLADS PEX2_051410 MEYSKLSTFDTPSAHAQKSSSEDPSFSSQPLLSNEEDMNLDVDG YSRHDRRQSFIRAVIIHSLIFLTYTLAFVGLNSSFRQKSCPPQLTYSPIQGAVSYEKT WYDGSLGNRNRYIGEPRPELEEAWHELTVNNNLKLTQSELQKLNKSAIELSDGSGYFG QVMVYHHLHCLKFLREALYPDAYEGSTMEHLDHCVDDIRQALMCNPDISASTFFWEDG VRRPQPDFTLYKTCVNWEHFDAWATERQFSMFDQKSLINPVYATEERQLLGIAFPMVN GSIEFTPPGPDMHVVWPEEGQMQEELLDTRSPPSVFQVLVLASGFGTLQLVFALLASY GSAQLLSVGVSQAATGLIWLSGPLAGTFIQPLIGIISDKFGHQKIIVATGVIGLVVSL LGLGWAPDLKDEKCELAKALVVLSICTLNIVVQPVQLGLRILIIEACRLEQQTMVSAW VVRMIGIGNILAQLAGSVDTTILRPFTTGSHFKDLCLLTSIGLIITTVGLGLFVGVER TPRSVSEKLNIQQSRSVGLWKAIRTVSPGVLSVWKVQVFSWMGWFQFLYYVTMYIDYL GLHDLLQDSAITDEEAQRSIKTESLQFGSRAMLLNACVSFISSMLLPWLFKGNGPSDL KFSNHITLSNIWMISQALFGAGMICTLWVTSVAGATFIISILGLSWCCTAWIPYTLVS TKLSQESRNALRPHNPGLIMSLHNVSIAAPQILAALIAGSIFWASRDGENQARYVLAV GGVFGLGAAWMAKNLTIEKELH PEX2_051420 MVVVAKLIALLPFVVAILAAPAGQHAVRDVKMRTIGDMDEDMME AYKRATGDMDEDMMEVYKRATGDMDEYMMEVYKRATGDMDEDMMEVY PEX2_051430 MMLHDISLIGIALAMASMIFMAAATTPHSTDIEILFPGLHEMDL NNVEGSVMSADAMATTIQIDCRSSATKQCTSSGYLLPQTLTAGPSFQDFHYDITSFWN NTIFIVTGTLDCNMTGSTLGASCYFSTSTWVSSGTKNISSVFATTASISSANLKYNTL AVPSGLENFPVETAATNASPGHTGTSTSKPTTTAVTTLEHHSSSKAWIAGPVIGAVAG LALATVAGFWFISRRHKSKAGPVYWDPTQGISEVSENHACLPAQNAPVSELSARSPPA DLSTPSKPHEMG PEX2_051440 MSNPLPEFSPACPIPYILQPEERVKQLQAVLDTDFGKAQRVNIE ALISLYEIGDLGPRQRTDPPVFLVDGVRVEKDPWQDRSVPAHALRWCETLFYQQMTQQ TTY PEX2_051450 MQRKSNIRKDEAENRESYLGRGIVPIYNFFYWVFDQPSILGLQT PISPETSNDDLSWLSYWLVCFARQQGQFLGIQESAGLLYTFYLNPKYNQEAAFWEKPA SGWQSFNHWFSREWKNINSTRPIDGLLDNHIKGVKYYNWPVKYLLQTTEDIWDEGHFT HSFLGPTDYHRQHAPVSGEVIEARVIQNQVYLQVTKNTATGRIYADRAMVLAPAEFKR RRGVRVQYESEGEDGEAGSSQDPKSEDLDAPDDAGYQWCQTR PEX2_051460 MSRASAGFADFFPTAPSVLQQKRFKTAQERRKLHVEGDQISERI PDCPVSLAPSTPTTVETPLKTDTHEEGARTPSVPNELELTISAEAKSVEDQSGLPPPP TANPVPSQIDTLTPLTNAESSPPCKLTSPSQSKIAGGGSPGTSSRPNPEITKSSITPI HTPPTPQSQTRHLELRVRGCKVVYDPDLDKRTSSKEKRKKLEYVDIVNNGQADAPPDP RRAIANYTRGSGCKQKTKYRPAPYNLKPWSYDVATSIGPGPPIQVVVTGFDPLTPIAP ISALFASFGDVAEIKNRTDPITGRFLGICSIKYKDSTSARGNGPASASSAARRAYFEC KKEQRIGTRRIRVELDRDGVVSDRMAAKAVESQRLASKNTLPPATEPRPDVQIKKSEP PPTAPKGPSGRSSLRPGVVIPEGPRAGVRSPVVQSLVEEAPILSQIKRDPYIFIAHCY VPVLSTTVPHLRKRLRLFSYKYIRCDKTGYYIIFENSRRGEEETERCYRLCHMQPLFT YIMNMESQPYGNPNYERSPSPERLRTEQRNKAEKDRTRKEADLDIEEEKKQRAIDLDP CREVLSIIIRDLRDKLLEDVKSRIAAPALYDYLEPSKHASKRERLGISDPEGTKRSVF QIDSTPGSRGEMSSGRDPLRSSGLNVLALPRIRKAHGLDHAGAAFLDERRRQPLRKKE VRPLYHRLQQLHDDEDSDDEQRTPATRDVDERESRPPSRASSTSSEFERDGGYQSDDL GSSQGSEERSAQDVDDLDATNEISDLSHELQVSPTSGKRKRLTEDEEARKRQRQEDEL FGIDSETAGHEDATKDLETPVAIGDDLAEDGDKLPTQDQPTDQFTEHVSHKHLEGDDL SVDETWNAEPIDEPKTEIEWGFSKDKPRATVEDDEAIVLDLDGWQHLVKDDEDLRFLR EILLDQSESIIQNLAAWGWKQKEIKALNGLETGTTQDAISIPGYYVPNTTGAARTEGR KRILEAEKSKYLPHRIKVQKAREEREANAKADPQAAAAEAARIAAAKTISKSTSRSTR VNNRRLIADINAQKQALPTANGDGDVLRFNQLKKRKKPVRFARSAIHNWGLYAEENIT ANDMIIEYVGEKVRQQVADMRERRYLKSGIGSSYLFRIDENTVIDATKRGGIARFINH SCTPNCTAKIIKVDGSKRIVIYALRDIERDEELTYDYKFEREWDSDDRIPCLCGSTGC KGFLN PEX2_051470 MKVITANFVTCAVKECKTSPASFPLHFQDAELEQQELDFQPEFI RNILPRIDWVALRTISNELGFPSLPDSKPEGEALDNEQTLKDLHRLLLETHVIEGKLT CGNCGHSYMIKEGIANFLLPSHLV PEX2_051480 MSFFKKPSWAIKNTEETGTDFYRRSEQTYSDIIAANREAHHKPK SPEIPAHPENTNDTNDTEDPKQKKRRRISREKGKQRDDSSPVPDVTCEVDSDKIVLPE PQELSASSHDSSPEPVNPVKQRETSRRLSSENRSAQSPQKVSGPEPLGALPVRPVIVL ADDSESRSPRPVQPPQPVQPPQPARPARPAEPPADDPIVQIMISSEIANTKPLLVHRK MSQRLRDVRLAWCDRQNFEPNIQASIYLTWKGRRLFDVTTCRSLNINTGKSTAAIPGI DDDSFADQKELRIHMEAVTDLPLPVKQQITSPGNDEPPRASQSPEDDQGEPMKLILRS PGYDDFRIKARKTTLISRLISAFRDKQNISVDHDIFLLFDGDKLDLDSCLGDNDIDDL DLLDVQIK PEX2_051490 MENAPTSEGKDPSVFLSEIIGAPVIVKLNSGVVYKGELQSVDGY MNIALEKTEEYVNGKLRRNYGDAFLSD PEX2_051500 MLQAIKFSKGNLEILDQLQLPFVEEYIPIRTTEDGWHAIKDMKV RGAPAIAIVAMLALASELTSAVDSDKLSQSPEEVCQYITEKLAYLVTSRPTAVNLADA ARKLEVVVVNRTKTSGSTGQEVAAAFIQAAEDMMGKDLEDNKRIGHNGAEWIATHAAR GNSEVAVLTHCNTGSLATSGYGTALGVVRSLASKNILRHAYCTETRPYNQGSRLTAFE LVHDKIPATLITDSMAAALLADTQVGVNAIVVGADRVAANGDTANKIGTYALAVLAKY HGVKFLVAAPLTTIDMATKSGKDIVIEQRAASEVTSIKGPRAGSETTDQIAIETVKIA APGINVWNPAFDITPASLIDGIITEVGVVEKGSDDKYHLGGLFEGSTF PEX2_051510 MGVQKKTRKFALAKRAISMRDNRLKQNQDKTEKGKEAKKDDLVK EAPQAPSSMFFQFNTALAPPYSVLVDTNFISHTIQHKLEVIPTMLDCLYAKCIPIVTD CVLAELEKLGPKYRLALRVAKDPRFERVKCDHKGTYADDCLVDRVIKHRVYIIATNDR DLKRRIRKIPGAPIMSVARGKYVIERLPDAPEK PEX2_051520 MVSAVFEDLRHRWTKEQIQKEVDDDVSCLVADTDYPWAEITVMV PGEADVECARVAKLTGCAVLTDDSDLLLHDLGENGAVLFLDSVQTSSGVWNPADPDIR GLRICPHSLSGRLGIPSVQWFAYELQKNHHLRFAEITRIAQESSKATELSSEYLEFLR EYEPETTDNEVIRGSGQSSQPLDPRVSELFWQYELPGIYCSGEQPHVYLGIMNEDSSR RCAWEQGRTYRSLGYSFFNNSRPAANRFATVHEFVRRGGRIVAEEITLSGTKTVTSDL DLLRRRLAHAHATFDEGLAPESFWFLYALSDIYRDGSGTTTVPSAKQLESFLTNGYMV QSTKWTDIHLLAQIQAALYSLRILKQLFDIAAPGDDLVQSSSLLADLPPLHILMSRQK MIQSFANTRVVRHAVRQLIETYG PEX2_051530 MPSADNFDLPLAKRQKRVSQVKAGTRGSKIFAPFRTLGLVSPTP VPFTSVRLGKSTFQITTSVGHSLQTYDLRRGLNLIFLSRPQTPEIITATCAWQDKVFA AWGHLRSQSPGGVWVFKRGKRVAVLEGPADLKGPIERLVVFGSWVVGCWTGGLEVWKT GTYEHYASLRPQSAQGSAGEKIYTGIMCNMPTYLNKIFVGRSDGAVDIWNLRSGKLLH TLPSLSADAGPVTAIHPTPALSLVAIAYKGGALAIQNVSSGQLVLSLKNASSRGLPVT SITFRGDGLGAGHDGRSSGVMATASSGSGDITLWDLNNGGRIAGILRGAHRVSSGEKP MGANRVEFLDGQPVLVSSGDDNSLKTWIFDETPFSPIPRPLHRRNGHSAAVSALDFLP TSSDGSDSGGKWLLSASKDCSLWGLSLRKDSQHTEISQGSLERKAKKAGPSNISNTED LKAPEVTCIACSLNRDGGMGVTTSGPIWSNPKVTNADASNATGWESVVTGHRGDKFAR TWFWGKKKAGRWAFATSDGTEVKSVTVSQCGTFAVIGSAGGSIDMFNMQSGLHRQSFP ARPPAGRAAKSNAQASIAAASKHTKAVTGLMIDSLNRTVVSCGLDGKVKFWDLLSGKF IDELDWHPMAAITGLRYNKASELVAFSCDDLSIRVIDLETRKLVREFWGCVGQVNDFI FSNDGRWIVAASMDSVVRVWDMPTGHLIDIFRVSSTCVALAMSSTGEFLATAHAGSIG ISLWSNRSLFMPVSTKNLDENVIENVGLPATSGEGGAGLIEAAFVDTTEQDEAEGPVL ATEQLQHDMMTLSLVPKSRWQSLLHLDTIRERNRPKEAPKAPEKAPFFLPSLLGSSGP EAASEIPGTDDADVGSVAKIAEAERLRIAKLQIGGNASAPQSIFTRSLASGHDSGDFS SFIDHLKTLSPAKADLEIRSLDPRVRDGHSELSDFVVALTARLKSKRDFELVNAWMAV FLKIHADTVSLSSTQDEPQYRLLQDALAIWSAEQQREGKRLAELVGYCRGVVGFLRSA R PEX2_051540 MDQAAEHSNGSSADAEPLRPTEPNLSSPSLDMESDHNDLTPTGP VLEPTLVSAKPTSYAKSTQSQSGKADSGFDPRSASRMGQSTRPLSHGPRRFSGSTAAS TAASSISEVESTSLKPWRIGVCALDVKARSKPSQNILTRLQSKGEFEVIVFGDKVILD EAVENWPVCDFLVAFFSDGFPLDKAIAYAKLRKPLCVNDLPMQKVLWDRRLCLRILDH MGVPTPKRIEVNRDGGPVLESAELGQHIYRLTGVKLEGPESGIGGGAPQTQSVSMSED GEALIVDGKVFKKPFVEKPVNGEDHNIHIYFPNDQQYGGGGRRLFRKVGNKSSEYDPN LTVPRSVTEKDASYLYEQFLRVDNAEDVKAYTVGPDFCHAETRKSPVVDGLVRRNTHG KEIRYITKLGKEEAIIASKISNGFGQRICGFDMLRVGDKSYVIDVNGWSFVKDNNDYY DKCANILRDIFLEERRKCEGISESSEPPSPDIGSSRRSTTGSHRQALKTLLKSPSTSR LYGQNQKPTEASESCTPSVASSGVDSADIGAALSKLNSRDAYSTTRGYSPSNTKSPAL SVQHANDEALPPLPASKHSWKLKGMVAVIRHADRTPKQKFKFTFHSQPFVDLLKGHQN EVVIKGEAALSSVSDAVKLAMEKGLEDMEKLKLLRTSLDKKGGWPGTKVQIKPMFRKR KPEEMGQQGPLEPATPLPEGKLSEEPPEPTSTPVPNEGEGLTPEDEELRRPQTRSDSI SGATFSRFSAAENDLILDKLQLVIKWGGEPTHAARYQSQDLGLNMRDDLKLMNKEALN NVRIFTSSERRVSTSAQIWACSFLDQKDIPEDLIQVRKDLLDDSNAAKDVMDKVKKKL KLLLREGSAPSQFAWPKDKNIPEPSVVLARVVELMKFHRSVMRDNFEKLDSAPPIFQT SFNDSETPSQPSSVDLPDISNIQGRWCAGEDPRLFKERWEKLFAEFCDTEKVDPSKLS ELYDSMKFDALHNRQFLEWVFMPQDSPRDYDEEQKCKSAPKSAPKTETSTEGKIVQEA GNDKSEERTESQTFAHRFGLKKRILALESLPHLRALDDSYDHYFKLFPGSQSSKCKMD ERLEKLRELYKLAKVLFDYVTPQEYGITDSEKLEIGLLTSLPLLQEIVRDLEEVQASE DAKSFFYFTKESHIYTLLNCILEGGIQTKIARSAIPELDYLSQICFELYEARDSESDS YSYSIRISVSPGCHAFDPLDVQLDSRHAIGCTPRRSLTAHQDWKEVIETLKAKFNTVE LPKTFIAVNLSDKHSSHYENSPSPPRGISPTRD PEX2_051550 MLSDKMSTVKENETLVSEPAQPVRKPGAHLANPAPLAMGGFATT LLSVSLAMMNFRGVFTQTIFMGDLCFVAGIGMLISAQWEMARGNTFSYTVLSAYAFFY GGYGVIMIPALGIVDAYGGYTPEYHNALGFFVLLWAVFNLFFLLASCALNIVYILLFL TLELCLIFDAASSFTLADGLIDTSANLMTAAGAFAFVSSLLGYYSVLHYLCEDSLPFS VPMGDTSRAWKPWCKKTTQEDSKSHDKLV PEX2_051560 MSHPLAADIVDSTLKYTSHAGVECSIDFNDILCVLSNPPTYRVL FFQKTELDGPKSEDFSLKKIDIDSLPAELSPLVIKIPSHLRREDEPPIVQVVVSTGSG TGKAKTVFEDVVRPLFTHIGLDNYELHETESAQTIIELTRSNFLERAHNGVPQTIILL SGDGGLIDILDIFYKSKKTIGVSPNIVLIPCGTGNAMASSIGLRSGPVPGLSTLLRGS PSSIPVFAAKFSPGSRLVIDEGRQRADIDSDVNHTLYGAVVASWGLHAALVADSDTYE YRKFGVDRFKMAANELLYPSDGTPPHQFQGKITLTTSNGPNEARAQEAVEGLEHMYAL ATLVPRLEKEFLISPDSVPLDGQMRFIRFGPMSAEDAMQLMTLAYQGGRHVTEDTVTY ADTEQVRIDFQEDEERWRRVCIDGKIVAVEKDDSMLITARTYSASQTPDQGAAHPCHK RSQFHTFSGWQTIPADTKEATNMLLIHQVGSVRVGEVVRYTITYTPADDPILPIPSNL YVRVKNTSAIPLRAAYLHGPYTLYAACYPSQFDPNTKYERQDLEGTPQFEPYLKAGGG WDAVIKVPANLLEAHDFGSPGQGGPASGQSVSWIVEIQSQVIFSSSAAVHFELLVGRD EKSLAYFSGGAWSGGIGSSGPPAKLRDHWLPETRGSQVLASKGVYSKAIALHVDDTTS LWSNPPFPSAKPDSKSVDQKNQDSQPSCENSAPEDCTEAPIKSAKKKVHLVLLTHGLH SNLGADMLYLKESIDAAMRKSKKKDSRAKAPHVSPVGMNDQETPTSKSSHVEEEQNSQ PSDNPEDDDEEQVIVRGFSGNAIRTERGIQYLGKRLAKYVLLLTYPDQPYFPLKGPKS NPFSRPFSNRKERAQPFAHSAESTPQENAQEFQNEDHAYQITSISFVGHSLGGLVQTY AIAYIQKHSPQFFEQIKPVNFIALATPFLGLSNENPMYVRFALDLGLVGRTGQDLGLS WTAPKVRSGWGAIIAGRGESPKDAGHSDPGAKPLLRILPCGPAHEVLKKFQHRTVYSN VVNDGIVPLRTSSLLFLDWKGLDRVEKARRDNGLVGTMAEWGWAELTGANSKSPRLAR PDGELPLSLPALETSHQTAYSATPVSARPKDDILDEDTTSPRRTQFLAPSSHVSSQKI PEEGVVKENSSGSAPHSPLDSFFSLFRLNQGKNPPNSKNARIYKRSQTLSTFETGDGG GDGIMPITHGHSSHEQEGVHTPPKTTFFESAGDLLMPPLPPADFILDPASRPRTIFHD RIYHPGDIPPPLPTKRRTIAFGSLQGKQSKSASPQHSPASITENESGLKVEEKIARAY HRDLTWRKVLVRLEPDAHNNIIVRRMFTNAYGWPVVKHLVDTHFGHTSTAETDDSLKQ NVEMAKSPDVGPTSSGDEVEGQTDSVDSNSVKDTDDLPKVSDLHISEDLSSGPKDTLP AEHESHTSSSDRHLVSRQDSARWTDREVVEDDSESGFEVETNAGFRHI PEX2_051570 MENPNLNEIHDFLVSLAFKAGDIINNALPETSGTGSKMNSADLV TEYDRAVENMISTSLREKYPHYEFHGEETYDPARPLTDAPTFVVDPIDGTTNFVHGFP FACVSLGFAVGRIPTVGVVYNPSTKTLHSAIRGQGAFLNRETRLPLKGDNVEPLSGLA NALIAIEWGADRSGNNWETKVRTYEKLGQAKENGGAMVRAMRSLGSAALNLCAVADGS LDLYWEGGCWSWDVCAGWVILSEAGGIMADGNPGVWDARLDGRKYLAVRGSPGGTGQK EMVEEFWSHVQGELKY PEX2_051580 MPTELEELVEFLHHGNTQIRQIACENLVGFSTAQPDLFKRHQLL PVRDLELLVLDYTPIAKNALTILVNLSGDEEVLKLLAEDDKFVETLLWKLTNPKEPNA DEVAMLLANLVKSEKLHNLFSLKRRIPESVSTSENAIDQLMDCFVKGAEGDLNKHANY DYLSYLFADLSQTEKGRAYFTQRQEYDGVVPITKLTVFTEHRSDIRRKGVASTIKNVA FEVESHPMLFDEDGANLLPYLLLPLAGPEELSEEDTADMLPDLQLLPPDKERDSDTSI ITTHLETLLLLTTTREGRDKMRAVKVYPLIRETHMHVEDENVTEACDRLVQVLMRDEE GEGEDEPEKPQIEAPQNEDEKVSSTSSVIFSLLIPQFPDRSRANARPNLKYFQYFIIP PTITSANIPLRTRKLSCPSAPRCKWLPAESDRTDSNFHDCASDNGNYPEIDNLPPDVN PDSGIVQRFRAFMSRAPLLPSSPIPGASSYGSLGSSDDSEEDISVLRGQSVRGGLQNA SFEDGIGSSSNIPGSTPRRSHSSVRRRNSLYVERSRRHSSAASDVGMGPDSKYSFATG LAVPGNPVMQETPASSPYMTSDDENVLDIDDDDSKSSADDPPDNSPYAQVRASVPATD DISLSINTPRMWILSLLFSLTGSAANLFFSLRYPSVAITPIIALVLVHPLGKFWDVVF KQIGDPLEVFENGSLHHRELLSGEIDAPPVPLASRVRLWFAQGRWNEKEHACVYISSN VSFGFAFATDVIVEQHKFYNQDVPIIYQLLLIISTQVLGYAFAGLTRRFLVRPSAMIW PGTLMSTAMFSTMHKSVNKEANGWSISRYKFFVIVWGGAFLWYFVPGLLMPALSYFNV ITWLAPKNVVISNLFGVASGLGMFPLTFDWAQIAYIGSPLLTPWWAAANIVTGLVVVI WIAAPILYYKNVLFSAYMPIVSTAVFDNGGRPYNVSRILTADFLFDEKAYQDYSPVYL PITYVLSYGVQFAALTSLVTHTICWYGKDIWHQTRKAFEDRREVPGMETYQPLRGSNE TVQQSYEIPRTSSHEPSQEIPLAGEDVHCRLMRRYKDAPLAWYLIVFISMLAIAIFTV EYTIVIQHTYLVGIIMAVTNQHSSLYLICQLLCGIVFPGRPVANMIFVTYSYISSAQG IKFSSDLKLGHYMKIPPRILFGVQMMATLVSSLTQIGVLNWMFTFVPGLCTPQAINGF NCPIARVHFNGSILWGVVGPQRFFGPGGLYRPLVWAFLVGAVAPLGAWLLGRHSKKSF WRMVNFPILFGSLSWIPPATGLNFSIWALVCFVFNYVIRRRRTAWWEKYAMTLSAALD SGLAFAVVVVFFAFIYPGWVDGFKWWGTEIYKQGCDWIACPYKPLEPGQRFGQ PEX2_051590 MSTPTNPSNARTPTGPNGAPLMRMRRPKAADPLVRPKRRPVPKP AGATPGGNGTATKTLPSRPSHPQTIFPSERPMLELSNNQMAANGFSGPLLSDKYIDYP VVTTKRALMEGLKHHIARFASKKSVDPRDESQFTRPVRLHRRDPRSRTHDPNSGRSEI DGQPMDEAEREAFDARKAAREKERAENLSQIAPALGSTSKRPNAPKQKTQQVFKSDMT PEEIARARIKYEEALPWHLEDFDNQHTWVGNYEAALSETHAVFVLDNGKMRMIPAEKW YKFNAKSNFKALTIDEAEKFMAKRVKDPRWFMEKQQQLEQEKELATYAKQRKVYAGKQ GTNIGKGAGLEAGEMDFEEDRFADDEEHDDLFNEDEDAKDAEKRIKEDQLKANVFDLK DEKEYDAEEMREKREREARRVLGKGVRKALKKRERNFDYSSGSDVNPYTDEESSDDSE TERAKEEERKKAEEEKNQKEALSKGANTPSGRPKHTDPLKKASAAGSRKRLGSPNASD ASGTDTSRKKAKSMHLPASQPPSRPISPSALQGKKRVRNIAGGAGSGSDVDTGVGSGA EMTEGGKIKKLKLNPPTSVSRGGTPQGSRAASPLPRLSGSRANSPDGPRANRVSTPIS GTQTFPTAGEIHAAIPASGILSSDLLKVFRPRIGESKENHRRFIAIVKDVSVYGKEDR MLRPGPWKGN PEX2_051600 MRPEVEQELAHTLLVELLAYQFASPVRWIETQDVILAEQRTERI VEIGPADTLGGMARRTLASKYEAYDAATSVQRQILCYNKDANEIYYDVEPVEEEPEAA AEPASSAAPAAASAAAPAAGAPPPPPSAGPAASVEDVPVTAVDILRTLVAQKLKKSIA DVPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDVPLDELGASMQATFNGQLG KQSSSLIARMVSSKMPGGFNITSVRKYLETRWGLGSGRQDGVLLLTLTMEPPARLGSE ADAKAYLDDVTNKYAASAGVNLSAPVAGGDGGGGGGGMVMDPAAIDALTKDQRALFKQ QLEIIARYLKMDLRGGEKAYVTSQETQKALQAQLDLWQAEHGDFYASGIEPSFDQLKA RVYDSSWNWARQDALSMYYDIIFGRLQVVDREIVSQCIRIMNRSNPLLLDFMQYHIDN CPTERGETYQLAKELGQQLIENCREVLEVAPVYKDVAVPTGPQTTIDARGSIGYKEAP RTSARKLEHYVKHMAEGGPISEYSNRTKVQHDLKSVYKLIRKQHRLSKSSQIQFDTLY KDVVHALGMNESQIIPQENGQSKKGGRSAAKRTTPTRPGKVETIPFLHLKKKTEHGWD YNKKLTGVYLNVLESAAKDGLTFQGKNVLMTGAGAGSIGAEVLQGLISGGAQVVVTTS RFSREVTEYYQGMYARYGARGSQLVVVPFNQGSKQDVEALVDYIYDTKKGLGWDLDFV VPFAAIPENGREIDSIDSKSELAHRIMLTNLLRLLGSVKTQKQAHGFETRPAQVVLPL SPNHGTFGNDGLYSESKLALETLFNRWYSENWSHYLTICGAVIGWTRGTGLMSGNNMV AEGVEKLGVRTFSQQEMAFNLLGLMSPAIVNLCQLDPVFADLNGGLQFIPDLKDLMTK LRTDIMETSDVRQAVIKETAIEHKVVNGEDSGVLYKKVVAEPRANIKFEFPNLPDWEE EVKPLNESLKGMVNLDKVVVVTGFSEVGPWGNSRTRWEMESKGKFSLEGCVEMAWIMG LIKHHNGPLKGKAYSGWVDAKTGDPVDDKDIKSKYEKHILEHTGIRLIEPELFKGYDP KKKQLLQEIVIQEDLEPFESSKETAEEFKREHGDKVEIFEIPESGEYTVRLRKGATML IPKALQFDRLVAGQVPTGWDASRYGIPDDIIEQVDPVTLFVLVCTAEAMLSAGITDPY EFYKYVHLSEVGNCIGSGIGGTHALRGMYKDRFMDKPLQKDILQESFINTMSAWVNML LLSSTGPIKTPVGACATAVESVDIGYETIVEGKARVCFVGGFDDFQEEGSYEFANMKA TSNAEDEFAHGRTPQEMSRPTTTTRAGFMESQGCGMQLIMTAQLALDMGVPIHGIIAL TTTATDKIGRSVPAPGQGVLTTARENPGKFPSPLLDIKYRRRQLDLRKKQINEWQEAE LMYLQEETDAVKAQSDETFNEAEYMQERAQHIEREAIRQEKDAQYSLGNNFWKQDPRI APLRGAMATWGLTVDDIDIASFHGTSTVANDKNESDVICQQMKHLGRTKGNAVMGIFQ KYLTGHPKGAAGAWMFNGCLQVLDSGLVPGNRNADNVDKVMEKFDYIVYPSRSIQTDG VKAFSVTSFGFGQKGAQVIGIHPKYLYATLDQAQYQAYKTKVEARQKKAYRYFHNGLI NNSIFVAKSKAPYEDDQQNKIFLNPDYRVSVDKKTSELKYSATAPEAKESESTRQTLE SLAKANATENSKIGVDVEHIDSVNIENETFVERNFTQAEQDYCRKAASPQSSFAGRWS AKEAVFKSLGVSSKGAGAALKDIEIGVDANGAPVVNLHGAAAAAAKQAGVKQISVSIS HSDSQAVAVAVSQF PEX2_051610 MYGTLTGPQTGINTPRSSQSLRPLILSHGSLEFSFLVPTSLHFH ASQLKDSFTASLPEPTDELAQDDEPSSVTELVARYIGHVAHELEEEDDAQGNFLDVLK LVMNEFERAFMRGNDVHAVAAALPGIVAKKNQVVEAYYAGRAAAGRPTKPYDSALFRA ASEEAAGIYSVFGGQGNIEEYFDELRNIYTTYPSFVEELITSSAELLQSLSHEPEASK LYPKGMDIMQWLQDRDAQPDIDYLVSAPVSLPLIGLVQLAHYMVTCKVLGRQPGDILE RILGTTGHSQGVVTAAAIATATSWESFATAARNALTMLFWIGLRSQQAYPRTSIAPSV LQDSIEHGEGTPTPMLSIRDLSLTAVQEHIDATNQHLPEDRHISISLVNSARNFVVTG PPISLYGLNVRLRKVKAATGLDQNRMPFTQRKVRFVNRFLPITAPFHSQYLVSAYDRI LEDLEDVVDISAKSLAIPVFHTKTGEDLRQLGDKSIVPSLVRMITHDAVNWEKATVFP RATHIVDFGPGGISGLGVLTNRNKDGTGVRVILAGEMDGTNAEVGYKPELFDRDEHSV KFAVDWVKEHGPRLTQTSTGQTYVDTKMSRLLGIPPVMVAGMTPTTVAWDFVAATMNA GYHIELGGGGYYNAKTMTEAITKIEKAIPPGRGITINLIYVNPRAMAWQIPLIGRLRA EGVPIEGLTIGAGVPSIEVANEYIETLGIKHIAFKPGSVDAIQQVINVAKANPKFPII LQWTGGRGGGHHSFEDFHQPILQMYSRIRKQDNIVLVAGSGFGGSEDTYPYLSGTWSA KFGYPPMPFDGCLFGSRMMIAKEAHTSYNAKKAIADAPGVDDSEWEKTYQKSTGGVIT VLSEMGEPIHKLATRGVLFWQEMDQKIFKLDKAKRVPELKKQRNYIIKKLNDDFHKVW FGRNAAGETVDLEDMTYAEVVHRMVDLMYIKHESRWIDPSLKRLTGDFIRRVEERFTT AEGQASLLQSYSDIDTPYPTVENILSAYPEAADQLINAQDVQHFLLLCQKRGQKPVPF VPVLDENFEFFFKKDSLWQSEDLEAVVDQDVGRTCILQGPMAARFSNIIDEPVKDILD GVHQGHIAGLLRDVYGGDRTKIPVIEYFGGQLMNTTESEFDGLVVSEEPTKTSFRLSS TAALPDLDRWLGLLAGNVYSWRHALFLADVYVQGHRFQSNPMKRIVAPTAGMYVEVSN PNDPAKTVISVREPYQSGKLVKTVEAKINEKGQISLTLFEGRTAENGVVPLNFLFTYH PETGYAPIREVMGDRNDRIKEFYYRIWFGNKDVPFDTPTTATFSGGQKTITAQDVADF VHAVGNTGEAFVDRPGKEVFAPMDFAIVAGWQAITKPIFPRTIDGDLLKLVHLSNGFK MVPGAQPLKVGDVLDTTAQINSVINQESGKMVEVCGTIKRDNKAIMHVTSQFLYRGAY TDYENTFQRKDEVPMQVHLATSRDVAILRSKEWFRMDDSDIELLGQTLTFRLQSLIRF KNTTVFSNVQTVGQVLLELPTKEVIQVASVEYDAGDSHGNPVVDYLQRNGTSIEQPVY FENPIPLSGKTALELRAPASNETYARVSGDYNPIHVSRVFSSYANLPGTITHGMYSSA AVRSLVETWAAENNIGRVRGFQVSLVGMVLPNDMITVKLQHVGMIAGRKIIKVEASNK ETEEKVLQGEAEVEQPVTSYVFTGQGSQEQGMGMELYASSPVAQEVWDRADRHFMENY GLSIIDIVKNNPKELTVYFGGPRGKAIRENYMAMTFESVNADGSIKSEKIFKEVDENT ASYTYRSPTGLLSATQFTQPALTLMEKASFEDMRTKGLVQRDSSFAGHSLGEYSALAA LADVMPIESLVSVVFYRGLTMQVAVERDAQGRSNYSMCAVNPSRISKTFNEQALQYVV ENISETTGWLLEIVNYNVANMQYVAAGDLRALDCLTNLLNFLKAQNIDIPALMESMSL EDVKEHLVSIIQECVKQTEAKPRPIALERGFATIPLKGIDVPFHSTFLRSGVKPFRSF LLKKINKTTIDPSKLIGKYIPNVTARPFEITKEYFEDVYRLTNSPRIASILANWEKYE EGNENVAK PEX2_051620 MEAPQVQLVEYQGDNYHVIKEGLAKILNPPAQEAASKGTKKDLK SDDQMQSVFYNPIQQFNRDLSVLAIRAHGEHIIDLKKQKAAQKLKKQAGNGEKKRKRE DDQTENPRPAPKAEHKENTETNDQPVSAPAVDQEPQSEARPEQPTGSFTILDALSATG LRALRYASELPFVTKVVANDLSRSAIKSMKKNIDYNNLKTIQPNLADARVYMYGLDTA SKFDVIDLDPYGTASPFLDAAVQAAKDGGLLCVTCTDAGVWASTGYSEKAFSLYGGIP VKGLHSHEGGLRLILNAIAMSAAKYGVAIEPLLSLSIDFYARVFVRVYQSPAQVKFTA GNSMLVYNCDVGCGAWSTQPIGSTKSKLDRKGNSIYHHGLAQGPAAGPHCEHCGTKTH LAGPMWAGRLHNPDFIQKILDMLPGADPETYQTCARIEGMLTTALEEDLDLTPSSSEP SSPKQPAPSTKNQRDPAYPAIVPRMDVAAREKYPFFFSLSALSKVIHATTIPIDEFRG ALSHLGYRSTRSHTKPNSIRTDAPWEVIWEILREWVRQKSPIKESSLKPGSAGAAIMG KSRENLRKLGDEDQWLSLLKKDLMSAVEAGRDVSDLVTKVEAALYRSGSRNSWKSASA SAKTGPDADPQPAPEKGKASAQPVTRPHPSTLEVVFDEALGRQVSAAHTKKRLVRYQL NPRANWGPLNRAGRS PEX2_051630 MSSHVLSKPEDIDTKSIISLQELDPSPVHDILDVESGEYFPRAA SPTQHGAGISIPKLGGHRWDSWLTGIQKYSTYPPSAFFILHLANTSLIPLVTRSVPAS ESYLLLTRPIYQAPGLEHLVLTIPILAHIASGIALRNIRATRRARLYGAETRAQRNTL YFWPRMSLQARTGYFVAPLIGFHVWVNRATPLIVEGGSSGVGLGYIAHGFARSPVFWN VFYFFFVTASVWHFVGGWATWMGWRVTTARKERSQKGSLDGYLGLTEMKSKRQRKMWW VVNGVAAASTALWLAGALGVIGRAGEGAGWEAKGWNEMYNHVPIIGEWL PEX2_051640 MAPRGFTNPAPRTESARSALSSFTCSLCNKSYSRHPEYEAHIGS YDHQHRKRLQDLKQLTRDPNAVEKNRRAERKADAQAGLKVIETPVDNVIPSGGSTGFK KGGFKSSFATVKGAVAPTAPVKKNVLGDDEEDDTPKPKDQDPSNVGRPQKIEPGDVES ETDEEYGQEGYYDPRRPTDCFAGCVAQKV PEX2_051650 MAAPRLFRPTARLFSSRLASTALRSNLRQSACAPSILRRGYATE DGTKQVTVRDALNEALAEELERNQKTFVLGEEVAQYNGAYKVTRGLLDRFGPKRVIDT PITEAGFCGIAVGAALAGLHPIVEFMTFNFAMQAIDQIINSAAKTHYMSGGIQPCNIT FRGPNGFASGVGAQHSQDYSAWYGSIPGLKVVSPWSSEDAKGLLKAAIRDPNPVVVLE NELLYGQSFPMSEAAQKNDFVLPIGKAKIERPGKDLTIVSVSRCVGQSLTAAAELKQK YGVDAEVINLRSIKPLDVETIVASLKKTGRIMVVESGYPMFGLSSEILALAMEYGFDY LTAPAVRVTGAEVPTPYAAGLETMAFPQEDTIVSQAAKLLRL PEX2_051660 MLSLLWLLPSALAAQPSAPDPISAPLRALDFGQLNFLHTTDTHG WLAGHLQEPSYSADWGDYVSFTTRMREKAEAQGQDLLVIDTGDRVEGNGLYDSSEPKG IYLSDILQHQHIDLLTSGNHELYKQNTSEAELLVTVPNFRGNYLASNIDIIHPTTKEL VPLAPRFKKFTTKKQGIRIVAFGFLFDFNGNYNNTVVQRVSSTIKEDWFQEAIRDKEV DLFLVIGHVPVHSPEYRAIFKEIRGIRWDTPIQFFGGHQHVRDYAQYDSKAFGLASGR FMETVGFMSIDGLASKTSHQSAAGPVFKRRYIDNNIFSYYHHTGLDQDTFPTEKGLNV SRLIAKARTDLHLDHIHGCAPHDLWMSRVQYPDPSSIYTWLETQVLGDLRDETRGDTP SLAIVNTGAMRFDIFKGPFTQDSTFIVSPFTSTFRYVKDVPYDKARLIVEVLNEQPQV SSTDESSLTTLGPLEQLAYPEDVIAENWPKASEQIPMSGPDLVPGYTTKDDAGTDGDD TIHSPISFYRVPNCIQSLKSANASETPKTVDLVYLDFIEKYVTLAAKFAGLDVDIAKE SDVYMPHVSLTNLILDWVKKNWKC PEX2_051670 MFSRSILQTATRSARPASARAVPQVLGQAPFGRRYVSVYGYTQA KALIYSKYGEPKDVLRLHKHSISAPHATQVNLRLLTAPMNPADVNQIQGVYPSKPPFQ TDLGNAEPAAVGGNEGAFEVLSTGAGVKNLSKGDWVIMKRTGLGTWRTHAQLDESQLI KIENKEGLTPLQVGTVSVNPVTAYRMLRDFCEWDWMRAGEEWMIQNGANSGVGRAAIQ LGREWGIKTLNVVRQRKTPEETEALKKELRDLGATVVITEEEMLTGNFRDMVHEFTRQ GREPIRLALNCVGGKNATALAKTLAPDSHMVTYGAMSKQPVALPSGLLIFKNLAFDGF WVSKWGDKNPQLKESTIKDVLQLTRSGKFRDIPVDEVKWNWESEGPELAESVQGTLGG YRSGKGVFTFNGGD PEX2_051680 MSLLREAEKCVANQYAHGFNAFITPLSRAGPWLDRVKEADARKE EGKPKSAVDGRLISIKDNICTRDLPTTCASGILDKFTSPFNATVVDQLEAAGAIVAGK TNLDEFGMGSHSINSHFGPVKNPRLDSSGEDLSAGGSSGGSAASVAADQCYASLGTDT GGSVRLPAAYTGTVGFKPSYGLISRWGVVAYANSLDTVGILGKTTANVRDIFTCPDIL NQHDQRDPTNLSISSRSRIQASLQTPQLASRLTSAPLRIGVPIEYNVSELTPSVRRAW ALSLAHLKKQGHTVHSVSLPDTKHALSTYYVVGPAEASSNLAKYDGVRYGTRAAGPDG DGKPDGYLFSNTRGEGFGQEVQRRIVLGTFTLSADAIDNYFIQAQRVRRLVQQDFDAV FTAKHPLAAHSADKIAKENDVDVIICPTAPSSPPRLSDLMSKSTKQSPLDAYVTDVFT VPASLAGLPAISVPVTVAGEKDAELAGIQVIGQYGDDQLVMKVGEMLEGRNLD PEX2_051690 MASGQAPGSSAPSSNINSPILPPNGGPLLNAQFTDLNPRSSPAP SAQGDGRAKRNKRDSRKKREAKGLDTENAPPPKKRATAAPSTALPSSKLSILRPLLLA EPHASDRYPPQPRQLNRVSRKTSDVLGQSWDFYEVVDKLTNKNGFRYSYAIADPGFPH IKYRQTDVRPYHTRFSFEDSPAAISFSESATAVTTSDAWHTARANVCAREGTYYYEAR VISGIVNDPQAPQNGRSTTSPRGHVRIGFARREADLDVNVGVDCYGYGIRDVNGEVVN RMRCEFFFPKGEAINEGDVIGMLITLPPLSLHKKIVEGTYDPADNTPSQPVATNIIRD RIPFHYKSDFCWQQSNVFSTKHLRDYAFNLKETPTFGPPSPFNSEDATLRTLPGSSIT ILKNGVQMGTPFKELYAFLPPASRLANGTNNLGIGERENADDGLIGYYPAVSCYGGGA VECRFEGPWWVGPPDKTETGEPVRAMGERFNDQIAEDVMADIVDEVEAMFTWGGIDGD VINNNTELDGTGAVGGPEVLKGGVGAALDSTLAHTPGTAGASDSAANSNRETPAAGDV PNHILEDAMSVGTAGTPNVEVQGDGDVEMT PEX2_051700 MPKVSSSRAAAAARRHNPLAEDITSAGHLRTQSSKKGKGKADEN DEDGENGQRFVDAKMSRKILQIGQELADEDAAEELKNSARPQSNAFEFDTRFEDEETF SDDEGKFEADDWVDDEVEQVEVDPNDLDMFNRFMPHEEDPIFHPKDPSSAGPTNLADL ILEKIAEHEAKQAGEGPYGQYIQGGGIPEDAVQIPAKAVEVYEKVGMILSRYKSGPLP KPIKILPSVPNWQTLLDITRPESWTGNAVYAVTRIFISSKPHVAQEFINTVLLERVRE EIHETKKLNVHTYNALRKALYKPACFFKGLLFPLVSTGTCTLREAHIVSSVVARVSVP VLHSAAALLRMCDLAAEQSLTSLESTGAVNMFIRVFLEKKYALPYKVIDALVFHFMRF RAVDPSEDAMNDGPTGLGSKAYKLPVLWHQSLLVFAQRYRNDITEDQREALLDLLLVR GHKDIGPEVRRELLAGRGRGVVVPDPEAQNALDAGDDTMDVTM PEX2_051710 MTDTINPLDTLPTSPSPEMYTVSPADTSLDSPEPEDDIKDEDDE KKPTKKRKSWGQELPTPKTNLPPRKRAKTDDEKEQRRIERVLRNRAAAQTSRERKRLE MEKLETEKIRMEQQNQFLIQRLSQMETENNRLSQQVAKLSAEVRGSRSVTPKASSPAL ESPTLTPTLFKQEGDELPMERIPFPTPSVTDYSPTLKPSTLAEASDVTQHPAAVLCDL QSPLSDDDFRRLFHGDSPAEQNPSFPEDGFAFDVLDGGDLSAFPFDSMVDFDPESVVL EGVQPSGLSDETSHQTTSLQPSLGASTSRCDGQSIAASVTLPRAPKSICLPQHLRFSP SIFSLTDIMVRKDPIFEARTNVKLHSNRLKKEAVRAEATFKSEKAKADKAMKNREFQI ARIHAASAVREKRRQVTLKSEAARADVIINELKAAQSTRDTSRTLAMASRGLDAASRS VNLEHLVSHANNFLARSEDFKIASSAIEDVAQGISMQEYGAEGEADVDRLMEQLADDA GVDMRLNLEADAAPKEEVKEPKQVDAEVEDGLGARLRALRAAN PEX2_051720 MAPSHSFILFLSFVLSPFVKAAPWIVTDTYEQEVNTDYYSELVT EINQITPTATLPAEALSTITSTNTVYDYTVVEKLYPTGYGEERGLYDGYQNVVESDGT YHSTIYKVNLTFSAPTACSTQWTTTTAVQVRPPYGIATLLPKDHVTTSTSIDNSQPFQ PYTYIYEVVFVEPTQIPSKTLDSLSYYHTPTSRYIGTGCQYTGVSSDYSGNSGYYTGL SDGPETTQYTGGTSGSDGSSNSGYYYGYGDDDENWFTSKWGSGLGISYLAIVLICALG WIGIVFILGMIEAWVRFRRLMTGWQTRRGLPLFWSFLLLPLSLFCLFCFRKGYRARSK EDAEVLKQRWDAMGFWTKIRLFFAWGFRFKYPTVLGPAPATVKANKRPVESGPRLLDV TPPGTGALPAGHGEEAGPVRYA PEX2_051730 MLELSAEQDSLKRKGLEKAIHQIEQAIKRPKVDSTSDDEAQRAI LVLQELLGQVQGQLMHNEQEHERGYSEASDHPRMTSPRDMHAEESLALDDAENPLQLL ARASDLQLSPTGMRRAPKSPPPLSEGPSFLQSTPIGEPSAKSFFVPAGANLDVGPEVD PVELGLVTFDESESLFSFFYQNLAHTRWGLDPLIHTPSFVRSQSAFLFTSIMAGAALF LPSAAALSKRLSRHCKWLAKRVFTHRHRSVEIVLAFMVNVPWMSPGDRLGDDDTCSYI AMALTVALDLSLNKIVSPSSSFDQEQMNRLARAECIDAKRALHMDGFGDIDPSSEWGL RLLRRRERAWIALYVVERGVCLARGRSYTVPLTTLIENCDRWHLSNIADPRDGPMNSM AVLRRDLDGLFQKVKSSCDGYCFVDTGSEAAHSIKKTIQTFYEQWYATWALSIGEGDT RSLPPYVEILVTHTQLSTYGGVINHPTAPIEVKRFFRAAGLSSALNVLRAAIQGESRL KSMPNNTVIMISFAACSALSLSITPGDSRSSLAPSVRNLIEETAGVLERIGATPSHRS GASVLYGRFLRELIRRAPALPLQSRGNLGKVDTPEPAFQSSSLDHGPLPVTLPPDDLW FEPLQFSAMSDNQIVDAVNRAGTAFGASIPDVPLDDMLNWDWLDFANPDFNF PEX2_051740 MTTLRISTTLSRKALTACRPRLFVGVRASVRPLKPFSTSTNTRY STTAPLQSLAPKIERGGSKLFKDADAAVADIKSGSTILSSGFGLCGVAETIINAMHRR GVDKLHSLTAVSNNAGSAGKGGLSTLSQNGQIDRLILSYLGNNKSLEKKYLTGHIAIE LCPQGTLAERLRAGGAGIPAFFTPTGVHTFIQEGKIPVRMDESGKVLESGKPRETREF NGKTYLMEEALTGDVAILRAWKVDEAGNCVFRYTTKAFGPIMAKAATLTIVEAENIVP VGSIDPNDVDLPGIFVDRIVPATDDKHIENKKLRSGEATAAGSAKDAAQIQRELIGRR AAKELKSGFYVNLGVGIPTLAPSFLPKDVKVWIQSENGILGMGDYPTEQELDPDIINA GKETVTLVPGAATFDSTESFGMIRGGHVDVSILGALQVSANGDLANYMIPGKVFKGMG GAMDLISNPEKTKIVVATSHVAKDGSPKIVQKCSLPLTGANVVSTIITDLCVFQVDRA TGELTLTELAPGVEVEEVQSKTEAKFNIAETLEIME PEX2_051750 MLQFSGIINFFAVAWQCFIYLFQHDLELGISPGERSSRGSKWFN HAVVPVPCNSHNDYWRHVPLRSALRAGCTGVEVDVWPWENQILVGHSRSTVLRGTLQS LYLDPLLKMLDKSNAPPSRNWPKVTNQEMVGLFPYDPKQTLTLLIDCKPEGDQTWPLL VEQLNPLREKGYLTHFNGSDIIHGPITIVVSGDAPFYQILENATYRDVFYDAPLGNLT FPTEITADDNRQMDSTYNPSNSYYASADFRKAIGSLSLGRLSDVQLATLRSQIHAAHE LGLKVRYWGTPTWPIGLRNHVWHTLVHEGVDVLNTDDLRGATKQDWKPRHRWQWWL PEX2_051760 MDPPKSRVSEIEQAKSSPLDQHGDLTRQQKLTQRVLWKLDIHIL PILALLFLMSFLDRTNVGNAKILGLEADLSITDHQYDVGLAVFYLTYICSELPSNLVL KKASPKIWLPLLAAIWGIITMCLGFVQNFAGFVAVRALLGIAEGGLLPGMILYLSSFY RRGDLALRIGLFYTAASLSGAFGGLLARGLAEIGPRGGLEGWRWILIIEGLLTFVCGV LSFFFLPNNLESASFLTAEEKAFGRKRLMLDNPGSLEGSLTPEAESFKWSEVRRGVLD LQVWLSASAYFAILSGIYSFGLFLPTIIKNLGFAKDANEVQLWSVIPYAVASVITVVV AIISDRLRLRGVVMLFTLPIAIIGYAAIANIDSPRVQYGMTFLMATGQYASVPCILVW LSNNSAGHYKRATTSALQLAIANAGGFVATFNYPSRDAPLFHRGHTIILGLLVFAWFM ILLNVLYCVKINRDKRKGKYDQYAGYNDDRNPEFMMVL PEX2_051770 MSRLSTSLKALINAPSARPSTVPAPANITSVYQKIQQTAQSKQI SQPSWVALSTAATMTMNSPESLAALYELASTNPDKQVETAELMREVGLKCISFNGIPR TINCLNAFKASLSDSVGSQLSRTPTRAPTPENITAISERGHALWDSIYRPFEKKLFNK LADSHPDLPVHILHGNYGALLSDPERSTGASAGRILTSIVAISCLRAQSGVGPQVISH VFGLRKALEDGSWVNDVEGEEAARWLASDEGNTWILNSVDAIVEAISQGTGSNFAPAR AKL PEX2_051780 MAPAIREVTQTLIEADTTLVSVITLGNTRPWPTLNLVTLTVTTP FTTVIHVVNIAETPAPEQISSTQSSGLSDGSKGAIAGSILGAAAFLLLLYYLYLCRLQ SRPSAPRSAKVPLDPKDPTPPPDPVPTDDKPARKKKTVTISSDLPRYLPRFSASKDNK SYISPLVRLATETNSRTGFETREGRLGQQIRFMIRAREKQKPRKKRRRSHRRRSKGKS AKTEGMN PEX2_051790 MSVPETKELKAEWKPDVELPEYTLKDVAAHNTKGDTWMVIHGQV FELTKYLQDHPGGADALIEVAGTDATAAYEDIGHSEDAREIMQPFLVGTLKDAQQYVR PKAVRVVSQKAPEEAGSSSSTIKTITYALGGLIPVFYIFSQSNNLTNSLGAISQLIPQ PMKNLRLPHGGFVNGFLAASAISTAVGVMVARQADKFTKIDSGFMRFPPHMKSKKVIR VDPHMTRGFLEPQQYQRLPLVEKTELATNVYRFVFALPTATDVLGLPIGQHVAIRAVV DGTTVTRSYTPTSNNLDRGRIELVIKCYPDGQLSGKYLAGLTVGDEVEFRGPKGSMRY TKGLCRKIGMVAGGTGITPMYQLIRAICENDTDTTEVSLVYANRSEADILLREELERF ARQYPKNFKLWYMLDSAPEGWTYGSGFVDQAVLAEQLPTPSPDTKVMLCGPPGMVNAT KKNLVALGFTKPGVVGKMTDQIFCF PEX2_051800 MVAKHFYLLGEAITSARPIEVETTVDYQGLQLLIAGQFAIVEPN GIGFQSEDSMLSTPSEILANEQPIAISVDGKAVREIPGPKGLPFVGNFFEVYPDHLGN HQRLFDQYGPIIQTTNMGRTVYQTNDPELSAIVFAESDFFTKRINEAHPLHPIKNQQA GVFLGDTDTPEWRAAHKFLPPALGPKAVRHYAPTMQETVEDAFSVFDELDERGEAWNV YPYMLKLGAQAVGKLVLGMDFKHFSAVDAPPHELVYRIAESLELNKKVTARGDWYAKL PFGDPKRLRDARYRIIEMVNESIENASRNGVEDLPLQDAALQASNMIDYIIRATDNKG EKLPKTSLMEALVVATGAGFTTTSSLLSWLLYSLVNYPGMQERLLQELIDNDIDADTP MTADLIDRLTFLDKFIKETQRRHNPSYQPARTAKVDMILPGGYKLPEDSIIIPALHHI HNNPAVWSNPARFDPDRWDTEEVKNRHKTAYIPFAAGPRMCIGFSFALQEVKVFLPKL LYRYKFTKENDGPVEYDPMFQLIRPTNLYVRAERRVKWPPKTEQ PEX2_051810 MENQSRPARYMAHALEELGLTTMWRSSLDVKLLCAQRFVRLFAY GGSTLILASYLSAIGISDDRIGLFMTLTLVGDVVISFFLTLFADSLGRKAVLSLGSIL MAGSGIIFALFGNFWILLAAAVFGVISPSGNEIGPFRAVEESTLAHLTPRELLSDVFA WYSLIGTAGSATGMLVCGWIINSLESIHGWAFIPACRIIFFVYAGVGVVKLIFTLGLS GKIEVQQEEPQEQSSETRPLLADPVERDVEPVPKKKGLFPSIEKDLWSLVIRLFILFG VDSFASGLASLSWMTYFFRGKFNLPEGELGTIFFTTNIISAASMLVASSLAKRIGNVK TMVFTHLPSAICLALISVPSSLPLALTLLVLRACSQNMDVAPRSAFLAAALPADKRTA IMGAVNVVKTTAQSMGPLLTGILSRNGHFGVSFIIAGCLKVAYDLGMLFTFAGKEAAA RKQAAQDADEETS PEX2_051820 MQLLLPLVGLSISGLVHASVSSAGASATVTGFLSTSSGTLQVPN GASCACTELSQSMPKNVIFPGSGNYTTQTIDNYWDIRANLSPACVFVPNTAAEVSQAV KVIGACNAQFAVRGGGHMNYPGANNIDAGVLIALSNLNSVNVKSNTVEVGPGLNWYQV YSALEPHGRVCIGGRMKTIGVPGLSLIGGFHYFNNKYGYAMDNVVTYDVVLGNGTQIT VNKNSHSDLFWALKGGANNFGIVTKFELKTFAIPKVSTTIQVFNETNIPQYLSAVCEA AKLDDKDPIAAGMIATIAYNATTKVAQGSVLGVQEGVSSPPSQFANFTKIPAVQRIHN VTTIKPWANALDSPKQMFRVQFSHKTMKPDAKVLLSIYEAWKAAVDKIADVQGLYPTF VTNVMSPTSIRVAKTNGVGNVWGLEEEPLIIWQFSTGWALAQDDIRMEGWSRQLAEHL HTINKELGISSEFVYMGDAGEWQDPYAGFPSENVARMREIRSSYDPAGVFSTLSWGGF KLVHAQSIARVWTHFYPNCPGEAFSKLDTYENYQESAPSQDITVDSCKNFAVPSYEHN LVSAISVDAELLFHNHDLPFLEGGSGCNITVHEVPGCIDPPLISKEIQNGVEVSQCEP RQLVAYSQVWVNLVCDSDSPLHSENTPTKENKKVDTEQSTPTSEPDAPVRAEKQTSVE DISNIQTPGSNSDSWRSSQVMQNQREPEQESRVNNAGHAESDRIIHQTMELLKNKTSH IVSGKHHAPHLNVTLHHNGTAPGNHTVMSRRRLSVLRNRATRFV PEX2_051830 MASESTYTLYKYDPSGVAALVFVALFGLTTSVHIFQMIRNRSWF FIPFIIGGLFEAVGYVGRYLNSRETPDWTTGPYIMQSLLLLVAPAFFAASIYMILGRS IISTGHEQLSVIRVKWLTKIFVCGDVVSFLAQCAGGGFLASGKTQSKITLGQNIIIAG LFIQIAFFGFFVVTAGVFHYRLLKCDDCVSKTITVPWMKCLYVLYTASLFIMIRSIFR AIEYITGTNGPLMSTEVYLYVFDAALMFLTMVTFNIFSPKSLITPRSAIGDIESPSAK ELVDMRDA PEX2_051840 MGMAEMALLHHYSTSTCYTISRNPILQTVWQIRIPQVSFTSPFV FRAIIAFSALHLAHVKPEFHDHYVSQAEFHHNTALQMVSAILPDVNAENCQSIYVFSI LTCVISCAKPRIRHDFWANSDRDIEWLNLFRGTIHILASADHSIKTGPLAPMFAMGRR RQLARDARSAMATPPFLLALKKLLEDTVQDPCELRCYHDSIDDMAMSFATVEEIGSHN CETADVFIWLLRVSDQYLGYFQQRTPEAMVIFAYFCVIMKEMEWAWWMQGFSTYTISG IYYFLDEEHRCWLQWPMQQVGWVP PEX2_051850 MMRRRSHFQTGRKPLGHHGLKLDETHVTGPVSFLNPTSARYEPT QLPEDTHAPPQIERLWRSRDNRKGRHAIRIDTEALPLETGLKAPPLTRSVPAVAKIFL RMVTYVPYWDVSYLVAMSFTIGSAIFIINGFFVWLPLVDKKTEFQGEISVAGGWTGFV GATIFEIGGVLLLLEAFNTNHTGCFGWALETVLEKTIEDGIPRHAVNELRPTASNCEH HHANRKSFLGEKHYHASDSAHSQRDSTGYVTSSTDGRSFRWIPSMSELRTHYFHEIGF LASFILFVSATIFWIGAIVGIPGILNHMSKGLIDGLYWGTTTLGGVGFTLSSLMYMLE TQSKWYLPAWHVLGWHIGLWNLIGSVGFTLCGALGPASSNSGVNYQSSLATFWGSIAF MIGSMIQWYESLQKHPVEKK PEX2_051860 MANISISAPGLSSGGFLYNNGNNNARLCVTAETEDFDMEIIKNW QEEGFDVLYLPYNGGGKDYARRLQSVKDGLGVGENYAVIAYGDAADYCLDYYINSVNA SRLCALVAYYPSLIPDTRSRFPLSLQVLVHLAGETVDVLVQPVALGLQGKKRRQTRPI NAGIGTGERLDLAYPAFTYDNAVPGFAETDLEEYDHLSADLAWTRTLKVLRKGYSRDP DYEQRYEQHVEGKFFSSNVRKTMDGYVQHKTPGVTYTPTISGGIGKKALRHFYEHYFI GKLPPSMRLRLLSRTTGPDRIVDELYVSYEHTQEVPWMLPGVPPTNKRVEIILVSIVS MRAGRLYSEHVYWDQASVLVQVGLLDPKLLPDGVQGVDRLPVVGREAARRILHEDTEL EQEDYHNKMIRRARARARRSMNQSSHMSQAGDESGADLKSEAEQSLPDRSRNKGKAVQ KTKPASLQRTATQAAEDEDEDGADTETESSVKARSEAGNQAAYVEDGGDDRAGNGSKQ T PEX2_051870 MTRPIEEQLDQVSTTTEKPSEAKEDETNLPTGTRLLAIIISILF AMFLVALDRTIIATAVPRIANQFNALDDLSWYASAYLLTSCATQLSWGKVYTFYSTKT IFLIAILIFEVGSAVCGGAPNSKAFIVGRAIAGIGSAGIFSGATVIIAQIVPLAKRPI YVGLMGSTFGLSSIIGPLMGGAFTDNVTWRWCFYINLPIGGFTMVILFLFLAVPHNPQ PCTWKQQILRLDPLGSVLFLPSVICFLLALQWGGTTYPWSNGRIIALFVISGVLMIAF VGVQIWLKKDATVPPHVFKQRSIISGVVFSLCVGGGLISMLYTLPLWFQGVRGTSAVK SGIDTIPMVLALVVGAILSGSIITATGYYVPWMFVAAIFMSTGAGLMTTFKVDTNHAA WIGYQVLFGIGIGTGMQQPSMAAQTILRKDEVAIGISLMFFAQSLGGAIFIAVAQSLF QNYLSANLPHIQGIDVANILAAGATGLSDTVPASKLAEVLALYNDGLRRSFIVAVAVS CLMILPALTMEWRSIKKEKSSATAA PEX2_051880 MSLVQHVSAIDGIDREPEPDSVDDCDFVPIRRKISYDVLQPPSE VIPVAETRPGTPAYKHSPAKRIAQVAVTVLACWSASGIVFGFAALKPVLVEEGVYHER CTPAEIEEGLELCSQQDLRLNLFFTIASITANVSALPVGTILDRCGSRVCWFIACLLL AIGGVIMAFAFHEPGFDGYILGNFFLALAGTFLFVPSFQIANAFPKYAGSIVALVTGA FDASAAVFLFYRLIYEASDRSFTPDRFFLGYLIVPVCIFIALVTIMPARDYVSTLQLE NKIEKAEDATRDVHDSDDEIESTSELNRVRKKRAERRKKKIRQIDAVLGDRGERQMRA EREEDRQQTSSVWGVMHGLPAHRQMATPWFILITLMTVLQMIRMNYFIATIRSQYEYM LGSIEEADKIGAFFDVALPVGGVLFTPVIGYLLDRLSVPAMLGLIVLFTTVIGVLNSI PAVWAGYMTVVLFVLLRPLYYSAMSDYTTKVFGFATFGRVYGAIICLSGIANFSQYGL DALTHHTFDGNPIPINAVLAVAGFIVGTSLVIFVFVSVRQLREQDRVDEEERERLLLE EDEEEDEYEDDGSYR PEX2_051890 MGTMTPIDEKPIDDHRIEDIAGKSPPSDEDDEFTPQEQKKIIRR IDLRLVTMTGLAYCISLMDRTNLSMAAVAGLKKELRLDVGERYSIVVLMFFVPYIIFQ PPMTVIIRKVGPTYFLGTIIVCWAGIMIGMGFVQDWGALVGTRVLLGVLEAGYFPGCV YLLSCWYTRYDVQKRFSVFYLIGCVASALAGILAFGLMQLNGKHGLTGWRWIFILEGV ITGFIGILCFFFLVDFPDRAHKSWRFLNERECAFIVRRINKDRNDGDMEAFSLKKFLK PATDLKIWGFAMIFFCLTTVTYAIAYFLPIILMSGMGYGVGKAQCLVAPPYGFAGIVM YATAWVGDKYHMRAPILIFNALLAIIGLPMMGFAKSDAVRYVGVFFTVAGANANIPAC MAYQANNVRGQWTRAFSSATLVGFGGLGGIVSSLVFREQDAPGYRPGMYAAIACNILI ILLVLSLSLWFRICNKQADRGKRVIEGDAAFRYTI PEX2_051900 MAASLFALRGGRQLALRSRVRVPTIARASLSPLNTRRALNTSQP ATRRGVYTSSISDHGDPHPQDIFQPLDTFPRRHIGPSPEAAAQMLAVLDPPVASLDDF VKQVLPEDILSKKDLKVSEPHADISLYRSSVQGGLGETDMLKLLDTYRKQIDISGKTY IGTGYYPTIVPPVIQRNVLENPAWYTSYTPYQPEISQGRLESLLNFQTLTADLTGLPF ANASVLDEATAAAEAMTMSFATMPASKQKKADKSFVVSHLCHPQTIAVMRSRAEGFGI NLVIGDILADDFKIVKDQKDHLIGVLAQYPDTEGGIYEFQALSDSIHGQGGTFSVATD LLALTVLKAPGEFGADIAFGSAQRLGVPMGFGGPHAAFFACADKYKRKVPGRVVGVSK DRLGNRALRLALQTREQHIRREKATSNICTAQALLANMTAMYAIYHGPVGLKSIAQRI MSMTSLLREKLVSLGYDVPVRSNSADGGAVFDTLAIELSSAAEADAIMAEARAASVFL RRLGGNKVGLSLDETVGRDEVKGILNVFAAHKSASPVEVDGTLGLTTVPASLERTSSY LTHPVFNTYHSETEMLRYIHHLESKDLSLAHSMIPLGSCTMKLNATTEMIPVSWPEFS QIHPFMPAEQAKGYTKMIDDLEQQLADITGMAEVTVQPNSGAQGEFAGLRVIKKYFEA KGDAKRNLCLIPVSAHGTNPASAAMAGMRVVTVKCDTKTGNLDLEDLKAKCEKHKDEL AAFMITYPSTFGVFEPGAKEACRLVHQHGGQVYMDGANMNAQIGLCSPGEIGADVCHL NLHKTFCIPHGGGGPGVGPIGVGEHLRPFLPSHPTSEYLQSKRGDTSSPPISAAPWGS ASILPITFNYINMMGDRGLTHATKITLLNANYILSRLKPYYSILYTNDHGRCAHEFIL DVRAFKETCGVEAIDIAKRLQDYGFHAPTMSWPVSNTLMIEPTESENKAELDRFCDAL ISIRKEISEVESGAQPREGNVLKMSPHTQRDLLTSEWDRPYTREQAAYPLPLLLEKKF WPTVTRVDDAFGDQNLFCTCGPVEDTE PEX2_051910 MGSITSDVSLETDYAFTVYRGTFIQSILSDSNSKPKLSRNQGAL WVSAVDGRIQGWDWHAGDENGFTKLMRRSGWVDIDAVEAQGQVNGHGSNIRVKIVTAN EEQNEFFFPGFIDTHIHAPQYRNAGLFGSSTLLDWLETYTFPVESRFGSAPDPKTGHQ TQTDPKDTPLPAQQIYDQVISRTLSHGTTCASYYATNHVPATNALSYLCHTRGQRAFI GRACMDNPDFCVDYYRDFSAEDSIVATRQTIEYIHTLDPEGKLVKPIITPRFAPSCTR PALQSLGELAASYSPTLHIQTHISENVNEIALVKELFPEADSYAAVYDKYNLLTPRTI LAHAVHLTADERSLIRARQAKISHCPASNSALGSGICPVRTLLDEGITVGLGTDVSGG YSPSILEAARQACLASRLLGQSSAWQRDHPKGVDGREKLSVEESLYLATRGGAAVVDM AGDVGGFDMGMLWDVQLIRLGGVELVERSPLDFAPGDGSADLVKAGPVGNVDLFGTES WEEKIQKWVWSGDDRNVKAVWVGGRLVHSRV PEX2_051920 MLFKSLAIASLALLAEQASAAFGITTSSVSYVIDAGSSNPLQFT VSRTSCDITSINYYGSELQYKSTGSHIGSGLGSATVSATQSGDYIKVTCETSTLTHYY VVHKGDSIIHMATYTTAEPTIGELRYIARLNADLLPNEEPFGDVSNIGGGTVVEGEDV FLVNGQTRSKFYSSQRFIDDNIHCVSGSAHRVCMILNQYETSAGGPFHRDINTNNVGS YIGLYWYMNSGHVQTESYRQGLHGPYSMTFSRSGTPSSKLDTSFFADLDIKGYVATSG RGYVKGTASGADSAFKWVVHWKNDAAQYWTYASSSGAFTSPAMKPGTYTMVYYQGEYA VATSSVTVTAGSTTSKSISGSVKTGTTVFKIGDWDGTPNGFRNAANQLRMHPSDTRMS SWSPGTYTVGTSQVSDFPMALFKSVNSPMTIKFTASSSQTGAATLRIGTTLSFASGRP QVTVNSYSGSIPSAPTNLNSRGVTRGAYRGFGEVYDISIPAGTIVSGTNTITISVASG SSGVTYLSPNFIFDCVELFQ PEX2_051930 MHLILTGATGLVGSSVLDAMLKNAAVSKISILSRSPVRMAEDAK DPRVHVITHTDFESYKPELLEQLKDADGCVWALGTSQNNVTKEQYVKITKDYTMAAAN AFSTIQPSDHPFRFIHVSGEGATQAPGRFSPIFARVKGETETLLGALSELKPSFRVDS VRPAFVDPATHDAIKPYIPSAGIVSNIGIALLAPGVRCFMKSMHSPTEHLGSFLTEMA MGRYEEKLQGPGVFRLGGGYIVENAGMRRILGL PEX2_051940 MWKFWLATLLGVGHASQTVLRPEPSGSNFTTFQSPNSPHSVRIR QQNESICAAGSAQYTGWLDIGPKHLFFWYFESQNDPIADPLTLWMNGGPGGSSIMGLL EENGPCLINEHGNGTVHNPWGWSRNSSLLFVDQPADVGWSYIDEGYEVARDSQEAAVD MHRFLQIFISEIFPHKRFSDFHISGESYAGKYIPYLGVEIVTQNQQYPSEPQINLKSC LIGNGFMSSKDITFGYWETLCTTNPGVSTPVFNQTRCDMIAANMPRCMELYDTCTVKP DVAICTAVYSVCYEEIVGLYEEESKKGGRNRFDTPCEIDGVCYKEAAYIEQYLNSPVV REALSPPQGKEYRFESSAVADAFATTPEAMTSSSDHIIFLLSHGVDFLAYQGNLDLAC NTAGNLRWANSLAWKGQTEFTSKPLLPWTSNIAGRIEPVGTAKEVRVQLDGLAEKSRF AFVTVDGAGHMVPQDRGDVALDILTRWIAGDSFA PEX2_051950 MPQSFRLQDKVAIVTGSSSGLGRAIAIRYVQEGAKLVCADLTPT ARSQEEAEITTHDLIVRDGGQAIFVRTDVGDATQMENLVQVAVKEYGRLDMYESLMIK ILNNQSDNFLGLVNNAGISIEARTPAVLHLTDETTWDTTMRVNTKSVFLGCKYALTQM LAQEPHSSGDRGWIINISSIMGMIGGLENPSYCASKGAVSNLTRQMALDYAPDNIHIN AICPGYTQTAIFKETTTNLTPWEDLKRRHPLKGPGMPEDIARTAVVLASDDASWVTGV CLPVDGGYTAR PEX2_051960 MVRGSAILAALSGTAAGIVTSPFKRDLKLSAELGVHPDTLLGHK TTVHAIANSQLDSIVTAEYVSLPIDHSNSSVGQYQNRYWVSEENYKEGGPVFVYDVGE ASAASSAQAYLTNSTTFFYQLVKEFGGIAIVWEHRYYGDSLPYNVSRHMQPEHLQYLN NKQALADIPYFAANFTRGNYSDVDLTPGATPWVMVGGSYSGMRSAFTRHLYPDTIYAS YASSAPVEARIDMSVYFDQVYDGMVAYEHLNCTRDIQAALRYVDEQLSKNESSAAAIK KEFFGEGAEKNSNGDFSAALAIIYNYFQSYGMGGGVGSLESFCAHMEIDPKTNATAPA QGFAHHRGKKYAAERYASWPVFTQLVNMNLDTNCKKLEASEPLKCDLSQPSSDPDTIS WTWQYCTEWGFFQTNNFGRRSLLSKYQTLEYAQEYCNKAFPEAIKKGLFPKHPLVNAT NAETGGWTIRPSNVYWSGGQFDPWRTLSPLAEGTRLAPQGVSLTTEIPKCNVETQENT IFGYIMKNAEHCFDFRSTFVPGAISRGYFHTALTEWLGCFKAKTL PEX2_051970 MLFSPTVVFVGALSVVFLVVRYFAQLHHHRKQSKALHCKPPRSG SSGFFGIPGFIRLSKAVREKRWIDHLYNEYAVHGNTYKQTFLSRNIITTIEPENIKAV LATQFKDFSLGTRHQQFYPLLGDGIFTLDGAGWSHARGLLRPQFTRDQVADLSMLDDH ISNLIDLIPKDRSSFDIQRLFFLLTLDSATHFLFGESVGCMLPPSGKTGVLEKCGVGS AQGFANAFGTAQDYLAARSRAQGMYWVVNPKEFRDASRQVHEVVDHYVNLALESKRHP EKKNLDGRYIFLEALAADTEDPKVLRDNMLNILLAGRDTTASLLSSTFFYLSRHPNVW NRLRREIVEIFGDAKNPRSEMTQTRLKAIPYLRYVLNEVLRLQPPVPINFRVATKDTS LPVGGGADYQSPVYIKKGTMVAYSVYAMHRRTDLWGKDATSFRPERWEENAKHGWEYL PFNGGPRICLGQQYALTEASYTVVRLMQHFDTLENADPHPRQEPIKLSNLTMSHDLGV SIRLYSSDKI PEX2_051980 MMSSPSNSDGNDVVMVGLDDIRDFNIDNILPLPPTDIIEIRKWL QPTAYDLERGEYSRHRLSYLAGTGKWLTSTTTYQQWHQGEENGLLWVKGIPGSGKSVL AASIINQLQKDGIPVIFFFFRQIIDANHQPVAALRDWLCQLLDYSPPLQVKLKNYIND HRDLESLSPSDLWKDLKLALVTLPKVYCVTDALDEMDRGNDEFLHALVELGQWRPSNI KVFITSRPVSMVENSLRSFSIPQIRLEEKLVDLDIATYVQYKLRHSSIAPESWSIIEE AIPGRANGLFLYAKLSMDAFLEPGADAHEVLNVLPADLNVMYNNLLHEHAIRSAVPEN LQLLVLQFVTHATRPLRILEIAEMARSVQGPTTYRNLKETKDLVRVACGPLLEILPDE TVSVVHHSFTEFLKGFTRSSKSDDTTYPILEPGSTNKYLAIACLDYLRSGCLVHQRMK ETANKWEYLDQKGEEKRELKLQFPFLEYAAHNWYIHICRAVSAGADMALVYHMLDTFF AEKQMFTAWRVMAWPRNATRGITALHVAAWAGLAGYAAHLLERGDDIEARDACQNTPL YWAATSGHGDVVQVLLANGADPNAEQKEGYNALHLAAKKNHAAVAKLLLAAGVDPLTP KTQEAPGRRCGNAPTSRGHTPLMYACFNGHVETVAEFLPFLENTCGSVQALNWAANAG QCAVVELIIQQPGADVNATYRGDTPLFRACFREDEKTICSLLRAGADPNIFCENARSE FARGMYVMRSSKTPEDPERGHVALHVLCGSGKRGGQALPACVNFLLQAGADVHVRSPT GKTALHYACEYHKADVVKKLIEAGADPTAEDDSGATPLHTEGQRDAELLPVLLGTGVV DINKAIGKTGKTPLLCRLQGFRLDRVLAFLEYKPDVNIADTLGNGPLHKIFTIYENHG GVIDALLSLGANPNAMNNEGNTPLHATSGKHSSFISELVNAGADLEARNHDGHTVLFK HANGDEQPFKTLIELGACLDTRDFNGRTLLHRCCNDTNRLDYLISLGLDPLTPDHQGN SLLIEIAAIKKNDKQPAIMKHLVALGLDIDQPNHRGRTTLHVLCSSVNLSSSSTEEDN WDYVLGVCKNLDPSDCYGVRPLHLAATISESHVVKLLNAGADMFKVTHEGMSVLHIAA RTRQPNIVALFCSRLAELTVQDRTAFVNRQTKEGETALHYACRSGRPETVQVLLEAGA DPTILDSGNRSPLRACAQFEAEEKLWSSHKKATRTDYLNAAGVLVSDHQRPFFDPPHR NEIHVPQYSEHDTVRLDEILDLLVRYGVLSPSDNCLQKAFDEAISNQYEYTVDCFLRL QYRIPEAQQCYLHYGLNYLISKSRLDATKAALRKYGDLDNISLFRRRDSTTFMRDLMF TRHYDLLEEGAKNLDSSELDRNRIFLLHSLVTWGYKELLEGICDKDAALRFDDHNWCR EAEKKIICSGEYAEPLLVTACDRYLPNMDVVKLLVEKFGVSLNAQFRESSESMETKVA GGALHKLALGCSWWHVHEALPYLIKKGADLELRGDDGVTPLHAAMHPKHGPFRRNAVQ ILIESGADVNAVDANGETCLSKASNDLEITKLLIAHGAHVSAVAIFSAIEMGEIEILE TLLSRGDYANVRRSDPVNPPEESEWRLNILDSEVSPLLFATIPALRSSSFDYDKPRSR SKDVDEKLLDARIMTTLLNHGADPFATFVGELSRPKKYNTVESDSDLDEPDISRPQPQ SQIEPQRRTIIHEILKSEYLSGPFFQLPSLQLERRDSSGCTLLLAASKSCMTLNTKVD FIESGITITKTGFQELIERGADVMAQDNNGNTILHDIGSVTVDSELFKILKGVMIKNP GLLHKPNKKGETFFHLTLISGKFGLIDDLLEMGADPLQLDPSGNTALHHLAEYLNEEK PQAHFKRFIEAGLDINSRNLQGDTPLFKYIENGVVPPQTSWDCGCDEKKDDLTETIFD LFDEAGADFFARNNAGSTLLHLLATKKAGDWRGAKPPYNVVRRFKILMNRGLDPLAED ARQRTSLDVAAVCGSEHIMKLFARKPME PEX2_051990 MKLSSAITVGLANWALAINLHNSPLDYNSAPPNLSTLANATLFD TWRPRAHLLPPSGKIGDPCGHYTDPKTGLFHVGWLHDGIAGATTDDLATYKDLNPNGA PVIVSGGKNDPLAVFDGSVIPSGINGLPTLLYTSVSYLPIHWSIPYTRGSETQSLAIS YDGGRNFTKVNQGPVIPTPPFALNITAFRDPYAFQSSILDKSVNSTTGTWYVAISGGV HDVGPCQFLYRQHDADLQYWEYLGEWWNEPVNTTWGKGDWAGGWGFNFEVGNAFGLNT EGYSEDGEIFMTLGTEGSGLPIVPQVSSIHDMLWVTGNVTNDDSVTFKPTMAGVLDWG LSAYAAAGKILPASSQASKKSGAPDRFISYVWLTGDLFELAKGFPTAQQNWTGVLLLP RELNIRTIPNVVDNKLSRESLTSWRVAREGSGELDLETMGISIARETYSALTSGSSFV EPSKTLSKAGPVPFKTSPSNKFFALTANISFPASARDSGIQAGFQVLSSALESTTIYY QFSNESIIIDRSNTSAASKTTDGILSNNEAGRLRLFDVLKNGKEQIETLELTIVVDNG VLEVYANGRLALGTWARSWYANSTGINFFHNGVGEATFDDVTVFEGLYDAWPQRK PEX2_052000 MIIQMDNSGKFPLRVPGINAIPVNYELDVNERFAHGPPAHSRNK GHTPRLTRPEVLLLRLIEHVTDKKNWEQDIFDENVVTEWYTEAKLDSTLGSDSRYEWD IDFNMDLVSANTWNWCIQELRDKALHSTKKGYVLILNADSGVCKSDLLVASNLQESLQ DSLGHILNRHSKLPLKEKDLGSILELVDPSLFMLAYGRTAVLNQGGQFEDEGKVMRIA SYINNLHPKHTKAYASIEQLISLSLEPWNDVLKKGTTSRYPLRIKTFGFEAIEPDEIW GTFEPWLWKDKLPGGTWTQETWDDYCIKAKEYLSLPELDQKYRVQHQDPWEPQDEPED IIGSITPSMCESWESADLWNKPIEWKWERLHTFKYPEAGASYSYEDWKSGKTAKPFID RREDRGDSPHTDHMYQSISLQDDFREQGLQIVIRVSSIDLTPENPSYQGDDDFHVDGL RNEHIVGVTRYYYDVENITDARISFQQEDDINPFEFRLGVDAMHKIFGLPLFEGEEYR PRKLQTFGSVGTNQGRFLAWSNSLRYKTRPFTLDDKSRPGHQRFISLFLVDPHYRICS TQNVPAQQHKEWRDAVLGEMACFTKLPQEIADTIMDQTGDWPIGTAEAQKWKDDSEAE RHRAREVQSSEVGYYSFWAPIEHMEADIVVSPSGTRTCHGVPV PEX2_052010 MSQKDSHPTEFSPRAWLAIFGAFTCAFCTVGFMNSFGIFEEYYT KNQLSSSSTSTVAWIGAISIFFLFSTSVGAGAMLDIFGPKLMVYVGSFGCVFALMMTS LCKEFYQFLLAQGVVFGISMGLATWPMLALVGQYIKLKRAAAMGIVLAGSSLGGIIWP IVIDRLIKHPSIGFPWTMRIVSFVMIPCFIFSCAVAKSPDTPKTNLESRDSSQRSDEI ITEQKDIPQSHKAEALALVRKPSLQLLCLAVFIIYFGMFSPFFYTTSYAVEKGFSTSL AFYTVSIVNGASFPGRVLPGIIADKYGKFNCCIIATMSAGIIALCWTKVTSVAGLVIW CAAYGFASGGILSLQQACAAQVATSTTLGLAIGTVSGSTALSAMANVPISGALVERYG YLSLSIYSGVSLLLGGVLLIAARLAQNRELRAIV PEX2_052020 MSQADQRPMLDERMSASPRTLQWTPQQDRETQSRNGNEPLLTAD WLETIVMGHRVPSAVPATLRAELSQHREPNRSPSHQNTTPGGQFPPLCERLASRENPA TIHLPSYLPPLAEALSLFRYYCKYLDFQYHLIIPRHVEQQIKNIYEHVARNGSINLAH TALLFAITAAALYYQLLIESPEHAEPFSQEHTFLAGAALIQSNYIPYPSLEGLQATMV IGHHLSNMNVPPSVSPLFVHRSFVSQAISMGLHIVDCPRVVIERSANEFDKTNVELKR RLWWDLATYDWLIGFLSGPQEWTYSIHPQHMVVQQPLNIEDEDIDHIENGVPLSTPTA MTYSLCRSKLAVVCRQIVDEMAYFHFHEQEVPYEKILELDQKLHKICAELPSFFRFDQ TSRREYSALYHERPALAWQRALVQQGYHSRLCRLHRHYLVRGAKEPKYSYSHVVALRS ARKVLEVKRIMDEEEPVFTPHSSVIWAVMHHVFMAAAILLIDVCFNWDDILAEKRKEE VLDACRMLSRAQQSSPIAREGIKAMMGILRKHWKHEKRVSSRDLQELSASSNSNSATV NAPQPDIPTPASLDPKNITLPNAQLTVCPSEDALLTPLPLEDLWAEMLDSSANVELST PDWTDLLTELTNVTLPSE PEX2_052030 MNPDIKSIIDRIKTGKVTRIVVLTGAGISTGAGIPDFRSPNTGL YDKLAPLRLPYPEAIFHINYFSHTPEPFYAIARARHPGNLKPTITHAFLALLAKKNLL HFLFTQNIDGLEQDAGVPADKVLWAHGNWKSQHCYKCKSPYPDDLMNKAILAGEVPYC LKTGCGGAVKPDVVFFGQSLPAEFDQKEKEVLEADLMLVMGTSLRVAPCSRLPGLVKK GIPRVLINNEKAGDLGNRDEDVCILGSCDDGVRQLADALGWGEDLNDLWKEAVAAKKD EEIFEGGPSLDECIDKLASSMKDRMKVSDGHKRMLETHLNNKFGQMIAKGPTRS PEX2_052040 MPPYQPNPLPYIRRYITTHNTEGESVFVSHAQVPDYLPSTPTGE DGEIALLYATTSMPASVDAEADVAMYDEFLHQPPGITVDNGTLFRLIDLRPGKATPMH RTVSVDYGVIIEGDVDLLLDSGASRHMHRGDVSVQRGTAHSFRNRSDTEWCRMLFVYL PMEKLNIQGKELKAEVYDEGYDNPDNGEGDKGGSNETE PEX2_052050 MDTPIRRVIAGHDAQGKAYFASDEILTPYDPTSAPTFSTPGPDS GFGVIQIHRSRGFPVDNMRELSDPHKTLVPLADTKGPSCRILDLPPANAGWFHRTLSL DYAVVLSGTVGFITDGGEEKILNQHDVIVCRGANHEWVNRGKDVARMFVVVVPSREII TENGKRLEKTPAGDIYDPKEEED PEX2_052060 MDRDQFKTAAHSAIDDIINYFDSVPERRVLPAVEPGYLRPLIPE NPPDEPEEWAKIQEDVDTKIKPGLTHWQSPNFMAYFPACVTYPSILGEMYSATFTAPA FNWLCSPACTEMETIVMDWVAKALALPECFRSTSETHGGGVIQNSASDAIATIIVAAR ERRVRELLLAEGLKEGTPEYDDRKFDVQAKLVVIASDQTHSSGAKGALVAGSRFRGVT TRLEDNMEMTGPRLREVLEKCDKDGLTPYHLTLTFGTTNTCSVDRFAEIKAVLQERPA WQRIWVHIDAAYAGASLVADEWQYIAKDFAEGVDSFNTNMHKWLLVNFDASVLFVRNR LDLTSALDITPTYLRNPYSDMGNVIDYRNWSISLGRRFRALKIWFVIRSYGLNGMKAH IRKTIDLGDIFAGLVRGRSDLFEIVTKPGFGLTVFRVKSPQAVSNGASGSPDRVAKDE VADGLTKKISELVNTRGEIFITSTVVDGVCVIRVISGNALAEEKYIRNAFDVIVRTTE EVLQEHILVTGATGFIGAHVVDNLLARGFSVRGSTRSKQKGDQMKAARPQYASKLDFV VVEDFTQIGVFDSVMDGIDAVIHVASPFFYDTTNNEQELILPAINGVKSILSASAQPG SKVQRLVMTSSFASVVNLSSTPAPGFTYTAANWNPLTYEEAIDPKSDSVTAYRGSKKF AELAAWEFVKEQKTKFDLVTLCPPMVFGPVVHPVPTVEQLNESNMMLWSVAAGADPLP RARVPGWIDARDLAEVHVQALLTPEAGGKRFVAASPEPFSYEYAADIIRDAFDWAKET VTTNYKMGEKPSASYGIDGETVARELGVKYRPFKETVVDLVGQIRELAA PEX2_052080 MTAVRLVGPIMADPIVSPRIVFSGAFAASAVIISNTQSPALVIF IWNLLAIVPLSITLTEATERISKDLGETAGALLNITIGNLAELIIFITALMKNNIKVV QSSLLGSILVNLLLVLGSAIIAGCISKSDVTYNTDLTQSFVGLLNLTISCLMIPSAFY GSVKSVTSADHMSLSFSRGVSIILLGTYFLYLFFQFKSHAHLFHSTRLQVPHPTDPQQ YTDDLESHPTQVGSATSRDIRQLEMQEQCHYFTTSHPKEERASTDKRSHLSETMDLLA LSTILIAVCAEYFSSSFSTLNDQGVLGESFVGLIIIPIAGNVAENVTAVVVASKNQMD LAISVALGSAIQIGLLVSPAMVLIGWALDKPMTLHFDRFEMVTLIGAVLLVDFIVLKG KTNYLEGAILCACFAAISVGAYLLPLT PEX2_052090 MSTPNSLVLEPATIQDLPAITELWFTVFNDPGMRHLIPDTPGAR DWFTAANRSDMLTKPYQKYLKVIDPNTKDAQDQARIVAYAKWDLAMPDERGARFPPWH GDMPGQDCDSFFGGLEKERKRVMGDGKHYYLDMLGTHPDYRRRGAGSMLVRWGCEIAD REGLRAYIDASKAGVPLYAKHGFVDHSDPAKPSDIAPMARG PEX2_052100 MHVLALVTGLAGIAHAACPYMTGEAGNNPHIERRADGDAAANTE EFLSQFYLKDQDVYLTSDVGGPIEDQNSLSAGERGATLLEDFIFRQKIQRFDHERVPE RAVHARGAGAHGTFTSYGDWSNITGASFLSAKGKQTPMFTRFSTVAGSRGSADTARDV HGFATRFFTDEGNFDIVGNNVPVFFIQDAILFPDLIHAVKPRGDNEIPQAATAHDSAW DFFSQQPSTLHTLLWAMAGHGIPRSFRHVDGFGVHTYRLVTDDGNTKLVKFHWKGLQG KASFVWEEAQQTAGKNADFMRQDLFESIEAGRFPEWELGVQIMEEEDQLRFGFDLFDP TKIVPEELVPVTKLGKMQLNRNPLNYFAETEQVMFQPGHIVRGIDFTEDPLLQGRLFS YLDTQLNRHGGPNFEQLPINRPRAPIHNNNRDGAGQMFIPLNPNAYSPNTENKGSPKQ ANQTVGKGFFTAPDRSASGKLQRTVSSTFEDVWSQPRLFWNSLVPAERQFIVDAMRFE TSNVKSNVVRNNVIIQLNRISNDLATRVAKAIGIDAPKPDNTYYHDNTTANIGAFGQK LAKLDGLKVGLLASVDKPASIAQGAKLQSALSSAGVDVVVVAERLVDGVDQTYSASDA VQFDALVVADGAEGLFGSKSFTTLPNKASGASTLYPAGRPLDILLDAFRFGKTVGALG KGSAALQSGLISSDREGVYTGSTAGDAFAKDLKEGLRTFKFLDRFALD PEX2_052110 MQFKSFKCAVLPLLLSLVSGSPGSRITRCFREHTGSTSAGNFSN NLYQTGFNGVTWDEDNWLLSSTNLEQGRFQSRGSVANGYLGINVASAGPFFEIDTHED DTNGWPLFSRRQTFATVSGFFDSQPTTNGSNFPWLSQYGDDSVISGIPHWAGIILDLG DDTYLDAKVDNKTISNFRTIYDFKAGILVWSYKWTPRGNKGSYQITYRLFAHKLYVNQ AVVEMEIIPTTGSDATIVNVIDGASAVRTDFVETGEDDGAIFSAVRPNGIASVTAYVY ANLTGSLNVDLSSRKIVSNKPYVHSNQSSIAQAVNVKFKPGQLVRVIKYVGGASTDAF VDPKQTAKKAASAAMSNGYTQSLRTHLVEWENVMPDASVDHYAFPNGTLPADSHIIDL AVISVASTYYLLQNTAGKNAVKEAAGAPVNVDSISVGGLTSDSYGGQVFWDADVWMQP GLVASHPEASQRFTNFRVAKYAQTQENIQTALSGSKNQTDFSPSAAIFPWTSGRFGNC TATGPCWDYQYHLNGDIGISLVNQWVTSGNTNVFKEEHFPIYDSVATLYADLLVRNGS HWTLTNMTDPDEYANHVDAGGFTMPLIAETLRHANVFRQQFGLEENATWDEMADNVLV LRENEVTLEFTTMNGSAVVKQADVVMVTYPLGYTANYTSQNSLDDLDYYAAKQSADGP AMTWAIFSVVANEMSPSGCSAYTYAQYSYKPYTRAPFYQMSEQMVDNATVNGGTHPAY PFLTGHGGSNQVAIYGYLGLRLVADDILHVDPNLPPQIPYLKYRTFYWRGWPISAWSN YTHTTISHATEAAPLETADQRFANKTITIHTGPEVDTTIYYLPIKGSVVIPNRQIGSE NTVTGNLVQCRTVSSSDAFEPGQFPISAVDGAASTKWQPSLAADVSSVTVSFEDEVSA LVSGFYFDWAQAPPVNATVIFHNKTLEDPARALSAESSDYKVIYSLTNIIQSNPYDET TNLEIIAIPTGNTTNVTLSSPVPAARYASLLIVGNQALDSVDLEAKNGTGATVAEWAI LRQEKTHTSSGPKSANKKMEVRVAAAVSDTDAFMRRRRVLLSNSRG PEX2_052120 MPEYNDSNRAFLQAFMARSSMTFEDAQPILAAILTVSEGRTVDP DEVGEDQFSDFISAANTAVSPFDLEIRSSLPQVLETAQQDAPATPPKRVYALVNTTSD PLTQLATTYSPDEIAFLKRLLDHMFVTNNNRLCEGMAATQMQAVQLHKVPSSERQSTG NDSTQTQTAAVQSLRMTQAETMIIHLIQEGWLQKSPKGYLSLTPRALMELRGWLVLTY NDESLDGRTVERIKFCAACKDIITVGQRCENRDCKGRLHDHCMRNFFRMQQAEKCPVC KNEWPGDKFVGERALTANPRPSNAAPPQRQSSSAAPSALGEISEDENDNEEQEQEET PEX2_052130 MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILMPNMYQNPAYDPKNKMNPSQMQNHFDAFYEDVWCEMCKYGELEELVICDNNNDHL IGNVYGRFKYEEDAQKACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKDPSPELDRELRLSTKKWLKERGRDPRSASRSPSPEPARRRY PEX2_052140 MSTVILGGGIIGASTGYYLSANQTEGEIHIVEQSPELFTAASGY AAGFLARDWFDSSLAPLGAMSFDLHHELATTQGGEKKWGFMKGTAFNLDTVAQRQRGG PRGDDWLRTGTSRAETSAGSEDITPVEFPEWLTMQKEGIVEKISQGETVAQVDPLWLS QFLINGAVSRGVKLHNPAQATAVIKDGKDMITGVKIVSLDSKKESIIPCTNLVLSMGP WTPRALRDLFPSSQVLFDISPLAGYSLVVRSPRYTMEHERNVYRGSSHAVFTTHPPSC GFSAEIFLREGGEIYIAGLNNPTMKLPSCAGDTSQLFDPSEMQKLKDVAVRLMGDLPK GTKEATDETSNTDDLEIIREGLCFRPVGDNGIPTIGRVEDHSLGGVKVNPKGGVFIAA GHGAWGISLCLGTGKVVSEMIKKQKLSVDVSGLAVQDKSPSSKL PEX2_052150 MAAKTIIVTGASRGIGLAVAKYLLTAPQSHNVVVVARSVEPLQK LKEQYGKQVAVLNGDLSDFSLAQQAVDTAIKTFGQLDGMVLNHGLLGQIGKISDADPQ QWKEGFDINFISLVAFAKAALPALRESKGKIIFTSSGAAVTGYRGWALYAATKAAMNN FAMSLGAEEPDVTSVSIRPGMVDTEMQRALREDHATALDAEMHSKFTGVHKDGKLLKP EQPGHVMAKLVLDAPKEISGHFYSWNDKELEVFQE PEX2_052160 MSDREFNSTVQKIITEILPPSSGQTFSKDARDLLMECCVEFITL ISSEANDISEKEAKKTIACEHVEKALRDLGFSDYIGDVLAVAEEHKQQLKSREKKQSK MEQSGLTEEELLRQQQELFRSATDKYHAVPE PEX2_052170 MGRKSNQRKSSHRGAPTRSHHSSQRSLLPPITMPPFKDEHILLI APGSQMTLAQLGLPETFTPARFRFPTRMFPAEKKGEYEPYRVHERRQEVKANNGTDAP KPDVEMKDAEPAAADDITATETTVKTDENTQDNDDPTKTIEEIFYEEDLASDEGAIYP IENGRIVDWPCFFALLTHIHNTLSPPFHTPIMLIGQPVWSARDREAITQFVFEKFKAP AFSLMDSALAACYGYGTATATIIDVGKGKVDVTAVTDFAVNEHGRGIALEGCGGDAMT DRLVELLGPKGFTREMCEQLKRSNITEILPPGTPLPGSSATARQGVNPAAAASTGGSD GDESAPRGPGDGTQTGIEGDNGDEEEGVLDVAAIVSGNPTEYLANVEKEKTTTKKGAV DPKGARLPNSKKEKASFQFEEFTQLEGEKAAAHGARQYIRHTRDIEVSVERFLLTSPR SKVGNRLTSGLLEDIATQIHHTILAVPDATKRSELWDSLIIVGCGSKVKGFTQALLAV ITQKFILSPSGTIFTSEIPSNFTTPLPTGANTPAPMGQSGPMYHSAAPGVNPLLVAAT HNNPGMPGTPSMDPSMLSHYRSTGHSQTPTSVKTLKLPEYFPDFKDQGNSNAPGASGG SAGGNPGASAISQGGHGMEEAVFLGAQMAAKVFFVIDQGLSKGYMSRVEYNESGPSAI HEYIL PEX2_052180 MGRAEAGSTKAIGNKIKSKGLGRLRWFCQACEKQCRDENGFKCH TMTEAHVRQMMIIGEDPRKHIREFSREFERTFLDTLRTTHGTKPINVNHFYNQIVADK QHIHMNSTEWKSLSQFAAYLGREGKCRVEETDKGLVIAWIDNSPDTLRRREAILKKER QEKGDEEREQRLIQDQIKRAQQAALASSTTTDPEPEARLLQRKEGEKMTLNLGLGSKK ADTKPTSPPTTTATTTATVSLEGTDAPIESTDSTAPSAPTAPVKISMSMGAQKPKNVF AVAKKNPLAGKKGSIFAAPKKMTEQERIMRAEMEAMERKRSRPDSGFTNKRPKIT PEX2_052190 MSNIERITDTSGTVALGPSQTSQESQESYDDEFTLPTKEENQTL RKVADNLPFVGFSLCLVEFAERASYYGAQTLFSNFVQFPLPEGGNGAGSPPRGTQGTA GALGMGLQASTGLVLLFKFLAYIVPIFGGYWADTYIGRYKAIVIGVFICGVAHIIQVV GAIPSVLQKGKSNAAPPFVIGLLLLAFGAGIFKPNISPTVLDQHKSQTPYVKTLKSGE NVIVDPETTNNRTMLLFYMFVNIGAFYMLATTYAEKYVGYWLSFLLSGIIYFLLPILL FAVYKKTKKHPPSGNKDLEEAFKIGWTALVQNRFQFWRKDFWDAAKPANLRSKGIEVT WTDTAVFKVAKTMEACDIFWFYPIYNLNDGGIGSVSTNQGASMITNGAPNDVLNNFNP LTIMAVIPILTFVIYPFLERRKLKPGPITRMTIGFSVATLAGIVGALVQWKVYQLSPC GTHASTCDNVADISIWWQIPNTSLSAISECFANVTAYEVAYARAPASMKGLVVAIFLF MTALSSALGEILIPAITDPWLIWIWAAPAVALAIQTVIFWFRFKHMNSENYFADNVDS SRVEEQQVLSKTEA PEX2_052200 MVETQETLRNRGISETPRPLDYNYPAIGHDTDPVFPEEYTVETS TGLVPQKTLEQIRSHGSIPSTKVSTPANEANTDIDIEKGGKGPTEFVTFTIDDPEHPY NWSRLYRWYITMVASAVVVCVAFGSSIVTGGLGLIEERYNVSLEVAILTCSIMVCGFA VGPLLWSPLSEIIGRRPVYIISLGLYVIFNIPCALSPNIGGLLVSRFLCGVFSSSGLS LAGGTIADIWSIEERGMAIAYFAAAPYCGPVLGPIVMGWINIGTGRLDLFFWVNLAFA GAITILIGLVPETYGPVILKRRAARLRKETGNPNIITEQEKTKLTFSEIARTSLIRPI TMIMTEPVLDLMCMYIVLIYAMLYAFFFAYPVIFPKLYGYNDGQIGLMFIPILIGAGF ALVVTPAIEGKFKKICSIRSPTPEDRLHAALLGAPFIPIAFFILGATSYKHIIWVGPA SSGIAFGFGMVLCYYAVNNYIIDSYQKYAASALAAKVFLRSGGGAAFPLFTTQMYDRL GLQWASWLLAFIGVAMVFIPYIFYFFGAGLRARLTRD PEX2_052210 MGHCKSECHKLERKNRGQQGHRTNELPSLPLGLSSSVPPSRRVE PSQNTIDSQPTLPSQTTKESAMNSWELVPNVIFPNLHNMICQLTSGLATDPDVYLQRL PYHSVITAHGGPQKIAQMLLDWIDPHIRTLEGRFIRTEDLLSVQACQNNLWSPNGGYV DIVTDSRNHEYWRAYPGQSKEPPNRIKIHNRAILRGSRSTLHYYVIAQGQGYRAANWL KLWEVPTDTQLSCTSRAVALNVLEMTMCRAFQSLPGSILEAYYGPKEDGKSYSDLGLN IIPPVLQGLSLGPSVRKSFSLSLENSPDPDINGWPQFRYEYGKKAEKFNINFQRPLMR SSEFHARFRDAIQHPQLSQSFRGSESLPHSALSNFELLNQLKEDIEPIGLPWIPPNGN FDALVGFALEDFLDSESEHSSNTKISMPCQFQDSGWNMTNSLTWAANPIQRDLTKMMQ SMRSNQERETESIIRFNQSIIQHSGLRVVLMCGRAVQDLVLPTEREETRLKLEAGEFP MFLEVENEAIKRVYVLIPNQIDAFLLREWRKTHKISEVLHFTSAITMTIGIRPYAGDN GCVLTKAIRDYMDERKGIQEPLMLETLHPMTRLWLTRRGFAKDEDISLLQEKAGGSLS NAILVLLHVTPQHSLNSRTTSSMSSFRRSEKHHVPEFKIDKVQLEAVRDLCCQLSKKL PVDLDHPSYELIQDVKSREEDLEDDVGEADVLERGDLETSQIFVDKHGTFTIVAQTPV TPWENKKPRADASNRSFTIGDKTREELLRGRKFLGTRREKGIFVVTIHSSIQLFLRIE EPPRQVTVKAEIKPPGQRHPHVWAQETLATDPGSRLAFCVMYTRDDVKISSYATSNMV RDLYKANSFVHWMEGEGVADIVTRPRQFVVVSSLATTLPRGISQPGSFYTDDCATLIP AKEFNSGKKRKVDAV PEX2_052220 MDPFRKRFSVDDIPRLSGKVIIVTGGATGIGKQVVEQLLRHNAK VYVASRSKEKFEQLFNHLELIDPLMVAGLCFLELDLSDATSCISAAKHFTDLEGRLDV LIANAALAVVPETLSSDGIEVQFGYFGHFVFTRNLLNLIQYTSEAFGEARVVIVASHA HAMYKPVLPNKIDFEGLRTEGQQTIKSLADVQASLQRYARSKLANILFARRLHAHFQA TGYSNILVNCLNPGTVGTAPGSDSAALPPAFKFVNTSLVRLMSISPEDGALTTLLVAT DPEIKSKSLSGRYFDVGPLAGKFYYGYTWDATDSKLSDLAKDEHLGEMLWNWSAEAEA SINLFV PEX2_052230 MTPKESGDVSNILRPLGQDLRGKTAIVTYSPLYLRFLKPAGLAR GATRGIGRAIALHLASRGASILGTFSSPESAYRLDELTRAVLDLYCDFEVDAPKIVGV AANVLSLDSPRLIKEALIRHFEGKVNIVINNAAYDPMRAMGTLDDAYVQNVLMGNTHA LVMLMDTLYAHNMIQPNSRIVSISSESGRRIPFPEMYLVGATKATMEALTRSWADILG ASPTTTGTTVNALLVGATATDGLLREAPTVFRQRATDALQYGSNLTDGVALPEDIANV AGLLVSERANWVTGSVVCANGGKLKIL PEX2_052240 MHVRGLSFVLLSTSVYCLSPNPSLERRATTEIPSDSFNSLDTYW NYLYPWGDTHNGGSRMDADHVSVSDGILTLTAEPVSGEPSPIHYLSGAIHAKSTFAVE EGGGLDLNAEFIAPISKGTWPAFWLNAASGWPPEIDIAEWKGSGKVSFNTFNTSSEVT TLDLDYPDPTDWHSVKAELRDENGSDVSVKLYLDGELVMTQYAREYVGQGLRLIINYQ TEGSSGSPGPSRTTTFQVRNVEVISQNA PEX2_052250 MVQFKAPLLFHNVAVAHAAVVQDDTYFYGQSPPVYLPYAQYDGP RGLRARTFQSPISGIPDVSGRKDRLGFPGMCVQDGPNGIKGVDLVNGYPSGIHVGASW NKSLAYHQAKAIGNEFRRKGATMALGPPAVDHLGRIALGIRVPARQV PEX2_052260 MMKPAFIATLLVILSASALGTVEPRQDATNSSSCIQACSRLVRN TDYRTHFPGNGDLEVWDAKQQEVRSACRVQPTSTDDVADILSVVLDTSCSFSVKSGGH ARYPDDSVSVGGVTIDLHRMRSTEVSTDRKSVRLGAGHVLYSMFSDLGRHNLTTVGGR ASDVGIGGFVLGGGFSHLSPQYGLAKDNVFHWTSKVLTGTSIKDCPPQYHSGNREERT HPDLYFALRGGMNNFGIVTYFTMRAVEQGQLYSGQKTYKADQRAATTDQAYELTTRWK NDTAMSFYYSFGYNQEADDYDLSVTQTYAQPILDPPAFRELNQIPSESSTLRIDWLTE FSKEVASATPPGGRNLFATVTYSPSAELDREIQDIMAEEIQSVKKAPSFFPNLVIQPL YEGAIRAGKQRGGSAGGTDADSPLTVVLLTTLWNDVADDDAMTTFVNRWVERSEAATR DAGKYHPWRYINYASKEQDPFAGYGEESLQRLRNIQASIDPNGVFSSTGLCRGYFKLR PEX2_052270 MIDDWSDEFIPRELRDNIIQLDGPDHHEREGYTVNLAQGNYEND LQAAQDAAAQDQSFDPNNSRHFLTGSVSTGINGERQNPDVRMLDTLLDVLTNGPRPSE QCDIENPDSIYRLSLPRQQIPQKVNGLCVVFFAYLEATIVQGIDDTIPHDPHDPQVNL PSAPPSTKEMETDVEFFLRLSCDRLCGTNDPGTF PEX2_052280 MAVTGYFLDYNWEYREVLLGFEPLSGTHSGLNLTEVLIRLLQQH DITDRVLAITTDNASNNNTLVNFINKAIQSLELSNSSTIIRVPCIAHVIQLSLKDLLG QLKANPKNEMAEMELSESRIQSLRVTQQERKIIDTLNKIRSLAVYIYSGPQRREAFYN LQTEEPKLVPIQDVKTRWNSTFLMLRRAKRLQSTFDELVPQLSITGQYDELSQYLNSG TVRSGPRIFWKEHQHKFPALASLARDVLSIPATGAGVERLFNSARDICHYRRGSLNPT TIQDLMIFMCTSRLDFEDEHRAMSNEYLSCEEIQAASEERDTQASGFDPISDDEEGGE GGSTQIRCTDQAPSERSQGKRRLSVRSTPEEVNGDDDEDARVLPLPDIQQCHEFLLFV TFDYPRKDTLDFHDSNAKRANVLSARPPLQQYIPHDYTNKEFLNSEL PEX2_006030 MLHEILLSLSGQPSPLFSTQKGENAVTQDDFPLLSPPEKALLAS VAHLSRLHAQLRKYASDISSTSPSVICRSVSTAIVGTHLDKFQKKILEVERAILAEDS EYVGGYGIVPLSTIVGEFAPWTRPMEWLWAVIRFIQPERKSTEISHVSTGAALMDHLR TEAQTGYLDIKEMALQLATAAETAWMKQLSMWLLYGNLPIYGKEDFFIQEDTENENDE AQFSINVRLLPNFVTAQTAGSILFIGKSLNHIRAKRKTSIAGTSTAPVTLYREHIEQL AGLKSPISPSKLTTAVDSIRLSLSQSTLSKLLPLPKILEILTLLHNFLLLGRGEFAVA LVAHADSRIEESRRRGPSMTRPLGKLGVFTIKEGDVIAALAEAWAELSSLQKEEDPAD EELELARDLLCLSISGRKSGRPMTPAQAPNSISKISKVSFEDLLFPTPTNLTAHIRAP LDLFISSSDIAIYSKIHSYLLGIRRAQIRLGDLWKRTPLRRNHPTPWGPPRSSSIFGQ AQLKARRDRDNVRVRQMRHIWATGSACLFMLSEIGGFFQGDVVNESWQHLRQWIEGCS SSTASAPGSRPGTASSFKSHRSSKPVPHDRVPMRDFPESAQQTMGRHDPEALTVAHRR HLSSLVQSLFLTELPFTNTMRGLLTNIDRFVALVIRLETIQRNMDLETDEGVEDALVD YAHEEREVWDELRATRNDVETGIKNLIGSLRDIDDSRSGEGQGPVELPTNFGQMWPGS RQDDSASPGFSHYVPHKAAGVDRLLMKLDFGSLRSGFGPETTAGFAGMP PEX2_006040 MQVENPQETIEAIKHGEIDESLYSRQLYVLGHEAMKRMGSSNVL IVGLKGLGVEIAKNIALAGVKSLTLYDPAPVAISDLSSQFFLQPEDVGKPRAEVTAPR VAELNSYVPVTVHEGESLVGDLEQLKRYQAVVLTQTPLKEQLVIADFCHQNKIYLTIT DTFGLFGYIFNDFGKNFTVGDPNGEEPAGGIVADIDDEGLVSALDETRHGLEDGDFVT FTEVKGMDGLNNSDPRKVTVKGPYTFTIGDVSGLGSYQGGGLFTQVKMPKFIDFQPLE DQLKKPELLMSDFAKFDRPQQLHIGIQALHKFAETHNGQLPRPHNDSDAQEVLKVAND LAAAGEEKVELDEKIIKELSYQARGDLNPLAAFFGGIAAQEVLKAVSGKFSPVHQWLY FDSLESLPTSVTRSEESCKPLGTRYDGQIAVFGKEYQDKLANVTQFLVGSGAIGCETL KNWAMMGLGTGPRGKLYVTDMDQIEKSNLNRQFLFRPKDVGRLKSECASAAAQAMNPE LKDKIVTLRDRVGADTEHVFNEDFWNGLDGVTNALDNVDARTYVDRRCVFFRKPLLES GTLGTKCNTQVVLPFITESYSSSQDPPEKSFPMCTLKSFPNRIEHTIAWARDVFQTYF VGPPESVNMYLSQSDYIQQTLKQAGNEKQTLEHLRDFLVTEKPLTFDDCIVWARQQFE AQYNNAIQQLLYNFPRDSKTSSGQLFWSGPKRAPTPLKFDSTNLTHLGFVVAGANLHA FNYGIKNPGADKDYYRRVVDDMIVPEFTPSSNVKIQANENDPDPNAQPAGSSTDEEEI QKLVASLPSPKSLAGFRLQPVEFEKDDDTNHHIDFITAASNLRADNYEIPQADRHKTK FIAGKIIPAIATTTALATGLVALELYKIVDGKDDIEQYKNGFVNLALPLFSFSEPIGS EKGKYQGKQGEVTIDKLWDRFEVEDIPLQEFLDFFAEKGLDITMVSSGVSLLYASFYP PSKVKDRLPLPMSKLVEHVSKKPVPEHQKNIIFEVTAEDQTEEDVEVPYVMVKLAN PEX2_006050 MATGNSMKITDWVKPGDKSGEFKRQQSVFRNWISREAGAQFPPE KGRYHLYVSYACPWAHRTLITRKLKGLEDFISFSSVHWHLGAEGWRFATPDEKLPGEN TIPDPLHPEFTHIRQVYFSNDPDYTGRFTVPVLFDKKTKLIVSNESSEIIRMLYHEFD DILPEQYKKIDLFPKALQAEIDASNEWIYNDINNGVYKSGFATTQEAYEKAVTTLFSS LDKVETHLAKQEAASKPYFFGDEITEADIRLYTTIVRFDPVYVQHFKCNLRDIRSGFP AIHRWVRRLYWDVPAFRDTTDFEHIKFHYTKSHKQINQFGITPLGPVPDILPRDEEVR AVQEAK PEX2_006060 MASGESKDERDERVAKLWETLDTRKEGHIDLTGLKKGLKKIDHP LKNADDMVLRVVREVDTNGDGRIDQAEFRAFLNHTEDGLWQMFQSIDRDHNGEIDKIE LRNAFSRSGVTVSSAKLDRFFAEVDKNNDGVISYTEWRDFLLFLPLHSPTDLHAVLSY YTASGNLNPEGDVNINDLQGLGTDHPFLSPYILAIQHFLYTILSLPALASLLPSAHAQ TSHISKLGPVFELDFVSLDGDLELEWLALPQTTAMRMFFRYYGRKLTENTPQLGYFLA GGIAGAVSRTATAPLDRLKVYLIAQTGITSTVQAAKDGAPLAAAGNASKTLFDALKDL WRAGGIRSLFAGNGLNVVKVMPESAIKFGAYESAKRAFAQLEGHNDPKRLLPTSQFMS GGFGGMVAQCFVYPLDTLKFRMQCETVKDGPKGNQLIAATARKVWNKNGLVGFFRGLP LGLVGMFPYAAIDLSTFEYLKRTLLAKKARDCGCHEDDVPLGNFATGAIGAMSGGFSA SIVYPLNVLRTRLQTQGTIMHPPTYTGIGEVLRITLKTEGLRGLYKGLTPNLLKVAPA MSISYVVYENAKRTLGLR PEX2_006070 MAANYWASTQRRHWLFSREKLADIREGLREKDKVAHSQFPLPDQ RLLNIYFNQQLIKLGKRMSTRQQAIATAQVYLKRFYTKNEIRQTSPYLVLTTAFYLAC KMEECPQHIRFVVGEARGLWPEFITPDVAKLGECEFALISELSSQLIVHHPYRTLSEL QPELSLTSDEVALAWSVINDHYLTDLPLLHPPHVIAIMAIIVAVVFKPTHPSSYTGTG QSALAGSMRDGGGMQMLAALSDKTGSGPPPKIQKLVGWLAESEVDIKAVIECTQELVS LYEVWEQYSEKNCKELLGRMVKSKNLDK PEX2_006080 MTLQSSKTTHTSEKPQRTVLVVGAGPVGLAITLRLALAGIVVDV VEKESRVDEEPRAVAYYASALNTMNKMGVIPDMEKVGSVSEGFCWRKPIHADGQ PEX2_006090 MSAYFYNQHQQHQQHSHHGAHMQSASNTHHGRSRRAPKMASQNA QRQFRGVKSMRELAEAPAVTAFRARFEAGRSFDLDDDLEFCPGLLTEDDLHSIHSASD RSSLSSGSPDTSPLQHQIQPVQQVTPSISLSPAQTNSYVHSGVAGNPNHVNYQQQQPA NRTRKVIPIINPSTGMTLSSPPSSISPAMMQTAQRRW PEX2_006100 MQVILFLAVFFSLSFNALSMPVAPPNQLQGRSFKVERVKRSDYV ANGPAALRKAYRKFGIDATTLNGVDVSDFQPFETKHTVSLKIAKEDVADSEQTGSVDA TSVDGDVEFVSPVNIGGQTLDMNFDSGSADMWVLSSRLPKSLRNNRTVYEPSKSSTFE ELANSTFKIQYGDSSYANGGVGKDVVSIGGVKVAGQSFGLPTDISQTFADDTKSNGLV GLGFSSINTVTPKRQNTFFDNVAPNLDEPVFTVRLLSNGVGEYEFGTVDPKKYQGVLA NVSVDSSNGFWQFDSAQYAIGEGPLHPITKTPQAIADTGTSLMMVSPEVAEAYYKQVD GGLYAKNANGYIFPCTSSLPTLSVAVGSGYSVTIPGSFMNWSEVGTNTTTGETVCYGG LQSSGSSSMAIYGDVFLKALFVVFDQRGPSLGFAAPA PEX2_006110 MATAAAPETSLLSLLYRSYPAVISSDSTEPDYLHASAKIFPQVT FNEAEEADIKQWLNTVSGLKNSLANDQKDVTTGILDQLNIHLATRTTLLGAKPSVADI AIYALLAPVVEKWTPEERTGEKGYHHIVRHVDFVQNGRLFSLQIPEEEKIAIDLNDVK FVPKPVDPKEEKERKKREKANAQNPDANNESKPLVIGQGKAEQAAKAQTQAGADGAPV KTNKKEKKEKKEKAPKPAPAPAAPPAPSLIDLRVGHILRAINHPNADSLYVSTIDCGD APGSDNTSLDEETGKTVRTVCSGLNGLIPLEEMQGRKIVAVCNLKPVTMRGVKSCAMV LAASPRVAEGEDSHAGPVELVNPPADAPAGERISFEGWNDGQPEKQLNPKKKVWETFQ PGFTTTADREVAFDSSAVPSVHGQEGKPALGKLVAASGGICTVKSLANATVR PEX2_006120 MTKSNITTLPKLPKEAVRLAHLLEDFFQTEKSWTGYLHPDQKVL ITFIAQNYDTESTPTKSVTRSDNNLTCYPRRWTHKKHQVKKAIEKTVDLASPLLERSW RSQLGAKSILDLPVELMWLIERELSDSELYNLCQANGDLYHILSGRLCWRGMQDLRAF KRSLQRNQKESFIKAIELLSNVPETAPWNAISLYYMVNNENVKLIQFLVSKDGLLGCA LRTLIQRTLNCIGRDNHDQIYESDNWRSTEHCLKWGADPNYRFEDGSSWICSTIKSSK PLHGHELDVEHETQERGERAYRHVKLLLAHGADPNSVGSPTEMASTLHLACLYNQPKI VQALLEAGADPNAKDSRGCTPLHMLFEPSVLNTSYQDILLDILLADPKVKIDERDNNG STPLHAAATCRYNRSAALRCAKKFVRMPDEVDINSQDGEGRTPLWDCIAINQYYMTRL LLAQDRLDPNLGPADAFPLLLAVDLNQQLTVEHLLESKRVDVNKQTSTGQTALLKAID VGNKEVIKMLAKAGANPDIGMSKGRTARQQILAAGIRVKWKTRPV PEX2_006130 MPLSNPAPLEPRTIPTIQTACKLLGYLHASPQWSLRFTPDEVDL IYFIVVNYPEPGEISTSSSGVSIPIPRDLASTQFPFPKTWLTCKGRARKAAKVFLVDA KRIFMTDAFHDWKKQAFTTSILDLPNELLHLIAALLPINSLVILCQLNRRLSSVVGFE LWRPLDRALEALEWSLNHRRLTVYEKAVQELSKIPESMGNEPTWKLFPMIDTDNIHML ECMALKDGFIGKMYRQFLVDTLSDNLEWSKRRHLSVGKVLKLGADPNCFSHNISRDRW NPGRIPILFIAICGDSILCADILRRVTPVLTYGVSHRKRNVNNVHLLLEYGADPNVHI SDKTSALHMAVSLCNSMGKSHIVTELIKYGANANTIGPQGKTPLHMAVERTHFGSYRA PCKSTINALLRAPGIDLDPRDENGRTPLSIAVGVHSKVSAWVVKSVLKSPNVDINSQD IHGKTVLYHSVESRNIEVANLLLSQSHIDPNLNTMRLPLFFAVSNRMTSMVKSLLSTK ATNPNRKDSNGRLALTLPTSKAIIKLLIKAGAELDIRDSTGLTARETISQAGINPEQL MRTSRKRKRKT PEX2_006140 MMLTVGPCGAARCPPAVVRAPRQRSRSPFTGSNPPSTGTQERLQ GPAEYSPWASLHPYSDNDLFSSLAPPLEGFPSAVPVCQSFDTLTHESALWAEPDLSFA DTDWNFVSVNHSTPYPPGYDGGDVPIMDLGYGSVSALNSLSDSGSIEYQHYVSASLDP SASNSPDGHSHQSPISPFPAPVSTSLSSPSTSQGDDNSSRVDSSRVEKRKLNTLAARR CRQRRVDRMKELEAELEKVRKERDDWRLRCSKLEGETDALKGLLTRKSKDT PEX2_006150 MRAVRFHGRGDIRLDEIEEPIRPAFVGICGSDIHEYLAGPTIVP VTPHPITEEKLPTTLGHEFSGTVEEVGSGVTGFKVGDRVVVKPNLFDATCSNCSMGRF NCCEKVGFIGFSTDTQFRYLVPFPSTSVGGDTALVEPLAVAWHAVSGSPLQTNDSVLV VGAGPIGLAIIQVLKAKGINSIIAVEVSERRREFALALGATEVLNPVDVDAVTQIRAL TGNVGAAVAFECSGVQAGIDTAISGLRVRGTTVVVSLWEHKPTIDAFAIVFHEKHVAG AALYDDGDFEAVIDAISSGMLDFYLLFIFASYLGSIQPHPMITSKIRMEDVDERGFKA LIEQRDKHVKILVDISA PEX2_006160 MGTPLTETVIITGGNGLLGSEIAIEIAKKQPFVHLLLTARDIRT DDVRQLMGKIRLIGPRSIEVLALDLTDLKSVASFAKTTVDRVRLRDIPPVTSLIHSAV ATSYVIDDLTSDGHDPVYQTNCLSPFLLTIGLLEAFRAGDGTPKGGAKVINIGCSAIS CGRLDYFDRDHGRNGRLPGTALSAKEENIRLGSSKLMASVALYALRRSLVWTGNISLD IFTLDPGGMTGQSTLRTGAPMSVRVAHQTRSGLRPFLCMVSRSSMNKASVPAKAIARV AFHIDAVENWQKERYFILDSDYEAASVLPSLRDGEKVNTLLMQMMQMIEVETKEMDSP APLRPQLSMISPPTLSL PEX2_006170 MNRHVLEKGHSAYPRGSAFSISPNRFQPRSQPALRRRRQLFQRL CLLGGVSLVLFLLIFPSWRAAVLPTISLGLLSYPGDLHLQTVRYYDLSEVQGTEKGWE RGERVLMCTPLRDASSHLPMFFSHLRNLTYPHHLIDLAFLVSDSQDDTLGMLSRMLED IQNDPDSKMPFGEISVIQKDFGQKVQQDVESRHGFEAQANRRKLMAQARNWLLSATLR PTHSWVYWRDADVETAPFTIIEDLMRHNKDVTVPNVWRPLPDWLGGEQPYDLNSWQES ETALALADTLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMELDGIGGVSILAKA RVFRAGVHFPAFSFEKHAETEGFGKMAKRMKFSVIGLPHYTIWHLYEPSVDDLRHMEE MEVERLAREKEEQERAEQKDSTQSKPLDTDQTVDGEEVQGSAEGPVGQPMKDTMDSAQ GHLKAQAETVDQAEKVEVVEKAKL PEX2_006180 MSTQDSRGILFTHTRPQEGFRLLELTPELEKLLTSKDAPALELK SPSTALAQAVIDPTAHDYVNLCTPTQTYRIRQVQSSNSLHILRPSHGEISQADIKVVE EEAGESGALNLPDEAVTAIAKCSSTLELHIPPGGFSAVPFLEKSLRLYDRRSDDDGDI AMGGSADSTGPLGSNEMRTARENLCQDIPVSTAQCEQGWMELCAFVDGAEEVACWRPS ARSRLAVWKRLVEGAVLQGIDLEKQFLVGELWKSVLDDDEEMEPPFPRALLEAVVRHI CIADERPSLSDDIKWASFNKAECTQWVGETYLAANAPTTSSAIGRSEYLRAWKDCLPE TWRGEAALSKLPNGCYNSPDPTTICFVEPSQRQLTNKTASAPATAAKAKNTRNWHELL KGRR PEX2_006190 MIAAEIPTLPAGESPDVILVPATASERIASIKLNSVAWKGPLDV ETYIERENHLLQQRLTRDGLTCWILVDRNEPEDERTILSSCESYRKTALLAHDGQVEE VSTHGVGSVYSRPEFRGKGYAKRMLEELSIKLETWEMEKEPRRKSLFTVLFSDIGKKF YAQFGWRPFLSSHMSLPATTGGLEKAVTRDLFAEDVQKCLCSETVLSKLREQMRLASQ KTSGAKIAILPNFDHFVWHWAREEFYAENLISERSPPVVKGAGDDQARVYCAWNRNFG ETPEDNVLYILRWVYDEPTSPAEEQITVQAMAAILRRAQQEAHEWNMSKVEFWNPTPL LQKAVALVDPKVGLVHREKESIASLRWTGEEQGLGKDVEWWLNEKYTWC PEX2_006200 MPIASESSSTTTTTMTTFSAPVPATKSLPTNQSALAASFTNFLT VSVHQILFLRSVYPRATFLPVRAYNYPVRQSRHPKVCDYINDASIAVGTEILKGTITA VSIIISSLRTNQPLERYAFDLSGFPRAPAGEVNTTFEDRNEDSSNTGAPVSDRGPAPT SVDLEAQFRACLARLASACARLTPLPRDDEFSFTVCIEVREDALPPAGTTKEEQTWIV AEPGKVHLRSCTAPFSVSKLRNGEPQQAPPKVSNGRAKTVPVRRVEAGELRLELWVEE ARQKFNEPVDSEQPS PEX2_006210 MEQELLSLLADTQSPKADTRRAAESQLGNLYAHDSFAISLTAIA SHESVPVNLRQSALSVLRTFIAAVWSPILDEFKGQILINDANKANVRQALLVLATNDT PQRKVKNSASYAVSKIASADFPDDWPELLPSLLRIINDSASTDGQMHGALKVLLDLVD TGFSEEQFFNVARDLVSSLFAVATAESRRPMLRALAVAVFRSCFDTLEMVLEQHKTAI KQFMDEVLSGWSPFFDTALKAPLPQPPSEEEEHKQGEIASQWRGIVGLKLQVVKTLMK IRMVFPALLTAQSPLFFSTVWTELTNALPIYQNFYIEGERQSRLEDGDGLPHSLDFLV LEELDIIQALLKAPPVKAELQQQLQNAGATASTSSWLPEIMKLASSYAQITTEEEALW EIDVNLFLSEETSVTANYTPRTCSGDLAIKAGEWLKSTAAEGLLTYMNTIFADSSVSW KSREASLYILNCLLRDFGEVDQEISFELASHFTQFVQYATQQEQELLRARGYLVAGAL SKVAGEAFQQTTSSYLEATLKAIAEDPSEVVQVACIRVLQDLMPALPTGLARPFQPAV IAAISEFISAHDLREQTDSDDLKVTLAETLRDTIMADSSVVLSSTAIDVLFNIASSGA ENFQLTLTVTEAFEDIVDQITDNGPDSYMRLCEKVLPSLMGAIDVGSLTEENALTNFA ADLLRALAERSPEPMPAGFVETVMPKLNRLLLDSDEAELIRPATEAVRHMLSHDFAQF VVWRDPQSGKEALEVVLVIIDRLLGPAVDDNAATEVGQLAAELVERAGSERLGPYLPQ LLQAVAQRLATAQQAQFIQSLILVFARLTLISAREVVDFLAQVNLGGQSGLTVVLSKW LENSVNFAGYDEIKQNIFALARLYELADPRVAEVPVKGDLIIQDTGRIKTRSQARKNP DQFTTVPAPLKIIKVLVEELGAASGNKEIDAATAAALDEADSDDGDDDWEDLPGQVLD LGIGATKQGLMSFGEGGSESVFGVRRRDDETQSFLADFFRQASTQPGFQEVYAALTPD EQAKLQSL PEX2_006220 MSQPNLDERIQVDTSDDDSLFEIQTISGSNLSFASSVRDYNYEN GRRYHAYRNGQYPFPNDQEEQDRLALVHHLFKLLTGGDLYRAPIRNSNPRHILDIGTG PGEWALDMAEDYPQADIIGTDLSPIQPNWAPPNCRFFIDDAESDWTFSPGETFDYIHA RTLAGGIGDWPRLLKQAYQHLKPGGWFEAQEFESCVFSDDGTNERATATNNWTEMLTQ SSKKFGKPMDVATKLAQWMVEQGFANVTDDIYKGPIGGWPKNRRYKEMGRIGKVSIME VLEPYSLALFTRVLGLSYQEAQEHIENARAELMSNSHHLYVRFHFVYGQRPFDDESTG SEAS PEX2_006230 MSRAQSEAEDRAHITQSEIIDQLRREVAQLRGRLDQGPGEPGHS QNQNQRQRQRSRPDQAAGPFPRNGQSWTQHAPAHTGYNYDSHSHSNGSGYEAGAGAGF ASARGTPDTGEGSWRGSSPSSSITTMTNSVTVTSPDSTGSENGTGSMSTSSRSASASA YPIATGYAPQVAELDGSATVESRAFGSTLEDATMSGYYTGGMTFTPGDMPGIIPVPMQ GLSVHGLHAQDAMLGMHHPPLYGANSDDYMFDGGKALPYLQHTSYPAPIPTATATHYG EEYSISNQDNRPHAYHQWGQDAYGNPNLNPSQFPHTHIYQTTSHYSTINPFINTTATN TNMNVYADASFSLPNPTPIAGDISSLTSPSSQDRPSPTTIMNSMPSSWKGEGKQELLE VLLETIASCDEQRLPQVIQVLRTSPSPEEAVSGVCQVLGIGTGR PEX2_006240 MVGLLIFPLLPVRAFTENPSLAPAPPIGFNNWARFKCDLNETLL TGTAQAMLKCGLLDVEYDRLTLDDCWMTAGYFTTVLVMVP PEX2_006250 MSTPNLDALKRDGFVVVRNLLTPTEVSHYREIATKATTLTRNGS WPHFRTVPKQFPPWPTTVPPASEGGIWGVQHLMHPEMPGRESFARCYFSPTILAVAEE LMGVENAASSETEPLVMELFNLLVAPETKDFELRWHRDDISEHATAEEELAQLAAKAP GGRQSHCQYNLALCPDASLIVVPGTHARARTQVEREADPYAAELPDQLVVQLQPGDAV FYNSNILHRGVYRGKSEGGVETRLTLHGSLGLKASGDGGDDVEEKKKVRATAVLQHGV GAWVNREDAAFGIGERAERMRANLVEMGTGEGVGFSLQG PEX2_006260 MPLLHAGSALLVLLLILPQVHAFGAGNIASISAVEGKNWRHGDI EDVLKTLAFISGHKWTSTMVKRVYFGNWLRDYSQAMDVGTLKNLQADTIRVLVWVLSF LSFGYATGEFEVTSDRLGVYRPEEHIDNPKDYADNEDARQYDKRLRPPVRAVELEIDP NTGMKNYIANERGDWATSSAFIKFSLSRSIHYGRTYTNGGQKKGNEEDLCEALRCLGQ GLHTLEDFAAHTNYVELALREMGLKNVFPHTGTATQINLQGHRVFPLVTGTFGMVDFF HSVMGEANDHFTQSEVNEMDIALGDAETNAQSNNSLNALTGLLGKIPGTKELVNEAEG LRRSSAAQEMANRSRSADTGYAQSSYNSHERSRAGPTQSSSSDSKPSSGLNGMPDLNP QETVAKIYPILAFRDKVMRSLNSIIEKIPGLESLVEKISETLTVVIMSLLAPFIRPLI KAASKSMQSGSAGVIDASGKHQYEPWTDPHCTDPTHSLLSKDHFANVLNEPAGQVASA IVEYVAPRVLYAWQHTDVSEDEVLNDCLQVFHHPVLRNMRNDAHRAMFEAVEKWAHAR SDRGASLDSILSSEGVRSGRNTGGVSHTHSGGHGGFAALGGASHSQKPSHSHSQSHGH SNNGGHSSGGFSSFLPQQNQHQNHQQHSNNSSSGMPWDKLSSIPGLSNLSKLESKISS FLPGGLSRDVNDQGTQQYQHSSYQSHGAEGRHEASHPPPQQHGGYHQSQHVPQQSHEY QHNQHHEQHYGGSQNPSSYRKQDDHFGHPQPHGAHNGPPHGSHPGSHGGFGQGQEHGH SHGHGHGHPGF PEX2_006270 MSSDKLYRWAQVAALCGVSIEDIEDLQITPQASVRCHVGREHSI SRPQIVVSEWINENYLIWTLCAGLTESGLDQDWTSRFNIHYRSQWVHIQKIVQYTQGV QRFLLDIRPPPMLMAVFAHGDGPVSHSQAIMSTPASTRPSGGLLKLLRKVYHGGMSLT DAFVVVLYEADVTGVAPESPPYSALGLPDPHAVPLLNLPPPYEPIPESGLAGLPERYL TPPPPYRGTSPAPPDWDP PEX2_006280 MKTISISAVVLATATSILAAPSPMVPVLEPLQLTNLNAAIYSTS PPTTCFLSFAVKDPNTNTDTKCFGYWSIGMAGNKTYDCSDKAYQLHLPNGIYDIENID FGVSRADGSESGQSTVNGDLWKCEKQEYPMAQCNWDGVFSLDVAPST PEX2_006290 MTRAANRACLVAFAAMITQIHRHEQAFAGADPDAYLQAALTLLP EMILEPPDIRTLEAVTILMLYITPLGRPQVGELLLGIAVQLIYNLGANKIQTPNEIHR TDQRSQHLRALFWHCYAIDKEFSIRKSQPPLINDADCDLDLPTTYAQETSERHFYMKP LSSKELLFPSDLRFSLLKSKIFRLLYSADSQALPEARRLQHIRELDQELSDLKLGYPA DCRPEVFATESAPDYLFHDLSIRGVNIHLEYYYCLGKIHGANGSCKIVSPHSWSPLPS SAELCFEAARCSLIYIWRVRQFVNQHTFWLHAQFLLTAVLSVFWYLITVPTSSTFTRD LKSLEDIAELLAHLDKSSDDGGTYPPFYLAQAFVEKLISLARHSRPKVVGT PEX2_006300 MNLQDFLAMEESRDRDELIDLRSLDLVSEYDSHLMCPICHCPFV DPVRLQCDHVFCGSCLSSAITSFRSADLDEFPCPSCRNPTQKVSASVPRLLINMCDEI RVRCPLITEGCQEIIPRGHVQSHVEKYCGYRLLPCPDNSCDQMTRSKDVGMDRKCIHR VQRCSFCEEDVLEHEYKEHEKELCPVLEVTCVDCETVVTRGALREHIESCPEVAIPCK ASKYACPIKVRRAEIAAHEQSCPIVAMGPYFEMQNTRLNSLESSMRHLQQRNEIFEDG LANIRSTLVESTRLGANSHGGGQSTQPGREQQPSTRVDVHTDDPADIAASVFSSNATT YLLSLHESLREEVSQLSHAITDLDARASMAIMNECMRIKEDMAHTSAAVNSVRMQVQW LMNPRLHQGTRGGAVRTADNEGTRTQVASSAAGPSHAAGQSLGPLRPRRPSDSGREGT KL PEX2_006310 MTNPSQLFLLADHIKLSLLERERAISLDLEPNSQDGEISWSLES LRESIEALEAEQTRLANSHDSAGAAALKDQLTPLQAQYKDLSTQFYGADGQPSDSNTT QASKPATPDLKQPIPQHPPSKSVRFMDSSAAAAAVQDEIDEEDERNRSNLFRPYRDEP SPRPDQSNMDNQQIYDHHAQTMRDQDDQLDRLGESIGRQHQLSIQIGDELDGHVQLLD GMDGDVERHQTRLDGARRRIDRIRRKAGENWSMMTIIGLIIILVILIVILK PEX2_006320 MSFLTTIRASSRQAIRTNFAAPASTFHFSAVRSLNEDDRSRENL SHHYESHKQEGIKNTKEGKAEWKSELASNSEADVKADRGELDGDISFQEMQKKTKHVK TEAGNKQ PEX2_006330 MPGCCRWNCFGLCRRPRTSPSFGPRPSGDAPIELRVIPRPTPAA LPIPDPVVPVDNPVSISSQPGASPSVNPNDYQYGEREMWGHLRQRWIDDANEPNCTVQ PPNFEFRKLTHAKHRSERWTSNFNRWISRPPTLQRDLEGCGLPVGNEDYHDVKISKNS VFEHSKRGRGTNFYHISTAMGVIILRNVDRYDGPWWSQIALAQYDIHFARNILRHIYL ENVINADTRDFIQTIWVKTQPPNSQGFQPSPASVNQVTWNFDTPEYKAILGTELGKGV AAIVLSAFPRGTHRITGIVVWKKSIMQIRFDIENKAYI PEX2_006340 MKTILLSVLLPALASAAETSGKFTALSFNVAGLPELLQSNDVNG TKTENAKQLGTYFSKYGYDIINMQEDFNYHANIYATDNHPYRTATSGGAAIGSGLNTI STHDWIDFTRVKWNKCSDASGSDCLTPKGLTFMRWNPAEGVYVDFYNVHADAGTEKDD ETARNSNLQQVADYIDTWSTGNAVVVMGDTNSRYTRADDTAIRVFKSQNGLADPWVEL EKDGVYPTAGADALLCDNPSSVETCETVDKVLYRGSNVVTLKADSFAYDGGKFTNTDP KYLGAVLSDHNPILVNFTWSLSPSLRQSQFSGGPHGTWFNDLPKIPSSPAASVITFSG AKRLDAVGLELKDGTSFSHGGTGGTKVSLTLGAGEHWTETKLCTGQYNSHTRNFYIKA TTSTGKTLEAGTSTDNCQTFTAPDGFAVVGFMGQADDEIDQLALVYGAY PEX2_006350 MAPYVEEFVEESLAVSSKVTPSLVAPEPEHCPGPESEQAGQGDA CAGCPNQQICATAPKGPDPDIPIITERLSQIRHKILVLSGKGGVGKSTFSTLLAHAFA ANPDSTVGIMDTDICGPSIPKMMGVESETIHISNAGWSPVWVTDNLGAMSVQFMLPNR DDAVIWRGPKKNGLIKQFLKDVDWGELDYLIVDTPPGTSDEHLSVNSLLKESGVDGAV VVTTPQEVSLLDVRKEVDFCRKAGIKVLGLVENMSGFVCPNCTHESQIFRATTGGGRR LAKKMGIPFLGAVPLDPRVGMACDFGESFVDNFPDSPASKAIKRVVRLVGQAVGENPD DVLPEDTTD PEX2_006360 MNIFKVWMRWRPLNPSVAETGEIQREYGQHHNNPQSCISITSPL QAKALSTREKSWKSGFSFDGIIECDDKNHLVFNRVVAPIIPQVLEGKSCNFFAYGHSG SGKSHTMIGYDFEHADEFGLCLAAARQLSAALGDINMEDSNHQFGIGLRVFELRKNIA FDLLNERNECFVREGSDGRVYIRGETEMLENGKVRVRPIATKACWSFEELHKELQKGL KHRKTATSTVHDQSSRTHAVIELEIITKDLLNARNQVVERQSELVPVGKHATDVYIEE QYRAIIQTEEGKFVPNPDYQVDQARVDAAETKKAEFEARVKEAEEHESRVFATTAQIH RCIGGKLVFVDLAGAEFLSSTTGSALKQTPQEKQEGRQINTDLLALKEVIRARSSKKS RIPYRSSPLTMVLREHFEASTDTHSAMILTVSPEASQYTATTNTLKYGDLVGLANGHK R PEX2_006370 MDQGLSTGAHQDIDGLRERNTRVDSTVGREALAAVGEVEIEDKD GKESKTFGRTPDGKVPQTHDMVSQLLLPSEPKNFGDLVVLILLAGHVLFLWALPAGAK IPVFAATYLFWRLAYNAGIGWLLHNQSHHKTLIRWAEKTKVFVNPATGENPHPKLYNW IKRELETKIPQDYSFDNAPIEYNTWLVFRRLVDLILMCDFTSYCLFAIACGHQPVDES ILMTVLRWSAGIVLVLFNLWVKLDAHRVVKDYAWYWGDFFYLIDQELTFDGVFEMAPH PMYSVGYAGYYGISLMAASYKVLLISIIAHAAQFIFLVLVENPHIDKTYNPPPPRKRP STCADSSSNLPTDLDTPTAPTPSEDQAPNATSSYSAKPPQPVHNLLGLHNLDLYRTTD SSIMLVQLLVFSITALTPSTPGYQLLFVVLAAASRIWYSVGIGYILRNQSNTKSWTRH FVKYGDTPQEAWNQWKGTYHLSMILCYSSFIAAVWKMYTFPADWGYGLVLFRHVLGAG LISLQIWTSVSIYESLGEFGWFYGDFFFDDSPKLTYNGIYRFLNNPERVLGLAGVWGA VLITSSGAVTFLALLSHILSLAFIQFVERPHMQKLYGRSLRQDAGLVKSLKRSLPPSL KQLHGSVDKMFDDSFEFIEEMLDNARPKLAAGVNTFVKDTTALFQKYPARVTISRIDA DLAGFDVRDYSLSVEGTHALSFEESEKNKGREGANARMPLDRRGDLKDLTFEYGSPIK VKWTAPLHHSKKDWIGLYRVTDNTSREVTRVSSQGRWVATNEGAYDNSTCEKGILTSD VVIPSSEHHGQEPCEFASGEILLSGDKLFWTQGVFELRYHHNGMHNVMAISRPFEILI RRSDEDETIADGDSLVESAVENALLPVVRNCFDRDPEIAPETVDEQFGSLVERDGKFA KRVVFAVHQMFGIELAPEVVKSDGNVRNLAWRICNAKKVLAPYSMSRSNGTTTPLEES KE PEX2_006380 MADRRRINGPPGGTRPPVFASLQESSTGVANRALRQRQPAELRK IFLKTGLIPTASGSSYLEFEPSASLAAARSNPKSLIPPSSALKLACTVHGPKPLPRSA AFSPNLVLTTHVKYAPFAARKRKGHIRDASERDLGVHLETALRGAIIAERWPKSGLDI TVTIIEAEDDRWWGDAPDSHDASWGMMNVLAGCITAASAAIADAHIDCLDLVSGGVAA LVSDDPNQSASSAPRLMLDIDPAEHRSILSACVVAYMPARDEITELWLKGDSSRAALE KDDGRTGHEALIDGAVDAARGANTVLAEAVRESIARSFA PEX2_006390 MVGLKKLLLVEKALSRPATSLGLEGCGIDQPYFHTAVDSPTQSH FEGGFPSGDSQSLDVAHHFDQIEKQFEDLHDRLERSTSSQSQVRPLSSHFVPQKPKNG RHIDLMDALFSTENNQTTTPVPLSPPASLYNEDVAERNMTRFLRIQCRNGLAKSGILS ALYQEDVADRNIAKYSSPGRSLSHLSSRSSPAAPGRVQIPESQRRNARKRDPGKPSWA SAENLRKKLSHDGSGTSSTRPQSQLGNCLKSQRSAPSLSADEDFVPQEDAPPTPVQRL GIPAAYKQGKRWSNTPLPDSPTIPIPMGDSKSAVEAPSVPRPPATIARSTQISLPPPK LTEPNSKKNARGLSINTQLAAKGRPKIAHRAIQPPTPSTSELKQGPSIAEVMNSPLPV STPISPLKSSRFKPSDMMEFFTKAYKSIQGSHPTYETLQDAIVREVNSHEAFRRVPVP APGPPFTPASDKNEFSNDFRLATTLHRSSSGKNRLMNKSSSKHKSTLESRRSISTSVG YDRLLRKVSGSPARRRHTDAPAPTPGFLAEFQPHEEPVITRPGSREPITYLDVLHASS EQQPISTSGCASPSVSRKRGRSQSIGNLTAMACSGSDVPFVPGTVYCMQAHSAPSSNS SHEDSDDDIIHLPNPSPPPRVQIEGVDENNIRYVIDAASPDEAQKLMYWPQQIRRGGA SNPYGNSLSPLSRARMQLRGSRSVETY PEX2_006400 MASQNPSDTPAFEGAAPQTLPDRTQTPADGEAGESKNAAKKAAK LAKLKADKADKKASNKGEKGIGKQEAKKASKKKVDGAALIGIDVLKEEDFSGWYQQVL TKGDLLDYYDVSGCFILKPALFFIWEEIQEYFNKAIKGMGVKNCSFPLFVSEDVLQRE KDHIEGFAAEVAWVTHAGSTPLEKKIAIRPTSETVMYPYYAKWIRSHRDLPLRLNQWN SVVRWEFKHPQPLLRSREFLWQEGHTAHLTEGAAREEVLSILDYYAKIYQELLAVPVV KGQKTEKEKFAGGNYTTTVEGYIPATGRGIQGGTSHGLGQNFSKMFGITVEDPTATVD EKKPPLHVWQNSWGLSTRSLGVMVMIHSDNKGLVLPPRVAEVQVIIVPVGLTAKTTEE EREKLNAEVDGLVAVLVAAGVRADSDKSSYSPGWKFNQYELRGVPLRIEFGPGESAGQ FVTTARRDIPGKDGKGSIPIAELATGVPALLETIQSDLYTRADEQFKAHRIKITNWDD FVPALNNKNICVIPHCLTEECEDQIKDMSARKAEEDSGEAEDAKAPSMGAKSLCIPFE QPEGLEQGVTPCCNPKCQRLAEKWCMFGRSY PEX2_006410 MAGIFEAPRNADTLFLGGQKITGADVREQNVLATQAIANVIKSS FGPSGLDKMMVDDIGDVTVTNDGATILSLLDIEHPAGKILVDLAQQQDKEVGDGTTSV VLIAAELLRRGNELMKNRIHPTTIINGYRLALREAVKYMNENVTTKVDNIGKESLVNI AKTSMSSKIIGSDSDFFANMCVDAMLQVKSVNQRGEVKYPVKAVNLLKAHGKSGTESV LVDGYALNCTVASQAMTTHVADAKIAILDMNLQKERMKLGVQITVEDPDQLEKIRQRE SGIVMERVDMILKSGANVVLTTKGIDDMVLKLFVEKGAMAVRRCKKEDLRRIARATGA TMVSTLSDLNGDEKFEASNLGHAESVSQERISDDECILIKGTKIHTAASIILRGANEY SLDEMERSVHDSLCAIKRTLESGSIVPGGGAVETALHIYLEEFAVTVGSREQLAIGEF AQSLLVIPKTLAVNAAKDSSELVAQLRVRHALSQRVQDGDANEEEKAIAKKKTYRNYG LDLTKGRVHDTLKAGVLEPSMSKIKQLKSAVEACISIMRIDTIIKLDPEQPQDDGHGH PEX2_006420 MPHKHKRRGEADKAHFDLPPSEIAKALPVRDVVKPKLGKNGKKL KAQGKDQNQSANKAPTHRLKNVTEDDTPRAFRHLMQYQQTGRRAPSGLETGERPNKRK RNATEDATSSSKKPAKAAETQKPKPAAKEKPEMPKIMAGERLAEFVARVDREMPLSQM TKSVKTGDAKNKEQHKRTKHEKHLLRLQKGWREEDAKIRAREQEEREEREDDMEVELR QWKEWEIEAAGGKKKAAAKRNKKKGTNADDSGDDDPDPWAKLKKRDEERKKNPFEVAK APPQLVKPREIFKVRGGAKVDVANVPAAVGSLRRREELASERRNIVEEYRKLMAAKRQ PEX2_006430 MDISNLPEVPRSEPVLPPPEPPSSPPSIASDTRRTRKPPTVTPR SFRRFFTPRSLLPIGDTSITPSTSRQALRALTSPAVNRLGPAFSRTSKAGSTRSPHDG LPDDFIRTPSRKRKNSFSSVVSPQSSPLKRVRVRSPTQDVEQDIKIPNIDICHDALAP PSPPKRNRPVAPVRRSQALQTSGALFMRTMMGARANKVTLRSNSGAGWQDLTSNFYSQ SQDRHMCGSYASEGSVALPFCNASCNTNSLVAVGDEEGGIRLLDSSMNEDSGFSDAYL GFRPHMNSIMDLEFSSDDMLLATASGDQTSLIIDMTTQRPIHCLSNHSSSVKRVQFQP ASNNKVLATCSRDGNVNIWDLRCKGFERPALQVQCSLESETENTATTTPPKMLYPHVL NTIEGAHAYTTSHKPTMDKNDAPPIGRTDITVTSLAFLSPGRENLFVTASEASASVRL WDLRTAHNNRRGRPVIPLSTTQEPESHVKYRRFGLTSMAFGGDGSRLYTLCRDNTVYT YSTSHLILGHAPELSLNNNRPRRTGGSDKDGLGPLYGFRHPRLQISSFYVKLAVRKAS HDHPEMLATGSSDHCPILFPTDERYLNSTVKTPAESLPSRTSLFTTRSGLRRTNSGIG LSGRLEDTIPIHQSGTPLIEGHQKEVTGLSWTPSGELVTVSDDYSARCWREGSEAREL RNGGETEGKRWMCGWADMKDPIDDEDE PEX2_006440 MSSPTVFVCGATGTQGGALIDHLLKADVKIHAVTRSPDSAAAQH LKSLGVIITEGDFDNDDSVRKPMANCTTLFLNLMPALTDLNASFEQACRLLKIAREAG IKQVIYASAMSANDPQRLKSWDPTSIISTMLLNKSAIENEIGKAGFESWTILRPGNFM NNFLSPKAMMYKGFTETGVFTTAFAPDTLLPMVDPNDIGQFAAAAVFDPVKFNHQEIE ITSEFLGVDAIIQSLSHATGREMSVVYMSDEEIGEQKATNPFIAGQFMARDLGSFVDF DKVKAWNIPLGTFEQFLQREKSKVDATYL PEX2_006450 MIDTASTQYNLDSELMTPVNMGPLHPIMIDSPFRYIDIISPQDR VDVFEGLSSSQNFDPAMTRTNPPKQLSDVLANRLQFAVDVLRNIPKMVVTENQTPWCH GQLYKSGMPKDMQDAFACCSLYMAKNEINSPVLMSIFDARINDLLSSPPPTTPLELLA RIHALVLYLIMRLFDGGIRTQPSSESLLALLEASVLSLFNCIHIPLPSQPYELLPISM EPIMSFWESWVFQESARRTVMMVFYFVKIQHFLQGKPLSTCDGKLGLEHAWYQSAHLW NAQSAFDFAVAWTENQHFIVYNADFTGLLQEAKPDDVDLFGKMLLMTKLGIDETKAWF YSRGGVL PEX2_006460 MAPVRLPSPTLDNPPSQIDDVTSQTAESHPNLVIFMPDQLRYDS VGCFGTPHVRTPNIDAFAARGTKFTNCYLQATVCSQSRCSLYTGQYPHVSGHRSLENL IKPWEPNMFRALKESGYHVACLAPRGDTFAPTVTELSVTEYGFLVPPEWMPKFGKGHA EPDVSEDIWDRLFYKGLRSQDKTVDYDEAAVRSAIKWLEKPPQGPWVLYLPLIFPHCP FQVEEPYFSMYDRKKMPIPTKPEQKTGYEPRYFEENRKRYGTERATDEIWAEITATYY GMISRLDTQFGQVMTALESTGLASNTVTAFYTDHGEYLGDHGMIEKWPSGVSEVLARE PLIIGGAGLPVGKTNDDICEMVDLLPTVLELCKVSESFPHNGVSLLPAILGIRKHPKL YAYTEGGFLKLEEPLLEQAPYPYDIKSILQHEDTEIVGKAVSMRSKDWTYVYRLYEPA ELYNRKTDIAELHNVAADPQFDHIIREMQAEMFRWMMQTSDFLPFQKDPRFPPVNLES PGEQYRKRTETI PEX2_052290 MAAGITPPGSFLDPPLTPPPTVQKPLSRSAQSVVNHFRLHRASV RPRFWWEGRLKPDDYTQILRVLDTDESLRNYVEDKVRYDYDPCRDCLIIRMPSPVHDT FCARIVDEISRQLRQFQKNDGPLANFAKQVEHFATSRILIPEDTEDGKQTYSRREPDA SFGHRQALYPGVIVEVCYSQKSRRISHLADEYILNTDGSVNAVVALDIDYEGSYRATI TVWRPEYAIVDGMEEFRVNAVIEAQPFRTDSGLPTEGTVLRLSLKDFATEELARGHVG LDPLKHGSKHRRNSKAQSIEYDQAL PEX2_052300 MYGTYWGQFEEIGKYNAELNVAERLWAAWYAWMQNDVLATGIMS FAMHELVYFGRSLPWIFIDTLGMFNRYKIQGNKVPSLREQWDCAKFVLLSHFTVELPQ IWLFHPLAQFCGLSTSIPFPTLWTMAYQIAIFFVMEDTWHYFSHRALHWGPLYKAIHK IHHQYSAPFGLAAEYASPIEVMILGFGTIGCPIVWCAMTGELHILTMYIWIVLRLFQA IDAHSGYELPWSLHHFLPFWAGADHHDLHHEKFIGNYASSFRWWDYCLDTEYTPEALK RRRENKAKVSKAQ PEX2_052310 MTTLLDQTLQLHDESYYWGEMLGSIWYSGLYAVQKSPEQLCQWT KDVCIAQTYQGTPSITARWSRFYQIARQSAWGLGGHSIRAHLLSPIRSSRAEMRQKRD LLMKMKDLHTSSLGLLMEGWHLFEANDSSTLNSGAISTQWCDAVYRAVVLIEAIFHHI ALEPSTHELEQGVFATLEGGVDSIEMHTRGENPVHKPLDLIERLMHVLREKLPNHTTS MSLFIRRHGRPSSIVRYWLPVSAALLSGSASMRFLANRQEEITQSIVDIGSTTIDFWG NWVVHPIRKLIGTIRHDEKSEIAIMSKNSLLADRASLERMVVDFVRDRPDLHQGVVAD TTAIVNSVKEGDLTPVLKAYERDLRSPFVGTVRGDLVRALLIQIQKTKVDVEIAISGI DALLKSQELVFGFVGLTPGILVSFATMRWLGGLLGSRRGLRKGKQRHKLRRGLRNVAR ILTSSAVLSNGTIAYKDSGQLICEAEALLQHVRIISGSMQYEEFREDIQDLLNVQNGV DKQLRVIERMRWAYFQ PEX2_052320 MAGTRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFQSRA LPPSPYHLDIRTWFSNVEQHASEGVHKILIGNKCDWEEKRAVSTEQGQQLADELGIPF LEVSAKNNINIEKAFYSLASDIKKGMDTSKTEQSGSQGVNIDQQGSGPNGNSGGKCC PEX2_052330 MSDARSFTARPLSKQQARKDFKDAFRVYLSSSSLAALRLQTESI CTLRQGDGPPQTAIAWYASENIQSTVMQISRTLQECYDIKIGEKVSITRAEGPLEDID LVTLEDCSDLEKIAKHGSISESDRSHWEWALDEKLLRCGSLTTGLTFELELKGQPRSF RVVNIHSQNPRSSHTLFQFTEASKVSLGPVTERKEEKSSGIAVQSTGLGGLSRQIDDI NESLSDFNIDPRKPTMPSFYENSRGILLYGPKGTGKTSLLEQIEKAGWKQTFRVGMST LGRNINEGEVKLRNIFQEAARSKPSVIIIDQLEFIAPKRTSIESQSLASVLCENLDAI RNTSILVVAATRHPNQVDDALRTPHRLGTEIEIQVPTAQDRAEILHAIRGPVSAGLTD ELVELLAEKTHGYVGADLFALLQLVCRKARQRQLLVQNSTARSATPIDPYSWQQGGPS DDESTISLEIQETDILLSLQEIRPTAMREVFLETPKVRWSDIGGQHDIKKRLQQAVER PLKYPQRMRRLNVNSKKGVLLYGPPGCSKTLTVKALATEAGLNFLAVKGAEILSMYVG ESERSLREIFRKARAARPSIIFFDEIDAIAARRSSSSGGVNVLTTLLNEMDGIEELRN VLVIAATNKPDVLDPALMRPGRLDNILYIGPPDFEARREILRIWANKSVVNPEVDLDN LASLTDGYSGAEIVSICETAGDAALDEEEETQQEQDVQWKHFEYALGQVRRQITDTVI QESRSRTERGRGRGLYVAQNPEVKAHPEASPGAHHKDDAIAIIQNPDPDPRLVAGPDL AVAVEVVAVTIAGAKAARLLPTLALREVPSYDK PEX2_052340 MHISNSASGEFCLHSLRSSIRELRVATGHSVVAFVRSNLPTDIR RANFVVVLEWLKNVSGKDDMPLQEACIITLCRLATVSEDEEKNIILLRLLEYLGHPNP YICAVAYNELSKLAQQFSLTPAGLFRPYWRTLSVTVVKNLQSRPYMAEQLCDLLGMKV DGFLRLTEVHVLPYLVSTRKRDIICRIGASRNEGESAFDVCSEKNNLAAILAFLLSQQ SDNPEAMIMSLLADIDPAFKGRSLAELVRIEPILIACDLLKSLGDAGEQKKERFNQAL HRLATFVPRKIAHGSSSKKADLSHFIEEHVLGIITQFANAINDFQVRQTLAEKRRNIK AIEEMINIAQGHVSSALPQVCACLRSALDIEELCDYAFSAWKTLISSLSEEDLEPIID QTLAIVIRYWDNLTEESRNRACQLIDHILTNHSSLVRDTFNTMPSLAFIPEMATFDAK INDMRAQMDVRSQFLALIRRCQSENATVVEQALMELAPFLSANEEFLHDSVLSEQPDP VIAQLTRSLLDCCVKFSTTSDSITLLSARCLGLVGCLDPNRVETIKEKKDILVLSNFD RMEETVAFILFFLQHVLVDAFLSASNTRAQGFLAWAMQGLLKFCKLNAVLTQRSRDLQ GDEKYQRWMELPESVRNTLTPFLTSTYTVTVVTNHTDVNYPLFSPKLTHSEWLRTLVQ DLLQTGNGDNAKMVFSISSRVVKGQDISISSFLLPFAVLNRIVGGTVQEQHNLQHELM SVLSHPIPETNNHARETIISSSQVRIPVELNWLSSWLQGKKKHLNGLNHNSNQTSRSH KDQTRDTLIDKYSSQIKSVENLLSLIPPEIISKRAVECKSFSKALFHWEQYIRKSKVH PESQERSNLEPLYQRLQDIYSQIDEPDGIEGISSHLSALDIDQQILEHRKAGRWATAQ SWYELQLEKEPDNVDAQWNLVTCLKESGQQDAILTRFEVLKENESAASRFLPFAVEAS WIMSRWDKLEGYLDLCAKQGTEEFNVGIGSALDALRRKQDESFTDKINELRLNVARSL TTNSVASLQACHDDMLRFHALSEVESIAKAQSGQSRPGLLGALDRRLDVLGGYLSDKQ YLLGLRRATMELAGEFADSDISAAWLTSARLSRKGNFSSQAYHSMLNAARLKDRSASI EHARLLWKDGHHRKAIQTLEGAISANEITSSTSSSVEIEAMSFLSGRGQHQNESTALA HLMLAKWTDRAGQTHSQAIVQRYREAIKLYPKWERAHYYLGKHYNKILESEKAKPMGK EAQIYLSGEATKLVIDNYLRSLTYGTKYVFQTLPKLLTLWLEHASIVEQPIDPKRGDN EEFQKHTQAQRKKSLDEMHAQLKKFIDKRLQAALLFTILPQVVARICHPNITVYDLLT RIVAKAVHNFPQQGLWTVLAVVKSSNKERAKRGYNCLQKIIDYGNKSKGESSTASEIR RMITQGTKFSEELLQLCLAPVEEKSSRVQLGRHLGFNHKVAPCRLVVPFQAMLIPSLP ASQNIEYIKGFRAFPRDPTTIEAILDEAQILNSLQKPRKISLRGSDGKIYNALCKPKD DLRKDQRLMEFNNMINRFLKRDVESSKRRMYIKTYAVTPLNEECGLIEWVDNLRTLRE IVIKLLRERGISPNYNEIRHHLNEICADRSFAKLPLFTTKILAKLPPVLHEWFIEMFP ETEAWFTARLRYTRSSAVMSMVGYVLGLGDRHGENLSFEEGTGGLLHVDFNCLFDKGQ TFEKPEVVPFRLTHNMIDAFGAYGYNGPFRRTCEITLSLLRQNEDALMTVLETFLHDP TTDFIGKRRRTHVNVPDTPAGVLEDVRNKLRGFMSKQPIALSVDGQVDELIIQATDKK KLASIYLAREIQLPAPKITRNTPLGLSQYTTRHKTAKIWMLKFGYGHGSGLDCDFDCC NHSCCSLGSGVDDAASLYEDYLCAPVVSEIERNMTENEQEIAILTQSGVYKCHGVL PEX2_052350 MATEGENERAAVSGNAWRAEPASSILASRFVPHLATQGNETHLT RETFAQLRRELLSEIQSQLRVDEDVTDVNKLICIVLKAGLEISPHGDTSEQDREGQVL DCLDIIQTSIEKAPQALWENSDPFILGEDIHAPLFAWLILRLIRLATTWTSETVREKI QLLCTSLAYSQFKQPRSLPASYAVAAFLRACTSGPFFAPDYVKP PEX2_052360 MELNGDSVVAEDSRHGHFMKQALLMGEKALQSGETPVGCVLVHN DQIVGSGMNDTNRSMNGTKHAEFIAIAEMLQSYPRSALQATDLYVTVEPCVMCASALK QYRIRSVYFGCANDRFGGTGGVLSLHSDSSIDPSYPVYGGLFKEDAIMLLRRFYIQEN EKAPNPRPKKNRELNTRFEDGNEAAPPTW PEX2_052370 MATDTKAAIVPSKRASTDYPLIDSDPHLKRVFGYARPSDWAVAG GMASAAPISFWIMERASPSHVGRGGFAPVMRLATAVGLLGGLHVLYQRSCQRFYGFTE NAREVEMDTREMVDKVKKGEPLYGKSQMSPYLQGMAARNSRYSELFIHVVPWFNFVNH DQHGVDTAKYYQQAEQELEAERTGSS PEX2_052380 MANANVSERGSSPTAGDLNDLFDYDIGLDEIIPDNNAPNTNSTK ASGTGDSALGLGLDEEVKVAKKRQPVAKLDEGRLLSQPGIPKLRHTARQKLKFKGKGQ EFKDAARLLNFYQLWLDDLFPRAKFADGLAMIEKLGHSKRIQSMRREWIDEEKPRLFN SSGPTREELENRDFLKNPADSNHSPAAFTEDQQGAADQDLFIPDPHESARPRISYPEP EDDDLEDLLREQDEPMIDFTPDMRASSSRAPNDDNDDYDAEYEAMKELGM PEX2_052390 MPPKKNTSKSVEASAETVKRSTRVRTSTRQALVTKTEQPLKEST KPAKSAPKSAPKSTPKPDAKPAAKSTKKSTKKPTATSTKSTEKPSKMTTSTKSTSTTK KRKADEDEEKPREIKRSRIAQPRQPKPKAKVVINEAPKTKLNVFVCGEGSSGELGLGT AKNVIDVKRPRLNKLLSAEEVGVVQVAVGGMHCLALTHDNKIFSWGVNDQGALGRETE WDGGYKDIENDSDSDSDDEDSGLNPRESIPTAIPATAFPEGTVFVEVAAADSASFALT DDGLVYGWGTFRSNDGILGFDASSHVQTTPTLISSLKKITHLTCGANHVLALNDQGRV FSWGSGQQNQLGRRIVERNRLNGLQPREFGLPKNIVHIGSGSYHSFAVHTTGNVYAWG LNSFGATALREGAGDDEAAIVHPTLVESLSNRDISQICGGAHHSVAIAGDGECLVWGR LDGFQSGLKIDTLSDDAVIKDERDRPRILIAPTPVPGIKAKVVAAASDHSLAIDADGR AWSWGFSATYQTGQGTQDDIEVATVIDNTATRGKKLNWAGAGGQFSVFTEPASV PEX2_052400 MDYASNASVSSLEASDSEAGSPHNGVPVRTKEVLETDQEIERAC DIRDAMGEDQPETATPINLSGALDKQALHGDENTPTQRSATTGDTHSSAPTSQGVKAT PSLFNNPPNLARIRKVLFECKDPIEISVEEFETYWPFIDNVWVKQRSNASKEGHCTTD YYMCRLRRPTHRTSETRPLPEGKRPRKKRVREGGICNFQIKVVRFEGAYSTVTIARTP GSCSIHSHDLDYIDRVKRNSGLMEYARKESVKGYLPSSIYTKFQEEPDKLGEAGGRFF TVTDVRNISAKWRIQNPEVNLVAHEGYEYQKGHGIVKTQSTDGVNEVSSQTKPTLISS ALPPDTLSFPQFSLDFLHPYLPNPSERREFPHVTLSYASSMDSKISLQPGMQTVLSGP EAKLMTHYLRSRHDAILVGVGTVLADNPGLNCRLEGAGGFGGLGRMWQPRPVIIDPMG RWPVHPECRMLRTAVEGKGKAPWVVLSPGAQINPQTLVMLKGYGGDFLRIVEYNQHWR LRWEAVFRALASEGIKSVMIEGGGTVLSELLNPEYTSFIDSIIVTVAPTYLGRGGVNV SPDSKQDQEGTPNAALNPRDVKWMPLGQNVIMCGKIREETAH PEX2_052410 MASNTGSLFGGGGLGASATPQSNSLFGANNSTASPFANFSATGA QGAQTSSLFGGQSQAQQQPAQAPTLGQTQQASNQQTQGQPASQSTQPAFFNSLLERGK KRPLSSVIQNNNFEDVPSLQLGLDDIRRKARELGSAGQKDTPNGKNSKAHYLLAASGI SPGQALRDLKSMDPQASLAAPARELDHFDPDNQRFLRNIQQRGRQVMIAEGLTRAQRD FDAFLEEKVDMDWEDQRQKIFHHFGLSQKDATGAGGLKSTTRGAFGRSARQSKQTGAA PVNGPSAASRRSVFGRSGLEKSVIGTPGAGLASRQIFEDPAERNEGTAAHSPDLRFQR EKMGHYAEKVQQLNLARLQGKCYPVLDEFSYVEIQAGGDVPRQLFNAYQALIRIVHEK PNIMNPSEPGAVKERQYAEDYLEESSKSLKAIELKKQILEGSRGFLESTFFDEVETAI AKNPREAQLGGIPTVINKIRAYIRLQAARKDLAPDGTELQMVNQDYCWILIFYLLRCG FVTEAAEYVSRDSGFRSLDHKFVTYMTTYAQSRRLPRDLQQKINGEFQQRSRNAPENT VDPYRMACYKIIGRCDLSRRRLDGVKQTVEDWMWLQFSLAREDDRAEEVAGDVFGLED IQTDIQEIGQRVFTKGNEGPGGYGTYFLLQILGGMFEQAVHYLGTYAPVTAVHFAIAL SYYGLLRVSDFYTSGEEILSFTVKQYPQINFGYLLTQYTREFRTGFVEAAIDYFTLIC LNADLPGALGKSQASVCHEALREFILETRDFAKLLGDIRADGTRLKGAIEQRLDLIKL VDQQEFLKTITVQAAAVADDKGLITDAVLLYHLAENYDRVIDIINRALSDAIAVELGG AMPKLQPLRPRVDQNQDLPEAVEAGSSLSLTAVDDPGVLAKNMISLYDSDIMYYGQIR QVNRDACGLLLRMMEAKTQVEAGRWAPALDAINALNILPLQAQGSVSYIRSTAQAFSS LPPIISRNVGPVIMWSITCIGYERQKRTFGMYDSEVRQGLADTLLVMAKDLMVFSGMV KYKLPPRVYETLARAGADIGAY PEX2_052420 MPVFQSKTFRRAATESSSLGERLGAFYRARLARHPFILFGLPFM AVIVAGSFALTPAAALRYERYDRKVKQLSQDEAFDLGLKGPDGEEGIKRNPRRRIVGD EKEEYYRLMAKDLDQWEQKRVERFKGEPDGRL PEX2_052430 MLFDVRPFAHFRQANIKGSLNLCIPTTLLKRRSFDTQKLEGTFT DDADKQNFARWRKCDVIIVYDSAAADLKDAAPLLNLLNKFQAEDWKGDGLILQNGFRG FSNRFPHLIQQPQTQTTGPSSKRPSPMRIDLQSVAPVVGGCALPESSSAVNPFFGNIR QNMDLLGGVGQIPLKQPHQLTEAKRLQLPSWLRGASDIKDQGHIVSNKFLALEKTELE RMKQALTYEGPSADTNASAKKYRVAGIEKGTKNRYNDIYPFDHSRVRLEGIPSGACDY VNANHISAELTNRKYIATQAPVPDTFDDFWRVVWEQDVRLLVSLTAEVERGQVKCHRY WESGKYGPFEVKAYSEKHIYIESKGGPIDPTVGSPKASTERTDGTNENPVITVRNFSI CHTSFPFEPLRDITQLQYPYWPDFGTTSQPTHLLHLIEQCNKVIRATGNSSFSSQQAE PKGQRPVLVHCSAGCGRTGTFCTVDSVLDMLKRQRAQAAGGGGLDQNPIESSEWMGDF DLDLIAKTVEDFRRQRPSMVQNLSQYALCYESVLEWLASEMN PEX2_052440 MLLQLPTELIQLVLRNCDTSAYFQAAFSSRRLHEIASSSREVII HQLHNTPGWNDGIDAHQTKHLFDELMRRSYEQLDGAEHYANPTYEYQTRVIDYHASTI EAFEDGIRALLVFKGHSTVHLVDMGDGDRTEVQLKSPGQDIGKVEIIQTAFDGNHGVY VLHRFKPFLDQKLDTDHPFVKHALESRLNGSIHLAYHHLNPRANTIRMYDFPEYGDYR PLALSVANGKFAISWQNMLDPFDHDVVLYTQLYDDEDEDEDEDDSSEGVAEEGKGDNP YATFEAYILTSSNDQDLDVHSSRRIGPATKLAFNDRGFQLLYHYRAQNIYGSFQRLDR LPRPPGEPKPHVHKNACTVQFSPFLSLQFSIGIPFFGTHKLGNINQGEQCHWQYLAFG IATHRVEKWTVACLLKSESYTGPRCTHVLNLDRGRRFDSWQIMAQLGGFREVTTSHGS PVATSRRGTRVAVANWKTLYVWALNPSELIEDNDTGFYPPSWESSTGAVELRPVILQL EAVCSQLHFTEKEDELVAITDRGVMFLNIGYSGQCKQPVKSRGRDNIM PEX2_052450 MRFLSTIFGAVSLLISVTNASLSTDILYWPLGSPQPSVLARISY DPASLKSDVISYNPPNDDQSDNLVRVGLYTSTPTNKKQWVGSLVSLSSLTASEQPTLR LHLGPTNEVYTVSLSASSTNAQGSTSGPRIDLVSNESGTQPHLNRPVVVGPDGQNPEQ PEEKSLFQKYWWVLLIITFISMSGGGGE PEX2_052460 MASLPYHRASHQSSRKASSDPREDLAVHVDHYIGIDVGTGSARA CIIDAKGDIVGLASENIGLWQPEHGYYEQSSSDIWHCICVAVQRAISQHNVNPDTVRG IGFDATCSLSVFSNDTDEPISVTGPNFDSDRNVILWLDHRPVEEAAQINATNHNLLRY VGGKMSIEMEIPKVLWLKNHMPKELFDKCKFYDLADALTHIATGNEKRSFCSVVCKQG YVPVGVDGSVKGWQKDFLQDIGLGDLTEDNFKRMGGVDGVNGNYLSAGELVGTLCDKA AAELGLPVGIAIGSGVIDAYAGWIGTVGSKVDLDAGQTSADVPGLDRSEAFSRLAAVA GTSTCHLAMSPDPVFVDGVWGPYRDTILPGYWMAEGGQSATGELLKHVIETHPAFNQA TSIAESSHANIYEYLNEHLKEMAHGQGAPSISYLGRHFFFYGDLWGNRSPIADPNMTG SIFGLTSDKSVDGLAIYYYATMEFIALQTKQIVETMNKAGHRITSVFMSGSQCQNDIL VNLVASACDMPVLIPRYIHAAVCHGAAMLGAKAASADSQGKTEDLWNIMDRMSKPGKK VLPTEDNNEKALLSVKYEVFLEQCFKQQKYRGMVDDAVGAWKSKST PEX2_052470 MAGDKGNPDSPESTQPSAAGRETPTSEPAPPIISSASARRTYGT LDTPSLDATNPELRDDGQEESSTRAFTTQSSTIPDKPRKPSVSRRMSSKRQIPHKGQG FSTDDDVNEVKQYLTMKQGVNTQQSPRIRPLRTQSSTLRRRPSARPRPLARADSEGYN ADETGLPEPGLDFEEGFSPSKQAGHSSGGESENEEEDSVEDDEDAASDAESFTLKDRQ QAINETHPFGIRIWKPALYKKSRSVEKTAEGDIHSSPGGYVSPMLFLTNLLWSLVFGW WLALFALLAAVACFFFSYSSSAVAYGRVFAGLSRYLLYPFGSYVLLETDENYAEEDEG EGRSISEYEQWQNGDLEHGRLFFGPRRDRSLVGRRRNSVDSAGEQDSLLGRPQRGQRE DSSQLAQSKRRLFGRGEWTLGRVVFFVFFYFLVGPLMLMVSLICWLLVFWIPMGRVTI TLVSHLRRHPLALSFHSDSRYARSSTTTSSSSILLCTYRAAGTRYWKYTLDGTNIFLI NLLGVVVFVIFDYHVLGSFLGLQNWLTHPALIFPLALLSIIPLAYFIGQAVASISAQS SMGLGAAINAFFSTIVEVYLYCVALTEGKAQLVEGSIIGSIFVGILFLPGLSMCFGAL KRKTQRFNVKSAGVTSTMLLFAVIAAFGPTLFYQVYGSHELNCHSCVSSLDPETRDCR RCYFSQTAAVDDQFFQNAVQPYAWIAAVFLFLSYGIGLWFTLRTHAALIWASEMEEKK NSQAHATQSTQDSFYEPRHLLFPNGQPEASGAASGSKDSIRESQLYKRILGQSLKHFG LEDNGSGNLEGVESEATTDLRSNFPHLVPPNSSGEDGHPVPDPLRSIAKEDNERLVRQ FTEVAAAAATVAARDAARSRKPNVQHHQASVRQASRPAPERSQNTAGEELEDLGLSVE PTHASGGHDAPNWSKVKSSVILLGATVLYAVIAEILVNTVDVVLESVDIDEKFLGITL FALVPNTTEFLNAISFAMNGNIALSMEIGSAYALQVCLLQIPAVVFFSAFYGRSLDPS ELVSHSFNLIFPQWDMITVILCVFLLSHVYGEGKSNYFKGSILVLTYLVVVLGFHMSG YVNMDSMGVDRFDTLAIAGTSSSDKFYTVGRTRGGVAY PEX2_052480 MALDNYYRNKIEGMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKVLVKVHPEGKYVVDISDGVDISKLVVGKRVALLSD SYKLEKMLPSSVDPLVALMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAAAHHTDCRFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRIDSSGGGDSEVQRTMLELLNQLDGFEPTKNIKIIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKILNKHDDKEVAVSKLWK PEX2_052490 MEVWSCGDKSIRERWEDSILGNVHGLYNELSNKLSNKLSSNSIE KRKITLSGLGTNP PEX2_052500 MSDLWSSIAFAIDPKRTQAMPVVLQSTINLLESELVKARAALRD IQPNASPFGFRGDDIAVGVMTAYRRDLIGRAPDFYGSRRLTLKELGLEPVVRELPTER VVPKVQSITPAVTHRTGLEDVLSNSLILDQMAPYLSVSSLMTLSSASRRLHTVITQTP YVFRHLDLSRCRGAELPTVTQAENDSQTEDEIYSAPLKRIFTSLERRGILKDVRTLIL DGVSVPADLVADIILTDRFNVNILSIRECRHLNERKLMQVIQHAVRPTRPAGTPRVKG VYHFSPMHTGPRAVARPRYRDWWGTRVGTSQTPSQTPSNSDQEDGASTGSSVEQQNEW YSASGKLFKHTPEEGWAQVLKKCEGIIAFDAALCRGPRHDPNLYSSTTEEGTPTEVPL LGPAVATVALGPRGCDGCHSSPEGPAIWSQSPDIQFPMLSPPPLHSSSIAAAKRPELI PGEHPVLIARCTDCLTNCWCHRCNKWFCGNCLPNPQRVRVSLSPHQTAIHATAAELQE REVC PEX2_052510 MAQDNLVWSSQLLVTSPERAPRLTGDKITLPQSALEQLLAAAPL QAVPSGSSRVLTSSFDPFNHHTFAAESRARQEVEQRQQQLPHPLIFRIVNPLNGRFIY AGIREFSAGENEVALSTLLRDSLDIKDEEFLSESGEPQDLAVPQIEREPLPRTGPAVT VHAKQLPKGTYVRLRPLEAGYDPEDWKALLERYLRDNFTTLTTGELLTVPGTRSESFR FLVDKVFPEGEGICVVDTDLEVDIVALSEEQARETLQKRLEKASRAPGTTSGSSIGGT LSIGEDVTAQVLPGEYVDYELRDWNRQDTIQIELTTDNPEVSLFASPFSTRQRSRPRS DMHALGDFSSQSPKKFDLRPSNVVLEDAEALYIAVHADPASIESSDQQRQSPSQYHLR ITLNPSGSSDSHNETEQSHEPSDVQCKNCQQWVPNRTLVLHENFCLRNNILCSQCQNV FQKRSPEWQGHWHCPHDSGHGNDAPSKEKHDTIFHQAHQCPDCDGDFEGLPNLAQHRT TDCPGKLILCQFCHLLVPQKGDSDPDFRDPEVMLSGLTPHELVDGGRTTECHLCNKII RLRDMNTHLRHHDLERLSRPAPRICMNRNCGRTLNKAGNESVGLCSFCFGPLYVDTHD PEGKGLRRRIERRYLSQMMTGCGKPWCHNEYCKTAKQEMASDSGPPAAMSVVEIMKLT RPLVEALNTQPSVPNTAPLYLCADETSQHRRNLAELIAAESTEGNDKVYDLPWCIAAA EASGGDLEKAREWLSKWAPTQGATNT PEX2_052520 MSSSFAEGDESVFERLQQRVDPKVLEEQQQAVNERMQAIYQKAQ NRLAELIDENSTLPCTISSVQVTSAPHTRRSFLERNLSPLLSANKGRPYTLSEVLREV SATADKLGRFDLFHQPISIYLDESQGQNGMRNIDVHLATREKSRVLMKTGTDLGNAEG SAYGNLLWRNVFGGAETLNLNASLGTRTRSAYQAAFETPILGDPDFRLEIGGIASSTQ KSWASHEEVLKGGWSKLRWVSKSGHRHEFGYNGFWRQLTGLAENASPTVRADAGDSVK SSIFHSWVNDQRDNALLPSRGYYAKAFNELAGFGPLKGDVSFWKSEIETQGAIPIPIP GIKGDSGVSLTTGFRAGLLYPLGLESNPRPQLSRVNDRFQLGGPTDVRGFRLCGLGPR EGVDSLGGDVYAAGSANLLFPLPRLGAEKPVRFQAFLNGGRLLSLHTSQKATPTTNGE VQDALVSTLSELQNGLPSVAAGFGIVYAHPVARFELNFSLPLALRKGEEGRKGLQLGI GINFFDLLLFTPSVAAMAQYYPQQQGYAPQGSTPQNLQFFPSSYSSVSGHTTPSQASY GAGFGGASNPSAQAYPAGSGYGGFGSPAAGVSGRMGEQGGLRTGWLAAFGTEGYDGEP PLLEELGVNFEHIRTKTLTVLNPFASIDNHLMDDSDLYGALLYIVLYGTFLLLSGKVF YGYIYGVAVFGTVALHLILSLMSPALDTVPTPNAADPTNYNPHHKPSMSGASAAGHFS ATLTFPRSASVLGYCFLPLVLTSLIGILIPMDTMFGYLLTIAAVGWCTYSSSGMFCAV ARMSGMRGLVAYPLALFYVVFGIMGIFSSRGTGSLAAKTGAA PEX2_052530 MATKAAFKRLTREYQNIQKDPTPFIVTHPSETNILEWHYILTGP PGTPYENGQYWGTLIFPPEYPFAPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVSGSESERRVLAARSRWWNSTGGGSHVSATAGVTRT SKGINNVKAGDGGLKFRTEWPELDQENWAWMKEHRIDSATGQILPDPDAPAKCSPETS ALRRRPNGSAPGIGSVVDGGHVAREAGQGWAGRNRVWICVAVFFGYALISRLLSDMRA PEX2_052540 MELMVRSSFSDPGSFFGLMSMCAAHRAVLASQRSGNWDSVLTND PDYCMMRAKSIQEMSAKVRDPSRRLSNEAFDTIINLLTGSLIIGEFSEVHTHLTGLKS MVDLRGGITDESIRSSSMLSAIITTDIKAASGLMTKPVFPLTWDAQPIPSEIQQRIRP LASSPLNRLGTGFSANTFLSPSLLKIISVLRDMVFFSITYQTNPTVIKPGDQDFFRIL NCEAEHQLLSYIYAEGSPNPEPNLHPVEAVTRVASICYLNHFLIVSPSSSGLGRALTK HLKTALDGCKLSLLVGLPNQTFGLYVWALFVGAQGALGQPERQWFVERLARVAMICGW QSWEQISKLMTEFFFVAALDSLNWRSIWDEAMTGFVISESEELEFSSLLGTGALSLT PEX2_052550 MGWFWADTPQASVAPIPHASLNASPPPGCPMHESGSKPSPSPAP AADLPSSCPMKSKDSPFYSAPKSTPAQTPQPPTDTAAQPSTLSKLNPLNYMFSSISQE RAANQTVDLPVEREGSSIPRGDGEGNWEYPSPQQMYNAMLRKGHTDTPQDAVESMVAV HNFLNEGAWDEIVGWERVFAKGLKSGWEHCRRGEENLSMDLTYADENGKFDSTSEPRL LRFQGRPQEWSPKAQIYQALSWAYPSKFETSPPFDRHDWYVIRQTPSGPKEVRYVIDY YSGDPDPHGLPVFHLDIRPALDTPTAAVERLMRWGGDVWWRASGASVREQASKSA PEX2_052560 MATLAPAAFLKLAEENDGHDKTGEMQMLEASREEVRKTVSPDAQ GISKLCQTIWVYFYCYVYDPIATGIRFIHLAVIFIPVVVTVPAIWLGRKVQDRDGARS GTLWWYRFLVKSMERAGPAFIKLGQWAASRTDIFPPEMCNIMSSLHSNAPAHSLHQTK RIIRKAFNGLPFEDIFEEFQEEPLGVGAIAQVYKAKLKPSLAATNEEELGIEPPTLGE KVRKNVDVLVKSSPQRVPSSYVAIKVQHPRVEQMIHRDLRIMSFFAHMINAIPTMHWL SFPDEVQQFGEMMKLQLDLRIEAANLVIFRQKFNSRTTAWFPYPYLEYTTREVLVEEF AQGIPLATFLDIGGGLYQHDIANEGLDAFLHMLLIDNFVHADLHPGNIMVRFYRPSEL DLSLGKHSRAFNAPTRAEVDVTEAVLERLRPNLNDTEDWKAALSQLNDEGYRPQLIFI DTGLVTQLNETNRRNFLDLFRAIAEFDGYRAGQLMVERCRTPEEVIDPEIFALKMQHL VLSIKSRTFALGSVKIGDILSEVLTMVRGHHVRFEGDFVNVVISCLLLEGIGRSLNPN LDLFKSALPILRQLGSGSSFLETVRSGDYSMLWVWVGLEARGMLQASIESVEHCVKYD QLSPNI PEX2_052570 MARELLIMGCTQNLRDVESNNEKKHSHWRDWACVLLWGVIGYAS IIFIVLAYSNPGLISFDITSLLFRKEISPFFAFSQGTGHYSKPQGFKIVALVPFHYHE RTAILDCYLQKNLVHNNGFLDQVVFVPQTDDAVSMEWLYSIVNQTPEYTISSRGHEME WKVAKDNVMYIRIDGDIVFLEDNAISTIVKTKLDNPSTLMVSANVINEAALASLHSHP GTALPYLPELYDVKQPSRSKSQLKYDWRASSLPSWQGPLNFEVRKDFEPPFEGHRWLL PRDAGSGRDPIARSVYTETGPTLHDWTVGAQQHYSFLHHLEHNDLGRYKFPMWVDPTE PTSENFGCFWGNDAEDVHDIFRNNPKGSSHNWHMSDGSRPHVIIDGKGLVSHYSARQG AAGLDATDLITRYRAYAQEKVCLQTE PEX2_052580 MSSAGISTAQLAVHGDAGHSVSKRSDLELLPIKNILSDLPEISS SLPPRKEIIDSEEQQDMFRELRDIIEKALEVDEAGNSFDLKELHASLEEADANTKRGR RDADTLQSVSATLQKLWACNSEYLVQAAEIIADGSRDPSWRAPFGQSGVLKFFLDVVS LKGDVDTSLLLHSLRVIGNSCADTDENREIVVKGNYTLSIIRYFLDPDLIFVAIPVIY NICMDYKPAHTQIATNRTAYILLKLIKDGEIGDNEALLNFSYDLIELASEQAEGIEHS PDGTILLLMELAIDETLDLAHFSSLATSLAAYLENEKFQNVCVSNGMVEGVLDVLRHS FSIVVDPSFSEDVKALAQLRLKINQALAEVSASPLFAQYYPLDSPLSKTLKSWVVATE DQLQICACVMLGNLARSDEVCQVMVRDLKIHEELISVLTGDAHGAVLHSSLGFLKNLA IAGDNRAILGEAGIIPAISRLWAYESVPQVQFSAASIARQVIISSMDNISRLLAPLSQ DVDSPAHQRTYLSLLLSLFEKTDSTPIKTEIGRTVASICRTVSPKARDADEEAMSLLN RLYTLHEGVSLPVGAMITQTQWPVVRSEGWFALALMATNKLGCAAVVDCFHKTEVTEL LKTTLGGGTPNQDNAEETDKSQETKDRDNAFVLVQGLLMNESGALPVGYRDMLEDLVR VNASQLKAATDAWVENSNMI PEX2_052590 MAPIIVFITGANRGLGQGLVKGFVAKPGHIVIAAVRDPAHSTSQ ALAELSTGEGSRVIVVKYEASVEQSPFDAIKEATDQGITHLDIVVANAGIAKLYPLVK DVRRTDIVEHIDVNVLSVVSLYQATRDLLQKSTGKPIYAIMGSGAGGLARQPPVPSAA YGASKSLLNWYGVRINAEDEWLNAFVLDPGWVQTEMGNAAAQLWGLEEAPDSYENSIT GMVEVLSTGTKEQYGGKFVRFSGEVQEW PEX2_052600 MTRAVQTLSVLLLVSSLYLSLFLGLVPLNETVQTEIIPVLPFYT LIVFACYLLARLGVAIFTFNDVPEAHAELQKEIELAKVELRQGKVEVD PEX2_052610 MSQKQYATYNDVHNLCKNSAKAPLGSFDINLIIAIGGGGYIPAR ILRSFLKTPGGPNIPIQAIGLSLYERLGTDSVEEVPGTKVTRLQWLDINSSMANLIGK NVLIVDEVDDTRTTLQYAVEELEKDVNEAQKQLPPGDERKHLKTNFFVFVLHNKQKAK KGELPKDMTENDKRYHAAVTTGDVWICYPWEAENIEDHDKLAKESPIVDISGKKPTAT EPSTV PEX2_052620 MSQSSVPATDPAVYAEYETTWSNLPDTEEGWIARAREVSEVLAK DAAQRDQENKSPRAEVALLKHSGLTKLLGPKKYGGGEQAWSIGFKIIREVAKADGSIG MLLGYHLLWSTIANVVGTPEQADRTHQLIISNNYFVGGAVNPRDSDLKITLDGDHIVF TGNKYFNTGGVVSDLTVLEGVLDGTQDHIFALVETRQPGIQFAHNWHNIGLRLTESGG VKIENVRASWSDALGWDAATKKPRDDTLKIAFPTLLLPTIQLVFSNFYLGIALGALDF ASKYTTSATRAWPFGGDNKESATDEFYILERYGNFFAHLRATEALADRAGDQLSGLYT RYQAQKSGLTAQERGEVAEWVASIKVVATDVGLRVTSGIFEVTGARATASKVGLDRFW RDIRVHTLHDPVAYKNRELGRYALLHEVPEPSWYT PEX2_052630 MSRRGVGLGAFANRTQATQSYATHGANLRSTHTASLQTQLSVFQ SLLHTFALEHSSTIKSNPTFRAEFARMCNTIGVDPLAASNIKGKNGRRGLGEGGSFWT QIMGGDMNDFYFEVAVRVVELCRETRSENGGLIGVEECRKRVGKGKAIGSGLEVSDDD ILRAVRSLEPLGSGFSIVKVGSKQYIRSIPKELNTDQATVLEVIQVLGFVSVSMLCLN LKWEKARAQTVIDDLLADGLVWLDAQGPEKEYWSPQNLLEDSG PEX2_052640 MLKYACSVPTQNQVLVPETLLKKRKSQEAARAERRAEAEKTKKA NKEKRGVIFKRAESYVQEYRTAEREKIRLNRVARQEGSFFVEGEPKLVFVVRIKGINK IAPKPRKILQLLRLLQINSGTFIRLTKATQEMLTIVNPYIAYGYPNLKSVRELLYKRG YGKVDKQRTPLTDNQIIEEHLGKFGIVCMEDLIHEIYTVGPNFKQANNFLWPFKLSNP NGGFRTRKFKHYIEGGDTGNREENINGLIRQMN PEX2_052650 MPEIVDDKSQYCIPFLLDRLRVHTERHRGNPDTPPFFIGLNGVQ GAGKTVLVSALNDTIRSEPYSLSVVTLSLDDIYLDHADQLALAQAHPSNPLLQHRGQP STHDLALGEEVFASLAAERPTAIPQYDKSAFEGQGDRVPKVQWKVVNEKGQDKVKVVI FEGWCVGFRAWDDQTLRAKWEAAVRQKENGEYDGRLGHVNFEDVKAVNDALRRYDVLT EWVSSLDCATRSFADIGFLFLRSKLDALIHIDAENPRFVYEWRQEQERTLRAAKGTGM TEEQVNNFVDGYYPSYELFTETLREGAFKPAPHNLSASRLDWQGRQLCLVVNRDRRVQ EVIKI PEX2_052660 MSELRFDNQTVVVTGAGGGLGKAYALFFASRGANVVVNDLGVSH KGEGKSGKAADVVVEEIRAAGGKAVANYDSVENGDAIIETAIKAFGRIDILLNNAGIL RDVSFKNMKDADWDLINTVHTYGAYKCARAAWPHFRKQKFGRVINTASAAGLFGSFGQ ANYSAAKLGQVGFTETLAKEGAKYNIIANVIAPIAASRMTATVMPPDVLENLKPDWVV PLVAALVHSSNTTETGGIYEVGGGHVAKLRWERAKGGLLKTDDSLTPGAIARKWNDVN DFSQPEYPTGPADFMAFLEDGLKTPSAQPGEEPDFKGRVALVTGGGAGLGRAYCLQFA KLGASVVVNDLVDPEPVVQEIRKLGGKAVGNKANCEDGDAVVKSAIDAFGRIDILVNN AGILRDKAFTNMDDNLWNSVVNVHLRGTYKVTKAAWPYFLKQKYGRVVNTASTSGIYG NFGQANYAAAKLGILGLSRALALEGAKYNIKVNTIAPNAGTNMTRTIMPEEMVQAFKP DYVAPLVVLLCSDMAPEPSTKGLFECGSGWFGRTRWQRTGGHGFPVDVKLTPEEVVRN WKQITNFDDGRADHPEDGQAGVEKIMANMSNRVHGDASSENETLKNIEKAKALSSEGT PFNYEDRDVILYNLSLGAKRTDLPLVYENNDQFQALPSYGVVPWFNTATPWNMDELVK DFSPMMLLHGEQYMEVRKFPIPTTANTLTYPKLIDVIDKGNAAIVIAGYTTKDAKTGE DLFYNESSVFIRGSGGFGGSPKPTAVRAKGATAAYKAPQRQPDAVVEEKTSEDQAALY RLNGDRNPLHIDPEFSKVGGFKTPILHGLCSLGVSAKAVFSKFGPYKNLKVRFAGVVL PGQTLKTEMWKEGNTVLFQATVVETGKPAITGAGAELLEGAKAKL PEX2_052670 MVLSLPVPGFSKSSTSRPADGAQSHRKSASGSSTNARKPSLGQT GSSPNSYSITLEALRNNPFGSTSRSRGPVHCSAHETEEHKREQEELNTALETLVRIFP DVQIEVFRELLLRFDGQSRLQVCVEELLRHKKKWVSGRWNLPEGTSEAEAEGNARTVP GGDNLTRYDVDNGGLVPPEERFRSDEYKAAVRMAMTREYNGLNKSTVEAVLAEVNFSY ARARPTLRDLSRRTWRATFNSMFPSFRRKKDREEHPLLAWHRQAEGELVPVLKETGCS ELDAELHEMLLAPLLRQKREEQHDKDFRFASELNEKEAQELNSLYECECCFADVTFEQ IATCSTNTHIICYHCIQRTVYEALFGQGWGKSVDLERSTLKCLAPLSIGSCEGCLHPE IVKQAILLDTAGFETYRKFEDRLSSEALMKSQLKLVRCPFCSYAEIDPVYHPSPHGVR WRFRRDGGIIPTILMTLLLLDLVPLLVIPVLILLLLDPATVTAVFGNAIRNFCLKIRP KRFTCANPSCSRISCMTCQKSWRDPHVCHEPLLLDLRATVEAARTAAVKRTCPRCCLS FVKSSGCNKLTCVCGYSMCYLCRKALGPPIRTTAPARGPRRLDAFDGPGDIPDDPFDD EQVAGNMSDDEFEEPEGYKHFCEHFRINPGSRCTECSKCNLYQAEDEEAVARRAGEKA EREWRIRQGDSSTSNPTLAAGFRNVNHDLSAGADSHSNRRATASIWDLQLTSSSQPWA YWFSDVWADGRWKLEGQAFADWIVERVVDVEDV PEX2_052680 MLIGTVINQLYRTQKILMMGGGACGCNQGIGSGSEASVICRDGR AWYVYHCQENDVFSLAAHRW PEX2_052690 MAYFAAKTTRAAALGKFLLPTRLVFATRGLATRSKSYTLNTGAE IPALGFGTFQDPDSQEETVSLALRKGMRLIDTARVYNVEEQVGKGIKKSGIPREDIFV GTKLWCNDYHPEDVERAVDDSLRDLDTAYVDLLLMHYPCTFKRGADRFPRDAEGRMIR GETTFVDTWKAMEDVVKTGKVKAIGVSNFSKGEIETLLKKTGTVPAVHQMEVHPYLQQ KEFNKWLKEQGIHVVQFSPLGNMNDFYRQTGWSKEIAHMKRVIDQPIMKEIAEKYGKS TVQVVLAWGINSGRSVIPKSVVDWQVEQNLEANFELQPEDMAKIATLDAKARFNDPSL DYEWRLYSDLEGIDGTVKGRTH PEX2_052700 MVLSKPEDAPVQKLFDLTGKVVAITGGGRGIGLAVATAYAEAGA KVALLYRTTTTTPKAAEELASQYQTQARAYQADVTKPKEISMAINKVVEDFGKLDVIV ANAGICSEHAGEEYTSAEFQEIMEVNVNGAFHTAQAAARIFKQQGYGNVVFTASVSAL LVNTPQRQAAYNASKAGVLQLAKSLAVEWVDFCRVNSVSPGYIQTQIMEYASKEMLDK WLGQIPARRFASPYELKGAYLFCASDASSYMTGSNLVIDGGFTLP PEX2_052710 MAGDTEFHYIGIDVGTGSARACVIDHQGNIVGLASQSIKTWQPH PEFYEQSTKDIWNSICTAVKQALQEGGLQPSSIRGLAFDATCSLAVFSKETNNPVSVG GLEGSSDQNVILWLDHRAVAETKTINATDHPVLQYVGGGMSVEMEMPKILWLKKHMDP IKFQDCEFYDLADALTHLATGEKTRSYCSVICKQGYLAAGADYGEEGWQLEFLKQIGL EDLATNDYSQIGGINGKNGRYLSAGERVGPLSEKAAVDLGLSAGIAVGSGVIDAYAGW IGTVGAKVDLGLDGPIDHREHLSHRLALVAGTSTCYLAMTNDELFVAGVWGPYRDVLF SGAYVAEGGQSATGELIRHVVESHPAYSKTATEAKNAGISVYDFLNDYLREQAKQREC AHVSDLAKHFFFYGDLWGNRSPIADPKMSGAVIGLRSEESIKNLALHYYGALEFIALQ TRQIVDTMNERGYQISTIFMSGSQTLNDLLIHLIASCCKMPIFVPEYVHAAVCHGAAM LAAMAASEELEEESKDLWSIMSQMSKPGRRLEPTADVNEQRLLQAKYEVFLDMCFKQR EYRDLIDKRMSAAE PEX2_052720 MLNDERAKWKIAARLEKKRLLIAVNCLAGLAIFFFGYDQGMMAG VNDSKSYVERMGLGYEKNGSITVTNTLLQGGIVSVYYLGTLVGCFMGGYVSDRFGRIK SLAFGAVWGILGAALQCTAMNPEWMIISRLINGIGTGILNATVPVYGSELADYESRGM FIAMEFTLNIVGVVVAYWLGFGLRYIDNGTSEFQWRFPIAFQIVMLLLLIIGCWFFPE SPRWLCMAGRRDEASYVLKRLRGSENERAAMIEMGEIEAVVQLEAESEDKTTYFHMLF GIGQGDLHIARRVQLVIWLQILQCWSGIAGVTMYAPRLNNIFYAFATLICVVTIDRIG RRWTLWWGAAGQAIAMFLAGGLARGGINNPDNQGPWGVAATSMVYLYTFVFGATWLTV PWLYPAEIFPLKIRAKGNAWGVVGWSLGNGSLTLVLPYIFAAIGENTLHVFGAVNLIS IPIVWAFYPESSQRTLEEIDMLFAAKSPFTWAAEAKFKTLMAEHPDIGRAHQRHSVFE AEKGLHDIDTEHEETVGHN PEX2_052730 MKCDEIKPICGPCAKKDKICDYTNLNQHSLDSSATNKVVPENLP ILSTVQPIPPLEQNNNHLSSDHNAGQVNYQLHGASDHARTVSEADLNPSPPAMLEYRL ENEHIPSHSPGGMHGDYLSPSTTSLAAVRWLGLLASGFPSDGPQLSTLSDTWESQSLS LGYRGGDVPTQTSSLQRATQVLDGPPGRSASQDVTDDRFTESTTMTERQVWQSREPID LLPTEQTLFEHFVHQVSPLVGHCTIPLTGVPSPDNIPSQIDLFDPTNKFSTLVVHLAL HNAGLLNAILALSFRHLALNPSLDDQSITSKPEEALQYYYQTLHYVGRAMQSSAYKMS LELLSTALIISTYEMLDNSTDDWERHLEGVFLIQRSQTIHGETGGLKSAVWWAWLCQD IWAAFREKRKTLTFWVPQKPLSVLLPHELAARSIYIAAKVVSYCAAETTQENIQHQID EAMRLRIMLEDWQRHLTVEFSPLPIRSREASSCFQPVWISPPAFVHPA PEX2_052740 MSNNTISFTAHDQRFVAIVGPSPTAELLAENKDYPFAHEAGVFL PDINNLFVTSNRCIDPNGQQKVHITRVDLSKRPITCEEIATDIPMGNGGINYDRDYVL FCAQGTMTEPSGLYRMSTIAPYKSELLKGDFHGRPFNSINDVVVHTDGSVWFTDPIYG SEQGYRPPPRLPNQVYRWCPNTGAIRVVADGFGRPNGICFSPDEQVVYITDTDRVHGD GSIDDQRVSSMLVKCDLLG PEX2_052750 MSWKESNCHFTILRNAEAGQYGVIAAIAYNIEHVLGLVRAAETA RSPLIIQFFPWAIEATDGLLVRTAADAAKRASVPISIHLDHAQSEAIIKRAADLPFDS IMVDMSHYEKEVNLEKTRELVRYCNERQKATEAEPGRIEGGEDGVMDTAGLKACMTTA EEVDEFVGTGVDVLAPAFGNVHGEFKNVRNQANSRVRLALHGTNGFAPELMKRCVAAG VSKINVNRLVLDDYYDHLRANVNKMAQTQLIEEGIQKVADLTVKWMIICGSAGKA PEX2_052760 MAALPAWNLLKGKTAAVTGGTTGIGRAIVLAYITQGCNVAVNHL GLAKDEDLRHSLLEEVKAIENTGIKVGKILELPGDVTKPETSTDLIQEAVSKWGKLDI FVANAGVFKPAELLKIEPSLLDHTVDVNIKGTFYSCQAAARQMVKQGHGGSIIGVSSV SALLGGGFQTHYTPTKAGILSMMQSMAIALGKDKIRCNALMPGTIGTSLAAHDMKDPI KMAALEERIPLGRIGDPNDMAGPAVFLACDEMSRYVNATGLLADGGMYSKLQ PEX2_052770 MAANQTPVPQTQVALNGKVIAITGANRGIGLGIAECCLDNGAAQ VYSIDIGETGEDFAAVSKKYPNKLFAVTANVTEEATITAAVDKIIEQAGALHGMVVNA GRTHHKAALDFTKEDIETLFNVNLFGAFYTARAAARAFIKQGIKGSVVFTASMASYRP NKRVPSAPYGASKAGVRNMTHTLAMEWAQYGIRVNSVSPGLVNTAMTYWVPQQPDWEQ QLKYYGGFPRLAEVQELGGAYVYLLSEAASYTTSIDIPVNGVIGIC PEX2_052780 MTPKNPPSHNDYTVGWICALPLEMAAAQVLLDEVHEDLPVQPND HNAYTLGSIGKHNVVIACLPYGQYGIASATTVAVQLLSSFRSIRLGLMVGIGGGIPNE DADIRLGDVVVSKPTNSHGGVVQFDYGKALSGGEFQRTGILSPPPQILLTALSKLQAN HITGKRRFMHFLDDIKRKMPQESSNLTRPTQEDHLYLTDHNHADVSSKTCNGCDKTKT VSRPLREPNQPMVYYGLIASSNQVVKDSQLRDRLDHELGAYCVEMEAAGLMNNYPCLV IRGICDYADSHKNKEWQEYAAVVAAAYAKELLSVTPVVHVDQIRTVRDTLSDPDVTTT SYAKDLSRVITPSQINPPVVINQTTLDTSPMVGRATGADSEDYE PEX2_052790 MTGVLENSNPTEDVAIEKDGTNVTFNEQTNYVPKRTIITIFLAC SSVDLLALMDQTTLAASLSIIGNQLGASDQTSWISGGYFVTSTCFQLLYGKLSDIWSR KLVLFVGLAIFFFGSLAASLAQTATQLIVFRAFTGVGGGGLMTVAQMIVSDVVPLRER GKYQGILGAVVAIANGIGPVIGGALASISEDSWRWIFRLNLPLTALTTLAVLFFMPLR KVTGDWKVKLKAIDFFGALLALGGTSVLLLGLTWGGGEYEWNSAHVIATLVAGFTISV AFAAWQWKGTSTPLVPMHIFQGRIVNGACLTMFINGWNFLVQVYYIPTFYQLVFGYST VKAAAMLLPITLMQTISSTGSGLVVHWVGRYRECILFGWIIWAIGLGLFSTLDQHSGL GKQIGYGILTGVGVGNTLQPSLIAVQAGVERRDMAVITSFRNFIRNLGGTLGLAIAGT VINNIVSSSISALNLDESQSRSLLSSPQTYLSNLSAADAEHVRGVLKPAYQKGFRIIF IVGASLAAFAFFLAVWLMPQVGLNRADDQALKEEAKKRLNGELDEEKRES PEX2_052800 MINSSEKMTNMNEHNVYFGTDSLKKYFDPDCQPPLPLVELPPHL NPYHQDGVRIYAKMMTMHPANNVKAMPALNMLESSVVPGETDRIIEYSSGSTVISMSM VARVMHGVNDTRAFLSNKTSDAKLKLMQFFGLDITLFGGPSQPEPLDERGGIQNARRM ALESETIVNPNQYENNNNWQSHIRWTGPQIFKQLPEINVLCAGMGTSGTMTGLGTYFK DVKPSVHRLGVCTAPGDRVPGPRSYALMRPVEFPWKGAVDTIEEVGSAESYTHSLNLC REGLVCGPSSGFNLQGLFQMLAKSKAAGTLSDLAGPDGTTHCVFLCCDLPYQYIDEYF QKLGEQQFHPIRNEVNITSMTSWPVHPWTKAKEKKANLSTFPQRLTKVDLYRYDESWE RDALEVLPQFYGSPRSLAQSLLSEIVLKPQNRVLDLRQPEDFSAWHLPDSMNLPLSSV GPHSPSPFSDPTVLEAQWVELEKIFNDDVLVSDLGQHHILVVCYDGDSARVATSVLRA KGLEADSVRGGHRALRMCEIGSETPISTSKGPITATVSVAVVPLE PEX2_052810 MMDMKMAQGTPWLDQPVMLHSSRADKCKLTEAQCLYRNYHWRYW YQADHVYALNTVYFMCAVIGVFAISNLLVSFAPDRVRRTRPWRMFTSASRYLSYRGYR FPALGYWSPALGVVILGVIGAVFFFAMTLGPQPYYWPADASYGSSPPIATRSGWMALA LLPFVLVLSTKANMISGVTGIPHEKLQVFHHWTSYAMFVLALIHTFPYIIYHIWKGDM MYQWKTSVVYWTGVAALISQAYLTLMSLPFIRNRFYEFFKATHIFVAVVFVVFFFLHC DFRLSSWDYFIASGVIYFLSLIASHIRTYLMHGIHSATLELLPSGLVRVAVPSIIKWT PGQHVFVRFLTSDLHLLTAHPFTISSACHNPDEIGKASELVFYIKPRGGVTGRLRAMA AKNPGCTKKILIEGPYGGVSALHMAQFDTILVIAGGSGGGFSLAMVDEALRLTGMNTL ASEKRAPSRRNLQVVFSTRDHAMADWYIEEIESRLSESTMLSSAGSDNGFETAVSVHV TDQRGSGISSASDSDDVKGTTSKVPPTEFTTTGSFSLNVHRNARPDLPSLVARTVAVA HAQETHLQKKQRVGVLVCGPASMLHDTRNAAALAQTRVFGGEVEELYLHSEPFVW PEX2_052820 MNRDAVAQDTIFTMATVKQEQSRGQGQAPSKRSTASTWNTKDLG KRLGVDVASAATAGALTCPLITIIDRAIMEKASKGFPIGQTIKNCLRSMVARPSGFFF TTPFILIYTLYTSTYLTANAIDTLRSTTHNQPYNAIDTGLPKFFATTIVNMTICVYKD ARFAKMFGASPPSESPARNNTINTRSVAQKYSSALRVPLPPSAPTAPTLQIPKVSYGL FCLRDSITIWASFNIPALIAPSVPDFLASSPTMKSSIAQFACPAAMQFASTPMHLLGL DLYNRQPAGGLHWTERAARIRRDYVPSCFARMGKIVPAYGIGGVVNVRMRDSLMKRVE RGE PEX2_052830 MSASGSGIPNAASGSSSGVKKGFPQVDLSGNDLPPSPAPSSPHT GRRYNIATELVFTEGSDQYNASSVPIYQSATFKQTSNAGGGEYDYTRSGNPTRTHLER HLAKIMSAQRALVVSSGMAALDVITRLLRPGDEVVTGDDLYGGTNRLLKYLSTNGGIV VHHVDTTDPEKVQEVLSSKTTMVLLETPTNPLIKIVDIGKIATAAHEANPACIVSVDN TMMSPLLLNPLDFGADVVYESGTKYLSGHHDLMAGVIAVNDLQLGERLYFPINASGCG LSPFDSWLLLRGVKTLKVRMDQQQSNAQRIAEFLESHGFKVRYPGLRSHPQYELHNSM ARGAGAVLSFETGDTAISERIVESAKIFAISVSFGSVNSLISMPCRMSHASIDAKTRA ERAFPEDLIRLCIGIEDADDLIDDLQRALVQAGAVNVTMDGIEAR PEX2_052840 MAPKSDAAFPYHEPSIKTLLNYTGFLLTLNIANTCLDKLLYCGL IGQLFIGVLWGTPGAQWFNQETERVIQQIGYLGLILLIYEAGGLLTSVKSLKASILLS AAVAITGICVPMGLSFILKGLVSATSLQSFAAGAALSATSLGTTFTILSTTQLTTTRL GIVITSAAMLDDVVGLVMVQIISNLGGSATSFSALTVIRPVFVSIGFAIGLLLLCAFC LQPGLKKLLACKDKFPAFVRTAQFAFLTQTCVLIGIVTGATYAGTSSLFAAYLAGVVV SWFDGLVAEAKTPIPALQSGDFHEQIFDHQGSPQNTKNRHTEETHSSRAQPPRETEIA HNDTPTGELIYGRYYKEPVNRILLPLFFASIGFAIPITKMFLGQVIWRGIIYAMLMII GKMITGLWLVRFSPSPLACLVSTIQKLYAYTHSYCASHWSIRRNSNQNKKAPQRPATQ DDSADVSTPNNAKDESQPANRPSSPIFSPGSRISLPPKPKSLYPPSILGLAMVARGEV GYLIASIAESQGIFSKESDGPSEIYLVVIWAISLCTLIGPILVGTLVRRVNKLQQSRG GMGSDPLGVWGI PEX2_052850 MDMETERALDKRWAPVSVSRNADSEFKLRTRDPVKAFTYICLGC APWKTENIEQESDDEDEEDEESIEQKKKDDAGIALKQSTAVRDPDNFETHVYNDFFGY AVMELVENLLVDFDEAAGDWKMYCEDGETVNAVCIAFATMFLTMLATLERNDLFKSDS EVKNIGAIIGLFIRFIVDVEEYGIDWDGYDVKIKAYAAKHDVIIHGLNHRYVESSDET VELPEVSANSNDP PEX2_052860 MSCSQGEFALGLAALSFFFLFDALTVPVAQVRCDAASLGVPCTN CVAFSIECKIPSPKRKKNNQNKSKEDTQYDDSPPQTTSSIADAGVAHFQPTSTEEPAD SISGSVSASREKEKSSDSDEKNNAFGYRNNLMGVDGMPNTALSEAEAAQQASANNAYA QFMKPKFARAPIKEAGRVAYLGESSNLSLLVQDRHGTADVVHYPLPPNIRGSRARLTD LDNLEIDILHQRGAFLLPPKPLCDELVDAYFKWVAPVVPIINKSRFMRHYRDPKNPPS LLLLQAILLAGSRVCTNQQLMDANGSTTPAAMTFYKRAKALYDANYEDDRVTIVQALV LLGWYWEGPEDVTKNVFYWTRVAMVVAQGSGMHRSVEMSQLNKPDKRLWKRIWWTLFT RDRSVAVALGRPIGINTDDSDVEMVTEDDFIEDELDSVAEYPADPVHVQFFLQYVKLC EIMGLVLSQQYSVASKSRRMNAMDLTHSDMALADWLQNCPKEVCWQRSRHHFWAALLH SNYYTTLCLLHRAHMPPASSAPNNYRVEEMAYPSRTIAFQAAGMITSIVENLQAHGEI RYTPAFIVYSLFSALIMHVYQMRSSVPSIVATCQERINVCMLALKDVSKVWLVAKMVN TLFESILGNKVLEERLQKAAGRRHQRTRHGESSSSKRHDPPKRKFDDMDIGMPNGGGP TPPVSYERSRPQTPAVTPSRELNQPPPGGQQSPNTHRGPHDPMTGTGNSRANTRPTTP FNGQFSLPATPPDFFLVTRTSPNLSPSLWENFQPDQLFPDGTAFFPELTSPSQPATVD PSLQIPSQMPPGMAPRPSPMMNNQPQPVPGRSMSISHGSPPILSGLPGAMGMHPNPPQ QMFGMEGQHQQTWPPMHGLDGTMSGAAMDAASQDNDTWSSSSRSGPTAPTTLNVEDWF QFFGINGGFGDLAA PEX2_052870 MARLGRIGFLALAVVFHLIYTYSIFDIYFVSPIVSGMRSYGVER PSGAPAPAKRLVLFVADGLRADKAFQAFPDPSPDADPENNELIRLSPFLRSKVLSHGT FGVSHTRVPTESRPGHVALIAGLYEDVSSVTTGWKMNPVNFDSVFNQSRHTWSWGSPD ILPMFKEGAVPGRVDAEMYSEEAEDFTVDATHLDTWVFSKVHDLFESAKTNPELDRKL RDDKLVFFLHLLGLDTTGHSFRPYSNEYLHNIKVVDQGVQAVTKLVEDFYGDDKTAFV FTADHGMSDTGSHGDGHPDNTRTPLVVWGSGVAQPQLSASGIAAGHEDGFSADWGFDH VRRHDVAQADVAALMAYLVGLDFPVNSVGQLPLDYLNASPKEKALAALANTQAVLEMY NVKEEQKRGAVIRYVPYEPLSGYHENSIEGRLARIEALISSGDHEEAIVVSAELLRVA LEGLRYLQTYDWLFLRTIVSIGYLGWIAYALTTVIDLHVLHGTSDSHRTTASISFFSS ILVTLFSVFLYQGSSWRYYFYAFFPVYFWEEVFARRKALIAGRQIVLGHVCSFTGYLK FGLQLLAFLGVMEAMVQSYFHREIFTVCFALGALWPIIHGFSFIRSHALLSATWALGC GLMSSFTLLPVIKVENLDTITYGGLLMFLTGILYLLFEDAIIGHRDPESKEANAIGRV GSRIIMGIQLGMVLLAVIVTRSSVLSLQARQGLPFGNIVVGWVVLVASLTLPFFHRLY PNSHYLHRLMIIFLTFSPTFIILTISWEGLFYFVFCMTIMTWVRLEHAIYVHTAGALE KPKNPGVTTVDGETFYYRALTLSDVRVALFFFCLLQSAFFSTGNVASISTFSLDSVRR LIPVFDPFAQGALLILQILIPFAIISANLGILNRRLEVPPSALFMVVMAISDIMTLNF FFMVRDEGSWLDIGMTISHFCIASALCTFVAGLEFLSEQFVSGVDFAPTVAAIGAAVV QTIEEVTECGHEDHKEAKKAQSNGAKQSKSKSKGKGKGKSGKNGWS PEX2_052880 MPKRKAPSKLSGLLGSEDEDIMQVEATETQETHEPPAKKRRGRP RTSNENVTETKPTKPATRTRKQQAAVAAAEPESPANKKPARRGRPRGNSRTAQDAKIS TQATEAEDMIPEQEDADNQENEDPLVSRNTKKHPPKAAKAAPARGRGQPRAASTQLQT DGEFQFTPSGSQYVSFQEARTEEADPSPLARATSLGRKEPEVENSQPNGQPATELVDE TIIQEGLVYNRRSMSPVKNSRSRLSMLRNPQDSSPRKRKLGGTDSEQSGDPELRRRLG ELTKKHDALESKYRNLREIGIAEANTNMEKLRKQNEIVTKASNELVASLKAEMDAQRK LGLQSRGLQKQLKDRDDELARLRSNADEAQEQLASAQSEVKALQTKLAAARNTAASLE GASKVPGSAIKGGAANRANAAATAEAAQASQLAQLKEDLYSDLTGLIVRDVKNRESDY LYDCIQTGINGTLHFHLVVPKVSTDYDKTEFQYLPHLDPNRDRDLVNLLPDFLTVDIT FVRGQAAKFYTRVIDALTKRRSLPAQ PEX2_052890 MASAGGRRWQQFFQEMVMVAGTSASAYFLIRYLLSRLDFDPESQ KKEEQRQKSAAIIRRLEGGNESDDETPRKGAKREKGQRKKELTLNQYEQAIAMDVVAP EDIPVSFEDIGGLDEIIEELKESVIYPLTMPHLYASTSSLLTAPSGVLLYGPPGCGKT MLAKALASESGACFINLHISTLTEKWYGDSNKLVNAVFSLARKLQPAIVFIDEIDAVL GTRRSGEHEASGMVKAEFMTHWDGLTSANSTGEPQRIVVLGATNRIQDIDEAILRRMP KKFPVTLPPIAQRLRILSLILKDTKVDRDNFDLHYLVKTMAGMSGSDIKEACRDAAMV PVRELIRAKKASGMQMDAMDPQEVRGLRTEDFFSRAGGIKVIPQPAPLSTPTDKVSEK EDGDWSTASEATSEAETRHSVMAEPPE PEX2_052900 MSSQNSFANASPSSNTLSASTTSTSTVRSRPRRLVSFMDDEDDS NNVTNYSYQDDAQPFSSGVSTTLAPSEGGTTRSRGATPSPLSSRGASPLPLKHLSRAT ESMNRGTRSGPTSFPWSGSSKASSSGGAADFLDSSWSSLQSLASSVLGSDAGQVTLNN VAKSHTRRKPSRSDVYIKSAPRSTWGPSAPTAPQFGTGTKEERQALVQAKKREALLLA DTDPIASPTLPHKRRDSGDISYRVFEPEHDEDALAYIHKVQPTDTITGVTIKYGCQAA VFRKANGFWPNDNIQSRNTVLLPVDACSVKGRPVPKKPVDLLTNDEEDPSDSSIVPTA ASTDNISTEQDTPATTSGADGNHAWKHESWVHMDGFPGPVQIGRVPRRALGFFPRTRR KSISYSDAEPPDFYRETITPPSSTGSPPPPSSFGILPRTRPNGDQPKPKTIHPTRPQH RRQRSSIHLSATGVGTLDPTSTGPGPALDGFTRFFAQHMPNLAPAQPPDNSRTASFGS TPTVTSNASTGLENIGGAFEGWVRKVATRAKAGINELQQGPPTQQGSRGRNIWRMDDL IELDDGMVDGRNSPSPLTSASRRSDLQASASSSSSSNRYNNPSVVAASRSRATGFASG SSAYGERVKDD PEX2_052910 MQSITSTSMLLSTFFLSIIFGAIASPLSSNITGTTANPPTATLS TSNKTPKTIFVGRSLLEFNQDLFLGIKYADKPIRFTPTELKSAYASKDSNSGPYDLSQ TGISTTSKSVYYNATEYGYDCPAYGSDTTNMVNNGLITLNEDCFNLNIIRPNSDEKDQ LLPVMIWIFGGGWAQGATADPRYNMSYIVEQSALNGKPILGVSINYRLAAFGFLDSEE VRAEGNTNLALRDQRTAMRWVKKNIKAFGGDPSKITIWGESAGAYSVGAHLVTNNGDN EAIMDSGNAVGPPYNGTEWHQPMYNRIVERAGCTNSTNTLQCLRELPYSTLYNTANEG LEWFATIDGSFIAQYPQISYRQGKLAQVPVLIGTNTDEGTSFGTTGTNTDEDCINQLT ASTTASKRWVLDRSQATQLLSYYPNIPAIGCPYGWGNTTWPSLGFMYKRYESIAGDVT MVAPRRMLAQRMASFRDNVYSYRWDVAALNSSTTIGVQHFAEIPFVFANPVQTITPLG SDPARLELGQMAAQMWTSFVADLDPNGHGVSDITKWPKYSSRATNFVFRLPRNESYIE ADTYRVDGIDCINSIAR PEX2_052920 MGVEKKTLVEGNGVDYPKKGEHVAIHYTGCLYDAEKADNHFMGS LFDTSHKPGRGPLATPIGVGRLIRGWDEGVPQMSLGEKAILTISPDFGYGDRGFPGLI PANSKLVFEVELLKVGTKSV PEX2_052930 MPFSHHSHSGQFCPGHAKNSLEDVVQTAISKKMQVFCLTEHMPR HKEDFYPEELESGNTEESHAANEAAYWKEAVSLREKYASQIKIIIGFEIDWIRPASKD LIERSLSRFPFEFFIGSVHHMHTVPIDYDRNMYEEAREKAGGTDERLFEDYFDAQLEM LEQLKPLVIGHFDLIRLKSDDMERSFTTWPGVWSKILRNLDYIASYGGMLELNSAALR KGMTEPYPKAEICKEFVARKGRFCLSDDSHGIDQVALNFRPVLDFLDRAGVKTLHYLQ LEASVSSPAPDARFPKTQIVEISVEDVRKMEFWS PEX2_052940 MKPVPFPFPLNIGTDIVYIPRITRLLDRPNYLRRFTRRILCDQE QHDFHTRFSEALKARAAYHQPPPPVPADITRWLAGRFAAKEAARKAAPSGAASIGWKD VMVRVQEDGGKFGEGVSRRPEIVYLGNALDGDADDGRVAKLSISHDGEYVVATVLAAG PEX2_052950 MVVYYQIAGKKVGSHVLAMATLGSIFAGSWLAVSGGEKPKTAQG PPINASSKDEENFVQNFMKEVDGGEKKPAAGH PEX2_052960 MGTSIDGSSVNSTDTGFRYAAYANRIRTILLSAHRYVAYTSDIG ESFRPIAHPWLVRSAYTISWTYLLGDVGHEGYKAYLRNWKALAPTGEAYKDASQPSQN QIIRGMATGNMTIGNKAEGKDGPSSWPTPEIPLAEDYRMVMAKRAVFQSIASMGLPAF TIHSVVRYSGQMVKGVKIAFIRTWTPIGLGLAVVPFLPYIFDHPVDEAVEWAFRTGLR AYGGEAAVRPLPGKSLPPREDEEVRAAAAANLSWDEYKAERERARELRRQSTEQAGSG GIASLTSWFGKSESESDKKKTE PEX2_052970 MKFEYAPDEVPQKVVEVLKRFCLHSSKDGHQKDVGRVFESVPEK LKINITANQPITMVLPAFPWKSPNQDKVLGDGADLGEEMGLAKLNHLCEEISKVYPYG ARLILICDGPIYNDLVGVPDDEYYDYGIELRKIAQEKHFSSIQFTRLINLLGLGDGEK ISKADYLRLVPTCRQTLMSPTYFDPRFDIDHELKTNPDTKTTYESYFSRISEDLKWAK GFDPLVAADTALYATEVSKMAKTMINRLIAYEAVIKATLGKYIRLSIHPSLGRNKISI PLLRQGDLFGDMPWHSSVVVLSNGEIKTGRSGEFRKLYEVVMRHGRPYYFRERSPTYE WEAEVEFQHDFDGLVVKNPSQRIQTLGRDDRLKLARLIVQYQTKSVRVEGFEVPDDA PEX2_052980 MGQPNISQSNAAGACPTATASPYRTSTTTYATLAQESPLVIYPD TRRQDEDRKAENNQIPDGGLVAWTQVLTGHLVVFNVWGYITSYGFFQEYYVKNLDVSP ANASWIGAVQMFLVHFLATFSGRAMDAGYLRQCIALGCLLQVVGALATSFGTKLWHFW LAQGIISGIGHGLVFSPMISLYATYFSSKRVMAVSLASCGAATGGMIFPVVAYKCLNN IGFAWTVRVMAAIILFNSVIIVKFTRSRIIPRSPPPWVDFSAFRERPYLLFSIGSFLI FEGIYFAYIYIRHFAQTHTGFTASDSLLLLILMNGVGVPSRIASAFMADRWLGASQLP AANAYFGSKDPEKSGTRVGMITTINSIPLLTGPYIAGQLIALRGGDYLFLQLISSRAF STNGALHKMALRPLHYHLPLFPGFQLLDVAAPLDILNIRTQYPDTSNITLTVSAATLD PVSVKPIPPAKAKWRFDLPVSNINTACNQQMLPQCTFADVISSLKAGKVADDGSGEFK PIDVLIIPGGPGTRLNRIYDTEGTCDEVKVSNMQEVRDFLAAVAPYVRHSIITVCTGS HVLSQTGLLDGRQVTTNSARYEDVTKQTSEVKWQRNRRWLRDIVPKDKVSDGLSLLPG IEIWSSAGITAGIDVMLEFISVHYGGIEVGMETAKRMEYRWEREKEASYFL PEX2_052990 MLATTVVEVSAHLNIIPLDGITPASKTRVFLFPKPHPVSPKPEV NTDTHPNAPTICPTLIRLKAEEIMNIVERYGSHERTTAAGEWLGRSSFMPRVQTHVAA NRAIPMVLPAFPMKSNNRMDKVLGALPDLGDELGLGRLVNLCRDIKAVYPSGAVVVIV TDGICYNGEIKSPVNLDLTGISDEEVWEYGNQLRKIAVGKGYACIQFHRIMNMLGLYT GAQISKSDYVKLCGLSRTELHQRCGRPGFDVDKFLKSDEDYLRTYNGYDKFMKVDLKF SPVTRDCAGPKQYKKRIKSIAKSMIVRGVEYAELVRQIYPDSLRLSIHPSSGQTKLSC ALIPQKNSFSMSPWHCSVAVTTAGEFITAHQSAHRQKFDLIKKDGQPYLFRESSPLFD WDARVNFEHHYGQNLVIIAQKKIDQQLSDSDLDKLALLAIRHKSVSFISL PEX2_053000 MISFLENGPQSGRVGTVSLPRNFLSDFKQLVDCLKGDELKTNID NARANVFPRMTLLPKEFNLCILYATSLVYCLSRLMILGLAVASLRYMPDKVYVETWTA NIPAI PEX2_053010 MLFLTFICSVPILATATEETTSLPVGWQDGPNRRGTWDIVLSCL TTILACTWTIQHLNVPGQSDHDGIVRITVQRLKWMAISVLLPEFILAHAFFELILAVQ GTEDVKKYTHKKVAKYPWMIRPLVSKSARSQQQDVETAETKGRLEPSKKPQDEDLSTP EEYKRPDTKEIPDWTLAHSYFANMGGIYYQGNIHCPLTTSQYAQECELYEMPKVTESD IESRSKQDYTAKGIALLQIAQLVLSLIVRKTQNLPFSQLEALTLGLAVFGIGTYIVYW YKPQDISAPITVRHKKPGAEPLKFIQNHDNFWEILTNHRSSHDDQTVNRIKNDNIPLG SSKTAHMAIPTLAVMSAAFGCLHLIAWNFEFPTDIEKILWRVATILSITVPITGLAII PLAQFTVQTDRTREIYTKQKEG PEX2_053020 MVQLLLVRGAQVNAGLDASSKETAFMPAAENGHLDVMRLLIDGG ADIEAELAYDGKSAFERASTYGHTSIVQFLLEQSTHRNITISLQKREFAFECAIRNGH YDVVKVFLDGGLTKADVFDQVRSSLASAARRGDLNMFQLLEKHELGLGDDGYEALGFA IGKGYRDLVKHILGGFTQVRISSDVDDGLQVAAGRGDLEMFKLLEEYGFELSSKGKGP ALMHAIGNGHRDVVKRLLDGGIAEADIVSAVNVGLGVGARLGDLKMFEFLAEYGFRIR GTEEAFALRNAIGHGHCNLVKFLLDGELAETDVVSKVKEGLYYAAFRGALEMFELLEG CGFEVRSSTYLIEAAQKNSLPLVRFLMDHDKVNINATGTEFSMTPLQFAAQHGNIAMI NFLLDEGADINAPVGKNVSRYGSTPRTRCAIDLAREQDHLEAVRLLGERGAKRYNPTE DE PEX2_053030 MTSSGSDLADLLGLGDKSSTGNSTSATVSSNALSSPTIPVSVPA SATSSPSTTPTPSGTSTSDGLVSSLVSSVDSVLDLTSTGTGSTASTALIPTASMPNGS LLPPKSTGIIPGQGGTTTGAIPTPINTGAIPTSTKTLGSVPVPHSPGSDSTSTSGSET ISGSSTASVPLLGTTTTASVPSSGSQESSKIPIPTSHPASTPLPSSTSTTSTISITST SEEVSTAKTTVEPQTSTETHTSSTSNDNDWMPSTILIVPTVTATESSASGQTAKPTAP PSLPGSITPSNEVTEPPSDSILLQLGFNSQLPWSFVATTPLSSSQIFNYTPQAIENAL PALSAKDGPVMFAIEPYYNWQSTGYNATLAIFYFPRDKVDALKALKVNPNSALYTQAS ESIQSLMSMVDPTIPLEFSGNYPSGTSDSTGGNNNGGSDGGSGGGNNENTDGSAGTSK AKASSVGIGVGVVAGAAAYGAGMFWVARRYRKRKQLHRRSSSTVEQMSQGGSAAGSMF AAGGRAPSHGSRGTARSQMISAPVMAENSLGWN PEX2_053040 MRPDAISVLAAFLAVGGLELVAAQDASEAHVQRPRWYFPQDVKR TIRRNAEPDPGSILDAVFDNLTSTQVTSSTATPTPETSAPAQPQKGQEVVVVTISVDP KNPEITHRITEFEYREHYQEELRGRIYRCGNHKQGGIGGRLISQGFDYIYSSYVSFDH VFFDLVFFDCVFFDLVFFDCVFFDRVFFDCVFFDCVFFDRVFFDCVFFDCVFFDCVFF DCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCV FFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFDCVFFD RVFFDCVFFDHVFFDCVFFDHVFFDRVFFDCVFFDRVFFDRVSFDYVYFDYDL PEX2_053050 MSRPTRTPVSTKGAPVPPPILSQGMVVGNVVYCSGQLGVDPTTG KMVEGTVQDRTRQILRNLNAVLEAGGSSLQDAIKVNIFLTDMADFSAVNEVYATFFSD PKPVRTCIAVKSLPQGSDVEIECSGLVTKPGNGRSSRL PEX2_053060 MSSSAAMSIRKSGPRVIRPPSYQSVVTQTSNRSIWRCARNGQGG KTERILQRSDKYQRCPPYNQRKPETCRDRNATTPKDTYSSWSWAAWLSQSSFSDLPAG RERRIWDSDSGQTHKDMEFLKRYIEADPYKAVFGRRLDPFSNFDKNETSFDGFLQSIT SMEKPRSVRPKVDKRQTRSDANHVGLQYDPISGRMVPIPQPTTLESSKPEAENNYHKA IDCPSGTEVDAKFAHNPSVTEDGRFQHGNTGPSPEAQSSSQSSSQSTIDCSPGSELDI LFTSTPTSQDVQDRLQVPRETKRKPFIITDHPSGSKSETLSVSESVPSAQPQQDTSKV NGNTDKPNLDVSLTASTNVECPPGSELEATLISDPTSRFVQGSLSGLETQALNKQTEI SIDCPPGNEVDPILSSELGSLGVKSTGPVRQTADTAAMSGAQESFEYSPGSETEAKIL SDSASQGIAQLKAQTSVYCPPGSEIDAEFTCNTESIKENQSQPEPLIGLDTSKIANNV VDCIPGNELEAKIISGMGPNENEDLSGLEASNIRSRYARLESKVQANPLDFDTSEDRV SDFIFESQDLATEKGEQPADSQTPSPKFHILAFDTSTSQVSAAQADSFFGIDDDSQPI EILSRLHNPAKFLPYLEKMQEDGYEIATGGGNILVFRKTQITPCHTLSNTSTDEEPAI HAEIAQHLRHDSINSAATYAGTPWQSTSEPPSATRSSSSASEPTTKSESSFRKTGRRM LITSTATAATCYAIGVMTEFFRTGGNDGRGVDGFTVFESDRRRRE PEX2_053070 MRRNYPTPNSKPFRLPSQRPFNGRGSPAHDGDHQLDDFDLALLA SEDREETIQDSGSGAPRSTPLQASRQPDLHPPQFSRFFQPSSSISSRWNGSGSSDPVL GPPSSPLALFRPKKDGVRIGQRSLETNRGPHGDETSHSSPQEPITQESPEGLTGNRQH NNSFSNIPPSVRGIVLVSVNDLPENYRSMFPFPLFNAIQSKSFHSVYNSNDNIVLSAP TGSGKTVVMELAICRLLNTLKDERFKVVYQAPTKSLCSERFRDWHSKFSSLNLKCAEL TGDTDHMQLRNVQSSQIIITTPEKWDSMTRKWKDHMNLMQLVKLFLIDEVHILKETRG ATLEAVVSRMKNIGSNVRFVALSATVPNSEDIATWLGKDATNQHVPAHREHFGEEFRP VALKKLVYGYASSLNDFAFDKVCGSKLPEVIALHSNKKPILIFCCTRNSSLATAKELA RLFTLTNPPARLWKDPKKLLEAHNEDLKTTLPAGVAFHHAGLGPADRHTVETGFREGN INVICCTSTLAVGINLPCHLVIIKNTVSWQDGGCKEYSDLEMMQMLGRAGRPQFDDSA TAVILTRKERVSHYERLVQGSESLESCLHLNLIDHLNAEIGLGNISNVETAVKWLAGT FLFVRLRRNPTHYKLKEGANQEDEEELLRNICEKDIVLLRESGLVEAESLGSTQFGDA MARYYIQFETMKVLLSLKPQANLSEILNVIAQGAEFREIRLKAGEKSLYREINRDSGI RFPIKVDLALPAHKISLLLQSELGAIDYPDTEQLQKHKFTFQQDKSLVFAHVNRLIRC LIDCEIARGDSIAIRNALELARSFGAKVWDHSPLQMKQIEQVGVVAVRKLAAAGITSI EALECAEAHQIEMVLSKNPPFGLKLLSRLKEFPKLRVSVKMLGKEVKVTCVNVRFKAE VAFMNDKIPTFFQRRPVYVCSLIETSDGHMIDFRRTSASKLQEKLEIELTAELTSAEH VIVCHVMCDEIAGTSRQAELRPNLPAHLFVAMRDKNTNAQNTETSNLPSRHPKGNVNP KDAKNPKTNTSRPHERDMTSNKIKARWDVDYFDGDDLQLDDFLVANEHRDKAKEDTKP KPREFDDIDWFSIDSTPPSPAKAAPKVSNTREDDWAADMDEPVDEYEPVRLANGKWAC NHKCKDKTSCKHFCCREGLEKAPKPPKRTIPATQQEAGLNQLTISASITKATPTNNSN SGKQAAQQRVPVPKNDDHVSKAKKMTMSQAKKKTPSREKSVLSERDKNIPSYKRKRVD SPANSFSSDYGDQAFDDLPSPSRLLGNRGSGFATSGSLLVQNQTKRNLTDVLVTETKH SDPEPSPFAPRSGHPQSDQIKPSTPNPQHEIIEIPDDTPPDSTGLPKTTNKEFSVKQS TLPLSTRVDSPPNLKRKARQDTTQIEEHTKRVKQSPFVSALQVHGSNISNDEKPLNPE QSASPVSPVSPVATVSPVIGGRMDLTAAWHDNGIDLLDEFKDIIKFI PEX2_053080 MPPAGGKRYLTSTAVFLVDVVKLAISLTMALYDVSKTAPPSMPA TSLFFSLTSAVFSGDSWKLAIPAALDVLSNSLLYIALSNLRAATFQITFQLKFLTTAV FGLMLLKRSIPPRKWGLLLLLIVGVALVQIPDASPEQMLQEDHASHHFPRSLEEWKAV KQGAGSGSSLHKRSATYEGIEEDILTADPHLNPAIGLLATIGASLASGLASIYFEKVL KDSSNHISLWVRNVQLAVYSVFPALFIGIVFRDGEKIAEDGFFQGYNWAVWSTIIVQA LGGIVSAFYVSQAQKDARSLATTANIILSIVGSIWLFDFEVTASFLLGSAAVLVATHY YGNPTFNPAMGSLRPPPIRIDAYEKDPAGPDGSPVAPPNDFSIKLPTSPFLSDGMSSS RPTSPAPGHTRVSSSRNANTGSYFDEK PEX2_053090 MGNRELTPVHVPLRTSSRKAAQHDPMSTQEQTRMADRRTSKAQR FFGTDISLPTEHGGWQEAPNVPRPSFVKPPNSAPKQNTGFVPFPRSSENHLMPDQNLR VRASSPLLGHDYQLQEAAPPLPKFSPKSSKKVHHSGSSSTLFSYFSSKESSKSPKSQG LQPRVRHELSVEPQVTYKQPQDPPKESKRKMRPPKIDLSGLFPKPRNPAPQTLLSPHR MVNSPSPVSTVAPDSSSNKIERITGGTSNPTRRYVDPPPPPRRSSITKNDPPKHGGDN SDIPSIAETKNSEWSEQPLERTVGTSEIDLALDRYAGRRSLLSRSSMYTTSHEKLHQE HERPQEPRKSSKRAQSSSRHKETYLSPASGPDPNRDRGLSTSHESWKTDESKSKSEKS SLTKKSSKSTLKNKDLRNTSMLCLSSSSEDEDDDRTPTTESKRNTNNSRRGSVATYDE YEPQIYTASTAHATSATAVRRSERALSTSTHGSRQTEKSLPVPVARPRKVSRSSNGNS SQKNGRTTQPRRSSGVPTIPDEILAQFPQQPLRTPAELKELNRRSRVIAVTRQEQDLL EAMRIRKGKVTPSLLNAVGADRRSLASGPSRDSFCGSDTSFLRLSSVFPPFDVRSEKG ATQYKDGTPSQSSGSDNERKPLTKISSSGFSADYSESLPSPSTSGASPMTPTLPIHRF SPLPSPRPPPSGPPPAIPEDQKQHTRRRTDSSEAILLDDLAELKRNNGLPLWSFDFDW NRESANIASVH PEX2_053100 MPRSKKNVALEAAPQSGAHQPEIQANKIHRFPVIEQSLELMKIT LHMTIASLLFMRGLLPMQVFGERILRANRFHERYTYANFVNGKSKGNFARNRSEYVPI WAIERHMSDEADKLLDLLFTIIANKDLPNKVLESYTFTFDNFGERGTADRLTNGPRMD FVSPHEDRASMRNMIFEGKALIRRLITMCAESPALPNERSLGIHVFYKPECPASYDVH GFADSQDDTIEYPRTSYWERTRRFYGSIDSGFHTVGLRVNSLISTSPVGEAHFPFAEE ANEEAVLRSEEVGIPTPAQMPLDVVGDIYISTDESTAGGTDDAIDFSEEFPGHQYLSI ATKMRSTEKKVDERIFFKQEDVEFYQSQMHKMAQAAAKSVEVGSDDSSETNFGTTRVT LERTRKSSVPFNWSQEEVYTTFPVSRDGIHLSKARQESRINFFPAKYIRRLQDTASKP TVAARTIDYIETRHGALKKPEEENKDKKENLPGPSGSMAKYGHKDWRRYRFLINLERY NVPIARTGSTPCVMATIPNLMKELIQLIRMRKTIECVLATGATPTLNWQLARYLNCSD DEARATVHSIRKLGILKSADWSKMKDYYKTGCSKFWLRKTIPSCNTICSEILDPMLFI REYYEIPPPIPPPMIRSHWSRSGIPILNGNMSESAEWYNTIDPRWQPKLWERVRSNPS TNGYFFEGQTSNSVSKRLLNLADEEGVEDDSVSAPEVKKMKLR PEX2_053110 MTSNAPTSLQISEVDSALTDHNASVSAPSHATHSPGSRFIHPRK REMDEREELGQEPSNTAIGQFTFAPTTRTTVVTTTTTTTTSFPPLTINPPRAVKDLDT RQYPLASSPTPAALRNLKFKIGDKSIIFNEPEDTANTAAEYKEKSEALKSSNGQIRSV NSFISEGENPTRRLTPPRIVSQPYSHPSSTRRRAVSPIALFDHRPSILPRTRPSRVPS EPITRRTRQSGVGSTHLAAGLATPDTESNLGGLGETSAPRPRIHSSVFPRREPPLPSP LSSEIGAKGLQASNQNSYLQNALSGIRSNPGTQESQATEADKSCSTAHESASFGHDTT YGTQEPASQERPELMSQLSAIDNAMAQDMCLPSPSLSPVAAMNALHGESSFESEGLDV DTDSSLDHNVSRFSKALRLQDADETLANGHSLANSQSPSSLLDIPKVLDFFDSVPDEV KTYLMYQFLRRCPKPTLHFVADVVNPTLKCDFLALLPLELSLNIVKYLDVQTMCRAAQ VSKKWRHVTNSDEKSWKNLLDQDGYHLSDGELERAIRQGWGWQLPSGPEDHEEDLSLV ALSRGDMEASPVPSMAGPSSRSPLVSLPINRRGKRKANTRASSRKLAKRKVSSSGGDI AEPDWRRDIAASEAPYAAANAAAAAVPYAEVGLPSLRGLFLFKVLYRRHVAIHKGWMQ PDVKPQHIAFRAHDRHVVTCLQFDADKVLTGSDDTNINVYDTKTGALQATLEGHEGGV WALEYHGNTLVSGSTDRSVRVWDIERARCTQIFHGHTSTVRCLQIVLPTEVGRDADGQ PEIMPKEPLIITGSRDSNLRVWKLPKPGDPVYYQSGPSADDASCPYFMRVLTGHQHSV RAIAAHGDTLVSGSYDCTVKVWKISTGQTLHTLQGHSMKVYSVVLDHKRNRCISGAMD HMVKVWSLDDGAVLYNLEGHTSLVGLLALEHDFLVSAAADSTLRIWDSVHGHCKNTLS AHTGAITCFQHDGQKVISGSDRTLKMWDVRNGECVRDLLTDLSGVWQVKFNDRRCVAA VQRDNLTYIEVLDFGAARDGVPESKLAKRIVVNRRGQEVLGGIEDDDDLSD PEX2_053120 MMNSIPKCRNSASRISLYTTIRALSSASNPNSKRELKDLTPSDL CYYWDTRAPKEEQLAFADKVFTPSRHSPLKLWSAAKFRTTPFASVEPEVAFLGRSNVG KSSLLNAIMGQEICWTSSKPGRTREMNAFGIGGTKGGESKVVLLDMPGYGKASRSEWG AEIMKYLQGRKQLRRAFLLIDSTHGIKQTDAEILRLFRHHAIPHQIILSKVDKFLVRQ LKQIKTGVTPANIERLQRLLQGIKPIVQPDPRASEGPGSLGEILTCSAEAKIGPGRSL GIDAVRWSILSAAGIDGSLQGGRLAVPPPAEKPATLS PEX2_053130 MINAVLVFNNNGQPRLTKFYTQLVSIAPPRSRTRAPAQDKPQTN SRKQDTQTQQSLIAQIYRLVAQRPASACNFLPLPPLLSQGASSSASGPSDTPTQITYR TYATLSFIMISTSTESPLALIDLIQVFVEALDRMFENVCELDLIFGYETLHAVLGEMI VGGVVVETHIEKIIAGVRAQEGSLGKKKAVQAASTSLGRGALPGLGAWR PEX2_053140 MVPPTKSGKKTAALPYPQGKAGAGKKAAKNPLIEKRTRNFGIGQ DIQPRRNLSRFVKWPEYVRLQRQKKILNMRLKVPPSIAQFQATLDRNTAAQTFKLLSK YRPETKAEKKERLVQEATAVSEGKKKEDVSKKPYNVKYGLNHVVGLVENKKASLVLIA HDVDPIELVVFLPALCRKMGVPYAIVKGKARLGTVVHKKTSAVLALTETRAEDKAEFA KLLSAIKEGYTDKNEDSRRHWGGGIMGAKANARIEKKQKALDAAIKV PEX2_053150 MGWFSDDSDQAQAYNTYNGQQEHDPSVIHELIAGAASYEAAKAY ENHVAQNGEPESHAKAKEILAGFAGAFVDREVETRGLDFFDKERAKYQAREQVHEAYD NNGNQF PEX2_053160 MAEATEDFSSLPLADRFAHKNWKVRKEGYEDAKAQFEKTPDESD PVFVPFIQDPGLWKGAVADSNVAAQSEGLAAYCAFLKFGGAQACTRTRSFTIGPIAEK GLPSTRPAGKASAQEALLLCVEMDKADPVIEELLPTLSHKVPKVVAASLAAFTLIYHN FGCKIVDPKQTLKALTKVFGHADKNVRAEAQNLTVELYRWLREAIKPLFWADLKPVQQ ADLEKLFETVKQDPTPKQERFTRAQQDAMAAASAAPAGEEGEEPAGDDFDEEEDGVVV DAFDLAEPVDVMKKVPADFHDQLASSKWKDRKEALDALYNVINVPRIKDGPYDEIVRG LAKSMKDANVAVATVAANCVDVLAKGLRDGFTKYRSVIMAPMFERLKEKKTTVADALG QALDAVFTTTTLTECLEEIFEYLKHKNPQIKQETVKFLVRCLRTTRTVPAKAEQKAIA DAGTKLLTESAPAIREGAAEILGTLMKILGERAMNPYLDGLDEIRKTKIKEYFGTAEV KAKERPKAIVAPPKPVLPAGRKVVGKKPPTGLKKLAPVAAPPAPEEPPARPAARGIPS KLGAAKAGGLAPPGSGLKLQRKLAGPGTTASPSRRVAAPPEEDVAPPPAAPKFGLGRG LAGRPIARPSAPAEPAPAPSPAANAMAAAERAELEALRAEKENFNRIVEDMKSERSRL NSTITELQNQNAQLIEDHTRDVLSIKAKETQLVRARSDAETAEGSVQKQQREIERLKR ELARALRASAISPPNATSDTGSMGMPDTSSIYQDHLGNGNAATRSGLHMGSRFETSRP RSYASATPSEERENNGVESPGPSSRNGGLGRRNLSPTYGYSAVGSPTRSSARNSNTNF TEDDHHQPRSSEPAENWKRAAEVTSQLKARIEQMKVRQGLSRPPQR PEX2_053170 MSQLLTTRQAEELHKGIIAYLTSVNLHHSSAALREELGDSIRVD ETTLKKYEGLLEKKWTSVVRLQKKIMELEARCASLQSELDTATPTSLNRKNQDPVSWL PRAPARHDLQSHRSPVSCLAFHPVFSSLASGSDDTTIKIWDWELGELERTIKGHTRAV LDVDYGGPRGGTLLASCSSDLTIKLWDPADDYKNIRTLPGHDHSVSAVRFIPSGAAGS PMSGNLLVSASRDLTLRIWDVTTGYCVKSMQGHGDWIRDVTPSPDGRFLFSGGDDRVA RLWDISSGDTKSTFLGHEHYIECVAFAPPTSYPHLAAIAGLKKPPPASSSAEFVATGS RDKTIRIWDTRGNCIKTLVGHDNWVRSLVFHPGGKYLLSVSDDKTLRCWDLTQECKCV RKIVAHDHFISSLRWAPPLVKDAGANEGANGAEAASNGAKVDPNATKMSIRCVLATGS VDLKVRVFAA PEX2_053180 MAPSHLLRATMPLRASITTPLSLTRPSILQSTTYLTTPLRNAST TIPTRTQPKTTGTETQAPSRLRNYASALKAGTVVSVGRMDRTVRVCHRHTVWDRHIRK FYPQETHYLVSDPRNSLRDGDIIEFSSGAPKSRNVHHVVERIITPFGEAIADRPAVLS KEEREAERETRWAAKYLRRESKRLGREVDLVKEAAKAGVPVPQGEVLSTAQLIHRIHA ENERIGKVKRIVQERLAESERVTQERLKEAEN PEX2_053190 MPSVEPKNEARLLLVSNRLPITIKRSDDGKYDFSMSSGGLVSGL SGLSKSTTFQWYGWPGLEVPEPEIPVVKQRLKEEYGAVPVFIDDDLADRHYNGFSNSI LWPLFHYHPGEITFDESAWEAYKEANRLFAQAIAKEVQDGDLIWVHDYHLMLLPEMLR EEIGDSKKNVKIGFFLHTPFPSSEIYRILPVRNELLLGVLHCDLIGFHTYDYTRHFLS SCARLLGLATTPNGIEFQGKIITCGAFPIGIDPEKFKEGLKKEKVQKRIAMLEQKFQG VKLMVGVDRLDYIKGVPQKLHALEVFLSDHPEWVGKVVLVQVAVPSRQDVEEYQNLRA VVNELVGRINGKFGTVEFQPIHFLHKSVNFDELIALYAVSDACIVSSTRDGMNLVAYE YIATQQKRHGVLVLSEFAGAAQSLNGSIIVNPWNTEELAGAYQEAVTMSDEQRALNFA KLDRYVSKYTSAFWGQSFVTELTRISARSADKFQSKKLAETDANETDVPPTPQDA PEX2_053200 MATQLVQLPEVERLSASVVRILGGNPGKFTLQGTNTYLIGRGHQ RILIDTGEGKPAWAANLQSVLSEEKATVHQALLTHWHPDHVSGLPDLLKLCPDAQIFK HQPDSAQTDIQEGQVFSVEGATLTAFHTPGHTVDHMVFMLEEENAMFTGDNVLGHGTS VFESLKTYLNSLHRMRDRVSSGRGYPGHGAVIENAGARITEYIKHRQQREDEVLRVLQ FGKLDVAAGESSPERKQAWTPIELVKRIYSDVPESLHVPASHGVLQVLMKLEDEGRTV LDSDSGKWSLLSERSAL PEX2_053210 MISTRLARASALAPKFRLMTGTRAFATVNDPLDKKVEMTNQETG HYINYKKMSENLAIVRSRLQRPLTFSEKVLYSHLDDPHGQDIQRGVSYLKLRPDRVAC QDATAQMAILQFMSAGMDRVQTPTTVHCDHLIEAQIGGEKDLARANDINKEVYDFLAS STAKYNIGFWKPGSGIIHQIVLENYAFPGGLIIGTDSHTPNAGGLATAAIGVGGADAV DVMAGLPWELKAPNVIGVRLTGKMSGWTTPKDIILKVAGLLTVKGGTGAIIEYHGPGV DSLSCTGMATICNMGAEIGATTSIFPFNDRMYDYLKATKRQHIGDFSREYASSLREDE GAQYDQLVEINLDELEPYVNGPFTPDLATPISQFKEAVKTNKWPEELKVGLIGSCTNS SYEDMSRAASIARDALDHGLKSKSLFTITPGSEQIRATIERDGQLQTLEEYGGVILAN ACGPCIGQWDRKDVKKGEANSIISSYNRNFTGRNDANPATHAFVASPDLVVAMTVAGT LNFNPLTDTLKDKDGKEFKLKAPSGDGLPTRGYDAGRDTYQAPPADRSAVTVAVSPTS DRLQILAGFQAWDGKDATDIPILIKCKGKTTTDHISMAGPWLKYRGHLDNISNNMLIG ATNEENGEANKVKNYFTKEYDAVPATARDYKARGVKWVVIGDWNYGEGSSREHAALEP RHLGGLAIITRSFARIHETNLKKQGMLPLTFSNPADYDKINPEDKVDLLCTELAVGKP MTLRVHPKDGGAAFDISLSHTFNESQMEWFHDGSALNTMARKA PEX2_053220 MGLHIQELVTTFTKKDSTGTGSRAVTAVTTAIQYAGIGLSLPHA TARRAGQFDRRCLWPRILDACDGYNSATNHGVSNYKAPFAQFSHFGPKGYLWKVNVFS PWVCNSLGLYPVLPAKGTWTHVDLMGFICMNLANDQHVFTSS PEX2_053230 MGALRKVKNKRRTRDYDQVVADIKTPRHLQKYKDSKDPEDLPGL GKFYCTECSKWFESDYNLKAHAKGKNHKRRLRILRDEPHSQLLAERAIGLGLIDNGKR EENPDEMKE PEX2_053240 MAADFWAGYISGAIGIIIGNPLDVIKVRLQAGDSHADASSAHLS RFERASSLVRGAAAPILGYGALNAILFVAYNRSLAALDGSVTDPTNPVGVSAYKIWLA GAAGGLASWSISSPTEFIKCRAQLDRRPEVSSWAVAKDIFRTRGWRGLYFGGGITSAR DSIGYGFYFWSYELCKRFMTSDDDGSRQAALKILLCGGIAGVVTWGSVFPLDMIKTRL QAQTITDHSPGTIENQSLLRPQRQTLNSFQIAKETYRAEGIKAFYRGLGVCSVRAFIV NAVQWAAYEWLMKTFNHSWDAQVKPQEPIAGL PEX2_053250 MANTVYLITGASRGIGRGLLGTFLARPNTTVIAAVRDPAGASAQ SLQSLSKGDSSSLIVVKIDAKSPTDPAAAVETLQTEHGIDHLDVVIANAGISEDISPV HKASISVVQEHIEVNAYGPIYLYQAFYALLKKSAKPTFVGVGSPLGSIGGMDQRPYPN TGYGSSKAMLHWIVRKIHFENEDFICFVADPGFVQTDMGNSGAKLFGFEKAFQTVEES VGGIVKTIDEGTRESVGGQLRVWDGTEFPW PEX2_053260 MVGRYIFPLRSDEELLVFEKKINYNFANRALLREALQGSNALNG DGNKTLALIGVVKNPCQSQIGRNVMIDTMQAIVGAVYLDCNEQIPPCADVMTALGLSW PE PEX2_053270 MPGRYRQRSTGGDDSVKTPLQPKSVNAQNSIDRLVRPFKCPGTA TPTRASEKPSRKRRKVNYANADGSVEDGEEKPYTNDDRLALETREANKFPAYKPKDKD VAFRARFQVPFINKAAGEYSSGRVAPTLGMRRGATFVVKPLHDPSGEFAIVLYDPTVD DVAEEPAPKSLEAPTEDTPKLDEPIMHKSLADILGLKKDVEDRPKVPVVIDPRLCKVL RPHQVEGVKFLYRCVTGLVDKNANGCIMADGMGLGKTLQCIALMWTLLKQSSEAGKTT IQKCVIACPSSLVGNWANELVKWLGKDATTPFAIDGKATKAELITQIKQWAIASGRGI VRPVLIVSYETLRMYADTLNDTPIGLLLCDEGHRLKNKESLTWTALNQLNVTRRVILS GTPIQNDLSEYFALLHFANPNLLGSQADFRKRFELPILRGRDAAGTDEEKKLGDERLQ ELSGVVNKFIIRRTNELLSKYLPVKYEHVVFCNMSQFQRGLYNHFIKSPEIQSLLRGK GSQPLKAIGLLKKLCNHPDLLDLANDLPGCEHTFPEDYSPPDTRGRDREIKSWYSGKM MVLDRMLARIRQDTNDKIVLISNYTQTLDLFEKLCRSRGYGSLRLDGTMNIKKRTKLV DKFNDPDGQEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWRDGQKK DCFVYRFIATGSIEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELFQFKPDTR SDTHDTFKCKRCRPDGLQYIKAPAMLYGDTSSWNHFVNTGEKGPLNQIQDLLLRQETG EQDVSAIFQYISH PEX2_053280 MDNAIQRLLNDKLYDRRKQGALELEKIVRDAVFQGEQEKIQKIV EQLCHDYAYAVHQPHARNGGLIGLAAASIALGSEGVAPYLGEIVPPVLACFSDQDARV RYYACESMYNIAKVAKGEILLFFNEVFDALAKLASDSELSVKNGAELLDRLVKDIVAE SAASYVSILQLSEKETPESENQEDQELPMAFSLGRFIPLLKDRIHVIQPFTRNFLVSW LTLLDTIPDLELVSYLPEFLEGLIKFLGGPNKDVNIATQGLLDRFLLEIKRITRLKKG IEESRKGQKSNRQSVTSDVVSNATEQNNQTDGASDDIAIADSASDMTLDDEIAQADGD WIPGQDVQIDHPKILDILVSFVNTSYEEEMQLTALRWLDSFFEISPEDILPFVPRLLT QVLPAMSSGSDQVRKAANQVNTSLVQYIYSLSDDTTEEPQVPPSKIPSVTASKETIER RSSTPGVRPSETASIDTKKQTTQDNSVAATPRSSIVSTPVPPADLDYAAAVNSLTLQF LNENEATRVAALVWLIMLHRKAPRKVVAFNDGTFPALLKTLSDPSEAVVTKDLQLLSQ ISRNSEDSYFTSFMVNLLQLYSTDRHLLEVRGNLIIRQLSLNLSPERIYRTLADCLEK EEDLEFASIMVQNLNNNLITAPELSELRKRLRNLDSKDGQMFFVGLFRSWCHNAVSTF SLCLLAQAYEQAYNLLQILSVYQLYLPQQSADKTIHSAELEMTVNMLIQIDKLVQLLE SPVFTYLRLQLLEPEKYPFLYKCLYGVLMLLPQSSAFAALKNRLNSVGNIGFLHGAPR STTQTAPSFDRSTGTRLKTRDENSIRWVELLDKFKSVQERARRSQGSTRHSFDQSGPM SNPSLTAALSVAAADRPKDRSSLPDAPRGAPGPGNAAGGNSGLGGPGSGASGTAAGRT FDSSATKAGPSHKHKSSLPNLGRLGIGGRKSKK PEX2_053290 MGLIDKLQAKLELYRLEQRYARRKHRSTFSTGAQYVDGEYVYSG ASSPTSTVSKQSTGSWRPSGWGSGSQSSR PEX2_053300 MLTLNILTALLAPGVVSSALPAHDLYARADLDTAGALQAILNNI GADGSAVSGASAGIVVASPSKSDPNYFYTWTRDAALTFKVLIDEFIAGDTSLESSIQD YISAQAKLQTVSNPSGDLSDGSGLAEPKYNVDLTAFTDAWGRPQRDGPALRATALIAY GNHLISKEKESVVKSNIWPIVQNDLSYVAQYWNQTGFDLWEEVEGSSFFTIAAQHRAL IEGSTFAKALGESCDGCDSQAPQVLCFLQSFWNGEAIVSNLVDNGRTGLDANSVLASI GNFDPKGSCDDVTFQPCSARALSNHKLYVDSFRSIYDVNSGKEAGNAVAVGRYAEDTY QGGNPWYLTTLAAAEQLYDALYQWDQQGSLAITEISLPFFKDLVSSAATGNYSSSSDT YSSVTKAVKTYADGFVAVVNKYTPSGGALAEQFTRADGTPASASDLTWSYAAFLSAAR REAGIVPASWGASSANEVPSKCEGSSATGSYATPSVGSW PEX2_053310 MSLPEDLQRASVIASCENPLPCNIVSQYGKRIIRISDHQVVKCG PDVTREEFDNQRIAYELVDSRIARIPRDGSLCLVDWASAGFYPRLFEFCMQWIFDGKD GSFNSLLLESVDPLSDYEMAQKEAILCAWRNIQKYPL PEX2_053320 MAEKVGLVASIADLIQIVGQITKLSYSYVSDIKSAPKTQNLYLQ EVSALTDVLFRVKKATQEAESTGLELPLWPSSLNEEALQEFRSHMLVLHLDLDKRLRR LVPVATATYKRVTDIDQEHIRQHLYSLFPTSDNLLRSRPNAYPGTGRWFLSSQNVESW RTGAPSLLWCYGAPGVGKSTLSSITIGHLWDQRSETTSSVVYVFCQFSSREQQTLTAI LQCMIRQVIEQADEKVLLAMKHIFMDPMKQCEPAWLAESFATACELKSTYLLVDGPDE VTGADGLLPYLPSFVRSGCKVMITSRDLGHIRKAMDSATKMEICSHLEDLEIYIDSRF RENELPRGAQKLIGRITEKSGNMFLHTKLIMDEILNLTTVPHMEKALEKQATVLNQVY QLTLERINMQPIARRELARRFIGWVVFAKRRLNIDEVIHAFAVKSDEDYVEEDKFISP DLLLRSCLGLVVLHEDKTVAMVHATAYDFFGSTALLPCDMETDMANTCLRYMCLSPFK DGPCTSRVEMSLRFHKMPFLDYASRNWARHLNEIESVGKDLKSLVWFVICNKKLLNAA VQALHFRNELETNLLDSIFDSILPDQTAMHVAAYWNLTGTLRALIESGISASLTDTHG WTPLHYACANGHFSSAEILVRSGVNFDAADNQGWTPLFWASFTGSLDIVRLLLSERAK YTHRNKYGWTALHWAISRGETQVVLELVQHHQAHLSRVTKADIRTLSVEDVRRLNTPE HTSPIQIAAEGKNATIFDLLVAHFDTLDSTRGEDFQKIWSRESFKVPLAESTWHNTLE SLLNGHPIRPGELIAGETYVNEDPRSYLHGLMKEDPSKWKSILLVSAIQDADFQAAEL FLELGADVNYVSGYKSPLNFAAQQRDPRFAQALLEKGAEGRNSGTCEPPLQTAINLGY LETAKVILDHDGAHVNDRWHTKTPLDAAAGQQDPQFVLLLLSKGAQFELHECGWNALY IAVSNGFVATAQALIEGGLDVNSNKDEWRSYLTVAVCLAGADNEDSEKRALGAEMVRM LLLKGVDAGFQDKEGKTALHDAARHRSVKCIELFMGPGSNIETVDESQRSPIHTMMES VKPSWDVEEVEEVLRLLFRGLSEEEIISLLARQTCQSIVSKSSDDGDIQLDTPLTNAL RRRAWSIARLLMNFGAQPPTSPSARAIVTDAARDLQIEIVERLIGSGVSPGDDAALEL VKSIDDRLFAGPSSLLSSSRKMLSRAGFSSLFAQARAKNGLQKPTLPHEDMVQAFEPI LTSLVSAGADVNFCDSETNTTPLLLAAEKIPMAQITSALLKLGADCFQSFENKFDPIL TAAAVNNTESLHCLISHASKSPKPGHWTQYLEYKGQQSNKEIFDCICLALKRAEKLNH KNSINETLLHFAANTGNVDLVISLISHGAYADVPDENGYFPIHCAAFSLHRSAEDDTP YHDIVRLLLPVNMAEHTSDHHAYRSVEARAFLLDAEICQQIANKTNERGNTMIWNALK NYNETMFLYLLKLESDFNSCAALSGKHPSLLYHVSARGMTKAVSFLLECNVDIEKADS RGWRPLHGATRGDEVATVEKLIAAGASICASTTQFDEDNLPDFDSDKESWNAHPLHLA VIGGNINMVELLLKHGADVNANTGCFKRSDGRICGPTALHIALDPQAWYGDDEDDIED RHDYHEDYLKVARVLLENGASIEGIVDQLRVKDIPSFEGFEDVWDRIRGA PEX2_053330 MVGNWIFPHPSDDRLQVFEEKIDYRFTDRLLAREALQSPNSSNE DGNRTFALIGDKVIDLVIVTTGRKNNKTRTEGCNAHLSKQGFDLGIDQFIVKNPIQLD IGNRLMATTMEAIIGAVNLNCNGQIPLCAGAMAALGISWPQ PEX2_053340 MAEMLCLILPKYTRAPSAWNLPGLKLFEIPKLGRVGLWSSLIMF ISWRKAVVLLGFTVGRLVLAEDIITSDTTFYGQSPPVYPSPEGTGAGDWASAYQKAKA FVAKLSDDEKVNLTAGVSSENGCSGNIQGIPRLGFPGMCVSDAGNGLRGPDFVNSWSS GIHVGASWNRDLAKARAVYMGKEFRKKGVNMILGPVVGPIGRVTLGGRNWEGFAADPY LSGILAAESVKGLQSQNVATSLKHYIGNEQETNRNPETDSNGHYVEAVSSNIDDKTLH ELYLWPFQDAVLAGTTCVMCSYNRLNNSYACQNSKTLNGLLKTELGFQGYVISDWYAQ HAGISSASAGLDVVMPSSSYWNSNLTTAITNGTMEASRLDDMITRLMATWYYLDQDSA FPSPGIGIPSSISAAHQAIIATSEAAKSVLLQSSIEGHVLVKNKNNALPLKPPELVSV FGYDAYTPMSYTLATSFSFPTTERSDLYHNGTLYSGGGSGANSPAYIDSPIEALQRRA YEDGSSILWDFTSENPTVNYVSDVCLVFINAYATEGADREALSDSHSDTIVTNVAKNC ANTVVVVHNAGIRTIESWVDHANVTGVIFAHLPGQDTGRALVDILYGDANPSGKLPYT VAKQSPDYGSLLEPSQPEGEYEYFPQSNFSEGVYIDYRAFDQSGIEPQYAFGYGLSYT TFGYSNLKVSKTSASLTSYPAKASILPGGNPRLFDTLVKISATVKNTGNVDGKEVAQL YVGIPGGPVRQLRGFEKVLIKSGKTTTVTFSLTRRDLSTWDTDAQEWLLQRGTYQIYV GRSSRDLPLTGTLTI PEX2_053350 MPLDNYGVWKAHPKRYHVQRRGTKTPHLTLYFRNNGGPEYNAAI NIKSGDPKESRLVYWVNEDMSGHPLVRGLSKLETGFERLDDKEPGPHGLRLDYIRGNL FDVNTGRVLQHDINGPNNDIIDVLEPKVEQAIKERAVVYIFGERYDSDDGIHNVHMNQ GNIEKWSGDDGVYQDGALIFHFPETDKWVGIFLAFASQAVHTSEDTGHAISRVTWKDL LPGDLVENSLAIHKAVVDSFGQGEDKQQSVTLANLTNRKISLARWRIRNSRLEQQVLP SNAAVDAKATKVFEVPNCPLSTDGDTITLLNRDGLKVAGVSYNSEQGNAENGEIDFAG PEX2_053360 MVPVKRVAVIGAGPAGAIAIDALAQEKTFDIIRVFERREGAGGC WIGDSSQPPTLRDFASLATRTADQPLPIPEKLPAQTPKSDQPRFTESSVYPYLETNVD YLPMQFSQEPFPVERSELSISHHGPETPFRKWDVVRKYVESLVDRRGYSDFVSYNTTV ELVEKVGTEWKVTLRKNGEKSDYWWVEWFDAVVVASGHFWVPYIPQIEGLEAFEKTRP GSVIHSKHFRGREKFADKRVVVVGASVSAADIAVDLVETAKTPVHAITIGHAPNGYFG DEAFNHPKIQKHPSIERVSNRTVHLTNGNCIKDVDHIVFGTGYSWTLPFLPSVPVRNN RVPDLYQHIVWQKDPTLLFVGAVAAGLTFKVFEWQSVLAARLLAGRAILPSAEVMQKW EADRVKARGDGVKFTLLFPDFEDYFETLRRLAGEGEEGKGRKLPKFRREWVRAFFEGL ERRKAMWKRLNFKSRALLNNETVHKEVARL PEX2_053370 MGSQPRAKGSQKVTTNDPQREYQGWNADIHFARIDVTVLPLLFL GLLVFQLDRMNIASALTGGFAADINISQSTINLGNQLMFMGIVVFEIPCNMALQRVGP RKWISAQVFLFGLLATMQVFVRNRGSFLAIRLLLGFAEAGYIPGAVYTLSSWYTKREL AKRVAIFFFGMFGGNALSPILASGILMLDGRHGIRGWQWLFLIEGLFTIFVSFLLSLL LPGSPDLTRPLLSPGIIKFTDSEKEILQKRLETDNGGSTEGAYGVNIPLKVVFRTVAC YRRWRHFISTFVVFSTWSPLTTYTPSIIMSLGFDRTAANALAAVGAFLSLGVVFFFAY MSDRTNLRGATVIAAQVCYLIALIVTLKVQPHAGKWSRWGLWTAVNSLAVGYHPIHNF WVQINCRDPRERSISIAMWVMSAISGLMVGTQYFQAGDKPFYSTGLRTMIIMVSVGIA SAFAQIVVYVVHNKRVAQGKHQPKDGLAPMVYVP PEX2_053380 MLLRAARSPCWRTAQFAYPSFTGKQRSTRLNGTHLRTFTSNNSS LWSQSIDNKDPGSVKPTPTSTPAPSQLANANIIPKPEDSGNAKTPPKKDLLSEPVAGK KEQRKADWAIMKEMAKYLWPKDDWGTKLRVSTALSLLIGAKILNVEIPFYFKNIVDSM NVDFATVGGTAYTVAGSMIIAYGVTRIGATLFQELRNAVFASVAQKAIRKVASNVFEH LLRLDLNFHLSRQTGGLTRAIDRGTKGISFLLTSMVFHVVPTALEISLVCGILTYQYG LQFAAITTTTMIAYTAFTITTTAWRTKFRRQANAADNRGATVAVDSLINYEAVKYFNN EKFEVARYDKALKKYEDASIKVTTSLAFLNSGQNMIFSSALAAMMYLACNGVANGSMT VGDLVMVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVTIQERPNAKPLQ LTQGGQIRFENVTFGYHPDRPILKNATFTIPAGQKFAIVGPSGCGKSTILRLLFRFYD VQSGRILIDGQDIRDVTVDSLRKIIGVVPQDTPLFNDTIAHNIRYGRIDASDEEVRRA AERAHIHKLIEGLPDGYQTAVGERGMMISGGEKQRLAISRLILKDPQLLFFDEATSAL DTYTEQALLQNINSILKDKSRTSVFVAHRLRTICDSDQILVLKGGHVAEMGSHRELLE IDGTYAELWNTQEQSMVQDVDLEQSQGEDVQPKA PEX2_053390 MRPIQGVRPLSWTRVVPRVHRQTRWVQIRAAPSGVSSVNGDNLP LASTPSSVESRDARFDVVGAPYSLLSVSLSASQNLYTRRGTLVGLSGKADNVVSTLSV LEPFRRAIVGVPFLYQKVSSPTPVTALVSVRSPNTSFAVVNVNGSVDWMVAQRRALLA WTGRSLNIKPTINANLSLSHWGSSEVTGRGLIALVGNGQLYSVELKDGEQYIAHPSNV VAYTMASNPPRPYRFKSTTLNFQVPGLKTLPRLLQNAKFIRDVSDSKAYKTTMQWFHK LRTWSRMTIWGDRLFLQFDGPTTILVQSRGPRINDVLSPQEANEIANVTSGFTSPAPR STEDAKTLDEKPEEEKTEAEKAVSNIADLTRSVAELEQEIQGTSRSVAKVRKDGKVEI EEVARTN PEX2_053400 MANNETAVAPSIDSATTMPVLGVHENNDPEKGLAPPEKDEQSSE KLIAAGPAPGTSVIGPPPDGGAQAWLVVLGAFCGLFVSFGWINCIGVFQDYYQAHQLS HFSTSTVTWITSLETFMMFFCGPVFGTIFDSYGPRWILLIGTVLHVFGLMMASLSTEY YQFILAQGICSPIGASAIFNASVNSVSTWFAKRRGFALGVTASGSSLGGVIFPIMVTQ LIPKVGFPWAMRICAFLILFMLGIANLTLKSRLPHRPKPFDILGFLRPLAELKFALTL AAAFCFFWGMFVPFTFVITQAQRYGMSANLAGYLIPILNASSIFGRTLPGYLADKVGR YNMMVLTTFFSAILVLALWLPSRGNIPAILFSALYGFGSGAFVSLAPALIAQISDLRQ VGVRNGTFFAVISFAALTGTPIGGALVPDVLHGDYTRLQIFCGVVMTVGSTLFVFARG AVGAPMSHVVHIPQCKGNIYPNMASIFAWLYDYLQAVRGTNTTFLTDKHVPGANLTGK WIIISGSNNGVGFEAAKSFASWGANLILACREPPAWELHPTAAVDECKALAAASGHSS TIEWWQIDMADLSSIEAFCQRWLECDRTLDILCNNAGIPESTKQTYMTKDGFQLVHQV NLLSHVLLTLRLLPSLARSAEPRVICTTSCYHHLGVFDLDHFNGGPGQKGRDYQNNKL YFQMWIAELQSRLLKNPEYLHITMNGVHPGFVASGIWHGLQNTGKAPGGLNFLLRYVA ITSQQGGLAISHAATASEFGPDPRKQGVGAENGRGGGRDTEARSRLWIKLDEELGLQE KGLLTGLGI PEX2_053410 MSVRVVARIRPLLKSERETDVIVRTGSSATADSKSRQSINGDKS DKSDKKLAALRDRDNVVRIPNPKNEGEEYAFQFNGVYDADVSQQELFDAEVSPTIKHL LNGFDVTLFAYGVTGTGKTHTMRGGKTLADRGVIPRLLSGIYRRSRKIEKDSEGQTTV QVALSYYEIYNDKVYDLFEPPEKRTMSGLPLRDNGGKTVVCGLSEKPCTTLKEFEQLY DQANTNRSTSATKLNAHSSRSHAVLGVKVTITSPDKVRVSTASAIDLAGSEDNRRTEN DKERMVESASINKSLFVLAQCVEAINKKQHRIPYRESKMTRILSLGQNNGLTVMILNL APVRSYQLDTISSLNVANRTRKIEVREVENDPMFKGPARPSIRASMGTRQPLRALTAS VNVNMPAPAAKDSAEKEDGKPIKAFSVYSDKPQPKLVSQLRKPDAPKRTSISNAPSGL PSLKATRQPLGAQPTARHDDFSAARIEEMVERKVEEILAVRAVSEQSRQTQVHELNEQ LQKRLEQLEQRIDGTEDARAEGLSYLLMAKQHQARGEDSSALRMYQLAAPHFPHNEKL AGKIAALKQRVHAKAWEEKAHSPPKGRGSMLSLKKDVTQTLGKRQAERHADDFMDQTH SGFSSDEDVPRPRHKKRAAAKLGQIEEAPELLDSEDPSISPRTMHILSIINSRDVSQI KLLKGVGAKKAEALVDCLCEMDQTSLDTTDSGIVSDQSQFQVNSLAQLSQLKGVGVKT VENMRQGVLA PEX2_053420 MAAPQGGFPPQEGYGQPAYGSPEPTQSPVHEQGAPAPAAGGKKK RAYAGEAFDFGSGANAALGGQPTAGGAYGAYPQQPQVAGYQQPAYGADPSQMQPAAPS YGAPAAADISQMTQQFGGMGMSEPHHMPPPQPVAQAPMRPQIQLNQLYPTDLRSQPFN VAELDYPPPPVILPPGTSVYPSPEANCPTKYMRSTLNAVPTTNSLLKKSKLPFALVIQ PYASLHDAEDPIPVIPDQVISRCRRCRSYINPFVTFLDHGHRWRCNMCNLTNDVPQAF DWDSTLQKPADRALRADLNHSMVEFVAPQEYMVRPPQPLVYLFLIDVSYASVTNGLLA TTARTIQESLDRIPNADRRTRLGFIAVDSSLHYFSIPRDESESSEPRMLVVSDLDEPF LPIPGDLLVTLSECRENIEVFLRKLQEMFQNTQNNSCAMGAALRAGYKLISPVGGKMT VLSSSLPNMGHGALTMREDKKVLGTSKESSLLQTANSFYKSFAVECSKAQVSVDMFLF SSQYQDVASLSCLPRYTGGQTYFYPGWNAARTEDAMKFAREFSDYLSSEIGLEAVLRV RATTGLRMNTFYGNFFNRSSDLCAFPAFPRDQAYVVEVAIDETVTKPVVCMQAAVLHT TCNGERRIRVLTLALPTTQTLADVYASADQQAIATFFSHKAVERALSSGLEPAREALQ AKIVELLQTYRKELAGGSVSGGGLQFPANLRALPVLFLAMIKNLGLRKSAQIPTDMRS AALCLLSTLPLPLLIQYIYPRMYSLHDMPDNAGVPDPQTGEILLPPAVNLSSGRLVPY GLYLIDDGQTQFLWVGRDAVSQLIEDVFGVPDKSQLRVGKQTLPDVENEFNQRVRAVV EKSRDHRAKGVGSMIVPHLYIVREDGEPGLRLWAQTMLVEDRADQSVSLDQWMGSLQE KV PEX2_053430 MLPSRDDIAKFIAIAPEANEGTALRFLEEAESIEDAISRYYDVE NEATAPRLPTTDAEAETGNRPPPYARSAISGVAHQYSNIFSEAADIRSQDEQDMQVAF KSLQQAGHIFNSDIEPEHTAQFVNCNCDVHKYQARKSSRLPVQEMWSKAVMYPAQVAI DAKEPTSTIWDTEDPEISVPTEADGTASSNTQQPAAQRLDPSTPPAEGSKPFAFGSLK KMLSKKTPEKKAEKAAIHTKGLRKAILEEEQGRWPNQELRQIVATYQETVGMSQKIAD LRTRHPIQYLHLLRAGYFEPIPVTWAKSTFNPLKLSIDAIGGWRGVTPDWRGYNDLAE ERLYWVMKNKEGIVGNKMKPDLISAMSMARSRMESAIEIPTEYFSRDDICKVQSISET YSEQVVPPFRHSGEPAVPLDETMILLGVSESMKSAPLRPNYNEHLITGFSASKQPKSK DIAKTIIHRFTQAMINHDHNTRGYQLLTVANQARYIGYINHQNLEQKWPNIRFGGETQ LMLGWQRAKELHFQKHSGTAIYHPMYGWQARPQTPILRLLIVLDGEATDMNEFLLDLL GLSWVYVTIFLMGADRCPQHHRFANELHRISNANPRVSFVDAQGNMPERFVTHELLKR HLRYNVSFSEFEMLEQATVDLPSYVE PEX2_053440 MVQPTPPAGGSRKISFNVSDQYEIQDVIGEGAYGVVCSAIHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQRPRNYEGFNEVYLIQ ELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASTDDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPFRALF PKSNDTALDLLEKLLAFNPAKRITVEEALKHPYLEPYHDPEDEPTAPPIPDGFFDFDK NKDALSKEQLKLLIYEEIMR PEX2_053450 MSPTPILLLKTKSSPHDGYEDFFSAQNYTPTFIPVLEHRFLKNN LTQVRDLFSTGAFNNNASTPRKYGGLIFTSQRAVEGFAQMIEDEKDVTFNIQTTPPLI LYTVGPATARTLTTLRDKHLPNALIHGADTGTGENLAHLILSHYDSLYPTDKPSLLFL VGEVRRDIIPKTLMNQALPAEKRVGVEELVVYETGVMESFEGDFADVVSRESGDVWVV VFSPTGCEAMLRVLGLGPFAGSGTGTGKRNGTGRVFVATIGPTTRDHLREKFGFEAHV CAPKPSPEGVLEGIEKFMGGI PEX2_053460 MQKSRTINTALGARPFVPVPRVQLQFQRNLQDVRITRTGKPLVK VQGGRSSIGGHTATVFGATGFLGRYIVNKLARQGCTVVIPYREEMAKRHLKVTGDLGR VVFMEYDLRNTQSIEESVRHSDVVYNLVGRQYPTKNFSYEDVHVDGIERIADAVAKYD VDRFIHVSSYNARRDSPSEYFATKAWGEEIVRKIYPETTIVRPAPMFGFEDNLLHKLA KMGNIFTSNHMQERYWPVHAIDVGTALERMLHDDSTAGQTFELYGPKNYSTAEIAELV DREIIKKRRHINVPKALLKPFAHYINKLLWWHTLSADEVEREFLDQVIDPNAKTFKDL GMEETADLANLTFHYLQGYRSASYYDLPPSTERERQEDKRYLHVLDDQ PEX2_053470 MHLMILGGSGRTGKLGVKYALDKGHKVTALVRNAASLQPHESLT VVTGSVLSQDDMDRAFTASSDPVDAVVGFLNATRTSDMPWAKPLAPPRFMADSAANAV ASLRKYSTNSTQKPRIVILSALGVGDSLQVTPLILRLLVKHTNLGVAYKDHNLVDSEI EANCGDEIDWTLARAVMLGGNGKEVKAIKGNQKGASSSISRQSCAEWLVDVAAGEKGD EYSKSRVIISN PEX2_053480 MIEILSKSPESQYLEEEVLVVFTGPVHHYVTPKFYKATKPSTGK VVPTWNYEAVQVYGRAKIYIDTKSTEFGEYLNKQLSDLSSHAENSHLRLGLDHEGRPW RVSDAPTSYIELLKKNLVGIEIEITSLAGRFKMSQEKGLGDRNGVIDGFRQMGSSTGI ELSELTTRRAAQYDLDKQAKKMERS PEX2_053490 MGPSIETQAGLSLRGAENVVRNEYWRDIRKLLAVPYDKIDNPNG IINLGLAENYLMHTEISDRLKTGFNVDLSAHLTYGQGPVGSPRLLKALANFFNTNFDP IRPVSQNEILVTSGVAALIDTLTWCICDDNEGILIPQPLYVGFEIDIQMRSRGQMIPV SFLEPDREYSVDHTFEPEANRRAFERAYKEGTEKGIKVRAVILLNPHNPLGRCYSPET IKEVARFCDKYDLHLICDEIYANSVFVNPDELHAQQFTSALSMNLDGIISQSRIHIMY GMSKDFCANGLRLGVLQTRNKDLLEAVASINNAAFYLWIRLFPARIVEADALTPSPNV SNIKRLLVDTCKRNGLSIKDGAHYFAEEPENGWFRVTFTVSNAVLEVAMERLVKSLDD LEWLELF PEX2_053500 MSSAACIFCKIIKGDIPSLKLFENEKVFAFLDIQPLSRGHALVI PKFHGAKLTDIPDEDLLEILPVAKKIAKATGAVDFNILQNNGSIAHQVVEHVHFHMIP KPNEKEGLIVGWPAQETDMAKLKALHEEIKSNL PEX2_053510 MRGIILRRLYPSAKFPVARYSFARPFSTHPEPQKASSFWTITFT LAVVGGGAWLQDRYFNPKETITSQPRLPQKSSLGVIDTLATMPAEVAPGTVGNLTPEQ EIKLKEFWVLALKVFGLTLEDLEAPPSTATSDAPTPAPVQDKKKSKSRWGIWGRADDE DTKSGSGSGAASSVSSISIADGDDKYGQSKEFKQALEDMKPEEIRTAFWSMVKGDNPD SLLLRFLRARKWDTKKALVMLISTMRWRLLEMHVDDDIMFNGEASAVKMSQGSDPKEK KKGDDFLTQMRMGKSFLHGVDRAGRPICVVRVRLHKAGDQDNEGLERFTVYTIETARL LLAPPIETATIVFDMTDFGMANMDYAPVKFMIKCFEANYPESLGAVLIHKAPWLFSSI WSVIKGWLDPVVAAKIHFTKNRQDLENYIHPSQIMKELEGDEDWEYKYVEVPENENPK MADKETRHTLMAERQKLAKEIQDTTVEWIRASFKKETAAASAAEEKRKGLIEQLRAHY WVLDPYVRARSFYDRTNIVQGGGKINFYPGSEKEAESTSA PEX2_053520 MDAPRSSSRPRPSSRPTTPLRPSSRSSLREAHGYGNSIGNAGYT QPAINALEPQFAELADSMADLEANFMHLQLMHESLTRFSESFASFLYGLNMNAFCVDF PEAPIPDSFKKAKQDEEEKEAESEPTRPIDDGEMTFMTTDTTFVENPPSTTTTSSRPT SKYAAGSRVSSRGTARGAATSRYTTRGAARGARPSALPRGRGTGTR PEX2_053530 MATLDSVQLLSEAAFGCVHLAAILSKDEKTAGQFREEFSRQYKL LAPFSKTDTVAAPTTGLRTVESLKPKYHCLSCAEVCLGSKRAEHTAATGHAFYLESRN RFVFCEKCVDFIYDHTLDRLRGPSGKFEAIDGRSIWAEDSASEVYVKNNAYKNPCNIR GARGVWNMGQTCYQSSILQAMLHDPSLTAYFLGGGHDVHTCTIKECLACATAEVFMEF NSADKTEAVSAAVLLHHGWQKSKEMRGYRQQDAHEYFQFLVNELHSSTPGHVESYDKP CNCFFHQMFYGELRSSVMCHKCGQTTNTHDPMADLSLDVQLQHKKRKLGRSTSSTTGT LLGCLDSFTAAEDLHADAAYHCEKCGNTPQRASKRLQIRKLPVMLCMQLKRYEHSSNS SEKMNGHIDFPLSVNMLPYTVKKDNLPVDMSNYMYDLSTVIVHQGTMDSGHYYAYTRI DNDKWVLMDDNKVTIAGVAEVLRQDAYLLFYSARNLRAEKGKK PEX2_053540 MCLEAEETRYIWFADIEAATKHSRAHVPSVHPERLTQPSIKSYT QCRDKSRAKGRPPSSRPRSKLYSKLEQK PEX2_053550 MRPVATRVALAATSILFLASLATALPHGDDESMDMGMDMSAATN APQPTTTATQNNTNGPMSYFAYGKHSSTIIAHIVLMVLGWCFVLPVAVMMSIARSWLA LPSQFLFLAFNAFGVLLGAVYNSQTPNLYENNAHHKLGWLATCVVGVQVVLALLFAYA GRGESNSNAPSYEHAAFFPVATDDHDNERVCLTDTMREHRWSRDSGQGTDSNSPSIHS PGSSCGSPTEYDGFEKPDELPGKVTTQHGWIHRSSIGRFLSKTVPGLIPSRALRALNV VYNIVDRVILPFGFVAIATGAVTYGGIMRSREIFNGLAHFIKGGIFFWYGILTLGRYI GCWADLGWAWNKKPSASIVGWKAKVPSGEATESFVIFLYGASNVFLEHLSSAGKAWSA TDLEHVSISVLFFGGGLAGMLFESSCIRDWVNNTILQAPAHATSDEAWTPPRSQGVSL NPMPALVIMLLGMMMGSHHQDSMTSTMVHKQWGNMLVGFALARGMTYVMLYLKPPTSY LPARPPTEIIAAFCLISGGLIFMMSTRNVIQAMEYYKLDAMFAFTVALGFSAFIMAYE ILMIATKAWAVKRVQRSRPNFRFK PEX2_053560 MASNPPGACCASGFKHEGTPVGEIKNINGIDTYIAYPKDNKTPE KAIVFLADIFGIYINAQLLADEFANNGYLTIIPDLFQGDQISLSDMQSGKANLGAWLP HHQASNVEPVVESTIKYARETLGVKKIGAVGYCFGGKYVCRNLKPGQIDVGFTAHPSF VTHEELGAIKGPLSIAAAEVDSIFTTQLRHESEDVLIKTALPWQINLFSGVSHGFAVR ADLSDPKQKWAKEQAFCQAIAWFNQHL PEX2_053570 MATPAALPPLPFNPGRIRTYILRLPLFTRLVLLVILAFWLLEFQ TMWSVVQWGALIPEEINLGTMYRLNTYPIIHTGFFHAFFNAVAVAPLLERFEAEHGTL TAIALFIGPLSTAPAGLYILVERFILHRNTSVVGASIWVFLLLGSEAIKTYKSHPNFS LGPYKIPTWTSPLFACVVVSILISNVSFLGHLCAILVGYLLGLGYLKVFVPPEKVLRW IEGKLNLLGRLPHYVSVDQKTYGRYGVLPTTNPAGANGNQPPLSYLGSTQRLGA PEX2_053580 MPAAIRNLGSNPNLIFVRLPNLLSFICVVVGVVWLLLLPLNEYS RQTYISENALLPGQVHAYFTGSEQNIFRGYKKELEGLLNDGQARGGQKDEAEVTAAVS DKLQSILQAAGLKVATQKYEYTSAGVTHRGENTYAIIHAPRGDATEAIVLVAPWLTAD DKLNLNGVTLSLTLARYFKRWSLWSKDIIFLITPDSKTGTQAWIDAYHDMHPASVQPL PLKSGALQGALVIEYPLEHRFQTLHIVYDGVNGQLPNLDLFNTAVAIAGGQMGIGANL QEMWGHDDSYKHRLQTIFRGMTKQGLGYATGAHSSFMPYHIDAITLQTKGEGWEDEMA LGRTIESLCRSLNNLLEHLHQSFFFYLLMQSNRFVSIGTYLPSAMLIAGNFTIMAIAL WIRTGYFPEKTPSAKPENEKAPTEDKANAISIAERHLALPLSLVVGLHLLGLVPLWVF NNVFHQYFTTTTYIFVVVDIILPLFLAAILSNGLGLSKPIIPQQYHLIKSFSLLLLGL SLSTLATLNFSLSFMIGLLCVPLSFITRLQGSAPFRLTTSSLGLVLLNLVSPPTVLLG VCWYMGVSVEAVLTQAAFGWDVWGMWTQVVVWCVWWPAWLIGCVLLGSSMF PEX2_053590 MGLFRIDFLALWLLLLRLATAAAPVPTKDGFCFKYIIQGYDTCA LIAKAHGITEADIESFNKNTWAWLGCGRLYQGDFICLSTGKPPMPMALPQATCGPQVP GTTRPANWANLAGMNPCAESKCCAFWGQCGVTDIYCQNCRAPPAGPTATVKGATEAPK SQTSSNTKAGGANQSVNTAAGSKPTSNPNSKSNTTTVKATKITTITKSSTTTKAKAAP EPSVSQSPWTAPWEITLYSKMGCEGDYYHLEGYNKEFLDNKGCLNLHGGLNSKFTETG VTCKWWTDDGFTWSSCDSSKLMKPQSWILKNGYCSAFMFD PEX2_053600 MESKIPDFLLEQQAQGSPETQPYFLTFEDYWERKLWHQLTDSLV EFFRMPESAPHRLALFKTFVFSFADRVNQLKFVSLGLMAATECTDDQERLAFLTSLAT KTDTADTQDAYVYALADVANVKLSLKDLDGAQTDLATCQRVLDTFDSVETVVHASFYK VNADYYHSKQEFASFYKNALLYLACIDLEELTETERASRAYNLSVAALVSETIYNFGE LLLHPILDSLTQTPHNWLRELLFAFNRGDLTAYDVLAGNISKNKLLESHRVFLYQKIS LSALTEMVFHRPPHDRSLSFQAIASETKVQPDEIEHLVMKALSLGLLRGSIDQVAQVA QIHWVQPKVLDMKQIDGMRNRLKEWDAGVNQLGHWIEGAGKDVWAA PEX2_053610 MATFLKKPLKLALVQLASGADKAVNLSHARSKVLEAAKAGAGLI VLPECFNSPYGTNFFPKYAETLLPSPPTAEQSPSFHALSAIAVEANAYLIGGSIPELE PSTKKYYNTSLVFSPTGALIGTHRKTHLFDIDIPGKIQFKESDVLSPGNQLTVIDLPE YGKIALAICYDIRFPEGAMIAARQGAFLLVYPAAFNTTTGPLHWSLLARARAVDNQSY VALCSPARDLDAAYQAYGHSLVADPSAKILSEAEEKETIIYADLDNDSVANIRSAIPI STQRRFDLYPDPHSAQSDPAQASETTLNFTRKSTANSPSDNRSLPPTVDHPFKMSNVK TGNKRSAIADVVSREYTINLHKRCHGVSFKKRAPKAIKEIRAFAEQAMGTKDVRVDPQ LNKKVWEAGIKGVPFRLRVRISRKRNDEENAKERLYSHVQAVNVKDPKGLHTTTVDDA PEX2_053620 MVLVNVVVAWEKNVEFNYPEPIVVERNQPPVTPRDVAALEEKLD RMVALLAASEQNVRERLESGQATRSSSTFEQNTATPDEAEGQLLMEVFFQKMFPLFPF LMISPQVTAEELRREKPFLYLNISMVACQNAPRQREIADAVQEYIAEHIVIKGEHGLD LLQGLLINVAWFISVSRFPRPADQPPKGPKPEEPQHLVRSTAQLDTNVHLLVAQSFSL GLNQEMAYQKSLNYPLTYLKDTTNEDRHNPVRTLEERRTYLGCYYLTTMLSTCVKDLG PIIRFTKYTDECCNVLDQIAEYPTDNFLVQLVRLMNVAERIHYTLYNTELHPSSVSSA PPPLGLSVRWLEAELKQLKARIPSESPNSAILQIHYNTLEMHLYRISLSNEIPKTNYG DHPLMQLDLLFRCLEATTSFFQNILSLPSALYPFFPFSMMCQFGKAIVTLSQLSLYDH PGWDRAYVESIIDFDQTLDSIARKLEEERPVFEQAIAKDSKSIESPEIFGRMRNRAQM IKNMHRQRKEALERKSLLTTVAPMDYDFMMDCPLDVLFPFGEIPPIYGQYM PEX2_053630 MKPITLSFLLGLASLTTARTDLEGCVSSEVIFDHYYASYLCTVA STASSTTDIVLASATQTSESSDSSSASSSSSPSGTQTADSMITDAPSSLTAVASSLKI STSSTSNTGNATSTSAAATSSSASNAATTPASNVAGIMAVMAAVIGVMIL PEX2_053640 MLKRGAQGPHGSKEDEGAFGMASTPDEKPHRATAAQLASRKIKD VRKRRAPTPTTGGGASDASSFNPFASAVPAAASAPTQSTGFTFGQTQSQSFPGASSGP SQGGIFSSGTNGQASGQASFGFSAGPTSFGSAPSSNPFSVNTSFGGTSQSSTNGFNFG GFNAGNQSAPSFGGFGAQQNTSTPAKPTLFGQSAAHITSPADDSMQTSPDTKPKGASM FSPKPAAGPSALSNPFSNLSGQNASSNPFAPKTIAAEKPADKPAETQPFKPLFGSTPA ASKPADAEKQQPTASNPFANLSGQASTSSTNLFAPKTTAAELIPAKPVEAAKPFGALF GSTSTSQPSGPAGNLFAPKPAAEEPKASNPFANLSAPSSFGASSSPKVTETESSVKAA EAQPFKSMFGTPAASKVLEAGKEQTAAPAFGNIFSPKPAAENAAANPAADQPFKSMFG TPAASKVLEAGKEQTASPAFGNMFSPKPAAESTGGKPSEDKPFKPMFGTPVAEKEQSA ASSVFAPKVTSEGQTPVPAKTSQFGSMFGASPAPSKFGEEKTAQVTPSNPFATLSGQN AFSSPFAPKPTGQAATPQAPSTSLFGASTAVEINKDNNIAAAPNGSLTSPFTAGPKVS NEAQTEGATKTTTPHVSFAQSPRDNKNSSCLPVSSSSSSPLPSSSSTSTVSNTFTASD PDSLFPRPDNPVSIEYPVSTEDFDAMPLKRLFPEKNREELRDRANLLMKIGVLTESFK REVAKCDPMTDDIDEVLILYAEIRRELGVPIGSTNPEEDAKRPATNKPDAPKKATDGN APSQVPTPPAFVPPALNDRRPAPSNGPSGGSTTSSKFAQSFSAPAPVTTSAGASSASI AGPSSPAAVPVSAAPVAPTKPSPPTASPVATLAAPPAVGLPKFGDAATSTTTSAIGAP KSGGAATSTAAPAFQIPKFDGAATSTAAPAFQIPKFDGAATSNAPSAFQMPKLSGQAT GVNFGDQFKSQSDKTLADAKAMRKAAEFDSDEEDEAEWERKDEERQRAKRAEIEAAAK KRAVFVPGVGFKFADDNTEEPATSAAPATPLQDDSAGTITPTPDIFPPFVTPSTAAST RPNGTPSVSSSKPQPRFPTSSSPQSSEFSTLVGTAQRSPADAALFGGKPGSPPPLFGA FGAGPPSSSIGSSVFGSSAKPVPASQNIFGGLKPTSPKRKTSADESDNDDDSPAKKPM SSQNIFSGFKPTSPKRKASVDGCDNNEDDSPAKKTKPSPPPSSVGASMFGRVSAAPMA PSTNSSPLPVMSSTPSAPATDPISTSTEDEDGEPGEIFDLTKGNGGEEEETVVFEDKS RVFKLEDKWYAKGTGPVRLLKHPVTGRARIVARADPSGNVTLNILLKKEFDYKLTTNS VQFLVPNETGELKHWAVRVKGERLQEFHQLINEIKN PEX2_053650 MYYSSMIKYISLLACANAQLLEISAVDDIISSAMLPFEQYTSFG LAPTKIASAAISFGTKAAVNAESAVAAAAAADSAYWLADIAHQGVAAFNSNPSGYQVF RNVKDYGAKGDGVTDDTAAINAAISAGGRFGPASRQSSTTTPAIVYFPSGTYLISTSI IDYYFTQLIGNPNAMPVIKATAGFVGLGMIDGDQYQNDGNQGWTSTNVFFRQIRNLKL DLTSIPAGTAATGIHWPTGQASSIENVVIAMSSASGTQHQGIFIENGSGGWMTDVVIT GGLYGANIGNQQFTMRNLVISNAVTAISQIWNWGWTYQGLSLFNCTTAISINNGGAGA QLVGSVNILDSTIENCPTFVDTAWQSSTISTGSLILENINLKNVPVAVKGVSGTVLAG STGSTTIGAWGQGHKYTPNGPTNFQGSFTAPKRPSALLASGSNRYFTKSKPQYEQSPV ASFVSIRSAGAKGDGSTDDTTAIQSALTSAASAGKIVFFDQGTYKVTSTLYVPPGSRI VGEAYSVIMATGSVWSSITNPIPVVQIGRSGESGFVEWSDMIVSTSGSTPGAVLIEWN LAATSGSGIWDVHTRIGGFQGSNQQVAQCPTTAAVSAACQVAYMSMHITKVASGVYLE NVWLWTADHDLESPTNTQISVYTGRGLLVEGKNVWLYGTGSEHHSLYQYQFSGASSIV GGYIQTETPYYQPNPNAANGPYPTNSTLNDPNYSSCLGGNCNALGLRILNSKDVNIYG AGLYSFFNNYSTTCSTFPLPENCQSMIFSIEGSTSGLVVYGLNTVGTSYMIVKDGTAL ATVGDNLATYAATIAYFTF PEX2_053660 MAAPQQNPPRPERKRQDRPPHESPSWRPCNARKWTVLQTSTKGR TVKKSASLGTKYERGIVCEFHPDSFAALRREYSVLSIISQIPSPIGHPRIISADFQSG VLVLGSPKVYWTPLWRRVNEPVLDLDSLLQMKRELLDYVRLLYIKGVEYKVKPNNLFP IRKSAGGWLLYLGGWAETDFCSTQDRIQSPEWKAQEKEQLDEVERMFTELSARVNERD KAICKDAVKHNDQG PEX2_053670 MTKASSSAVISFDSLPLDPTGPRGNAWGRFGKDDQLGTLNLLTP ERIVEAAKEIQTGVRISLDWPLSMPSHPSFNRHPFKQELVLRNPNCVYDDILTFNSQG STQWDGFRHYANQKSRQFYNGHTTEEIESSNIIGIHCTNQLQDTYPVNLALTETPHIA ICEHGGITGRGVLLDYAEWATTNSISISALESEAITLENLKRVVKDRNLNFQKGDILF IRSGFTAAYNKLDDQQRKDLALRSSPDFSGVEATEGMVRWLWEHQFSAVAGDAPSFER APIRGAHADPNFNLHEWVLAGWGTPIGEMFDLEKLSEHCKTSGRYSFFLSSMPLKVGF P PEX2_053680 MIEIPKASSLKDLFSLKGKVVVVTGASGARGIGYEAARGCAEMG GNIAITYLSRGDGAEANAKALEEEYGVKAKAYKCDTSKWTEVEELVANVIADFGKIDS FIANAGRTADAGVLDGSVEDWETIIQADLNSVFYCAKAVGPHFKEHGKGSFVITASMS GHIVNYPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYVNTGLGDFVPADIQ DGWNKLIPMGRQSDPKELKAAYVYFASDASTYTTGADLRIDGGYICR PEX2_053690 MSVDTLAEASEDQRASLTSRVLPMMTLSKGSSPLTRPLPPVPFT SARSRAEARFQVQGKAIVTGGAGALGLISAQALLEHGLSSLCIMDLPRTLETSEEQIQ SLASKFPSANITKIPLDVTSMESIQAAFEQAENTMEGIDILCCFAGIPGCQPSLTVTP DQFNRVIDVNLNGSFFCAQAAAKRMESSGKGGCILFTASMSAHYTNFPQPQAAYNASK AGVAHMTRNLAAEWAVHGIRVNSISPGYMDTILNAGESLANVRKIWDSRCPMGRMGDP EEITGAVVLLCSQRAGRYITGADIVIDGGTLTL PEX2_053700 MSWELIATTKRQALKDSIPAEWVIPAAIFPPEDQLDVTTFPRES GFFTERELEITSISAITILSHLSSGSWTSEEVTKAFCKAAAVAQQLTNCLSEIFFGKA IAQAKELDVYFQQTGKTKGPFHGLPISLKDNFNYIGYDSTIGFTSLVDKPATYNSTIV DLLLETGAVLYCKTNVPTAMMISESVNNLFGRTVNPQNRNLTTGGSSGGESALIAFGG SRLGVGSDIGGSLRMPAACTGTFTIRASAGRFPNFRSRACLEGQESIIGVSGPIAKTL EEIVFWSSTIIGLQPWIRDPKCLPIPWRSVELKKSLKIGVLWNDGFVSPTPPVARGLK ETVEKLKAAGHEIVIWPPTEHMEMLITLGRLFLADGGKSVRDLLEPTGEPFRSEMKPY EDAKELSVHDLWQIHVKRNALCKSYLDRWNEAGIDALLGPTTPYSSVENGNFAYAGYT CVFNLLDYPAVSFPCGAKADKSIDTPYTDHQALSDMDGKIQKDYSADSVHGMPVSLQL VGRRLEEEKLFAMTDVILKAAAL PEX2_053710 MMEDHKPDPDMGEEKDVLKSHAAELFNCENEKALMRKIDWHILP VMFTAYMLQFLDKTALGYTAIFGIQKSLNLVSDQYSWASSAFYFGFLVASYPVSLCFV KFPIAKFLSVSFIIWAVVLACHGATSNFAGLISLRVLLGVFESTISPGLSLLTGLWYK RSEHASRHGIWFAGNSISSIFGGLLTYGIGHISNSVEPWRWIFIIFGIVTFAYGIVFY IYLPDSPQNARFLSKEEGEFVHQRAQRESHTTVSHKWSKSQCIEALIDPKTWLIFVYS MASSIPNGGLTSFGSIVISGFGYSTFNTLLVGLPTSVFTLIWVVLATIIVTKFRKSRC LTAAVLSLISLAGSIMVSQIDPTKKIARLAGMWLFPAYSAGIPIILSIIASNVAGYTK RTTVTAVMFIGNCAGNITGPFLFFSDEMPNYGSAWIGIMISLAIAFVAIICLRQLFQF QNQQRDRQQNVKRNPESREESEIEEVLALDIALDQDETDWENPNFRYYL PEX2_053720 MPDDHMDPHYTWPLVLLTDLCASSQANLTGLVKSIVEDDLKSHS DKYFGTSAQAKNATHNVNVNVRVWSPTRRLLGSIAQVGPPRDNQSNLYALAILAYRSG RPRIIVADETTKRQLSGKFLYDRDLYSIRGGGHISVILLSTNRNPDSGEYSVFAKRAV CSPQETMFNIDDIDYIWRDPDPQGRRFKSVHKTWGPLWAHGQGWRGTMLHDPDEEPFK PGTANILGREYYAKAVIAAFTQYLPTELAIQIANTNSDPIKMPIWDRRPSNTHLVIFL LYPTTPQELGNTYKKLEEVIPTKFFHRGPRMPITIPNTGFTVQTEEWYTEPHMTLEVV PWNKHRMKTRRDLINFWNEYRVWDAQLGRKEGGIMPLLYLPRPLAALDEAQFGVFTCK PRKPNILFVQEMKFFDICTDMEEWGYVRTSHEFDSRNKGAKEILCQPDNPFFIDPPLW LPIITTNWIRTVVFLTNQLSHAAKQTLKQLIVPPIEVDSDGSDYDSQHGDTSPEYSIV PWREDAAMIDGNVEDIWELVQALYVYPQALQRSTISFVCVDQQFELDQSIILVQAEEW TDSEHDLLHHLPFPRLRGFKYTRASAVLTYFENTNRVNVDAVSHDWSKYKRPGWPAPG ILPDDPVEDINYVDASAYP PEX2_053730 MFDGKYAADGKVDGPLEKDLEKKLNAKQLEPFGNEETAEIKYRT MKWWHCGMLMIAENVSVGILSLPSAVATLGMVPAAIMILFISALSWYTGYVIGQFKLR HPEVHSMGDAGEILMGPFGRELLGLGQLLLLIFLMASNILMFNILMNVLTDHGTCTLV FGVVGLIICFLGALPRTMDKVYWMSVISFLSVFVATVLTMITVGVESKGHIKNEATTD VSFREGFLAVTNIIFAYLAHVAYFGFMSETEDPRTFNKSLAMLQIVDTVLYLVSALLI YHYVGPETATSPGVQSPAILSLSPLMGKIAWGLSIPTTILSGVVLGHVACKYIYVRMF RGSDKMHSRSFLSIGSWVAICLAVWVVAWVVAESIPVFNDLLSLISAVFGSWFSFGLP AIFWFYMNKGRYFQNWKKTVLTITNILVLAIAFAIVSISLLDFVDGG PEX2_053740 MQLLSEAVGSPYPMTEVPHADGQAENAAAMARYRPAASVRIRVL IVFIMKLNLRGERPA PEX2_053750 MICDFSTPVLLQQLLQAMKDPSRPKRVPLTYAFMSFALRLVAAQ SQVLLLWYGRRCYERSRGEMIMMVYEKALSRKNISGLMIEGNPGPSDEEVNRINDEAP VQEIHQPPNKTVKGFWQRIIYRNQKAPQKQAKEAASLGKIFNLLRGDVYEVAQRFWEV DSLIDKPLGLLIATFLVWTLFGPSCFLGILAVLVAQIVNALVTRALLRWERVRRVATD TRLQITSQFVEAIRHLRWYGWQNHWLQQVMDARQHELNIRIVTSLWSILIRFINAFAS GVFPVVALYAYTFLAGHELRIDIIFPALQLFTMLETRLRDIPGLITSLINAFIALGRI EDFMSEPDKENLPSEPLANNNPLSMQSCSFAWPGKVSPVLVDIDVTIPKGLTVITGKV GAGKTAFLQALLGELDRLSGSVHIPNEMVGYCAQTPWLQSMSIRDNILFSAPYHDQRY KRTLEACALLPDLAQFKHGDLSFVGENGIGLSGGQKARVALARAVYSASRVLLLDDPL SALDHNTAESIVRKCFSGPLMKDRTIVLVTHRTTLVRQIADQIIDICERHATVYDKDA IKLEATESSLQFTDHEIETEAETTIEEETAAVPDKFIEEEHRADGGVKARVYWNYIKA GKYRWWLALVLILAIYRLMAVGQSWFLKGWGEAYEQTTVPREGLLNLSDRSSQGAWST SNLSMDTYFTPQNPIDKLPSPREDVRPWLWTFFAIISFQAATLLVAQLLMLVIVYYAG QSLFRRVLIRVSHATFRYLDTIPLGRLMNRLTSDIGVVDGNISEQFQVIAFQAIIWIS SVIVIATATPVFLLFSLALTVGFVLVFLRFLPTSQSLRRLEMVSLSPLLSNFGELLHG LTTVRAFHAEPQFQNRVISVVDKFQGMDHFYWSLQSWLMYRFESLSAFSTFCLTALAL YTNTTPGLVAFVLIAANNFVDSTHALCKQYGQLQMDFVSVERVDELLHIEEETPGTIA PPAAWPAYGSDVVFEDATLRYAPHLDPSLINVSLRIPGGSTTAVIGRTGSGKSTLAMS LLSVIRPESGRILIDGINIADVSTQALRTRVTFVAQDPVLFPGSIRLNLDPTEDYSDE LCTEILERLCSRHGWHLGTHIEAGGRNLSQGQRQLIALTRAVLRRSAIVILDEATASV DHDTSLEIQQIIREELQQSTVITIAHRVEAVKDADYFVVLDQGRVSRQGHVRDL PEX2_053760 MSLKKDSHPSSAAFDVINQTLQANEADRKDALSKAKAIFSFNLK NSSGEEAAWYLDLKNKGEVGQGAAPAGSKADVTLSLSDSDFAQLVSGKANAQRLFMGG KLKIKGNIMKATKMEPILKKAQGGAKL PEX2_053770 MDASQVASFIRERRSSLREFNFENVVLRSGNCDDALAPLTRISG GDGWKQAMKGTEDAKKSCQSGTLDTRAVVGEPGSYEATAAVFGV PEX2_053780 MGTEQTFIYIPQRCQPVGTSPKYSSWQMTELLVKQYPPLFHEST NIPSFVQGLTMMSGLQHL PEX2_053790 MASSADQSKEDKIKDLLEDLDTYRYIYDDLLATRGDCEEADELR DTIRKMEGQVAALLGDTVSTPQAAPTPQVSSPTPSVRTPAPAPRMASNAFTGIPGDSF ASPHWPSAAPSPFATGSRHSTVPPAPIMQPSPDNSRKRQRPLSQISLTTQGSSKRIAP SQPEPRRSRLDAIDAEKDAHLAESYRTYKQFIEAAGDNADLLKDLQEERDEQAKLIEA EFQMERDAELARALQADEDHRQLPIEGFNEQDAWDIPDRTQPVKLEPISSKAIYAPQT SAPIAPFNKLTPFNSDDDLQEITADSFNSRYGKQPARQPGSFNYPHTSSLPSPYSKSP YTSNPPHPSQMPYPSQLTYPSQMTYPSQLSYPSQLTYPSQMSYPSQMTYPSQLAYPSQ LSSPSKMSYPSSSVASRVLPWAREPSHTMPGAFDKFEKAFDVIRNQNEIFDDDADIVA YNEKEFPEDIKNLLSGIKDIREATRADNEETPNSLRVTLMKHQKIGLKWMKAKEESSH KGGILADDMGLGKTIQAIALMVARPFEDEDRRPTLIIAPKALMDQWRLEIQRHVKPGR HQLSVLIYHQRRRPWKELKKYDVIITTFGTITAHYKTLLEAEKMAEEGHNSSVIQDRK NAAGPLNPAAKWHRVIIDEAQNIKNPSAKSSTACCRLNATYRWCLTGTPMMNRLEDFQ SLLGFLRIRPYSNPTKFKADFVRRIKSGWGGEDVMKQLRVLVKSVCLRRTKSSKIDGE PILQLPPKVTEKVHVVFDERESQVYEELNANTQRQITRYLDSGTLGRNYSHVLVLLLR LRQACCHPLLMQEFRNEPSPSIPGVDKIANAKLLSAAVVQRIKENDGEEDGTCPVCMD SVKNATIYIPCGHHVCSECWIRISDSATANGAINLEDDGPAVIKCQNCRGVVDPAKLT DTNAFKQVHDPSSIPESDAADSDVNGANDDEDSEATASSDEYDSDSSTEVGEGGSKKK SKSRSLAELRKDALKNKAEKKKYIRRLEKGWFPSTKITKTLEILQANEDRGLNEKTII FSQFTSLLDLLEVPLARRGWNHTRFDGSMNLKERNAAVTAFTNDPECKIMLVSLKAGN SGLNLVAASHVIMFDPFWNPYIEDQAVDRAHRIGQVREVFVHRLLIENTVEDRIVTLQ DQKRELISGALDEGGTMNVSRLDARELAYLFGVRDL PEX2_053800 MAETPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLGVEVH PLNFNTNYGAIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNWHR DLVRVCENIPIVLTGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFLWL ARKLVGNPQLDFVAGIALAPPEVTVDEKQLEAYRKEMEEAANQPLPDEDDADL PEX2_053810 MNDNIHAPTVASGPVSGGTPRDLSKLSMPDLMQEKERIEAELSA LSSVLQSHGVRMTSSLTTFDGFPRDDIDIAQVRTTRVRIIHLRNDHKEVMQLIEKGVH AHFANLQNSQGASPTTNGTNVPSAVQLLTNNTASGTPFAKVNSVVSGSPADQAGLKVG DAIRSFGNINWLNHERLSKVAQVVQENEGRAVSVKVSRKHEANTETTTELDLQLTPRQ NWGGRGLLGCHLVPL PEX2_053820 MKFDPVVLSSRLGPPLLSPCHRNLSPIFYWAGSLFGTMADQDEK KFEKKAQLDDEYEEKFESSGGVSPTDKSTSRPFKKWMDSFRGRKHESPTIQQRFVEGW SDSSSHGSQGHQSSTSDSSQLGTVQTTTASIGSQSLIRSRTTIQSATSHSIRSDVRHS GDSSQPTSSQHADEAAETRATRRRHILQELVETESDYVLGLKALIGILTIFETRREIY DNIQEILEIHERFLTQLQTASPMSAPQAQQAGASELTSRGITKRIGSIDLGSLKGLQQ RSLRTRSLKASVNRRLMALTAEPTEGLEVARELGKLDVALLRRSIANWTIYDQGIEAL SKSVASTERRRQEDNKSMTLNDMLIKPIQRLCKYPLVLQDLLRSTPVSDCPSSHDGIQ QALDSIRVLVTRINLAAGNPINKDRIDKTITLQGKVDISKSQALQSIYKDLGPLAMCG VLHVTYQTAETTNGEFMVCVLFQRYILFAKGIDDQHRLEAVACVYLDSLKIDTLQNGQ GLYSYGCIFSWKLLFENQGENYEFVLSASSAAEEKQWNTEILKVSTALVEMGQPRTWE PRKHSFLALQLLPLDHVQYTVSSLARRSSMDSMAISRKSRAQHVVIKKTHYPRHTEEP VAQPEGEIERPKTPADRSTLTLTARRIDRIRLERLISGVYTGDLLPSPGMVLGRGDLF RRGPLMRRLSLRPGFTKRSRSVGTFHSRRGSTEMRSDEENERIDKEAVDSSEIGEDKG EDKEVECELPQTPTTPRRSKTFLFRGSPKNPTSSVSSPRSDKRRSQDGSAESSPSSKK WSSPKNLLSAFTPKKVKKTRSHAE PEX2_053830 MARGNETASKIFYKGSSDDFIVFVEDLEILQKWKSDRSVPLTEV LNGWKIFVTHSHGAQGVLDTASQAALQNEFGTTKDDECMVKILEGGEYQASTAREREG SKNDSNGSR PEX2_053840 MPPSSGTKPKVEEDEIVLETVEDVPVNKITSFNGKLQQYLHSGT TAREPPTASRATISRGCRNTSEAEYKPHITERQAEGIISSPRRKRTVPQTTASETTTT DPRTTRSQSILTTTSPSPSPNKRKRRTATPKTPTTPPIAGPSATESLLRDTIPPNLIL LLVGVNPGIMTGITGYAYAHPSNLFWKLLFSSGITSMRHIPADTYKLPALYSVGNTNI VERPTRDASMLSRAEMDAGVPVLEAKVAAQRPEVVCLVGKGIWEAVWRVRHGRGIRKE EFRYGWQAEAENMGRCEGWDGALVFVATTTSGLAAGMKPAEKEAVWAELGSWVVRRRG ERGLDSVVVKDEV PEX2_053850 MGRLIKNHWGRLIILTAAAYQVVSAIEGFIWPKVFWDFISRNLD AAVAPVPVLQILNLIMGLVGIAWEWPLKPLAGSIPHRSIEIRLIIYPLSALLAALLYQ GTDPAIYYLIGIGVYFWAYSEGEVVCPEPWTLPKRHGLFKA PEX2_053860 MCTNPVQRFTFLTLDNHILANCFEFWLSGGVACLSIDSYTWSSL QACHSKYAAFFPHMRSCYYDKPLQSYSLSMCSGLSNSIHISMSCWIIL PEX2_053870 MRATCSSSRQAQAPRPLICRHPLCDPLRHIPHSPITRVMPVLQK LRKRGLSRI PEX2_053880 MAAAQEPWWKRADLTVSKVLFHLFFWGLHIGIFAVGWYIQASNP KLASLNVLGYSVWISRGAGLVLSVDCTLILFPMCRNILRWLRPQIKWLPLDESAWFHR QIAYSILVFTAIHTAAHYVNFYNIEKDHIRPELAVEIHFARAGGITGHVMLLCMMLMY TTAHHRIRQQAYETFWYAHHLFIPFMLALYTHATGCFVRDTASPMSPFAGKQFWDHCL GYEGWRWELVIGALYLFERLYREFRSRRVTVITKVIRHPYAAMEIQFHKPSMKYKAGQ WVFLQVPDVSSTQWHPFTITSCPFDPYLSIHVRQVGDFTRALGDALGCGPAQAKDLEG LDPNGMYEVALQNGQTMPAIRVDGPYGAPAEDVFDNEIAVLIGTGIGVTPWASILKNI WHLRSSPNPPRRLRRVEFIWVCKDTSSFEWFQALLSSLEAQSANTAASEGSTEFLRIH TYLTQRLDADTAANIYLNSVGQALDPLTELKSRTNFGRPDFKRLFTAMRHGLLDQSYM TGLQSAATTEIGVYFCGPNTAAMQISDAAKSSSTKDVRFKFWKEHF PEX2_053890 MNIKHLQCLLAEAKALLDSYEATQTDTFRVEAQEKAAQLARALE RPKDTIVKLAFSPTILMAVKIAHDMNVFPVLAQATGPVPLAELAAAKPADPLLVERIM RVLVANGFVEEPAPSEYLPTAVSRQMTQRPLIGVVEALFYEFLPSINKAPEHLQSINH RNPDDPLSAALQYTHDMNIDGFTWLCRNPEALNRFNYCMEGQRADRPHWGDWFPVHER LLDHPDMTAEIPLLVDIGAGGGHDLIGFRKRFPDAPGKLVVEDLLPVIEELRGAQDLE ASSIDTVAHDFFAEVQPVRGARAYYFKHVLHDWSDEKATIIFNNLKPAMRRGFSKIII EEYILPDQNARLLPCMMDMAVMVFCSGLERTRQRWSDLLTSVGLRAIKFWVREGDGLG IIEAELAESV PEX2_053900 MRSSKLDWNFSDEFFKFTRGRLAKVAADSIGAAQCISIRKYPDG MFNKTFIMTMEDDQEVVAKVPNPNAGVPHFTTAISTPDLANATGRQKVRSLLLARSLV ETGLMPAEQIWILTGAHKLIALSSYQKTGNFLAPKDTLVTNQYPCLWHNNLHDDNIFT DLYNPERITGIIDRQSCHISPLFNHNPDPAFLGLDSLDPETLDLTPRLILAGLSPEEQ SDAMREYSIRNVFIERRKLMQAKSPDLYGAVEVRKTAAYGLIFLSHSMFKYGEAHFLS LLVDLEDTWADLPGVTGEIPDPFDFAETDLERIKARYRLHGSRN PEX2_053910 MLGISNGMRLKPLLITLLELPSQKDCKLESSATSDTPIQFHNGS ETVTMSATSTKTKPSNVTLISPSAEKDREFTTSTTTQCITAIKSVIMSEASTATRSKS PSIDLFCTPAQEDLEVKTSAASDIPTQYGTSNKNRPKFLPVPLFDPSTQENCESKDSQ TVILCDSPFENDPNITNTSSAIHSDSSDVSSSGGSESSSSGKSETSSIDSFDARPESP PMALFDPSAQEGRKCKSGSTSGTSTQYDSDSDVQTVILWDSPSEDENDTSTLQTSGFS HETTITASNTFSDDSSDDSSSGGSNSSSSGKSETSSIDSFDTRPESPPMALFDPSTQE DCEFKISITPDMLTQYDSNSDIQTVILSDSPSEHDPNELHTSKTSQEEPNIASNTSSD SSSDDFSDDSSVGSSSEASDTSSSAKSESSSIDSFDTSSQHDSESEDEEPPKGSQSLA AYASPLWNGQRIRTSCDSIHDPGGWHVDPDGFINEKDRAGGPFLCSLPETILDLKGNS PLMTVLETISLYKMIVSVLEEYKVNASSIKIKRCQYELYPIIQPLATLIITATRETFS DDWVQACREIWRRLSENGLGHINVEISDPALHKPFYFWAVDSKHPYYSKHMEVMEKVR TELKLNVSDFVELAAFRVGTTSNVKDSEVFMHLTVDFKSDRDWRGPRDLIVSILDEFK LPMVGVMIQKGKKWGSRWGCESAK PEX2_053920 MMARSSRQEAPKSKANNEGHSKRPSDGRYSWANVTIRSCQREDA IFSKWDRVREDILRRIDLTHVSMIGCYRVGTKGSSRKARPTIFIFGNHHKHPKSVQPA RNIINDVLKKHNVAEIEVEFLEGRQRRHSSDTESEEDGPKPKRDIEESGGAFRPDVVR LRSLPGQSLALKENISCSGTFGGFFEIILPGIAKPKVVGITCFHVINPTEKNKMDIVR DRIRQWRKKGIKPNDTGASDLTVDHPSPRVVQEKTRSLGKKLRKGDEMMYLEAKQRLS DCERLLHDIQMFNPGFGKVWAASGFRTGQAPSINSGNHTLPTNYDWALIEVPHERVGR NTTPGGHILKQSPLPKSLDNLTLCISGQRSGYSEGDYNALKETNIDHEMVDGKTVSMP TIEHSVIPRGDSTSFGRHGDSGALVYTKDTHVVVGLFFSGRVHPPFTSSFTHISDLIA DIKSTTGAMEVRLF PEX2_053930 MSTTAANIFIGIWQNHKNETWPTWTLTLKQRDGGILSAALVLFV GFAATQAWNIVKLILHQVLLRFRLDGLDQQLQAMLRNSSTHAEAAWFSIRIPLGWRRQ RGLIHGLARSSPILIVSLVLIASWAAAQILLSRIWTGAGDEFLVNSEICHWVNITDRH TSGQTIQNAFCQSLPRAAVNWTMSDVPCPFADPSLCISTNSTPVMLDTGYLSSSVHFG IHTRQEDSILYRRVANCSPVTTAYQDSPEKDVINNYYGPNGTPDPSNGKQVGLTFTYR DRVKTVEDFIFSTYNLVAFSRLWQYNATFTDRQDVKPVIMFITNPNAYTEPNYDPIFR TGASINTSQFGALYNASTALSILGCLEQYEICNPANPGDPICMVYKYSTDAGYNLSTV VKPLRLSEKQLATFIRLDTIHIGTDLASVASSSLFLASQTILNGIQWAELSSTQWRLE LSRWFSEGLAMIQQGFVDSATMPDNNALYFPQLSGAAASCKHQIVRNAAGLQNFNMLA IVIVLLLGSITIVLGLTIDSIVGYVQRRFPGASEGWVHWTLDGVFQLQRLAYRGAGVR SWRDEDTYIPIVDGRTLPGVDQQTMAFAECGSEEELRLMHNQEAKKTVESNRP PEX2_053940 MTDPQPNRQLHLTAFMRPVSLHTGAWRYPGSYPDANFNFKHIAQ FAQKLEAAKFDAFFMADHLAVLNMPVEALKRSHTVTSFEPFTLLSALSSVTDKIGLAA TASTTYDAPYHVARRFASLDHLSNGRAAWNIVTTGNPESSHNFGFDEHLAHGDRYKRA REFYDVVTGLWDSFADDAFTRNVETGEYFNPEKMHVLNHSGDDLKVRGPLNIARPPQG WPVIVQAGQSEPGRQLAAETAEVVFCSPKDIESAKALYADIKGRVEKAGRKRDQLKIL PAAMIIVGENKQDAQEKRLKLDSLVHYDSAIASLSISLGSDVSGLDPDSFLPENLPET NASKTAREAVEKLAKKEGLTIRQLAQRYGGYSGLTFLGSPSDIAEELETWLREEASDG FTITFPFLPQGLNDVTEKLIPELQRRGLFRNDYTGSTLREHFGLARPENRFFSEAS PEX2_053950 MDSPGSQGVPQFSSFRPPPTSPPAAATGSEHEHRRRRQRADRKE SPRSRRHERRSVGDREKAKGKESKERLSGKGILDVNAANDESNAANDESNKGFVVDSR GDHDNTRYGVDRYEVPAYHRNGNGFVLGLPKQRIDYQTRYDQGPLLLLRPDSGSSAAT AAKPLSAATLSALSMNLPIRLRSELEAKLAVETQHSQNFISTTVPSQAGVAATANLDD EPPSYRSILEVPAKSEEKTDEALDANTFTRLALEAEILNRNAKLNSAVVQQPNDIQAW IRLAEHQELTITGARSGDQTSYHDNIQIVARAKLYVYERAIKANAQNPERDHLLLGRM EEGAKIWDSTKLALEWDEVLRHHSEFITLWIEYLDYCQTDSQDFNFDGCFKTYAYCLK IHSRVGFGPQKTFIRSYLLLRLTLFLRESGHVELAVGLWQAVLEFTCFRPAHLTGKKE EALVEFKKFWAPGHIRIGEPGWKPWNSGQIHRAPVNERLYNSEADIRDLFPTWASAER ERMFKNRMPSHAFESKESDAFRIVLLEDCTQILPYFWDLEKSLGSLVDGFLYFCHLPH LTLPANMHTSRLWTGDKFLRNEYMDDPRNTIADWITFQKYAATTTLEPFAFPHHTFVH NTDTLFADPKMWFSALTKWAATTSHSSCVIDPNFVLLTLQSLADVFRDSQLAEYAIAV TFACDNACGKKYGKRLLKERSSNLRLYNAVALMYWRTGDLDVAHNVWSTALSMSQNFD ASELTDSALLWNSWIWEMLHAGQKNRASYLLQAMPYNRAHLLSYDTADEIEINETNFL RCLFAAQKNALRFKKMQAYAAYADCYAIALYLKGEQLEEVLEVYNNAVTSLRVLPRTD DDFRVFGGELLHQSRARILYHYVEKQSGQFRPADVRALLLDSLEWWPHNTMFLSLFKW NESRLHMSDRTRDILEVTIGAKARAARDLQGPAPIYRVPVTTHLFSIYAEMGRPLMLG STPHSIRATFERAIGDDGIVPVGRTPVRKSPFELASSTSAQSSLTIWRLYILYELYAE YNVGRAREIYFRALRSCPWSKELYLLAFEHLRADLTNRLPPCRLNRLGEVNPSGFDPA ELQALYSEMLRRGLRVHYHVEGFWAR PEX2_053960 MASSLAAQLSQIAAKSTHELDLKAQRVSHSQSLIFDPKIAGTQD FDAIYDICYDGFRELCSLDPRFAEFDRTIFSEQSKAEDRSQMNVLQNKELDTVVEAFL SLVGGRLQLSPAVKAVDWLVRRFRIHEFNTTAVLLTFLPYHTTPLFLNLLSILPETLS PTFKVLTPYKNGLINPPRHPLVHSAATNKAFFSELNEYVLKVCRQQAQSHALLAFWAG IVTEAVATMLDGARAGRREAEKQKHEDILLRVLPVLSNAFAMKKVSELIVGCYMICVV LAQKAFLSNEVIDGLMESVVGSWTEDTKISGLICLSVLAQQKPTVTIPKKVFKGILRL ENPIGQLSEIAPQYQTSNLLLGIIAGCLTDLDKQDNARLALLASIFERKMLGENEIAK AMSLVLEAASNADETRGMSLDAQTQLAELVQGFNRSETLQPIFQKTLTGSSFNISALE HNLQTVVEAPVVAQAIEDVDMVDADDQPQVDAFTPALESLVKEPLFPYSFLSKQSIIE FDNLVRVFALAVDSEENLDLFTNLSILGKPQATKSPQYLSFFIRVVAGHYPIGTKIAA LNVLSSVLSSAPANFDPQALLPFLFVALSDPSERIRRETAGVLAIIGSLYKNNTNAGD SSKPWAHDTIYGKGKQSTGLAWLTTKDSQKVLERALLPGLEESILDSNHVGRTVEATL RGNVLSEASGATELKKSLRLSLFTFICSHVVKMPVFAPKISLLKLINGVEKVSTATLT SELLPILEDWRRLSEKEVEEICGKERIVASETENLIAAIVTSKDKDAVNVLLSNVSSG DNLRQSFVAACFGQIKRIWSKISEDRQLAAAEKLLDISLAGSTGTASLANNCRDVLRT VELSGAVLQQFVQKIPVSITDVESVGPAPKRRRTSQSNMIAMTVKDEAELSKLMDKMT FILEIVDSSSPETHPELVDGLFQTLAALHHFKSQIHSGMSYLLSLTLGSLLAIVNTSK GSAKPQFDTSVIRADLVVDCVRTTDSPQVQNGALLLVGSLSVIAPELVLHSVMPIFTF MGTTVLRKDDDYSVSVIDQTIDQVVPALIQSLRNQKRDVVSGTSELLLSFTAAFEHIP SHRRLRLFHALISKLGTQDFLFAVLAMLANRYSTDKDVLTLMTGLVSDTTPVVELTTY SKYLNLVSDSLKPKPGISQVLLGIGSDDGRDAQSICVDLLRDLAHLFKHSSLKSKLEA AFESDDEVADQSRGCFSRVLTQVLSIGEAVQSMKPVSQACGEVLGALFSTLSLVDFLD TIEVLLQGPNDELRRKVLRLLETRLRQNPERDNLSQIRVLDFLPTLVGIVESSPDILL KHAAVSCIDRITDKYGRKDPSKVIAAARVVASESCLGQSDDRIRYMGILCLASMAEVL GQAMIPALPDTLKRSLELLELSLVSGKENSRLHDAVFTLFSALFVHLPFMISAGHLDK ILLLSFKSANADIEESSDESRQESLRLMARKVDVGATLGAVDRNWQSAVEAGPIAVNE LLEVVTIAVDKHPKSTIAKNIGVLTKILFKAFDLRREQIALGDKAIFESTAIDEAEVA LNDVTIKMIYKLNDSTFRPIFLKFVEWATTGAPKDEQAQISRLTTFYKFLEVFFGTLQ SIVTGYSSYVLENVVSVLSTSGPSKAQKSLWLAALRMLRKSFEHDQDEFWQSPSHLAS ISGPLIAQLAHANSTTTSNMVIADVVPTITELAIAADSTDNHKELNSALMKYLRPSSA PNARFAGGDSPHTRLAALKAEQALTEQLGEEWLALLPEMLPYISELMEDEDESVEREV RRWVKQIEKVLGERLDDMLT PEX2_053970 MSTTQPSDATKPRKSLILNAFVEMCSGHQSPGLWRHPEDESHRF NDVDHWVELAQLLESAKFHGIFIADVLGGYDVYKGPQNLDPAIISGAQWPVNEPLAVV PAMAAATKNIGFGVTVTTSYEQPYHLARRLSTVDHLTKGRLGWNVVTGYLDSAARNMG QAEQLHHDDRYLLAEEYIKVTYKLWESSWRQDAVTLDRERGIYTDPAGVRQINHVGKY FNVPGPHLCQPSPQRTPVILQAGTSKAGKTFAAQHAEAIFVAGHSPAVVAKNVREIRE LAQSEYGRDPQSIKFLALLCPILGKTEEEAVEKFKYFRSLGSIDGALALFGGWTGIDL DKYGEDEELRHVESNAIRSAVEGWSKATPEVAKWTKSTVGQHITVGGLGATPVGTAAQ VADSMERWVQEADVDGFNLAYAIKPGSFKDIIDLLIPELRKRGLFWDDYAVPKGTYRE NLYGQPGQSGPRADHPASKYRWNAGVDASEAIIPDN PEX2_053980 MSNLHARDGVSTVQPPLSQAVGYVVVVVIGVIIALVMMLITKVL KKTTGEDNEKTEMFMTANRTVRTGLTASAVISSWLWSTALLGSSFVGYDYGVAGPFWF AAGCSPMIVFFALLGISCKRKIPEAHTSLEVVRIRYGHVAHVVFMILCLVNNIFACAN MLLGAAAVITAVTGMHIIAATFLLPVGVTLYTFVGGIKATFLTDYFHTAIIMIIACYF TVKAFSTDQIGSVGDLFELVQAAAQQHPVSGNQDGTYLTMTSKGAILFGILHTLGNFG LVIMDTSYFIKAFSASPAAVVPGYTIGGIAYFAIPWGLGTVMSSVALGLENSPTFPTF PRRMTSTEVGNGLVLPYAAITIAGKGGAAAVLIITFMACTSTLSAQVIAVSSILSFDV YREYFNKKATDYDLIRASHFGVIFFAVFSAAFSTMLHYVGINLGWTLYMLGVVTCPGI FPMIFTILWRRQSKAAAILSPVLGLATGMAVWLGTASHFSNEVSVASTGQVLPCLYGT VASCMSPIVYSVVITLVRPQNYNWDDFKKVKLSLEKLDSDSTTPSGGDGSGEDGGRSA DDQKELKRWGRIAAFWAVATFLGHWVIWPLPMYGSGYIFGKKFYIAWVVVAIIWLWLS MLVAIFYPIFDGGIQQIRDVYRGLRGQNIVEGARSRDSKGESSSPSVGSISDVTHTGD SQEIKS PEX2_053990 MRFFIPFLLGLSWLANAAYIPALSTNSTGIVSRDVGGYRSVAYY VNWAIYGRNFQPQNLPAERLTHVLYAFANIRPGTGEVYLSDSWADTDKHYPTDSWNDP GNNVYGCVKQLFLLKKNNSKLKVLLSIGGWTYSTSFASAFDTEAGRQKFADSATELLK NLGFDGIDVDYEYPANDDQAEKFVDALRRLRGALDSYSSANAQGYHFLLTAASPAGPE HYRQERLKEMDQYLDFWNLMAYDYSGSWDTVAAHSANLHASTDNPSSTPFNTDQAIDY YTAQGVAANKIVMGMPLYGRAFTNTDGPGKPYNGVGAGTWENGVWDYKGLPLNGCAVT VLDQPGASYCYNKDSRLFVSYDTPEIARKKAQYIQSRGLGGGMWWESSSDKTGADSLI TTVVNTLGGVGALEQSANQLHYPQSKYVNLKNGFP PEX2_054000 MPVKMLVFLYRSPHLTPEEFKKRYEAHVKLIKKLAGGDFPLSHH RNYISRSSIEIPPDGSTARNAFTPATILRGRQSDFDFDAYAELTFADQAAYQAFAAKI YTAEAAAQITADEDKFLDRLKMGIALVGEVRVTVK PEX2_054010 MSTATSIISSGSDRLDAWTRRSQPKIEINLEGQKPGHVNSYTTG ESIDGSITVTVDHETRFDEIEIVFEGVSATTVERASCPGRTGSQQMFLKLRQPIDEME YPTPRVLESGRSYEYPFTFVVPDRLLPQVCTHAKSNAHIQRSHTMLPPTLGDPILASN GKTLLDDMAPSMSQIGYTVRASVLQKQLAGSGVVAIAGIAKKVRIIPVVEEEPPMETS ASSAFCTRKEKSVKRGTLRGKLGRIVASSSQPKPIQLLPPDGEPTDTVSTVATVNLRF DPVGDEQPPPLGTMTSKLRVNTFFSAAPWDDFPSTTGMPFAHIGCGLYTESVALLTMC VASAQWTKQSTAADCMRRDSTDSSSSDSSTGPSSAFTGDTYYTASIVVPVTLPKSKAF VPTFHSCLMSRTYALDLSLTYHTPAANIMTPTISLRLPVQFTSQAKSTESIKTALGVT VTQQELDEFFHPRNVTSPTDFSNHARSDVVDVGFAPPEYSERLSTSRASR PEX2_054020 MATTSHMFMYSLTIQPPTAVTQAILGQFAGTRDQQIVTSSGSKL TIHRPDPAQGKITPLFSQDVFGIIRSLAAFRVAGSNKDYIIIGSDSGRITIIEYVPSQ NRFNRIHMETFGKSGVRRVVPGQYLAVDPKGRACLIASVEKNKLVYVLNRNSQAELTI SSPLEAHKPQTLVFAMICLDVGYDNPVFAALEVDYSESDQDPTGQAYEEIEKVLVYYE LDLGLNHVVRKWSDTVDRTASMLFQVPGGDDGPSGVLVCGEDNITYRHSNQGAFRVPI PRRSGATENPERKRSIVSGVMHKMRGAFFFLLQTEDGDLFKLNLEMVEDKQGKPTGEV KRLTIKYFDTIPVSTNLLILKSGFLYAASESGNHNFYQFEKLGDDPDELFFSSDSFSA DHSVPSTPIYFHPRGAENVNLMESMNSLSPLIDSKILNLSEDDAPQIYTICGAGARST FRTLKHGLEVSEIVESDLQQVPSAVWTTKLTRADEFHTYIILSFANGTLVLSIGEIVE EVSDTGFLSSAPTLAVQQLGEDSLVQVHPRGIRHILADQRVNEWPAPQHRSIVAAATN ERQVAVALSSGEIVYFEMDADGTLAEYDERRQMSGTVTSLSMGEVPEGRMRSSFLAVG CDDSTVRILSLDPDSTLENKSVQALTSAPSALQIMAMADSSSGGNTLYLHIGLYSGVY LRTVLDEVTGELSDTRTRFIGAKPVKLSQVSVKGQTAVLALSTRPWLGYSDVQTKSFM LTPLDYVGLEWGWNFSSEQCVEGMIGIQGRSLRIFTVEKLDNNMLQESIPLSYTPRRF VKHPDQPLFYVIESDNNVLSPATRQRLIDDSQAQNGEVADLPAVDFGYPRATGHWASC VQIVDPITTKSVISTLDLEDNEAAVSVAAVSFSSQDDETFLVVGTAKDMTVSPPSSSC GFIHIYRFQEDGRELEFIHKTQVDEPPLALLGFQGRLLAGIGPILRVYDLGMKQLLRK CQAPVVPKTIVGLQTQGSRIIVSDVRESVTYVVYKYQDNVLIPFADDSIARWTSSTTM VDYETTAGGDKFGNLWLVRCPSKISEQADEDGSGAHLIHEKGYLHGTPHRLELMVHFF AQDIPTSLHKTQLVAGGRDIVVWTGLQGTIGMFVPFASREDVDFFQLLETQLASQQPP LAGRDHLMYRGYYAPVKGVIDGDLCEMYLLLPNDTKLMIAGELDRSVREIERKISDMR TRVAY PEX2_054030 MADETATTEQAPITFTVKSSTDAKYTLTLPLTTLVSDLKQKLSS PEHADTPAERQRLIYSGRVLKDTETLETYKIKDGHTIHLVKSAASNQPRQNTAAQPSS ATAAAGATPSPAAAGVPTNLAAGTGNNPLAGLTGARYAGFAQLPGAGLFGPDGGMGPP PDTESMLNMLENPQFQSSINEALQNPAMIDMMIQQNPMLRDMGPGVRQMMESPEFRRM LTDPSSIRQMMQMQRAMGMGGGLGGGDNAFPAPGVTNTTAEGTQGSEQQNAQINPFGQ MAQNPLGNANPFGALFGANPFGTPAQPSTTPAATQPGATQGADSTDRSTSAEGQTPQA AQNPFASLLNPAIFGNAGQAGQGTNPFNPQQNPFLRDPALLSQMMQGMGGQGAEGGAA GANPLAALLGGGLGGFGGGFGTPQPADTRPPEDRYADQLRQLNDMGFFEFERNIEALR RAGGSVQGAVEYLLSHP PEX2_054040 MSNSTDPSDYCTLAICPLSEAHVEYVPSLAGNAFYLALFAVLLV AQLVLGIRYRTWGYLGGLFGGIVLEIIGYAGRVQMHYNPFLFNPFLEYLICLTIGPAF ISASIYICLGRIVVIYGENISRLSPRAYTIVFIVCDVVSLLLQASGGAVTSIADADQP DMAQAGINTMIAGLSFQVASLAIFMAFCLDFAWKVYRNQHELNPDTHLIDLRNSIKWK AFLVGLALATITIFTRSAFRVAELQGGFHSSLANDEVVFMILEGAMLSIALLSMTILH PGICFDGQWNKTKWSFRKSRDSEMSLIGIEDGQAKARQFDSASN PEX2_054050 MRRDKPFLLLTILTAALHDNMPLQRTLEKQIKKVISDCMIFDGS VSFEIFRGLLVHLAWISQILQKGSFLPYLPYFESICKVLAADAEYPSDRYLLYIVQLQ QLSEKITLVSSQHVPEIQNTSVSLQHYYREFKPQLDLFRANLPFLLTESQILFMQFYT VELYLCQITLFDHKPGAQPPPHELSFQIEVLRMGLAAAKTLLHFYISLPLGYDAKFHN VGWVQLAFAVTLACKLVVAASDPSIHPHTVDLCRALDISSTMRQSIIRIQALITSDMD DSGDRDIFFHYEKRLKRVQWWFESRTISGPNNAHSHDGVQLAGAIVSSTGDARHYVDA LQPTSNDLDNNFQWLDFFPDTAIDEMYIDWMAQPTTSFDQQRLG PEX2_054060 MVFSQFCSRQWALWVLLLVSCAGAFYIPGYSITQYNDNDPIPLL VNKIFSDHTQLQYAYFDLPFVCPPSGKKHGGSPFGSGQSISLNIGEVLRGDRIMTSDF EVAMGKDVECQSLCTRDVSRSNVKWARQLVKEGYVIEWIADNLPGATSFVTVDRSRKY YASGFKLGYRDISPITGQHRYFINNHFTIVIRWRSAPEGGKVVVGFEVYPKSITAENR QENGCPKALHNNNEGLGLYIAPNLSRMQEKYSGLSYIPDDDDDDDGATLKVPYTYSVY FREDTNVEWANRWDLYFTNQGESSITHWLAIINSLTICTVLGVTVFVIWSRTVQGDLK GRGDGALDDRKMKSQSRRRSGRSGEKKVEGLLDNSADVERDAEYSSDEEALEDTSGWK LLHGDVFRIPAYSGLLAPLVGSGMQLLFMASGLLLLSCLGVLNPSFRGGFVSVGMGLF VFAGLFSGYFSGRLYKTFGGTAWRKNTLITALLFPGLAFCLVLILNLFVWAQASSTAI PFGTLIGLLALWLLIQVPLVYIGSWAGYVRAAPWEHPLKTNAIARQVPLQPWYLRTPL GPVVTGLIPFAVLFIELLFLFKNLWQDKSGYYYVFGFLSVVSTVLIVTVVEVTVIATY SQLCSENYHWWWQSFLAGGSSAFWIFAYCIWYYLFKLHITGFVSGLLFFSYSFLACAV YGLLTGTVGFLAAYAFVRRVYSAIKVD PEX2_054070 MHHSSTMSNPPFRARHAERSKITPGFTKFMYLGGETQSPLFHAI GNCICAVRTVLYVRFAPHGHVYIDV PEX2_054080 MATKKPNILYIMADQMAAPLLSLHDKNSPIKTPNLDRLADGGVV FDSAYCNSPLCAPSRFVMVSGQLPSKIGAYDNAAELPADTPTYAHYLRREGYHTALAG KMHFCGPDQLHGYEQRLTSDIYPGDYGWSVNWDEPDIRADWYHNMSSVMEAGPVVRTN QLDFDEEVIYRSTQYLYDHVRQRNEQPFCLTVSMTHPHDPYAMTKEFWDLYNDVEIPL PKNGAIPHDQQDAHSQRVLKCIDLFNKEMPDDRIRAARRAYYAACTYVDTNVGKLLRV LENTGLADDTIIVFTGDHGDMLGERGLWYKMTWFENSARVPFLVHSPKHFAPKRVSEN VSTMDLLPTFVELAGAKLISELPLDGVSLVPYLTGGKGLRTDTVYGEYMGEGTQAPLM MIRRGRWKFIYSTIDPPMLYDLVNDPEERTNLAAGLPIPSISTCAAIAAKPTNLTSVN LPTPDDTPHASPIPQRPNTAHYPFPSNTPPRTPSPAKLPPALPNTTDPTKILAFFLEE TYTRWDLEKIHQDVLCSQRRRRLVYSALIKGTQTVWDYEPRTDPSTQYVRNQGKGALD DVELISRWPRLFQQAAAANGMSA PEX2_054090 MPSLTVAVAQSRTHASLNETLRGLERTTAVAARRGVHLLLFPEA YLGGYPRTCTFGSAVGSRHPRGRDQFLAYFKAAVDLGDTPAGAGDEWIGRRLEIAEGK RFRGDGTREFLERVARETGVFIVTGLVERAGGSLYCAVVYVDPVRGVLGKRRKVMPTA AERMIWAQGSPSTLRAVTTTLNGIPLTIASAICWENYMPLLRQSLYSQNVNIYLAPTA DARSTWLPLMRTVGIEGRCFVLSANQCVRGSELPGWITGGNTGPSGDRKANANSPTSK SQHPGRKLSITAEGPHEIVWPQTHGEAQGQGQSENSSIPNTKPSLAPSDSVLDYVCRG GSCIVSPLGEVLAGPLWEVCTDDVPDSSDAAVTDSAPGTSAAESSPAVAAGDGLAIAR IDMDDCERGRLDLDVAGSYSRSDAFKFEVEGLDLAPPPL PEX2_054100 MRSAEQLARPAFAPPDPNIPNADSDRRFSQFEYSAPGPVQNQPQ TLSRSQSQRQKPLRDRPTVNVVAPDDSHRKKGRVERSVSVKGKTISLPVSQPTSPGIL YPETLKESDEFPLHPRHSYAENPSPGTPQSLSHQQQYQQAHRAPRPSHPAHAQDNPEW SQQTVQPLYTQPQRSNTDPTLLEQYVRPSPTEIVPESPRYAPEHLHRGQAFPPAQDPV LNTRPPSQQTHEPLSPLRSVYPDAMQSSALASQNQGQFQQLDRQKSAGSPQQNRSRQG SVSNNMPDPGRSTPTNTHRREDSGEVDLRALIQKHDELQAKYSKVKRYYFEKDAQVQH LQNTVAHQRMAVSRTVLDDNEYTNRFQRLDGAIKDLAFSVRKYWRSIPSWLNGMVTDD ALSVGTKEMTAVGRAVISRWLVEEVFQRYFHPSLDPTFSMQLKNIEMNLRRQRPSSEE DRENASARISYWRRTTFDGLNDSLVGPDAQEHRVKLVENLIVELAAFMGSQLHESGSL GLEASVRMIIENSVNIIEKIPLEARDVCVDYFPPGVSLAEQYMKVEGQLPALSHPPPP PSDQEHLEDPVAAEGDASSGSTAGIIESPSPAQQKPTKKSIFGGFISRKQASNETSRP APAPGPAEDKSEPAELAPSNLRIRFAAFLAVEVRGKGPATVLIKSPVWLVE PEX2_054110 MVHLAKVKKDNEVLPSVREIDSIPSLETNDYDTNVYGSHFAADH MPQHEMPEGEMPRQVAARMIKDELSLDGNPKLNLASFVTTYMEEEIEEIMTEAFSKNF IDYEEYPHSAEIQNRCVNMIARLFNVPTDSDSENAMGTSTIGSSEAIMLGTLAMKKRW QNKRKAEGKDYSRPNIVMNSAVQVCWEKAARYFDIEEKYVFCTDTRFVIDPKEAVNLV DENTIGICAILGTTYTGEYEDIKAINDLLVERNIDCPIHVDAASGGFVAPFITPDLEW DFRLEKVVSINVSGHKYGLVYPGVGWVIWRSPEFLPQELVFNINYLGADQASFTLNFS KGASHVIGQYYQLIRLGKHGYRAIMTNLTRISDYLSSEFAKMGMVILSETHGRGLPLV AWRLPTNNGRVYDEFAVAHQLRERGWIVPAYTMAPHSEKLQMMRIVIREDFSMNRCDS LIQDFKLAIETLDAMDKSMIAKYRTHMQNHRNHPRHQSRAHPHYMGEKHSLQGKDGKT HGPNFLHAGDHVHLGPGMSSERLTDPAINLHDLPQIDLVLLSHYHEDHFDKKVEASLR RDLPIITTPHAKKYLTSKKQDSFTSVSALDPFEQIEVSIEGTDGPGPPQLRVTGMPGK HVPPKRVVEKLNTLANVFPPTNGWMLELGHASTNTTDFYCGYRIYISGDTLMFDELRE IPKRYAGQQIDLMLAHLGGTTTPSPLVGRLMEPLAMTVTMDAEKGLQLTQLIQPDVTI PTHYDDYDEFASPLEDFRRTMEVAGLLDKVVFLNRRDQYCFRVRR PEX2_054120 MTSLPRKIWQHPAPESTQMGHFQRDLEKSTGRKFDSFHDMYLYS IKNRSVFWDFCWKYFQLIHEGSYTKVVDESARMDSVPEWFAGVRLNFAENLLFSRVAG DKTDKEDDKIAVSEVREGAAHEVVHLTWGELRRRTGALVQAMKAHGVVRGDRIALCAA NSIETLLVFLASTALGAIFSSSSTDMGTKGVLDRLLQIKPRWLFMDDLAVYSGKTIDL RSKIGEIVQGMESDPGFEGVIALPRFSSRPADISSIPKTKTLEEFLAKSGGNEKLEFV RVGFRDPYLVVYSSGTTGQPKCIVHSVGGVLLNSSKEGRLHGDLGPDCVTLQYTTTGW IMYMGAVQTLLFGVRVVLYDGSPFIPGVTALVDLAAQEKVTHLGISPRWLHELQQAKI KPREKVDLGSLRVVTSTGMVLRDELFEWFYDEGFPSHARLNNISGGTDIAGCFGTGNP LVPLYVGGCAGCSLGIPVEVYDSTIEGGDGIKGVPVEEGVPGELVATSAFPNMPTMLW GDQGGKKYHDAYFGRFDNVWTHGDFVSIHPITKQIVFHGRADGVLNPSGVRFGSAEIY RVLEGQFSKEIVDSICVGQRRPTDTDERVILFLLMRPGVAFTPELVSRVKSAIRTELS PRHVPMFTFETPEIPTTVNLKKVELPVKQIVSGKIIKPSGTLLNPKSLDFYYQFAKVE TLRESKL PEX2_054130 MKNLSLFSLAALAAIPNVSAHYFFPHFIANGNYTGFYEYVREDT QNFMPMKGQYSNNDFRCNTGSQDFASKTGVYKVKAGDEIGFGTDFNALIQHPGPLQVY MSKATGDVRDYDGSGDWFKIYELGPEKFSSDGITWGATDTANFTFTLPKEVPAGQYLV RIEHIGLHGAGEWGNAEFFFNCAQIEVESDSTATPGPVVQIPGVYDGNEPGILFYMYR PWIVNYTMPGPKPFPDQAFANVKASGVSVAPTVTPWTLPAVTRYPSSVSDLYATPPTS ATPIKTSSFGTPSVSLSSTPLPVTSEQSTSSSAKIDVVANPSAEVGSTLSADATSSIT SEALSVTSSDICGPPVTVTMHTTVTVPSAQLSCASFTVTTTTTTTMTILPADTLTP PEX2_054140 MAKKGGKKNKKGSKPAAVAAVDNVKDVVEPDHETVDETNQTEGQ TETVEQTEALETATQPTEAVAEPIKAPEATPATEAPATEIPAVAETKEVETKEAVKAE EALATESKGTVEEAVEKAQASKAGQLGELEGGAAAGTAILPETITKEPASLAATGIPE TLVERPKTAESTDVPAVVAPAPIAVPAETESTDIPTVVAPAPIAVPAETESTDIPTFV APAPIAAPAETESTEVPTVVAPAPIAAPVETDAAHPKRPYEKPIFNNEENLKPHKMPK TNEEALAPSVAEDKKTIETLAGAGPASTAAFTTPEPVPVQAEAPKLAETPQIAEEKVE TPVVAETPKVAEEKVAETPVVAEAPKTVEEKKVEAPKVAEDKIPVESALKTIPAQTSA GKSASSPIAVAAANAAILSSKGDKAAAPVVAKEAELKKPEAALKRGEEPRPKAEEPQP ETKAEPVKEEAGKAQQETQKTSEPLAEQAEKKKGGFMSWLKRKFK PEX2_054150 MRAVPGENASVHTTPGLGTDAVGVQARIAGFFRHLMDATAREIS QGGMEVRVPQKRLRSISGEDIGGKLVMASNIHVCARHYHLSYWLCYFAIKYIT PEX2_054160 MTFREKVRRVFRSSKNDGKPKVEYYRRHECPPSKFRGPFDRAHQ KSLAAWSFQGAMVERERSFEVSMSPCTTYEGSDGYSGPSDSDNSVSPDDVDPANSNPE VPAESSPRGPDSGSQSSTIVDPSSYNGSMMTLINEGSIYEIPEDSKDPKFFLKESIRY SSPLVRAISPAMTPCVMSARKDLPFAPEDLARALIAVQVCA PEX2_054170 MLRSLRRFLCFSSSKPTDSTTHAAENVEDPILLRPDGRTIAQAR VASPYLEGLGSVQARAPSVSPSSCDSSTQTESTNASDGETRATTVSTVIGNTSTPPES PAKPTSISRLNTITPYTPILQVDGNLRAPQVTFDGYGSYGGRFAPESIMGFLYELTSF FEATVSDPSFWEEYATFQRARVTPLHMAEVLTSLAGGATIWLKREDKNEYGSHKTRNI IGQLLLARRMGRSEIVTDCASAKHGNFTAAMCARLGLRCVVVIGADDASAQEQDVREM KLLGAKVLTARTPSGMGSLRAAITEALRYAVCNHESAYYLMGSPVGPSPLPTLARTFQ ALLGEEVAAQMHEVVGRQPDALVTAVGSGSGAIGLFRPFLHEPSIRLVGVEAAQAAAL TDGGLGVLQGARTLLLQNHDGQILDSHSISPDMNLSTVGPEVAHWKDSGRIEISTATD AVALDGFRTLQHHEGILSGLDSSHAVAKALDLARELGPGKNVVLMVTGRDNIGTPGLD V PEX2_054180 MPINQPSNQIKFTNVSVVRLKKGKKRFELACYKNKLLEYRSGAE KDLDNVLQVPTVFLSVSKAQTAPSAELTKAFGAGTPREEILQEILRKGEVQVGERERK DILERVEKEVLDIVSGRLVDPSTKRVYTSGMIHKALDQLTSASGQQQTQASGEVNDDG EEKSSQPKKPLWTGVSANRSAKSQALDAMKALIAWQPIPVMRARMRLRVTCPVSLLKQ SVKAAPGAASNKEKEAPSGGSKNNKKGNKGSKKSAKRDDSDVEAGQSDVEAAPKAPST VKDKIMAFIESVESQEVVGGDEWEVVGFAEPGAFKGLNELVSNETRGRGRVEVLDMSV THED PEX2_054190 MRVLSTTLLVGAASAATPSFQQVLGAHSEHAENVAQQGADAFKP LQHLQDQFKSLSSEARQLWEEVSNYFPESMESAPMLSLPKKHTRRPDSHWDYHVSGAK VQDIWVSGAEGTKEREVDGKLEDYALRAKKVDPSALGIDPGVKQYSGYLDDNENDKHL FYWFFESRNDPKNDPVVLWLNGGPGCSSLTGLFMELGPSSIGANIKPIYNDFSWNNNA SVIFLDQPINVGYSYSGSSVSDTVAAGKDVYALLTLFFKQFPEYATQDFHIAGESYAG HYIPVMASEILSHKKRNINLKSVLIGNGLTDGLTQYEYYRPMACGEGGYPAVLDESTC QSMDNSLSRCQSMIQSCYNSESPWVCVPASIYCNNAMLGPYQRTGQNVYDVRGKCEDE SNLCYKGLGYISEYLGQESVREAVGAEVDGYDSCNFDINRNFLFNGDWFKPYHRLVPG LLEQIPVLIYAGDADFICNWLGNKAWSEALEWPGQKQFASAELEDLKIVQNEHVGKKI GQIKSHGNFTFMRIFGGGHMVPMDQPESGLEFFNRWIGGEWF PEX2_054200 MSLVTGEKTNFQFILRLLNTNVDGKQKIMYALTQIKGVGRRYSN LVCKKADVDLTKRAGELTTEELERIVTILQTPTQYKIPSWFLNRQRDITDGKDTQVVS NGLDSKLREDLERLKKIRSHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKG PEX2_054210 MGSFSPLEIPPLSDFPLKAEELEHPPPPLPLYDFTRLPNPPNDW SHFNVKFETITLGHSRSLKLPQVQVLIKHYFWGIHYEELTQTPMTTRKKPSLKAQQML LFKLIYYMQERHRPKTWLEMLNVNRDTGPRLLRYDLNPRPKPFSRWYNSIPDVSTQIL NAYIRPHDAPPDMPDYLIQQYMYSVDSLHTPPPPPPPPAPTLAAQQEEILRLQLLEHM NPGVNFIPVFSLTDPPGKKIPGPAPGQKRGPDDKDPHRKRSRQISPGAPAYRGRPPSP TPPFQEPVFAADDITTFTWTPSLAPSYTVAPIGRHRLSPVGLKPSGPLDTRAITDAVE RAVMQIVYADLDRDPTIPSVEHPIRHRTAQSYKDILTLKLGSFPTTASVKLSPLTFQW DPNGAVFPVRGRGPIWDSMSCATDAVIVAGMLLDAGCTKIDRANNRAAEFKDIEKAFI EVTMASWETFDEKTSIFVRDEWLRMFIDGSPGLKMGQPIPPWAVWSVATRSFAQFRYF HVERVTPCKCKLGTPFYNSHQGSCILPGYQPGDEKGVDLQVLIERCFYPRKSFRCDKC GDPTGVTGERKIGQLPLRLVVTSDIKTRIRNHTENLKFNYIDYEDKQQVAHYRWLGGI YNNESHARLFWTDTQRGEKDDGNIMMYDSQVNSGVIIGGIPAFQREEKVPTEWVNHHA IPLLFYERIMNPTTDLLAKANNAMFELGNCLNENKNILEEHIPWKRSTPPLQWESWPR ILSHNGERFSSFNPGWATARPSPNPASAQPAVPPLPSIHIDPALLDPLVIDPSLLDPS LYSATTPPEFDLTSFFDETGLGDPSSSDQDMTDDSTKDHLFKSMMQSPRWLAPTPDMW PSGLPNEEGALDFPELPMSPISPQLGNRSGTGWSDISMPDAEESGAELRSRIFRSTHG NGLKRSAMTNYAISKQALNSREKQALRAETIRGFSARHRFSDIEEEEEVEREEEINRR NIEIQNKEDRERKKREERKNQEQLEKKKREELDQKRRDELEKGRQEERKKRKELEKKK EQEEQNERQVQEKDPKWTREEYYKQHRQKEEQTKQQEAKPKVSRRPGLRNSKKKNTDP TWRPGDSDGDEDEDEVDLG PEX2_054220 MSTHDYDAVRKDIAAILQKPGYDDGSAGPVFVRLAWHSSGTYDA ETDTGGSNGAGMRYEAEGGDPANAGLQHGRAFLEPIKEKHPWITYSDLWTLAGVVAIK ELGGPDIPWQGGRTDLIGETKLPPRGRLPDGAQGADHLRFIFNRMGFNDQEIVALTGG HNLGRCHGDRSGFEGPWVTNPTRFSNSFFKLLLQLDWKPRKMASGNTQFVYEDPDAEE DEEPLMMLPTDMALSTDPSFAPWTKRYAEDKELFFDHFSKVFAKLIELGIRRDAQGAV TNTDGTLGGYVSAPKKSNTATGPPRARL PEX2_054230 MAKNFNILVLPGDGIGPEVMAEAVKVLKVFETPQRKFELRQELI GGCSIDAHGKPVTNEVKQAALDSDAVLFAAVGGPKWDNQRRGLDGPEGGLLQLRKAMD IYANLRPCSSTSPSASIAKEFSPFKHDVIEGVDFVVVRENCGGAYFGRKVEEETYAMD EWGYSEAEIQRVTRLSAEVALRHNPPWPLISLDKANVLASSRLWRRVVDKTMAAEYPQ VKLVHQLADSASLILATNPRSLNGVILADNTFGDMISDQAGSIVGTLGVLPSASLNGL PGDKTLKTRPYGLYEPTHGSAPTIAGQNIANPVAMILCVALMFRYSLSMEDEARRVED AVRKVLDSGLRTPDLGETLNSSSILLSLAMSEIPDPPKTTGSIPRRSPQDEHPMRNRA VSMSSKKPTTSSTPAMAPDHSSQQPKPGSCALRLENLEQQTSAEKGELMVSIAADICA TFISIAKYSEDGTLQAQHTGVIDNVIQTIRGTDVKQRHLLDRQVRRLRKERNWVRKKY SRLVGQADALGRAYQTKMRKLRISLREAQAEVARLQNERDILRAWLKKNVIEEGALDG DVDGEFEVDEGEDVDGEYENVENGDGN PEX2_054240 MSRVEELPDDFDESLNLNKAPPEVAQGLPQPGFDAFAPTNEIPF PINEEALKARQTDPNAPELPPAIAAVQSHTSEELMAMMNKTPLFMTDIENAGDEKGEN VLLDALQALQNEGTRGEVANTFKGQGNEAVQELKWIDAKEFYTKAITVINAKEDKWEK PEDPEEEAALLRKLEEASHINRALCNLELGNYRSCTLDCAAALKFNPKNVKAFYRSSL ALFKLDKVAEAEDAVARGLAIDPESKALQESAKKIAERKALLERAAARKKAEEEKLRK QNMVLSTALRARQIRTRKTDQPPDMEDAKIRLVPDPLSPESTIEFPAVFLYPMEAQSD FIKSFSEMNAIEDHLSYIFPLPWDTKKEYTLEGVECFMQTVSGGLIKAGKKLPLLQIL SGGKVEVVDELVSIHVVPLSKTGKYIAEMKARKTG PEX2_054250 MVHFSTIALALASVLPQLTQGAGLNTAAVAKGKLYFGSATDNPE LTDAPYLAQLSNTDDFGQITPGNAQKWDATEPSQNTFSYTKGDVVADLAAKNGQKLRC HTLVWYSQLPSWVSSGSWTNATLLAAMKNHITNVVTHYKGKCYAWDVANEALNEDGTY RNNVFYQHIGEAYLPIAFATAAAADPDAKLYYNDYNIESPGSKSSGAQRIVKLVQQYG AKIDGVGLQAHFIVGSTPSQSAQSTNLAAFTALGVDVAYTELDIRMTLPSTAALLTRQ STDYQNTVAACVANAKCVGVTIWDYTDKYSWVPSTFSGQGDACPWDANLVKKPAYAGI LAALGGTASTTSASTTTFVTTTTTASSGGATGVPLYGQCGGSGWTGSTTCASGTCKYS NEWYSQCL PEX2_054260 MWPSSESWPLQPSSQSKKNFGGRNLNLTTQQRASISVKERGLLR LYYEKIFQNLQQTNCRIIAKAYIKLVEPRKQVNYPYNGRKIVEGRTQQLDPETTKPPW WPHGVSHREPDHLPKVERIRLLVYMLCEMRETHGITTARLKQCDQPIRRQILPVERLQ ILDEAYRVREEEEKFLDGISDGKSVFISRTNLPQMVEDTSSGQSSPAETISSQNIVES ERSDGISSIDITHPGDLPSASPYNHSNPYSPAMNLGPNTQYQSVGPSTNMPTSPLELR PKHESLSAGSHLIDQTRPVSMTHYPYPGVSGIPPAPMQFYGAPNLSQDAGVPITQTSR EGLSTETMMPYGHPYYFNY PEX2_054270 MDPNTGYPAGYPVPTQSPQNQQMPFYPNAVPPYPQSKTPSAQHF GAMPMQPGPGGAMMPSGFQQSSAAPMDNFSAPYTQTPIPTPMGQFVPPQGTSSANMPS QVAQTFSQNMASISANNLLGTQPKPPSQMNSPQTGASPAAPNQAQAQAQAQAQAQFAA REKARVTALLDINSALLQEVVNLQAAGKAGPASNPDTNSPASEQPDASKANQKPSPEY IECMRRLQANLAYLATIADRAKKTGGVVPQTPAIMTPPPNLPAVNELYARLNELFSRS AKASTPQRPSPPSMQGNGGPSPGAMTESII PEX2_054280 MDGKGDMGAKRKRSTAADTSDHPNKQLRPEPAILTPSDATPANG TVYEVEEDVDEAPSPVAIPATTDSPEWQATIERVVKCAVSIHFCQTCSFDTELSMSSQ ATGFVVDAERGYILTNRHVVGAGPFWGYCIFDNHEECDVQPVYRDPVHDFGILKFDPS KIRYMDVTELKLQPDGARVGTEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGYCDF NTNYIQAAAAASGGSSGSPVVNLAGHAVALQAGGRADGAATDYFLPLDRPLRALECIR QAQPVTRGTIQTQWVLRPFDECRRLGLTPEWEAAIRAAAPTETNMLAAEIILPEGPAD CKLLEGDVLIKVNGELLTQFVRLDDILDSSVGESVKLLVQRGGQDVEVECQVGNLHDI TPDRFVTVAGASFHDLSYQQSRLYAIATRGVYVCESAGSFKLENTAAGWIIDSIDKRP IRNLNEFVEVMKSIPDRTRVVISYRHIRDLHTKGTSIIYVDRHWHPKMRLAVRNDESG VWDFSDIADPIPAEPPVPREANFIQLDGISQPAAAEIVRSFVRVSCIMPLKLDGYPQA KKTGFGLVIDAEKGLVVVSRAIVPYDLCDINITVADSIIVSAKVVFLHPLQNYSIIQY DPSLVKAPVKSAQLSTNYIKQGQDTIFVGFNQNYRIVVAKTTVTDITTVSIPANAAAP RYRAINLDAVTVDTGLSAQCSNGVLVGEDGVVQALWLNYLGERSASSHKDVEYHLGLA TPYLLPVTSKIQQGEVPTLRILNMESYVVQMSQARIMGVSQEWINKVTDANPSRHQLF MVRKVDSPPAGVIPDPSTSFQEADIILTLDDQIITRVSELDVMYEKETLDALVIRNGE EVRIRVPTVPTRDIETDRALAFCGAVLQKPHHAVRQQISKVHSEIYVSARSRGSPAYH YGLAPTNFLTAVNSVSTPDLDSFIREVRKIPDNEYFRIRAVTFDNVPWVVTMKKNDHY FPMSEYVKDPTTESGWRTISYETQIGVAPDAVNLNPDAMDEAAEGGASDIEPDMQ PEX2_054290 MAASARAQKPVGSAPWIAAEKENMAELVEQELEEVEYPVRHEMD WLNEHMTEIFSKGQANFADVFKTPGKMRGKTPRTARKRIPEESRVPLSEIFSSAQKQL ENQASPSPFIHRVVSKPAPAAASPMPRTKIATEEASQPEYPDLTQNMNSFPKYNTDSG YHGLPDDDEMVLPDVQQESQASTQPSTEPSTQPSTQPFEQDEPMNLDTQEVDISASQQ TNEESFHSAREEIRSRGVTVEPSKDPTPTQERTARPAASAPKDSETELMLATTKKIKS KLDVNISKKRQNEEPEPKESDLAVSNPEPSPEKAPVAPVSPVAAPVETQESRPEDEHM EDATKDDTILDNLDDIGSPSDGSTPERPFARKSSLSFATLPAREPLKTRTSHVDLAKL STAGRPSYFGRQTGGHRIPQTATEDNSNSRSLEIDTEKERGEEADPDQATRMHSKNST QSLHDRISMLGKLQPSRPRKSIPSASGLPAGQVSYPDLAASKAEAKSEASNESAHEAP GPEPMSVDDDWIKPLSSPQKSDLSRSKTTDVMEKLAEFEKARAVNRKDTLQTEPERPK SSTSIFSSPRPHSHQQSASFSHTAVDTSTTPTGSPRRFDGHLSASKLKLHSIMKSAKG LFSSTGSTPRMEHSPEQARIHPNADAFTKNAKHLSQPVPITSPQRPEGRRTRSSTEKE EKRRQHEREEQEREDQEEEERVERAREQEKQRALQLKVAQDNSSVEPEERAGSAVHKA SQSQRQQSREPESGQESSSRFAIPQPKPNDRRPLKPTREPMKRPTPQPMSIRVGSTMS RQQIPVPSSSGTQESVVSSAPASKPTLKKKGSNTSLHTASSASSFKSSVSSQTQAQRK AQVASDRKKEQEREARRKEEQKRELERKRAAQQQQQQEEARRQELRSRAEAERERQAE RERQAERERQAERERQAERERRERSAQEDPNKAARMEAIKKRQAENARKHGRQGSQQI VSEGPIPQHEQSYSQSSQRSDLGASRPASRLGSSIQPFGGRSINPPAPNPAKPPKRAN DEANHRPAPSKPSNVQSTGEFKRRKTEDEHNPMPPVRTMAQPIRQSNIRKPSTLGRGQ SSMAPQSASSSYRNAQPQRPAHPIVTYTNGKIPFAEPNHAPPPPSTHKAAPNSAQRPP AKPSPKYPSGENIHLPEINTDSEDDDDSDSEMFPVPKWAQAKELEGLLRQQDGMEVDS IFGPIAPFSLEDTFKADKKVKKYRDRTSSANWSGPDGLTQEEIRKDVAERQRLRLNGG WSFNS PEX2_054300 MAEPNLSPAYFTEYNEQTQSNLFSLLPPEIRYEIFAYALTSAPD TTQPPEQDGYCTRPGYETHHRTYTELLRTCKKVYMEAWFMPLICSEHAFWMAFGDRTP KRMITVDKMQQGLDLIHDRHGEVQGGRIRVFPQLWKLESTTNFDRIFTMHYFYPKSVT ITIRYTDTWMWEINEELHIEGAWGKWLVLPSSVTRFCIDIESIERRKDEVNYIAGEMA EQWRFQRADSTNMLAAKADTTVSRWTGSSMLGGRRWVRDEVAPGQLQYYFATVMWRPS QEPLGDQQRLNPPLRVNWSRPLPRDLGLSYIKESYLQEAGVSMTVPAEQVVAECVANG YESYASDEEPDYDGWEYGFGPGSDDDSDEDSDEGSEESEEY PEX2_054310 MVLAQPPNQHVMKAFDLTGKVAVVTGGGRGIGLEVSRALAEAGA NVALIYHTSNTADTIAAEIASTNNVRAAAYKANVAIQSEIEAVVQQIAKDFGQLDIMV VNSGIASNTAAEDYTTDQWSEIMKVNLDGAFYSAQAAGRIFKVQGRGNVIFTASVSAT LVNLPQKQAAYNASKAGVVQLAKCLSVEWIDYCRVNCISPGYIATEILDTFPKEWRDK WFDLIPAKRMAESYELKGAYVFCASDASSYMTGANLIIDGGYTLP PEX2_054320 MARTKSPSKAGKSPSKPKEPKESDVKRQLLFLWACHKLSDVQVD IPAVAKHFGIKNNAARMRFERLKKSLDKMEASAKHDDDHKPQSKEQTDEANTEDEGDA MSD PEX2_054330 MEGSEPACPGVSHALNHDSMVTVALSDNQSSSEHTQPDWRTLDI PPTPVEMSHPEKESEGSFEPVPLQDAARTTPTPETPRPDRGRQTGEMFDNEGDWENLD KTEEQEPRGEGSDESTALLLARLEQENNALATNPKSGIPEVPNGKIHQRQSRSESLHH IKRLIRDPARAELRYSQLPPPPMTELEFWAALVADYHQTAQRLPTLTSNKIQQGVPPP LRGVVWPSLAGARDPHLLNEFQRLSGESSPYDGLIGKDIGRSFPNVEMFRDPNGEGQQ MLGRVLRCFSLYDTQIGYCQGLGFVVGPLLMHMTDAEAFCVLVRLMDHYNLRTCYLPD LSGLHLHVYQFQNLLARHRPVLFQHLEALHVEPVYVSQWFLSFFAVACPLPMLLRIYD VIFLEGACETLMRVALSLMQRNEKRILACSEFEDVMQLLLSRSLWDTYAFNADDLVND FVSLTSLVTNESLQALEASYNQSKGSPSGPSFPQMQATASGFLGRLWAGSSNSHNSVK SLNPNSSPSHQNSTIRRSTSKQSLTSTLNSVETTSDASTAATELSAAAASADSQKSRV KSNMSSHHKDRDLHTQIEELLMALSDLQRQQASLTRELQQEREEREEDHALAKEMLNQ IRELPTETQPAELVIKAQTRFESVNPKRVSITQTKLQLNDDIARWKEMHEVEAGRCLN LTRRIDDFEQENSSLKEQLREARGRIQDGYRERQRLERMNRELRTLKTPISEIPLDTT ASFTADSGEGQSPTSGLREFKLARTNSTKSPTYNRRTSSLGLQSVLSTESDKPAAEEA LLMELVTAKTAEAVARQELEEVKGKLESFRKMIKSSQAAAANLENRHSFVGLSQSRIS LANKSPIEAPKTLGTPPSNTGGFFSGWGRRTATEYPTEESVDPFPSDYAGQPRISSQV INDPYPHYDSVEWISTSKGPYQPCIGPQGRLLSRKDEDMMMSGYRWNTSDFPTPIFGS YESWNLNKSLCADRYSRYGAYGYLQGNETTRVHNWNGTFGSNEAAEIDWEKVDWANLQ YECLQRNSVRYRTQPSEGKITALYKSMDLNVPSREPLNKTDKAQPRTAVILRSWIGMK YTENDLYHIRSMMMELSLYSGAEYELILLIDCQGEKLPKETDYAAWESFKAKHLPQEL RSLAVWFNADMLKDWYPEIDVHVAILQYFQPTQIFSRLHPQYDYVWQFEMDSRYTGHM YDLLHKATEFAKQQPRKYLWERNSHFYIPTVHGTWEEFMKKVDQEMIGHDNSSVWGPR PAEGIDIEGQAILPPVPQQEDEPGTWGVGEEADLITWLPHFNPVGTDWPFRDRVFNFP QDQETPRWAAVVAMSRISARLLGALHKDKVKSGVGLASEMSPLSWALYYGLKAVQIPQ PVYHNAKWDPEELNRRANPGEPGKVNAGLNSIWSWGQHDDIIYNTTFMFNSEFSEKLY RAFLGYDGAREWEKQNPRLCLPPIFLHPVKNLEPVKTKKI PEX2_054340 MDDSMMEDSVFDDDGGSSDFAPEPAPKPKAKAAPKKPAAAKPAA KKTTQTTLKVKPAAKAAATKKKAKADSEDEVTDIKMSDDDSLLSHTPPKAKKTAAPKR AGSKPLADLENESHGGDAATDSTSKTGNASDKYQKLTQLEHIIKRPDTYIGSTERTTQ QMWVYNSGTESMEYRDVSFVPGLYKIFDEIVVNAADNKHNDSNMNEMRITIDREAGEI SVWNNGRGIPIEIHSKEKIYVPELIFGHLLTSSNYDDSQQKVTGGRNGFGAKLCNVFS TEFTLETQDSRQKKKYKQIWTENMTKMGKAKITDAKGDDYTKVTFKPDFAKFGMDGID DDFEALVKRRVYDLAGTANVGVKLNGTRVPVRNFRKYMEMYTKAIRKERGDDGPPSKD EIITCQPDPRWEIGFAVSDGSFQQVSFVNSIATTSGGTHVNYIADQICTRLADQVKKK NKSGATLKSAQIRNHIFIFVKALIVNPAFTSQTKEQLTTKASQFGSKCPLDEDFYKKV LKTEVMSNILHFAEQKADQILKKGDTGRRTRMNNPKLVDANKAGTREGHHCTLILTEG ESAKGLAMAGRAVVGPDLFGVFPLRGKLLNVRDASFDQISKNVEIQSIKNFIGLQHKK EYTETKGLRYGHLMIMTDQDHDGSHIKGLLINFLQAQFPSLLKIPEFLIEFITPIIKV WKGDPKNPTKQKSFFTMPEHEEWREAHKHERGWDHKYYKGLGTSTTEDAQVYFRDLDR HLKEFHTMQDGEAGLIELAFSKKKADERKEWLRQYKPGTYLDHSVAKITYTDFINKEL ILFSMADNQRSIPSVVDGLKPGQRKVLHTCFRRNLKKDMKVVELAGHVSGMTAYHHGD VSLQQTIVGLAQTFVGSNNINCLEPSGNFGSRLQGGNDCASARYIYTRLSPFARKIFH TADEPLLTYNEDDGKKIEPEVFMPVVPMILINGADGIGTGWSSSIPNYNPEDIVNNLK RLMAGEPTEPMQPWFRGFTGEVVAVGGDRYKFSGIIRECGDKEVEITELPIRTWTQDF KDKLEEIIKAEKVPSFIKDYRDYNTHTKVHFIIQLDEKHMKVALTEGLEEKFKLTKSI ATTNLVAFDPEGRITKYATVDDILKEFYVVRLKYYERRKQFQLSDLQRELEKLSNQAR FVQMIIDGKLVISKKKKPVLITELKEKGFKPIAKVAEAAKMGEDEPVVEEGEEEPDDN DAAVLSSSFDYLLGMPMWSLTQERVEKLRRQIGEKETEVDVLIKLSKEDIWTHDLEEF INEWRFQLEDEDRRARKVASLGRRNSTKLATGGGRAAASRKRKAANGDDPDDEDFGAP KTKKAAAAKKKEQPKNSLMNFLSQPPSKPTPSAAADGTVDSDDEFNMDMEILPKKSRA TSKPKTLPKQEDDFIDIDDIPQTSRASAQPADDTMDVDMDEVPKPKPAAKAAAKPAAK RGPKPKAKVEEDSDDDFLEIAKSEASKPAVQSSRARKPVKYSAPSDSDSDNGDNLLGD VSMMVKGIGGDSAADSRQLFSERPRSESSASVKTTAKSSKANDDFDPDETDYSKLIPQ NSPRRSIQVKPKDVKLDDNDEDDEEDQPVKPTARAKAAPKAKAAPKTAPKVVDVDDED DEDDEPIKPIARGKAAPKAKAAPKAAAAATATSAPKARGRPKKEAAPKPAPKSALPTL SPAAKAYASKQAKASKKIADDYSEDDIDAMANDILDSPAGKVNVDISDDDEPAPAPRR AAASRPARRGATQQKKSYVIEDDSDEEASADDFDEDEDESD PEX2_054350 MAKDAPVFRRGKIQGECRYPPCEERDAELEQAHRELSLRPMGNI ADYPRHIPYASDKKTFQEKTGRDSFHVFQYTFQIPGEEKEWHVMWDYNIGITTPAKML NQNPGLRDICHSITGGALSAQGYWMPYEAARAMAATFCWRIRYALTPLFGTEFPAMCI PPTDRKTHGRMVIPPEIVQRATNTSNYYRSLEMKSSTADSPPVINTPSLTHTLLDRTN LLNRQDSSLTLPPLKIPRHQYAESNPSARDSSMEPYYMSPKSQSPMSTTFTPINPPRS SNAMPRSRAESPRTILRAISDAMRPDNVPSGISEDSDTESDGSSNMYSTPNCPSVDGH MMETDKLGDLDEPTTTSDVAAMQSEYDDLTDSDDDWQMDDANDEDYRGPPLKRTLGGE ASFGIDGSINPESQTKKYPSRKSRAARPEPSPHFTREVKAAEALLRLHMNELESTGTD TEMDDDDLNSTSGSRSLDGDGSRSRKRRRASL PEX2_054360 MHGASYPHEPARLDAKSKFQLAKRLTFITKTSRPRPVEHRILTG PEPLPT PEX2_054370 MLTSFLIGPIVDNVKSEASRTGDELRDLKNSRVTPSTTTADGQP LTHYHSLMYSLLSWEQPRATAVSYASVICFIFAARYLPLLRWAFKFLYMSLGVTATVE VAGHVILKRGIASGFRPRRYYTVPKETVEAVLEDFEQLVDFFLIEFQRILFAENVLHT MLAFAAAFTGYWLIRFVPFWGLAVIAVTTTYFAPLVYISNRELIDEQIVNAQEIINAQ TNQLRDMAGERTSHATGLMKQYVGEYSSKAQGYIGSRRSTSPETTKLVSPIKRETVAE SAKVEPIADFTAPVVEPVVKHEDFPEAPTAAPIAQALESDIVASVEPAEQAGDREPLL AI PEX2_054380 MKFTSASIVQFLCLFTTMVEALTRYEATPPSDAIVLIDRQALND IAKDHPYGSLFPENGGYYLKDKDDGVVGIASDELCTELDAAFASAEAKYAQEEAADSP APTSSAMDAAKRGTALACYPNYLHNFCSHPRCFNMATCLT PEX2_054390 MGLLGGIVTALYASNVIATKDSSENFDVLKYVDPLIGTANGGHV FAGATLPFGMAKAVADTTGENQAGFAYDTKVVTGFSHMHDSGTGGSPSMGNFPIFAQG NCPHDDINQCNWQQSDRAAVWDRKSPEARPGYFSISLANGVQAEMTTTNRSALYRFTF NKTSGQSLSPVVLLDLMDLPQSRTSGSADVDQSTGRITGSGTFSPSFGIGSYKSYVCV DFKGAELRETGTWEKNQANINRRTLTLGADASSTLSAGTFARFHAPKDNSILARVGVS FISVHQACSNAEREQPDFDFKGTVSAAESAWRKKLDVISVDADGVSSDLQTVFWSGAY RTFISPQDYTGENPLWESDEPYYDSYYCIWDSFRSIHPLLTLVDPFSQSLMMRSLIDT YRHEGYLPDCRMSLCKGFTQGGSNADVIMADAYLKKVPGIDWDTAYEAVVKDAEVEPK NWDVEGRGGLRSWQSLGYIPFDDHDPDGEGTHTRSISRTVEYAYNDFCIAEMAQGMGH QSDYEKYTKRSGNWVHMFKPDQKSSINGVDTNFTGFLQPRYTNGTWGYQDPIFCSPLL NFTSCYLNPDGHETYEGSAWMYTFYAPHDMGALIKTLGGAESFTSRLSYLHDSGLLYV GDEQAFLPVYQFHYSGRPGLSAKQSHFYIPSQFNTTVNGIAGNDDSGAMGSFVVLSMM GLWPVPGQDVYLITPPYFKEVSIRNALTGKVATIRNTNFDPSYKSIYIQSAKWNDKPW TKNWITHDFFTKGGVLELVLGSKESEWGTHIQDLPPSLNEYH PEX2_054400 MLRKPSILVDSQYDSSSPLIRSIEDHDQIRWGSISSTQPVLSGP EQIRVYHEWIDAGKPHNIVCWECRLPDSLIGCQTCCRSYHTACLLDVVRSANNFHCPS CRARAWDQAPPQFPVPSPAPSTGGTTPSSHSDLSSGNIPIRCDRSPTASRRKSSAAPR MMNPPTLNRIGEMNSRGTPAEVFPVAEMYPQLLEYLARPDAETDHHAQSSQFKHQLRM AINEIESNRASIRDKANIREDYSRLQRENAQIKAYLDSRHSPRDSAIPSPSAVSRNIS RPASEQRGKAWDSLALDMF PEX2_054410 MGETRLNSRDDPMIVEMPGPSSRPEVALGSSQQTTTHAPESPAI SIPNSVSGIEEGSGSTRTSIYKSNSPATATGGFPTFDDTYNPIPHKHPDYQRVISESS SHRSEKDEFQDIFSELMTGTEHEIAFLTRHYSEIIGPWLDLSDAQKFFTVHAPIRAIN NLSVKYAIAALAAKHLARLKGVKPSTGGMFTSPATTETYPNSTQVDWFLKAANYYYLA ASDLNNITSDGYTTVSSSAILESPFEIVGRWISSGQTQANMKPGSEDPNDVAVIRKTE EMLATATLLTMYRLLDMPGDEWHMQLARIRPLFDSILSLHSAASALFSHGIQAAFWNF ARQDYLGSYFTRSPTHFDPENLPLWRAAGISINDQKDFHLVRNGSTLSQEDQAANGLI WLDTKVINFLARSKQLQLAQWTGSPPGASPEIQGTTPHTAQLPYPDTDTWLKLSFEFQ TWFENVPETFRSSVRIERPKDISKTTEGSHLPFPEIFHSLTTCAAAMQHYHFGRIALL LNRPADVISAPSTAFDRLQGYREVTKEVEFRSREVSGIALGRPQGGVRIFMVPLLVAV GQCLENTEEHQIIIDLLRGVEADLGWTTEFAIRKLQDCWNQ PEX2_054420 MSHHEDPFSNQDQADGDVEKQDDTDGEIRSPGGTPRPSRRTKEG ADGAVDGHAREELKEWECYDKLGYSYPWWKKWGILSVIFAVQTSMNFNASFYASSITL YSTHFSISEQAARVGQMGFLIAYAFGCEFWAPFSEEFGRWPIMQLSLFFVNIWQIPCA LAPNFGTIVICRILGGLSSAGGSVTLGMVADMWEPEDQQYAVAFIVLSSVAGSVIAPV VGGFVATFLDWHWNFWLQLILGGFVQILHFFIPETRCSILITREARRRRKNGDMVWSG DELKKTRMSFRWVFMVWIRPFVMFVREPIVLCLSLLSGFSDSLIFTFLQSYTPVYKQW HFTTITTGLSFLPEKDPDALQPEARLYWLLYVAPLLTIGLFGFAWTSLGPPHVHWIAP MIFSALIAIANYAIYMATIDYMIAAYGPYAASATGGNGFARDFLAGIAAMYSVPMYTH MGTTYHLEWPSTFLGFMAILFTIPIYIFYWKGPRIRELSPFAQTLASDRKKAGRRVSS CGSGDPDPVERYLSERSSEETRTRSG PEX2_054430 MNALVQSALTDVESKLNALLTSLTTSPTAAGAPAAAVALLDADD ALTSAIETLRQHQQNHAKILRLRNETQQLEDRVKGIVGDIESFEKEIRTACGDNGDSD SDFDSDSDDDLGGKKSLLRGIKEIDYRLLLDFARRISKYNHEAAADAATGVVKRQEQG NTLADKDVTMTGTNGEQTTDGAEPVASVTKNATQWLDESANVTRQVYMMPYPMEERIR MGLMGQIQLAAGDDPEKEVERLLREAEGLGAAEPPALVEPAESRKQAGEAAKAAVQAG SSAAGMPRAHAPVPAPKPKPKAMLDLDLYDPDEDDD PEX2_054440 MVIVLLLTLASACLASILPVVDLGYELHQAISFNSSHGLYNFTN IRYAAPPIGDLRFQAPILPKQNREHIQNGSVGRICPQAAPLWSTNVQQAFLLSYFYNQ SFSVSTNISSYGYKPAEQDPRTTEDCLFLDVIVPKKIFERTKGKDAASRKNLAPVLVW IYGGGYVGGDKGSSDPTGLIQRSRLGNNDGIVYVALNYRLGAFGWLGGDSIAANGTAN AALHDQRFALDWIYKNIHLFGGDATRVTVMGESAGAGSILHQITAYGGTRGASPFKQA ILQSPGWVPVIGEEQQEDTLQQFLGILNVSTIEQARKLSSDKLIAANAYQVATKSQWG QFTYGPVVDGSFVPALPGQLLLRGDFDHNLNIMVGHNANEGLVFTSPDSVNSTGLAIQ MKTLSPNTPTNVSDFVLDVLYPPVYNGSYGYTDSVARTALAISDLIFQCNTDYINRAF YNETYAYEFSIPPALHGQDVSYTFYNNGSSSSLSGVANVTVALAMQDYFTSFVQHGEP KSHLAPVFRKHGQYAQLMNIGNHTIQPTQDPTNNARCRFWQTAPYYKPT PEX2_054450 MKLLCAILCLGSTLASSIHDSHQLRLPFVPSSDNIEDGVRSRLS IDLSIQNGSLYANEYQLYPPSATMQLNAPLYEVTDRINPSDKTAELSYILETQPLPTN EIGSMADMIRVRVELFDLQGNLVSPDAVIVDLHAYQNGNYGMTRIRVEPARGSDQDGN FYQKSQAWVVNYWRTQFGSVFEKPRSKSRTTEPTHDSAPVPKSPHNGAIKVINSGTTT ESKSRFFSFWATPAHLHHHAEHRRPHHSHHHKNSFMRIIRPIILPALLGTVAGLMACL VGFFVGSLLISLAVRLGWQKVLGDRSRIISVEEGMVSEKVSMMPHVYVTDTSESNV PEX2_054460 MVLRSTNSPLTSEFDALVQQQMDKWKVPGLSMAVVHGSSTWSKA YGFAKFPDRKMTTDSLFSTCSTTKAFTAAAMSLAINDSKNTKSPLRWDTPIASILRDD FVLENDYSTMHTTIEDALSHRSGLSTHDACLNLAHPQRSLREAVRKLRYLPIAYAPRT TFSYNNNMYMVVSHALEQIEGRTLGETLKKRIWNPLGMKDTYFSVTDVSRDPSLRPRL VQGYTWDQDTNTYIAEPYINDVALTGAGAMVSNVLEYTKWLRAMIYQKGPISPQSRAE LLKPRTVITNWDELVGPPPVPCHLYALGWFVDSYDGEPFYWHSGSWPGFGIMIGFLPS KGFGFAMMGNTTNARNAQVEIYMHLLDQIFGGSGIPCSGAPATKAENRKIGAKSECME EAIKRLYPSLPDPVISHSLPLNQYAGKYEHLAYGSITLSLKDGCLVADLLDRVVPSLI ALAHVSGEFFVAHHYQPRKIGSLSDYYSIEFIVGSNGIATAMGMDVEPALEGEKMWFD RKY PEX2_054470 MKSFSPVALALMAAFGMAAADPSASDCATMCLSNMSAQASELGC KAGDLACLCKSNNYKYGIRDCVKEACPSDDAEKVLAMAVAKCPGGVAGSDASSSSASG SDSSSTSGSSSGAGGDSTATGSDASVTGTGASGSKTATGSDASATGTGASGSQTATGS DASATGTNASGSGASGSATNTGSVSTVTSTDASGSMVTKTSTGASSTSTGSGSGSESG SSSSSDSGSSASSSAAGSASSTTSDGAAASMATAGTGAMGVLGMLAILAL PEX2_054480 MSASTSPTQQLKEEIKVVETKAVNQTVVQLRSLAAGAAGGLCAV VVGHPFDLVKVRLQTAEKGIYSGAMDVVRRTVAREGLARGLYAGVSAPLVGVTPMFAV SFWGYDVGKTLVNKFSTVPVKNDTPQYSIAQISSAGFFSAIPMTLITAPFERVKVLLQ IQGQKTLAPGEKPKYSGGMDVVRQLYKEGGVRSVFRGSAMTLARDGPGSAAYFAAYEY IKRTLTPKDAEGNVTGELSLSAVVCAGGAAGIAMWIPVFPIDTVKSRLQSASGKPTMG GVIRSVYASGGFKAFFPGFGPALARAVPANAATFLGVELAHKGMKKLFD PEX2_054490 MSVTNVLMRRGTELAVTHMQSGKPEQQPNGGLVALFAITAILIG LAFWAVEYTYGMVISTLAAVEDTNPDIYVRIVPNPDTIKPTDEEDPELVAATPPKPIT SKLRTTVKHLRSRAGFWSRFRGMGMFVAYTAARGLLSSFIPVSSTSYLGQFIVQSILS VLLATWQMAWVHIVISEPSPKRFYQRIPSYKTWIKIAPAAALQDVLTAAAFFIPMAVA NFAGWLDVAGDQEVPPLVALYRFMGVSVIPAILAFLISMPARVIFVRVAASMLPEEDE TIVPFDRSFGGKVSPAITGGSGKIGLMDAWTTFDWAARVRFAKVIGKTFAMEVALGIF ATLVLGGQVFWIFKTAKPVDSDAPGMRISWE PEX2_054500 MATSPAGRMLSRQLQQMQSDKDIPGISCGLVDNNVFEWEVMLMI SDDVKLYGGGFFRARLSFPTEYPHRPPKMKFESPIFHPNIYENGDVCISILHPPEEDK YGYESAAERWSPVQTPETILLSVISMLSSPNDESPANVEAARLWREDSAEFKKRVRRC VRLTLDD PEX2_054510 MASYATCSEVGPLCPVELTTYGYYPSFGGNIFFAVFFGLLGICQ TGLGVYYRTWTFLTALLIGTFMEMAGYIGRVLMHDNPWSGPAFKLQIVCLVLAPTFVA AGVYLTLKHIILSLGPEHSILKPRLFTWIFIGCDIGSLLLQAAGGGVAAAAGNDDFAM LKAGDNIIIAGIAFQVATMVVCGFFAVIFFWRIFKHGDGFSGEKNLDVSPIVPKWMPF VVGAEVFAYITVLIRCIYRIPEMAGGWGNPLMQKENEFLVLDGMMIALACLAFTIFHP GIFLPSLRFAPKNHT PEX2_054520 MNGILALGALHIAATIEPPASLVYIDIALQYHNLTFAPYRAAVD SINPLNCEAALAQSIITTVIGIALPRVTAARGESSSLTENIVVVFELLQGVKKIHRIG ESWSKLELFSRRRNFWDTGPVSLDSDTRAALERLDTLNDKTLGSVDLGQHQVNKDAIV YLRHFSIKVANFVDAANVLAWLAMIDKDFVDNVRRRQPLALLILMHWGVLLGELDGQH WWARDSGRALVSELLDALYPRDMEWANAVAWPQKKMGL PEX2_054530 MSLASTAKTSYADEVVGGGYGKIFEGHTIFLTGGTGCLGGCLLY KLALQLPTRKIFVLVRGDSQRAIGKLRESMPNHVQAILATKKVEFVIGDMKMVNFGIE TDVLGQLQDQVTLAIHAAAKIKLEGPIRDALESNCLPALEMARIASEFRRLRLLIQIS TSYVNSHLPDGPVLERIYQLGGEEDPEEELASILTLGKSPHVGKFSSTYTQAKHLMER LLLNRFPLLPILLLRPTIFGPAFRHPYPLYGSEDSTPLTKFTRLWFSDRGSTQVWHAT EGYQTGTNILDEIPVDLVANSCLLHAAARTTGIVQVGSQLYHPITFDEVFRLGLENAT PAAQREFPKIIFTEDRSTPQCFLAELIQVGTRNWLFDCGRSYWLKQVSGPLSMQVCKH EADALNLIRTHDVLGAVKEKARI PEX2_054540 MSTGTPSLSVDLTPFSNGHNHNHGHSRSHGHSRSNRWAQPPPPL SQPASASLPEHPEPINAVNSSYSYGHTHQASSLGHHTHSHSHSSAHHNHNHSVSSLHH DVVDDHHLKDSNNAYGMLSTDTNMHEDYKSEKTHEILTSTLIVLPWVVLSWYQRQCAQ RQELSGEALPGTTASISIGQVGQKTCLLTATTLIIFGCGQILRINHQMAGSSTLSSFK LSKLNATSVQASLSQIVSVALPIFAALKVGGFLVAFSLLLATASGVPAVINGASRTQE KYSRKTLSIGLLAVVITSSFLGLNHPWDASPVIGYTALLGSVFISPPPFPSLRRNGPI PEPGLVAAQSKASRPSRSSVVVTVDAPLAVIAGSFLALLTILFSRGFVFGLSELMYLL IPTSIFAISLMISLPPSLRSPQKTGLAICAGAAAFLCSPHVQDDLLMVYATRGILAMA SFFASRRDDIHLHLDAHAHNHTHNHSHSHTTFESSRVSKWLLHKSEPYPLLHSILKEK DSRSIFYFMCLNFTFMLVQLSYGFLTGSLGLLSDSIHMFFDCLALVVGLCAAVMSKWP PNARFPYGYGKVDTLSGFANGIFLMIISVEIIYEAVERLSSGSEMHRLGELLIVSIAG LAVNLVGIFSFEHGHAHHGHDHGHDHSHGNENMHGIFLHILADTLGSVAVVISTILVH YSGWAGYDPLASCFIAILIFASAVPLVSSTAKTLLLTLPADTEYNLRETLAGVSTLRG VERCLPAIAILMIIPAMEIVGITMTIPTATANTIMVMIMSNTTATTTTTITPMAMAMT TVTNIRMRKNPRTLSV PEX2_054550 MASVLKKDFNLTAPVLPLHDRPASHFHPILDPNARTDFLTTLPE TQDFYSALKTGSLRVLVSSTSWTADEDFSVLIDALLRYSELATTVQPHLPEVLAIITG KGPQKEMYMEQIAALEKSGKLQKVTIRTAWLSVPEYARLLASASLGVSLHTSSSGVDL PMKVVDMFGAGLPVVGWDRFEAWPELVTEGINGLGFGSSEELAEHLVDLFGENNRLDS LRLGAQKESSRRWDDEWNPIAGSLIGLT PEX2_054560 MLNPVALSLSPSCHDAISWSPDGELAIAAGEYFQILTPKNSRDE DSAPNSTQDWLMTRVRANLFTNSEWPNYLPGNRDDFSVAADLSESNVVGLAWSPAGLG KYRRSVLAVLTSNLVLSLWEPIGLKKQWTRVAVANHVFWSQLQPSQDPNSHAFRKVNI RSFTWCESLKPSTPTAGSSFLHSHESRWGIPLLTVVNDFNEVMVVQVRRSDPTNSPCN PYDLRILALHSLTNPETKDSPFCSGSLFEKAVKERQRTTALCCGPWQTSSATSPGNFG CAVAMIAAVCGTQLRLIKLEVTLGSSLGETSQQYTLATDLIEHPLDQLNEKWAYHNIT GPLRWLHVRSFTTIALAVGAMAGLITITMPYTMYTGSVTNSNAFEFRDYPIYEPETED SKGKQARHLEPIFAMLTSINEQSDTCKLHLGTLGGIGLVTELHQLQSDSALQQPKWKR MIEEFQDDYDLEHDLGGMSVSRIWGLTAYRNITAAIFTSHPTDMIEYRITSDDRSMIV FSEEGEPTTNTQALFAAHIPDGQASNHNQIREVIRFVLPGEDGNIEPDTESQRLIYAV ACRAIVGEEDKSLRLHTRRSLERLAVVTGADLSDEISKCNSNPTPIFARNMDQVAGPG GHIYEKCEVCDAAIGWPSAQLAQCANGHLWERCGLSFLAIQEPGISKYCFVCRKEALD EERVACMRGGKQGRTFDALFETFDICLGCAAKFQASY PEX2_054570 MISLGVSARFPKRAFPAFCAQRQFSSARPTCKEIQEAYILSASR TPTAKFNGSFASVSAPELGAVAIKSALAKSKVPVEKITDVYMGNVLQGSVGQAPARQA SIFAGLPSTVEATTINKVCASGLKAVALAAQNIQLGLAEAQVAGGMENMTRVPYYMAR ASQLPPFGEIKLEDGLIKDGLWDVYNKFHMGICAEHTAKNYDISREDQDLYAIQSYER AQQAWKENKFADEIAAVTVKGKKGDTLIERDEGFENLRADKLKSLKPAFLRDGTGTVT AGNASTMNDGASALILGSKDIAREYGVGSRVLARIVSTADAAIDPVDFPVAPAKAVPI ALERAGITKDQVAVWEFNEAFAAVIKANEKILGLQNAKVNMLGGAISLGHALGSSGSR ILVTLLHQLQPGEYGVAAICNGGGAATAMVVQRLDRVD PEX2_054580 MSTSARRRLMRDFKRMQTDPPAGVSASPVADNVMTWNAVIIGPA DTPFEDGTFRLVMNFEEQYPNKPPGVKFISQMFHPNVYGTGELCLDILQNRWSPTYDV AAILTSIQSLLNDPNTSSPANVEASNLYKDNRKEYTKRVRETVEKSWEDN PEX2_054590 MASAGSNTIKVVARFRPQNKVELASGGKPIVEFENEESCSINSR EGTGAFTFDRVFPMDTAQSDIFDFSIRPTVDDILNGYNGTVFAYGQTGAGKSYTMMGS DIDDDIGKGIIPRMIEQIFASILTSPSNIEYTVRVSYMEIYMERIRDLLVPQNDNLPV HEEKARGVYVKGLLEVYVSSVQEVYEVMRRGGAARAVAATNMNQESSRSHSIFVITVT QKNVETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDG KSTHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETISTLRFGVRAKAIKNK AKVNAELSSSEMKQLLRKAQSQMTNFESYISALEGEVSMWRSGDSVPKDQWTPSRGNE IVSAAKTEARVPRPGTPSRLQETARSETPRPDSRVGDRSSTPSLVLEKDEREEFLRRE NEFQDQLSEKESHIVNVERSLREARDELRSMKENGARTGKDNERLGTEVNELRMQLEK VSYESKEAAITMDGLREANSELTSELDEVKQQLLDVRMRAKETTAALDEKEKKKTEKM AKMMAGFDLGGDVFSDNERKLQDLIQRVDALHRVSSEGEVIAPDDILDLRTNLLEAQG FIRQAELTVNDRSDFGELQDSRRADLEKRLDDLQREYEGLLTRNLGEGDVEEIRGRLE QVYVTKKETEIAAAEDLRSDISRKDEELTKLRQSLVDTQARTSTNGAASKTLQQQITE FDAMKKSLMRDLQNRCERVVELEISLDDAREQYNNVLRSSNNRAQQKKMAFLERNLEQ LTHVQRQLVEQNSSLKKEVAIAERKLIARNERIASLEALLQESQEKLTQANHRFEAQL TAVKERLEAAKQGSTRGLPSMDGNASFSFGGSRIAKPLRGGGDGPGNANVAGVQSQEA TGKRSSWFFDRR PEX2_054600 MRFIDRASFLSSALLAIPSLTTAFYLPGVAPTSYDEGQAVPLYV NHLTPSLSRDDQLHSVFSYDYYHPAFGFCRPADGPKDVRESLGSILFGDRIRTSPFEL HMAKNETCKSICAEAKFDARSAKWTNRRIAQGYNINWIVDGLPAAQLNYDGVTKTKFY NPGFSLGELDDNGQALLNNHYDIVIDYHKVGFGGKDKYRVVGVLVQPESRKDSRNLEG GTAECGTQGNGLTLNEDGDTTVTWTYSVYWKESPTAWATRWDKYLHVYDPKIHWFSLI NSAVFVVFLVGMVSMILVRALKKDIARYNRLDSFNLEDLDSTSAAIEDGVQEDSGWKL VHGDVFRCPESPLLLSVMVGNGAQLFMMTGVTVAFALLGLLSPSNRGFLATAILLISA LFGGIGGYVSARVYKTFGGDAWRRNIIMTPLFIPGIIFGTFFTLNLFVWAKGSSGAVP FGTMLALVLIWFVISVPLSVAGSWLGFKQSPLEGPTKTNQIPRQVPPMAGSLRTIPSI LLTGILPFGAIFVELYFIMTSLWTNKIYYMFGFLFLCYGLMIITSAATTVLLVYFLLC AENYRWHWRAFIGAGMTGGYVFVNALIFWATRVSFGGLTGAVLYVGYSALIAFIVFIL TGSIGFFASWAFIHRIYGGVVLIMGKSQSKLSPTQLDELQRATHFDKKELQQWYKGFL KDCPSGTLTKEEFQKIYRQFFPFGDPSSFANYVFRVFDSDNSGMIDFKEFICALSVTS RGKMEDKLDWAFQLYDIDGDGKITYDEMLAIVEAIYKMVGSMVKLPEDEDTPEKRVRK IFRMMDKDENGSLDIEEFKEGSKRDETIVSALSLYDGLV PEX2_054610 MTPAQASARPQSLSTLLETLNTCLSTTASSLPKPHKDAPSDVTI EPPQDGISLLDTKSEILLSYLQNLVFLIIFQLRNASAKQIPKEKSQSTGNSLEDDIRK KLIELRVFLERGVRPLEGRLKYQVDKVIKAAEDAERTEKVQVTKTKKSRKAATSDSEA SSDEDEDASGSEDEEDIDEMAYRPNVSAFSKGVADQKKTQPSKGAVEGPGDGIYRPPR IMPTSLPTTERRERQDRRPIRSNVIDEFVSAEMSSAPMAEPSIGSTIIDGGRTVKSKK DREREAERTTYEETNFVRLPKETKKELAKRGRGKDTTYGGDEWKGLNEGADNIERLTR RTKSNGAALEKSRKRVRNEDGQRGDGVGMGQIFDKRRKKVDSWKR PEX2_054620 MENPVADIPTVIQRLTQSPPSLQETVLKRYFTNNASFVHPFCRV PNFEGSRWWVSKIFQWYKIMSPRIELDIHSIAFDEDKLKLYVNMSQIFSIWLVPFHVA PVTLTTVLDLTYDSVKSDAATNGDHKLYYISKQEDLYQTSEFVKFIMPHIGYWIVFGW HLIATIFCILGVTLLWPILWLEEEGYIPGRFLRGGNLAYNMEKKIPEIKGQ PEX2_054630 MGSESFISALSAFIRHPFVETFTWLYMAMQAVLVYIFSPIPPPP TAQNANLPRKRVAVIGAGLTGVSSAAHCVGNGFDVQLFESRPKEQGLGGIWSRVNSTS ALQVHSVMYRFHPSVRFDSAYPTQQQIKEQIVDVWKRYDLERRTAFETKVTSVKKTKN GQWIINNDEEKYGRFDGILATVGVCGDPKMPPLPNQEKFKGQIYHSSELDGKNAKGKK VLIVGGGASAIEALEFAVKNEAAEIDVLSRSDKWIIPRNILVQSLLACNIFGQETSFS WIPEWFLRKFFYRDLQDIAPTDKGIFTGTPMANDELFNQIREGKAHWLRGDIVSVEEK GVVFNYRSKGVPKGGPGHERLVEGDIIVMATGFKRPSLTFLPDECFDEPYGPPSWYLQ VFPPKYPDICANNSTYVDAIGTVGNMHIGIYTRFLLMFLTDPLARPTEGWMKTWIDFT RFMKRLAPTAAFDFFTYAELIYWYFFVLVVNPFRWKWALFVFFGIGRGLPLKFVEQEN SFRRELKKQRKSKKAH PEX2_054640 MGQTPVLDQFLGSLQELVQKRDGAKVQDFLQLEPPLSDIYQRMI SELRQNYAPGSKTDTELLQRCEPLVPRSKGGSWTAFPTFVKLYLIFLRDMNTDNLLET YNQLKALLNQCVLALGDSQMGVVVLPTVLYLSKVLAKLAMGLDRRPDLIAHIRRMEGL ADQDESIEKVTLVEKSANVVREAFIKCLTDRTGTPGPTGKPEGKRIGIYLMANLCLKL LFQCGKLRNAEQMFASISAQSPPLAYFPASQRVTYLYYLGRYLFANNLFFPARIALQA AYDQCHRQAISQRHLILSYLIPCNIILGRFPSQALLQRSEAQGLAAHFQPLCRLIVRG DYLAFRQHLFFGSPTAQWFARKGILFTLRNRCEILVWRSFARKVFMYGGSYGGPQAQA QKGPPPVLNLKKLVVATRWLQAQHASSGNGPSRALVAPNLYGSQVVSEPTDLDYAHLQ GADGRASPATDQEILGKYGDFLAPDGFYTEEGRSQPNLPGQLVDGDPEENYGDYELDP YAYDHDDRSEAEISMMQEIESIFASLITQGLMGGYLLHREPRFAVPGAKIKGILPTGF PNVWQTISARESHDSSVPGWVQPRAPTLAGAAGGAVQEISARVADFHSRQQPFRIYHG ATNSTRPSNRSLSNTVSTEPLTRVLEIDISRCTAIVEPNVSMSALVAATQAHGLVPLV VVEFPNISVGGGFSGTSGESSSFREGFFDHTVNWIEMVLADGQVVKAYNDRVEASSEL ADKHSDLFWGTASSFGTLGVVTLLEIRLKKSQPLVELKYYVNSNMNDAVRTFKEASAD PSTEYLDGIVYARDKIVVCAGRQIEQNSNLKLRHFTRRQDDWFYLHVERIAQSKISNI SDRESSLHMPDAVDHIPLADYLFRYDRGGFWVARYAFHYFCVPFTFLTRWFLNRFMHT QVMYHALHVSGLARRYIIQDVGVPMSTAAEFLEWLDRPENFGQYPLWLCPLPPGSAGG LEGESVDDAKGVNMEAHRTQLLNFGIWGPAATTDQAGFVAQNRQLEHKVHSLGGKKWL YAHTYYTEDEFWTIYNKPGYDSLRTKYHAQHLPSLYEKVRVRESDLPGPHRGDFENGW KGSVKRALWDVWPFCGLYGVYKAWRGGDYLLQKEISKRKVD PEX2_054650 MSNIETDGLSVTYSTGRTGSPDLRLIHYNDVYHVESGSAEPVGG VSRFQSLVNHYRYHPQFAGQPDILTFFSGDAFNPSIESTVTKGRHMVPFLNTVGTDVA CVGNHDLDFGVVQFRHLASQCHFPWLLANVLDPALGEDTPIADCGKSRMLTSSNGLKI GVLGLGEREWLGTINSLPPDLIYKSASQTAQVLAKQLRDEGADLIIAVTHQREPNDYK LANNLSPDLVDIILGGHDHFYAHAVVNGIHVMRSGTDFKQLSYIEAFRKPDGLPGWDF NIVRRDVVRSIPEDPDTVAMVARLTSSLKAKLDKPIGFTVSPLDGRFSTVRQRESNLG NFVCDLMRFYYGADCAMMAGGTIRGDQIYPPGILKLKDLLNCFPFEDPVVLLRVRGHA LLAALENGVSQLPALEGRFSQVSNINYGFKLDAPSGSRITFARVGGEPIDLQRDYLLA TRGYMARGKDGFTSLLIQAEGGDVEELVSEENGVLISTILRQYFLSLKVLGKWNRWSA SLGRHWGTVHRNLHGEGWLKPASPKSEKKAGERLNTQPTARRTARSAYYYGRFPEEVE QGTEAITENEINSNAMDSDSDEDPDILTSSRPTTNYVTQPAKSSAEEEYRLQIARRVV RKWMRHAGLQSRTLNTMDGEGEFTPAWTSGISPRLEGRIVVE PEX2_054660 MKKSPPIVFIARHGARLDAADKDWHLTSPNPFDPPLSYGGWIQS RALGVRIGSLLKARQFTGEDPENQRSHHPTSDKQAKPAPAPSDLSHRYNVIIHTSPYL RCLQTAIGVSAGIHQQASGTEASEATSSAPTLPTVSSPPDQRCLLRVDAFLGEWLSPD YYDQITPPPGSDRMVASAKGELLRRAEVIPTTDGLTRALSGHFPGGWRSQSHPTSPDS EDHRLHSAKSRRQRASTHDTLQNPIHLKHAKKSLSRLETDLPPTPDTSYIPPTPTYAV STSDPIPTGYVAHARNACTRIDYQWDSMRTPYWGTGGEFGEEWSTMHERVHDGFQHMI NWYREQDLSDHGSTADSNEVNDSNAQTVLVIITHGADCNALISSLNGHSVLLDINTAS LTMAVRRNRVNKPVHDMDHTPKSPGPENQSVSREYSLQLVASTDHLRPGMNPSQLTSL SSPPVPVLSPPPVPSYRNRLGARPSLLHETLTNGPPSTSPRAWTLAMRPSTGSINSPG ASGLWNSISSPTDKADAEDNLVPNFGDRPVSQDSALSDKSDPSTDLSWTKQLPQRTLS QRGLWGSAQPLGDREGGTKRRWTVAEQKL PEX2_054670 MEPPKSLFQETVFVTVVCMAQFMTQAGLSISIAPVHIIGDSFGW LVIPYSYQPKFDDKLRFWQRLDLLGATAGISGLVLVNFSWNQAALVGWKNPSTYVLLI IGVVCLGAFASIERKAPCPLLPRSVFTSELAWVLGCIATGWSSFGIIIYYFYQFMEVI KGDSPLLATAKWSAAAASGAVAALVTGSLRSRLPPSVIMFCAMTFFTAGISIFATVPV DQTYWAQAFVVSLITSWGMDMSFPSGTLILSNSMPHHHQGLAASLVVTTVNYSISLGL GFAGTVETHVNEDGHNVLQGYRGALYMGIGLASLGLVLSICFMFTSWRQHGNSQKSHG PEX2_054680 MANNSIPHSSLCVTTTDFEKVAKDVLPEKSWVYASSSAATGLSM RTNLDDWSLINFRPRILRSVDSIDTRRNILGHTSQFPFFVSAMGTLGSSHPGAEPFIH EAARGDHGCSSGRATRKGMQTMISTASTKPLEEIMDAHLDEQRLLGNQSPSNLSFQLY VPVDRTRAKSLIQRVKAAGYQSLWVTVDTSTLGKRTADRYLQAQENLDAGLDENARDI HGENDFAPAFGGRQVPGSVDGGLTWEDLNWISSEWDGPLVLKGIQSVEDVKLAVQHGV QGILLSNHGGRQIHSAPSSLMTLLEIRTYYPEAFDKLQVFVDGGLRDGADVLKALCLG ATAVGVGRPYYYALAAYGAEGVERCTDILAEELEITMKMLGVSSLDQLGPDMVNTSRL ANEMWRPVFGKSKL PEX2_054690 MSDSGKITISIDRGGTFTDVHAIQPGRADIILKLLSVDPSHYQD APTEGIRQILELATGEPHPRGQPLKLDRIGCLRMGTTVATNALLERKGARSALFTTKG FRDLLKIGDQSRPAIFDLSMARPGVLPESVIEVNERVLSCHPSADSDCFSDCRMVEGI TGEKFRIVQELDLVQVKAELQRLKEQGILSISVALLHSYAYPEHERQIGEIAEEMGFS VTLSSKLQPMIKIVPRGMSAAADAYLTPVIKTYIDSISSSFEGGLESQQDCRFEFMQS DGGLVDFRKFSGLKAILSGPAAGVVGFAATSWDPNEKIPVIGFDMGGTSTDVSRFDGH LEHVFASKVAGVMIQSPQLDINTVAAGGGSILTWRNGLFYVGPESASAHPGPACYRKG GPLTVTDANLFLGRLLPEYFPKIFGPNENEALDTETTARLFNELTQKVNIERRQQGQS EFSPEEVALGFLNVADESMSRPIRNLTEARGFETASHHLACFGGAGGQHACSVAKTLG ISRIIIHKYSSVLSAYGLALAEVVKESQEPVSSTYLSSQSALLQHLDDLSASATKDME SQGFPSTQVRHEQYLNMRYEGSDTSLMILKPESSADFLEEFRIRHRREFNFNSDRPVL VDDVRVRTIASSKVRTEQSPLVQLKEANMRDVATAPNNTTSAYFGQLSRIDTPVYLLG ELEKNTRIHGPAVIIDQTQTIVVAPNAVANLLDTCIVIDLNEEPTSSGSALSSEIDPI RLTIFGHRFMSIAEQMGRTLQKTSVSTNIKERLDFSCALFSPDGGLVANAPHVPVHLG SMQFAVRYQHEKWLGNLKDGDVLVANHPSCGGTHLPDITVITPVFDRPGGSEIMFYVA SRGHHADIGGILPGSMPPKSTELWQEGAAIEGDKIVSDGILDEARLIELLVTKPSQYP GCAGARCISDNLSDLKAQIAANTRGISLIQTLFNEYGTQTVQKYMYAIQATAESAVRN LLRELYKKFNGQPLESVDYMDDGTPIRLKVTIDGTDGSAIFDFEGTGPEVYGSWNAPI AITHSAIIYCLRCMINADVPLNQGCLTPIDIRVPSSSILSPSKTAAVVGGNVVTSQRI TDVVFKAFQACAASQGCCNNLTFGTDAKLDPSTGAVIKPGFGYYETIAGGSGAGPTWK GESGIHVHMTNTRITDPEILEKRYPTMLRQFTLREGSGGKGKNPGGEGVIRDIEFLSP IQCSILSERRVHRPYGLEGGQDAQTGFNLWITRDPDTGNERRINIGGKNTVSMKTHDR VVINTPGGGGWGAV PEX2_054700 MLTLSAATIVNCNRKLLHHEGSHPTPSSEPSISNRYSDEGPPER DDWWYKGTDNLFLNRSGEHHFVGASSTTHLAKRLNPASTNLAWDVRPLYDDPSSLRRP VGGSLPQLPPFEFAKRLFWVQYAYIGTIFSLIQPLEFEERLDLVYHQPLDFSHRESCL WIGEEGPPGFKYFKHALRFLPDIHEEGSILFVEVLCYVAYYMQNLNRRDAAFLYIGLA LRMAISLGLHQEVADPSISELDRNRRRRAWWSVYSLDRLLSVKSGNPITIHDEDIGIT WPTTVMVTSEIYRKKPGSGSNLVASVQSITNDLSGWLRQVPDRLRIDFTTLDTHINRE SVSINLHFYSCVNMTARPLVFYVIQRRLDAEAIGSATEDWKEGLAHNTVAVIDSCITA ARATTVIMDAAAKHNLIATYGYLDGEYIFSAALLLVMVNAAFPPNETSARAMETALNL LRGMADRGNTYLDSRHSLLLELRAAIGPRPAEEKDTEVTSSVAAGQKGPVTPMTENGV NPSEDVLDPSAELAASQVLTYNWPQQPDLPSFRDIAFRFDLNDDPALWEGALDQIDID MDTDWIENTLKR PEX2_054710 MLIHFVQQQAYGYEEKPENIDSQQSLLYRNQPSPFNASFDDPYA STDSLRRYTLQDPGVTIFPDGPYQESAGGHNRRSGIQSPMSETPSEAWQNRQAPGSGL RRYATRKIKLVQGSVLSVDYPVPSAIQNAIQKEYRESEEGFPEEFTHLRYTAATCDPD EFTLRNGYNLRPAMYNRHTELLIAITYYNEDKVLTARTLHGVMQNIRDIVNLKKSEFW NKGGPAWQKIVCTLVFDGIDPCDKNTLDLLATVGIYQDGIMKRSVDGRETVAHIFEYT TQLSVTSNQQLIRPQGNESSNLPPVQMIFCLKQKNSKKINSHRWLFNGFSRILNPEVV ILLDAGTKPGKKSLLALWESFYNDKNLGGSCGEIHAMLGKGWKNLMNPLVAAQNFEYK ISNILDKPLESSFGYVSVLPGAFSAYRYRAIMGRPLEQYFHGDHTLSKQLGKKGIEGM NIFKKNMFLAEDRILCFELVAKAGFKWHLTYVKASKGETDVPEGASEFISQRRRWLNG SFAASLYAMMHFGRIYKSGHNILRLFFLHIQMTYNFMGLVMTWFSLASFWLTTTVIMD LVGTPSDSNKNKGWPFGNEASPIVNNFLKYGYVFFLMLQFILALGNRPKGSRLAYTLS FIYFSILQTYVIILSFYLVKNAFTGGTLDFSLDEGVGHFLKSFFGSDGAGIVLIALVS TYGIYFLASFLYMDPWHMFTSSWAYFAGMTCSINILMVYAFCNWHDVSWGTKGSDKGD SLPVVETKKDDAKSNFIEEVDKPQADIDSQFELTVKRALEPYAEPEDNDEPSMDDGYK AFRTNLVLIWIFSNLILALCITSEGITRLCLTNTSTVRTSKYFAAILWITAGLSIFRF IGSLWFLGKSGILCCVSRR PEX2_054720 MSQYIPSIPTNCLSKKVVLITASTGGANGIGSSLVTQCLESGAN VCIGDLDNISGERLLKKCCDQFHPEEEDMAPRAIFQTTDVANYSSVLALFDLAFKTYK RIDHVVSAAGIVEIGNWFDTSLTLETVRQKHLTIRSYLQTPTHKVMDVNLLGSMYVTR IASVYLRHNRGSNCDRSILLFSCVSGFKDSPSLFIYQASKHGVTGLMRSLHTYISSPY KHYLRINTVCPWVTQTDSIKKVESQWKRAELPINTPEQVATVATGVLADQSLNGTSMF IEGGRAWEIEQNIDRLETKWLGEAPSKVLALGQGLLDDGSIWTAPERPRKMSSISVGV PPGVPLHKINGLTNGGKHAISDGVTHGMPDGLFDQRGN PEX2_054730 MEVSETTNSLQLPNSIPFWRLILNPGAITQEVADHPYAGSGTEQ DPYVVEWMPKDFRNPLQLKTSMKWFITVVAAIETLVVALVSSAYTGGIIQIQERFGAT TEVATLGVSLYVLGFALGPLIWAPMSEIFGRQLVFVTTYCGLTIFCAACTGAKNMATL LIFRFLSGAFGSSPLTNSGGVIADMFMARDRGLALSAFALAPFLGPVIGPIAGGFLGM SSGGWKWVMGLLGILCGVMWVLGAALKPETYAPVLLRQRANTLSKLTGRVYLSKLDID QGRLTLKAALKISLSRPMILLFQEPIVLLLSIYLAIIYGTLYMLFGAFPIVYQLHRGW NQGVGGLAFIGVMIGMIGAVAYSIPENKRYAKLLQKNGGYAPPEARLPPCMLASIALP IGLFWFAWTNSPSVHWLVSVAAGVPFGFGMLLVFLSVFNYLIDAYTIFAASVLAANTL LRSLFGFAFPLFTKYMYEDLGIHWASCVPAFLALACVPFPFLLYKYGLSIRKHCKYSA QSEAFVQNLLRGMQKAAEESTETKLEISPPTSANDAVASLPHSKETPADVQSIHRTLS RTSTNATHPIHRVPTYEANPYDVDRVHTRESFK PEX2_054740 MGSHTAVSPQPHVPSSGVWCPAVTFFNHDTDSLDLASQSKYFTY LSKTGLAGLVILGTNSEAFLLTREERSQLISTARAAVGPDFPLMAGVGAHSTKQTLEL AQDAAAAGANYLLVLPPAYFGKATNMNVVKRFFSEVAAKSPLPVVIYNFPGVCNGVDM DSETITAIARESASANASGRSNVVGVKLTCGSVGKITRLAAAFAPEDFATFGGQSDFL IGGLAAGSVGCIAAFANVFPKVAARIYALYEKGQIKEAVDLQRKAALAESAIKSGIAT TKHAVACYSAPLAGIPNAAGNLAPRHPYEEVGEGAKIAVREVMAEVAEIEKSL PEX2_054750 MASETITRNVGTQSWFDQARFIPPDAIFALTAQYIADKSPKKVN LGQGTYRDEHGNPWVLPSVRKSRKLLSQELNHEYLPIVGLADFRKEAAKLALGPELFQ KQQSKLATCQSLSGTGALHLAGLLLRACKTPLPKVYIPEPTWSNHHQVFSSLGFQCES FRYYNPETKNLDIDSYYSALKLAEPNSVFIIHACAHNPTGCDPSKEQWRELARLFKER ELFPLFDAAYLGFNSGNVDSDAFAIRLFIEEMNLEAGVCLSFAKNMGLYGERVGCFFL ATGTEEAAVKTQSMLEMLQRSEVSNPPAYGAKIASTILADATLREAWHDDLITMSSRI RSMRSELYDSLVSSGAPGTWEHLIRQSGMFGFLGLPPSVVRTLREQYHIYMADNSRIS IAGLNKQNVDYVGKSITDCLKQE PEX2_054760 MGLENISNDHSTDKVMGTEGEKAAYAPPPPFEGDMVGEMSEAER NIYDHGIKKFNRLGWKRLTIVLIVEAIALGSLSIPSTFATLGMVPGVICCVGIGLIAI YTSYIVGMVKLAFPEVANYADAGRLLGHRLGCGRFGYELVNGMLGLQLIFLTASHCLT GTIAFLDITKSGICSIIFAVVSAIILLLLAIPPSFTEVAILGYVDFASIIIAIGITII GTGVQATDSPGGLSGVAWSAWPKDNLTFTEGFIAVTNIVFAYSFAMCQFSFMDEMHTP RDFVKSIWTLGITEIIIYTVTGATIYAFVGQDVSSPALTSAGTTLSRVAYGVALPVIF ISGSINTVVFGRLVHGRIFANSPIRFINTKMGWLTWLAVITAATIAAFIIAEVIPFFN DLLSISSALFISGFTFYFPAMMWFLLLRKGSWKEPKNMMLAAVNALIFLIGMVVLVGG TYSSIDDIVIKYDKGEVRGVFSCAAPK PEX2_054770 MQIKNLAIAASVSAVVSAAPSTQSKTFGVVAIHSGSGVQYSAFN AAKSSIFAGLPSQGASCARPKEQQATFYINDGALYLYDQSATPQEIYVDRSGMGQGKI GYTTGAQPTPKNSERKGWAEKDGHLQFAGNDLIACPNSIDGSWSIWASAGVANPAGNT DCVGIAARVVETSNPNGCSYTQ PEX2_054780 MLNNFQAFAVALLAGFVTAIDLKANDEQSFKNVAATAALNTMSM YTSNITGHNQNPDLLRLDGGDLGH PEX2_054790 MRVPIAVQLALLVLLTAVSGVAVLAIATWFTTYNFVVDVESQGL ELVATTKASQIASNLDLLETTCKSIATRILIQSALKRYYAGNKSDENWTNPRADVQSA LGSRGYLDLYQAIIYSKNGKGGEQTLLNQTSDSVPDITLPYTYSNGTSVQLGNDGLGY PPSLYPNLTYVGGSGDNDSTIVYPFSDYTLELDSALLLGPLKVNNSFSLLSLTLPIVN NTSDTEILGFMTIVASAANLQGVVSSRDGLGNTGQVLLIGPSRKANTFAPSEQPATAT SAASAAALDGAQVHYIFEPTPLPTQASRHSGMSTDTPFALSTYPIALQVMLQPYIDVS KAISNLSTRNERRANVAVGAVRPQNTLVQWVLLIEETHSEAFAPVARLRKIILACVFG TAGAIILVVPLLTHWAVAPIRRLRAAARKSVEPKITPHNPAPEPQQIEFEADSEQGNT IGRAVEHMNEKAPPSMWVNRLRRPLMRFNSSASIGNPKHSRGFQIPARVKERRHCVTD ELTELTKTYNEMSDELTIQYNRLEERVAERTRELEKAKLAAETANESKTLFIANISHE LKTPLNGILGMCAVCMGEEDLSRIKKSLQVVYQSGDLLLHLLNDLLTFSKNQIDQAIQ IEEKEFKLSDVRSQLAIIFQNQVHEKQIDFSVNFVSGGVAEPKGVICRESELEQTHSG FGSTQPGRLADMVLWGDQHRILQVLINLVSNSLKFTPENGKVEVRIRLVNETSRLETH MSLNETARRSSQIRSQTDSSINSPIHGVVNVAEGQTKGQRVSQSNLRQLSFQFEVQDN GPGIPGHLHRRIFDPFVQGDLGLNRKYGGTGLGLSICAQLSRIMGGDILLDSEEGKGS LFTLRIPLGTPSVLSLEEFSNAARTPSNHGSVRSEPPAPGFEKSEIQPRLVGLSQPFF APTVPSPPASVPSNLPPNKSTSDKGDGLKRIRVLVAEDNTVNQEVVRRMLALEEVYDV IIVKDGQEAYDTVKSNMEKGDVFDLIFMDIQMPILDGLESTRLIRQMGYSAPIVALSA FAEESNIKDCMDCGMDMFISKPIRRPALKQVLSKFSTIIEETELGS PEX2_054800 MATMEKIFAAYQERQNVLAANTHPFAKGVAWVEGELTPLHEARI PILDQGFMHSDLTYDVPSVWDGRFFRLDDHITRLEASCTKLRMKLPLPRDQVKQILVD MVSKSGIRDAFVEIIVTRGLKGVRGSRPEDIVNRIYMFIQPYVWCMEPEVQPVGGSAI IARTVRRVPPGCIDPTVKNLQWGDLVRGLFEASDRGAEYPFLTDGDTNLTEGSGFNIV LVKDNVLYTPARGVLEGVTRKSVIDVARASGFEIKVELVPVQKVYDADEIFMCTTAGG IMPITSLDGKPVKDGKVGSVTKNIWDGYWAIHYDPTYSFEIAY PEX2_054810 MTKNIVVIGAGVAGLTTALLLSKKSGYNIVVAAKHMPGDYDIEY ASPWAGANYMPVSISGTDAAEWDKNTWGPHEDLARNQPGAGVHFQECEIHNRAKDVGS ATANWFKELLSSNPWFAKVVPNFRSLPKEALGPGIDSATVFTSVCINTAIYLPWLVSQ CLSNGVIFRRGVFDHILDATRSGIHPNGRVDLVVNCTGLMASRLGGVQDTSVVPARGQ IVIVRNDAGRMLDMSGTDDGEEEACYVMTRAAGGGTILGGSYQKGNWESQPDPNLAIR IMKRAVKMCPALTNGRGIEHLDIIRHGVGLRPVREGGNRIEKERIGGVIGGSQLWCWG CWVSILIWMCTGRGRPN PEX2_054820 MWSDNSSVAGASKGLPNGEIPTDSSPKPVPTAFAARSDSPRRFR FVKGAPRPKKRRRVNSPKEQVSRAVVTENSAVTPEVVLRTTTQNLEIFDDHQRDNSLA SLDLQEGYFFDDFSLFDSILPNIFASTPFLDIEPQETLASEVLPGLMETSRMTPLSPA DRPIEPVLQNLRTSSIAQNIDPLFREEAEQSVLGDLVPRTTQEPTIPYASARLQAVPM ISEISSSDHEKLLELLYPLRYRELVNSPHAGTLSAPNPWRPKRIDDTSHSVTSRNANL SPIMDQTYLDFLVRWEEQDEWSFFDLTGCPRELLVHLFELAELAKQCEIALFMKWLTF DMTPIKRIENELIGWKNDADSPLSGNNSKLTEEDAIKQLDEQQDRYHCAEAWRYALLL YLEYIFKCDRKRRSISVNRLVRKTIDHIRCCRRTSQTQKQLLIPVFLAGSETSDEDMR HFVKEYCTYWGEKSRYSMFNSVPVLFDEIWASGKWWGAVIDSKTRPSSGHGQGTTQLL FG PEX2_054830 MLSKLVHSARECSILSAISLCVILAAATPAASASITAKHTLTTN GAGFFPYYRIVALANLGNGILLASYDGRPDGGDSPSPNSIMQQRSTDGGETWGEPTYI AQGQPKTSALQQYGFSDPSYVVDSKTGKVFNFHVFSKNQGFANSAIGNDDTNLNILSA EVSVSTDGGISWTTDPDHQSSLPPVASANVGAPPLITKAIKPVGSTVNGVANVGGIAG LFASSGEGIQLKYGTHAGRLVQQFLGKVVQSDGSKVSQAYSVYSDDSGATWKMGKVVG TGMDENKVVELSNGNLMLNSRPSDGSGYRKVATSTDGGETWSTPTSETQLPDPGNNGA ITRMYPDAAQGSADAKILLFTNANSKTSRSNGTIRYSCDDGETWSSGAVFQSGSMAYS TVTALGDDRFGIFYEGDSNDLVYIEVSKEFIGVSC PEX2_054840 MASNSKTNKPFPPGIHGPSITFFQDDQQQEIDWATQERHLEYMI TSGMHGVVLAGSSGESAALSVEEKGLLVKKTREIADAHGKSDFTVTMGCLAGSTRDIL VQIEAACKNGADFALVLVPAVFHWAMTQKAITDFFEEVGDRAPIPIVIYNFPNLLSGL DVNSDMLEQLAAHPNISAVKLTCGGVGKATRVAAQFHPSQFTSVSGMSDWLVPAFTAG STGCISGVANIFPRVMVEIYDLFMAGKIAEATALQKKLVQPEWGIGTSDVNGMKWIIA RERGYPLSSAHCRRPFPKFADSGKQDRVVRLVAPLLPVEEELKSKKV PEX2_054850 MNADLDAIIANNDTDPSKSNVALEVNQLSPSPQLFDFESMTAPT QGFHMFQNVYFPDFGDFTALGNTSYEQARSSDAGSPSSSLPPRQSPQQSPVTNLDVES SLHLHLPPILDPVENGPRCASARELLESMATSSPMLRSSIAAFEAIQSGSAGGTADHQ QHYDNAATELSQKFEKSAGGITISNNELRYVLATIFFLTYINFLTARLDLAYLNLGKA HNALQASDRSGLGSTELRIISWIRLLDARAASAGGEGVLVNDTSGIYTSPQNSTSPSS TPQSIGSATNPGAHEVIYDLLCQPGIAFFQEVQTITGRITRIAHNHRSRGSVEDETEV MAIAADILADLSSLYDRRPPLTDHAVAGNIGSDTLAEPLASTIVRSFQTYLANFYACY IHLHRVAHRHLVRSKAAVTALCKIKEIVHYMVNNNESIPVNMLWPLFLWGTEEDDYTE FQWVLNTIRGLQHVFTNANMTADVLQETQRRQREGGKRVDIRSVCLELFYTTFAIV PEX2_054860 MAPLPEGVAKSLAETKVEYRRLGNSGLRVSVPIVGCMSIGNPEW ANWVIGPEKALPLLKAAYDRGVNTWDTANIYSNGDSERVIAQAIKKYEIPRHKLVLMT KAYSCVGEQQFHAYPIIEDLKRTKDYVNQFGLSRSAIFTALDDSLKRLETDYIDIFWI HRFDPDTPIEETMHALHDLVVAGKIRYLGASSMWAYQFAMMQAYAEKNALTKFIAMQN RYNLLYREEEREMIKYCNATGVAVVPWGPLAEGQLARPLNIRGTTTRSAGGDETPSSL RPESMEIINRVDELAKRKEWTMSQVTLAWQLKRVTSPIIGFSSIARIEDALSARGKEL TSEEEKYLEEVYTPVEVEGHF PEX2_054870 MDTHDIESVADSKSVNLKADSDRSGPGMVEEGVMVTLTNEENLN IRRKTDKTILTILTWVYFLQVLDKGVLGTASIFNLQEDTGLVGSQYSLVSSIAPIAQL AWQPFSAWLIVKVPPRILMPSMVLCWGIAAASTAACHSFAGLVTVRFFLGLFEAGCMP LFTILTGQWYRRVEQPLRVSIWNSMNGTATMVASALSYGLGHIPSDVLRPWQIIFLVV GLITIVSAPVIYWKLDNDITTARFLTEHERRQGVERLRANQTGSASYNFDWSQVLEVA MEPKSWLWVIMALLPNMGSAMTSTFGPLIVKGFGFDAYQTSLLNIPFGAMQTIVIVGS CWASYKLKLKSAVLIGFMLPVVTGIAMLYALPHQKSNQGPLLLAYYLCAFLFAANPLL LSWVVGNTAGAAKTSTTLALYQAGTSAGALSGPLLFTAEQAPTYLPGIRAVLGLFIAL VGCVILQVLNLAFLNKQHRKRRVSNGKTADIQDRSMTHGFETDGKVQGPVSTDAAPFV DLTDRKNDEFVYIY PEX2_054880 MPNLGLFPQETWLTSKYVICTRGQGPGGVPQISGVPRYLSNANP FQVSSTEKEYDHRLVRLVQGYSGPHAPPVVLEDITEVPVGHHEDGCYQDACSGSYALI PSWAEVTPTVEEGIEIQFHAQPYLLNVDYYCQTLISCLDISSRTGFAVVLRNSKLEFF IGTGDKIQVIQSQLLVNRWRWLQIRLSVVNSTFTSSIHQLNRLAETAPKGETMTTALL APMILGSNSLLFGAGMFKDIDQRSSKPSSFYNGRIDSPSFTMTSSSQRTVAKYDFSKD IPSDSIVDVSGNDRHGVLINAPTRGVKGFDWDGTQPDWTKASYGYGAIHFHEDDLDDA KWETNFSITIPSQARSGAYAVEVKCSDGTSDMITFFVRPNPLSTAEVALVLTTFTYLA YANERMFDETKSSAMTTPDGVSIGQANEYYKNLDRRPDLGLSAYDVHTDGSPCAYSSA RRPILNLRPGYVHWALDRPREFSADLLMVGYLERSGIPYDIITDHCLSSRGQEATSQY TTIITGCHPEYHSLDSLDAFSAFAKSGGNMMYLGGNGFYWVADVVNERPHRLEVRKGD QGCRSVTFPAGERMHSLTGTLGGLWRSRGRAPNYLWGIGPCAFGTGKGKPYIADLRHA QDAQFSWIFNGIDPTEPIGENGFGGGASGDEIDRLDYALGTPSNTVLLARSQQHDDSF GLFNEDSMFPMVNTLGSNCDMVRSDLVYYDTAGGGSVFAVGSINWYCSLAWDDYNNDV ATMTGNVLRSFVRRGKGGGIK PEX2_054890 MIALSGISLWDHVLAERFLGFAKQWSLMLIRSVVTFGSPYCIMR LIKCLEENNSPAHFASLWLIGITVFSVTETVLHYHLAWIQWSEMGIPIRAQLIMAIFS KSLRVKDSKDTGDKPEAINLISSDTLSFSKFTAVNYLLPFSCVKFLFAVLFLLRLLGW QSTVMAVLATIGTVPIHTRVLKQERAAKERVAAARDMKTKAITEALQAVRQIKFSALE RQWEERIELCRQEEIAQMRNCFIAINIRSVWKVASPFIVAAAAICSYAYTQNEVSSSI IFTMIDLLPHIQGTLGMVPMVLQDYFAAHSNARRIESFLKVPEMKGILQTSPTRCVSF QNAHIAWPSDQTQKPKEKQASLPQRFALHDLDVDFPVGELSVIHGETGSGKSLVLAAI LGEVDLLGGHIKVPSLKQTVGFVSQTPWLQNATVKSNILFGSALDETRYRKVLKACAL ETDLAALAKGDETYIGLHDDIFSSLDSHVSIEIFKALTGELGHGRTRILATHHVSLCL PRAKFVVHIKNNTMSSSPNVKMIEPRLESVKSEIPTQPHTSTREETKTRASENLKVKT TQSESEWESCKTYFSAAGGLSFVLIYILGLFGKELVTALTTWMLSRISSRPSKSGMYE PADVEVDDFLFETMSEFANCLIKLVIIGCIGIHKSILTTCLAAALLFWCAHVSKGYIR ARKPVKRGESEGNAETLELFTAVAGGVSTIRAFGVVDSFMDQMHSQVDKLSIARRHFW IFNRWLGLQMSLMGIVLSTGTGFILLSSNSVLDASLVGFSLTFSMGFSHATFTAVNNF GMLESYMNAAVGIISYSRLKAEWQGGDEVPATWPSQGQVEVKGLSVSYSPDLPLVLKD ISFSVGAGQRIGIVGRTGAGKSSLTLALLRLINPQCGSVHIDGVDISTIKLQSLRSKI AFIPQDPALFSGTIRSNLDYFQKVSKDKLNEVLRRVKLLSEDDNDKTGLFTLDSPISA GGANMSQGQRQLLCLARILITDPKIIILDEATSAVDNDTDSWIQDTIRNEFDCTLIVV AHRLRTIASFDRVVVIDDGRIGEIGTPSELLRANGLFYDLVQKSQDKEFVTRSLLNLV T PEX2_054900 MAPLIRAIGSLNADMVSVTPRFPDPGETITSSSYFTSAGGKGAN QAVACGRMSRAKPTGTTNSTSDVNVEMIGAVGALDTHFSALLQPTLERSGVDPSRVKV IENAYTGVAVIIVDSSAGGENRILFSPGANYDGMQLTPEVLGMALAAPVPDVIVMQGE IPVDTVIGILREIASYKAKARAAGKRGIECGPDVLLNPAPAPPGGLPQDVFAAVDHLI LNETEAELITPPAEQLLRTVPDAEALDDKEKVARYFHKLGVTYILITFGAKGAWYSAA DGGSSGTSDGGQRFTNDIPAAHVSRVLNTTGAGDTFVGAYAVRVARWREQRRAQGKAG QDLADNEKAYRYKTVMDEAMHLAARASARAVERQGAMDSIPFEDEI PEX2_054910 MEGEGNYFKACILRKARRVHVEANSKSGVYAIPSTIQLTFILRC LVSFCVGTMVICRSLRAFPYSVL PEX2_054920 MATLTMTPTRQPLGCLDTMPLMRSKLNRQNQQNGAMSMKSTPMK SSIFIDADSENINPASFSTKRKRTFEDDEEKSASKPIKISRIALSTRINISPRLSTPS KISTITTPKSAPVLKPAGRSPPPKLSKSATRRSLISKPRSEQYTKRGVARPFSLASVL AQSTTPKPAPAPKAPASWAFDIHVDTEQEEMTNLMQHSTTVLDISDDEGKLDTCTRGK ENIPPHELGIELPQARQSAATIPAASRKSPKMEEPRAPLGELNAAEYYAEDCNAFSYT IVYDEEHAPEFKKPSSPLSQSQEAVVTTSIASVLDAVTSPAAETQHEYHENSTESTDS AL PEX2_054930 MDDQRGSRTAPFYAVPPRRLVSVEHPAVVRNVDKAIETLQGDAG IKTILNPAQDGTLANLVLRPEDAMARSVQSTSMQSNNVLLKVTVPKRTGRKRKRGSNE PFADAPESEASEPPPRRTAKDLLRSMSDNPSKYQIEPVGRVERTHVFRGIPDFVYSTT ASSFTNRFRDQILPFDFDKMKEFDIDMAKGAAGNADLIPPPSFSHGDVPFHYIYRQNP TVKQAIGRSGKLETVNTQTANKVLTHLVPYDIPKVPSEPRADMLPISALDAGMLRTIA TLNALYEKQPAWTRRGLRNNLTTDEDRLNLRHAIPYVGYIFRSGPWRDAIIKLGVDPR TSPEYRHYQTFMFRLLAREAELARDGGGGRRHNVPRPSDQRTGEDENANGPSTGHIFT GKQPFAQDGRIWMIGEIQDAQLQADLYPPDAGPGFLRSECDIVTDGWFGNGTLAKAKI VMRHKIQALMEGREPVDEDYTKIMQLPAHARSEADFPLFTLDPDVATQKEISLATEVR AIIRGSPVWRNLSANAGLVKREVGEGRGKAKGKQALKGKNVEPEPEPEPEPEKGDEES EGEEEEIQRREMLAAQVADAAAARDADEAGDDDEEDGDGDGDGDDSDDMDEDEDE PEX2_054940 MSTKLSFGTNLGNFLFCSNREVAIIDLEEVEFPNTCGDWERSVN SGGVGYLMSRFRYMTNPGRSPSPIASGDTSKSTNLSDASRRRRA PEX2_054950 MAMAASTSITTTSLEPTSSYSYDSLFGTSTSSSSAASYTGSDEY PVFPNGAYFSRFYVVSSQESGLTDLDIDLYNECFLPYNPLSHHNFRRDDATTTMSPRP TYLIDEAPCKRQAAINSNCYFQNTNGTFSGLQTYSDDWDVQQHCYCDIYPFFDSAAGC QECFRKHGGIEGYHWFPESYVMGVSSAYCSANPQTTGFYPFANAWSRTSASSLPTSTA VDSLGTQTAASLYYTYAAENTAAGGSSKSEKNSATSSTRLSLKGAFVAVLPLVLILSQ PEX2_054960 MADFIMPRTACEDLGTLSSDKKKPPCGLRDEPAIYLTTLWCLQC FRYRVRNWDRGQPFDVVCTISTVSPQMCTSCKDAKDQCDWIPQGIRGHVFELMALIQF LEEYWGDNYDVYEGLRTVVCDLCAAFDHLVETHRKAHMLTGNVSEKAKAGYSAWCNAR EHTIRPSTQYTKAPHHKYAVRATEHLRLRMGEEASISWAAAICAFKTSVKEIIREFTR DLEPMLTSAYINCAMEQFPLEIPEL PEX2_054970 MKGFRQRVHDQLSRAKDNKSSKKKDSNSTSQNQSLGISNTQQST SPNQGTPTSSTTSLNDSRNKSPDNASPAGTPSAGAPHPGTPVQHYIPQPGAAGQPVSN GPATPNRQGQPAAPSVVISPSAPHVPPPGAAETMPGDLAPPRKSHVFDRLQTTPKDMS EGIRTPKRQHSSRFDISDQRQRELEKLPGFHEVPPNRRQDLFMQKIDQCNIIFDFNDP TADMKSKEIKRLALHELLDYVANNRSVITEPMYPRVVEMFAKNLFRPIPPPMTPQGEA FDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKGYIDHQFVLSLLNLFDSED PRERDFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFMYETERHNGIAELLEILGSIIN GFALPLKEEHKLFLTRVLLPMHKVKSLSMYHPQLAYCIVQFLEKDSSLTEDVVLGLLR FWPKTNSTKEVMYLNEVEDIFEVMDPGEFAKVQEPLFNQLAKSVASPHFQVAERALYF WNNEYFCNLVSDNVETILPLMFAPLYENSKGHWNRTIHSMVYNAMKMFMEINPQLFDE CSHDYTEHQNNADQREKSRTDRWDVIEQQAKDRKNGIPAPPPPVLDVPEPIDEVEAMT HESQKRLNSLKLQEDPDISKERPSREGTPNSIRAFLCGLLLFLFGPIALVLVFC PEX2_054980 MDSYHRRHTISLPLDKELEAEWTDNGEALLQPRPLKASWALLLA RLSETQTTTFAVLEELVASPRDACSRLVASPPHLETWEISDNPDSLLVDAAKETQREP LSGTHASTAVVIKWHDEPNGPMSLPCEFNTIIVLDCSISPVQVSMEYSRTSLTVNQAW SQLTAMVHILGQIVMRPGLSLRGVDFLGWYSSRLIRQWNNPYSLARPQVCIHTLVLEH CQSQPNAEALCAWDGSITYAELDQFSLAVALKLLSLGVHPESVVPLYFEKSRWTVVAM LGVLRAGGAFVLLDPSHPMARLAEICSEVQATVAIASESLQELGRHLGPGVITVLDAT KSQVDTGKSAFSISVKPSNAAYVAFTSGSTGKPKGIVIEHQCFVANTLAQSAVQNIDS QTRAFQFASYGFDSSILETLMTLVAGGCVCIPSEKQRLNGLADAIRGLRANWLELTPS VARFINPEEVPDVRSILLVGEPMSQDHITQWSESGQIQLLNAYGPAECSVVSTVQPHV QLEDPQNIGRSYSSHCWVANPQDHDQLEPLGAVGELLISGPIVARGYLNQPHQKSFIS NPRWAKRFGIPSGERVYKTGDLVRYNLDDGTLRYVGRKDREVKIHGQRVDLQEIEHHA SQFQNGILAVADVIQVNGNSAGKLLALFIAADNGGTRMTMESFVVPMNDTLLNLVADI KHWLSDCLPPYMIPTKYIFVNRLPLTRTGKLDRRALVDLGAASSHSPSGRYPSHQRDK EPVELDPELFIKESILCSVFAEVLGCLETDINEQDGFYDMGGNSLAAIELVACSRKRG LEITVADVIRLQNPRAIARCTVGSKEVREISPFSLLADTDQSLSAATAQCGIDSGMIE DVYPCTPLQEGLMHLSIKNPGAFMGTYQFSLAPSTNLHRIWAAWEQLWLVHPILRTRI IQLQDGQKLQVVTKHNTSCKDISAMYDGQPMNLGTPLARVTFHPAQTSSGLDSGTFLL TMHHALFDGWSYLQLLEDLQVIYSGERLPPRPAFNHVIDYISKLSIEEGHSFWSQEFN DFQATMFPVSPRRPSVEPHWQVRSQQIALTKSDTNWTLANKIKLAWALVISSQTHSND VVYGLTVSGRNAPVPEINRIAGPTFATFPFRTQFDDDVSVENMLIQMRQHDVSIMPFE HIGLERIAESSSDAALACGFQNLLTIRLQSLQITPGALVSLPENEDHDLKFASYPLSI VVQQEGESLEIKAVFNSYILGPGRAEALLEQFDTLLQRILREAGATMKDLRSQLSPEW QQLAAINRKSCPRLHCLHDIIQGFGVTQPNSEAVCAWDGSLTYEELIALARRLAGHLQ SFGSGSEPGAVIGICVERSKWFPVAILGVMMSGAAMVLLEPSFPTQRLQHILRDAGAR TMICSSVFQENCTGLVDDILVLTPDILTQADYDAWTSSKVSHQDPMYVAFTSGSTGTP KGVVIEHGMVCSMLEAHKDLIGASIASRGLLFASPAFDICLAEIVLLLGAGGCVCVPS ETQRMNSLAKTMTSMRVNIAMLTPSVARTLSPAAVPYLQTLILGGEPPSASDLATWAS RVRLHQSYGPAECAMYTTTTAPLTLTSDLGNVGSSPNASCWIVDPDNHDELQPVGSEG ELLIGGPIVGRGYINRAQESAAAFIRDPIWSANFPFLRGGRLYKTGDLAVLNADGSLT LVGRKDTQVKLNGQRIELHEIEHCAERYQHGTAVIAELIKPAGIQRPRLTMFVYDPAT VETTVGINSDYHDHREVFSPSRQNQAYLEGVKHHLNQHLPPYMIPSFFLSLSRLPLSP SGKADRKTLRQIASKMEKETLEMYLDIPVAEKREPVTEQERFVRASFATALSLNEEAI GMDDNFFALGGDSITAMRVLTLCRRSNMAISMQDFLSKNTVSLFCTHVIVVQGQTVDS KRQKLPSSQDLIRGGDHVVQSEDLDYQLDMVRAQLNLLKSDSIEDIYPCSDAHSGVLE LYTSNYTSTAIFEITPTGSVTTMQVSKAWSQLVHRHVALRTVLMKDPKVHADYLHVVL DKGPAQILVLPPSKNALLELKSLEPVQSWGLSAPHRLIISQDHSGVVFMRLEMGCALV DAFSMTVLLEELSLVLLGHPLPEKGVSYRQYLTHLRSQSSAETLQYWTQALYGVYPSH LPRLPATQSPLPEPRSKSRCLPSAQSKCLDSFWRSNHLTITNVFQLAWALTLTHYTNS HDVCFGTITSGRDTPHLEVWNIVGSFFNVLPCRIAIDPTRTVLDTLRQNQEDIQRRND HQYCSVPEIIRKSGIRGLDDDQQLFNTVLTVQNPFLTQSSPAKDGSNEIDIKLIDLED ATEYDLCVAILPSPSHFKVELRYWSTTVSEEYASDILDRLFNQLEQIVQHETKPLSFI TSIG PEX2_054990 MSSYVFLALQLAVVSLLVTLWRAFQPNTWSNRVVSYIINVGNTP RYINRTLLTVIFVSL PEX2_055000 MAGGASSSWRERMSLVSGQSNMAQCIASGILTRADEIKTVFKDS GNHYKASNLNGGWVMGDLVGDGVGLISQAHWKKVHAVVSPPFTQKPTTYVPFVQSRIS RHFSELYKEYEGGKTLRIKPAEDLKLLPFWVISDLLYGNLSPEMTEELLLITDLRTDV FQYAFKGGLSLFSISKIFYPAIRNKLHVFHTRWANFNRKAYQYAKNRDDSSACAIVTL YRAVEQGQITPTELMHTLDEALFANIDVTIGSFSWIPQFLAENAGLQSELRNEITQTR SDETPESWVKYIASNSTLLASCINESARLKPVTNYTYAQSMPTDRDVGGYRIPAGTFM VVDTNALNIWDDAWGSDKMTFRPQRFLEESRASFRYRFWRFGFGPRQCIAQALADTIL KVLVAYTVENYELKSSGKSAVNEEDAQKRGEAWFKVAEQEIILEAL PEX2_055010 MTVTHGVGPKGVAVPGSSKVQVIIIGLGIAGPVAAIECYYKGHV VVSLERSPRVTVLAKLCDVSLNGQGCLLAGAGHSIALGSNATKVVQG PEX2_055020 MDGYELGEGVNIHRGTLLHGLYEQARSLGVDLPFDSAITEYWED DDQTGVIIDGEQRSAADCVVGANGVHTEFRTCFSAILLAGDPESKWVLEEAGMQDRMR RKYQVVEDCKKRVEA PEX2_055030 MTVASTPQALNASVPAVAQEADMPHRTLSKSMTFANLDQHQYWH AVGPMLGRMLSNGNYSIHQQYEYLCLFAHVIIPKLGPFPGGRDIYKCLLGGTGSVELS QNVQKLGLTARVAFEPTSYIASTGVDPLNRHTVHATLAELRAIGSATVDMELHQMLVN ELTLTDCEEKLMSPEEISGTAWKTQILLALDLGQTGITVKEYFYPALKASVIGQSVAK LCFSAIRKVDKQGVFEPSSKVIEAYMQTQSQTDLYFLSCDLVDPAETRIKLYLMELDM RLEKVEEHWTMGGKLNDEETLLGLKMLRELWVDFGIIEGMRKEPERPSLPGDPDTIVP FIMNYEMNPGEALPKPKFYFPLVGISELKIANVLAAFFERYDMPEQAAVYHDNLQTYY PSKDLAVATDHQAWLSFSYGKKKGPYLTMYYH PEX2_055040 MVDYVPNKIVGSHFGDFRLWQTVALKNLTSSMKSTIAFSSSGEW LAIPDGKYATLWNTSNRTAIRTSRDQGENIQDENENIQVAAFSPNSIYLATGGTRLII WEVATGLNFSHKSFNVGQNVQYIAFSPDNTLVACSSASKVGVWNIQSGKKLFKFSHPN SRAVPLIFASVGTRLIYCPSYDGRMWTQDLTTGEFFISSASSMRLQLSSILGVVPNFS LDGRYLAASDGSRIFVLDTTKKTPEVAPPTVRTKLFGLIHRELRPCEVHVATEEYPNH WFNIELNCERIGNLAFSPNGKVLATCMHPKENVSIWKIVLWDIVESKNGTFKLENFRT IGEFHRDIRSDNQHMAFSPDGTFLACSSISNRMVRLWKADI PEX2_055050 MADPISILSVVDLCFKYGTRLVQLCTAAAHAKDDVKERSLRVET FWMRTEVQLNVVRGIASELSEKHRVNQHATIAMLATKLEIAINSVESTIKSHPVGNQK LQIHRWKYAFTKEKIDRAIEDLKDWQALFDPSWFLIMKLANHHQVDVGLEKSRKYAAI DFNDPIPPAQALRTALNPTVTNAASVCLRSEALISINPQDIPFCSAQVGQRPENGQSL ILERIEPLPGANVGDMKKDIRDLARRLNASDAIEFGLLSCKGYIQHKPDSSQSTPDAF TIIFRMPEQHLPHRSLRGCFQDMNHTHSLSDRFKLANELAKAVHSVHLFGFVHKNIRP ETILLLGGDESSIGSAFLIGFDSFRMASGRTLRKGEASWERCLYQHPDRIGTISPKDY IMQHDIYSLGVCLLELGLWESFVSYNELSSSNDNALSPTRASILGTGRGLYFQKHLLF LAKGELRKRMGTKYSDVVVTCLTCLDAENVDFGDEGDFEDVDGIEVGVRYIEKVCFFN PNVMPFKIDL PEX2_055060 MERNIDIYASKLGDEKLDVKVRANVATELRDSIEPLCSGASYPI FLSKLWPVFRTILKGDPVFFSMSYDQVRFFGTSLPSVNFAHHWLTSLVVHQKLRNCIL ETLHRLPMGSPDVEPYAVEMVDLLMELARIENEDNAVLCMKTIMDLERNQAKATAHRV QAFLELIQEMFQTMEQVVRDTFDTPNQATPSGMPSTPNATAQNFQSPRPSSPAASVSD LGPDQQSSNVLLKGMQSFKVLAECPIIVVSIFQTHRTSVPANVKLFVPLIKTILLLQA KPQEKAHSEAAAQGTIFTGVCKEIKNRAAFGEFITAQVKTMSFLAYLLRLYAHQLQDF LPTLPSVVVRLLQDCPREKSGARKELLVAIRHIINFNYRKIFLEKIDELLDERTLIGD GLTVYETMRPLAYSMLADLIHHVRDHLSRDQIRRTVEVYTKNLHDDFPGTSFQTMSAK LLLNMAENISKLEDKREARYFLIMILDAIGDKFASMNHQFKNAVKVSRAYKVFRQDTE PCAENYLAQKDQPPDWDEIDIFSASPIKTSSPRDRGGDPVSDNMFLFRNLINGLKNIF RQLKNCNPDDVQIDPNSVPINWSEVSYGYNAEEVSVIKKLFHEGARVFRYYGVDQSPP EMNYASPFDFLASQYTAPMSREEKELLESFGTVFHCIDTATFHEVFHSEIPYLHELMF EHGALLHLPQFFLASEATSPAFSGMVLQYLMERIDEVGTSDMTKAKILLRMFKLSFMA VTLFSVQNEQVLHPHVTKIVTKCIELSVTAEEPMNYFLLLRSLFRSIGGGRFELLYKE ILPLLEMLLETFNNLLLAARKPQERDLYVELTLTVPARLSHLLPHLSYLMRPIVVALR ADSDLVGQGLRTLELCVDNLTADYLDPIMGPIMDELMTALWDHLRPSPYNHFHAHTTM RILGKLGGRNRKFLNHPPELSFQQFSDDNPSFDIRLIGPNEKRPFPVDIGIDLAAGKL MEVPKTDSGKASDIYYKQQAYRMLSSHLKLQIGYDNIPEDLAILIRLHANDLFENKPG AMADILDKSERSASIPKKIAQEATVKKLIKACIFATTIPELEQTATAFVADVCKHFAL VEVGRALAQVRHGRKAFDVANGEGPVYLDSRILAEALVECLSSDEVHVREAAEQAMVV VKDAAGVIFGSPEKAAKLPFFVHLGRVFCHSCYSEEWFTKAGGSLGISLLSTKLDLGD TWLYERHVEFCRALMYVIKDTPADLPAATRLQSQETMTLMLRRCGKLIPKEDLKNEKS RLFALCGFFVFELAHMNKHVRETARRCFTTLKDVLGCEVHDLILPVRDRLLQSIFNKP LRALPFPTQIGFIDAITYCLGLHNDIVTFNEPLNRLMLESLALADADDESLASKPNEF KTADMIVNLRVACLRLLSMAMSFSEFANTPQNTSRARIISVFFKSLYSKSQEVIEAAN AGLRDVLTQTNKLPKDLLQNGLRPILMNLQDPKRLSVAGLDGLARLLTLLTNYFKVEI GARLLDHMKVIADDAVLQKVSFSLVEQNPAMKIVAAIFNIFHLLPPAATSFMEHLVNK VLDLELKLRRTSHSPFRKPLVKYLNRYPKESLAFFFARFKDERFGRFFGQILADPESE ALRNAIVADTDGFSAAAFGQDAGDGKNTAAINGVYVAHSLCYYSSTKRWLVSHAEMRD KLLTSGRDLERKLRGDSLPADERLRVEQAEDQLMDIFTMYLAEATHDLDFLFEVIDGL SADELKRTLALPKFIYKSIISNESIDYRRSVIMRCLDLYGQKSCPQKTKTYAFRHLVN PIFAMDVQITWNSPSNTPKLMDKSMTESIQSRLWKPQLADLSEESNQAGVDHSRMELL QLSALLIKYHSQTVQDSRKDIIKFAWNYIRLEDIINKYGAYVLISYFIAHYETPFKIV IQVYVALLRAHQNEGRALVTQALDVLAPVLPTRTANSSGAEARYPLWAKWPRRILAEE TANLQQVMSIFQFLVRQPDLFYESREHFVPLIVPSLVKITGPPNTSNDSKKLALNLIS LIWHWEEKRAHNAEVSHLANGTSESPVARKRKLDEAQEPSPSPALGPPSSRERSDYTV PNDLRASLIKYLITFITTIPERFLVPAAQIRDKPTTKQQNPVATGEMINKAINLLRSL LSPEYWGDLDIDLHQKVTEPILVGDKGEKVEDKITYMVNTLQVVRVLIAAKPNEWIAA RLPTIQKLLEKPLKSDNPEIQDCLHGLEDDMDVLHKLPPPVRRVLEAIPDEQPDEEDA MDTEGTPSEFGSYLSAIATETLSASNYVSSLNILWTLSKIKPAEIDAHIPAVMKAFST KLAKEHVAASTQNGAQLTNGTKPAEGAPTTDQQEFEIGVDLILKTIELISVRMSHLGD QRRPFLSVLAQIVERSQNIELCSKILGMAESWIFHSTESWPTLKEKTAVLHKMLLFES RPDQTMLKKFLDLVIRIYEDSKITRTELTVRLEHAFLIGARAQDVEMRNRFMQIFDRS LTRLASSRLSYVLTCQNWDTLADSFWLAQASHLVLGCVDMTTTARLHPEDFTLFPVSF LFGSGDKDPRKADVMVDNQLETFVAERRRFMSDIGDVRVRDLIEPLCQLQHTDSNVAY QLWTTIFPLFWSTLSNKDCSDLEKDMVTLLTREYHHRQLDKRPNVVQALLEGTVRASP RFKIPPHVVKYLSRTYDAWYTAATYLEQTAINPIIDTPTVRESNLDALVEVYAGLQED DFFYGTWRRRCKFVETNAALSYEQQGMWDKSQQLYENAQIKARSGAMPFSQGEYFVWE DHWLICAQKLQQWEILSDFAKHENLNDLLLEAAWRNIENWQSEGNREQLESLIKSVSD APTPRRTFFQAFMALLQYHTKKDNIQDFNSVCDESIQLSIRKWLQLPKRITNAHIPIL QHFQLLVELHDASHICSSLAQTNERNLDTKSAELKLLLGTWRDRLPNLWDDINTWQDL VTWRQHIFQLINGTYLSLLPPQTNNVASNSYAYRGYHETAWIINRFAHVARKHQMPEV CINQLSRIYTLPNIEIQEAFLKLREQAKCHYQNPKELNSGLDVINNTNLNYFGPQQKA EFYTLKGMFLAKLDHVNEANEAFGVALYYDLRLAKAWSEWGQYSDQRFKADPTDYELA SNAVSCYLEAAGLYKSAKSRKLLSRILWLLSLDNDEGKIASAFENFKGDTPVWYWITF IPQLLTSLSHREARLCKAVLVKIAKLYPQALFFLLRTNREDMLSIKKQHDQKQEKLNR ARQQQQGSSPSTKPNPTGADSSPAQKAQAAAASTNAPPSVPPANSPATQNPAQVQSQS PAQPQNPMQASPQAGQTPGQAQQTHLQVPGQSGTPQQNQIAPVEGEKEPLKKPWEYSD EIMSGLKTAFPLLALSMETMVDQIHKNFKCPPDEDAYRLIVALLNDGLAYVGRMPGSY AQDFKLPAATEANITRFAETILPAHIRKSFEADFVTKKPTMNEYIHKLRRWRDKFEEK LDRRSQHTFLENSSPHLSEFRFLKFDEVEVPGQYLLHKDKNQDFVRIDRFLPDVDLIR GIGVCHRRLKIRGHDGSMQAFAVQHPAARHCRREERILQLFRIFNGLLAKRKESRRRN LYFHLPLMVPLAPHIRLVRDDSSYISMQGIYEDYCRRKGINKDDPVLFTMDKMRSLAE TKQNRTPDQQQVLRTEILTAIQDKWVPSTVVLEYCQQTYPNFSDFWLFRRQFAYQYAA IAFMTYVMHIGNRYPNKIMISRSTGDIWGAELIPTINPAKAFFYNPEQVPFRFTPNIQ TLLGPIATEGVFACAMMAIARCLTEPRHELEQQLSVFVRDEMMFWATAHHRGVLPPGQ LRDLVYNNSEIIVNRAVSLASPPEGNLPANQTTIDLISKAVNPQHLASCDALWMPYL PEX2_055070 MAERKVLSKYYPPDFDPSAIERKSKRSRKDGKPEPKLSASRLMT PFSMKCTHCGEYIPKGRKFNARKETVEEKYLSVPIFRFYIRCTRCSGEITFRTDPKNN DYQCERGAKRNFEVWRDDKDEKYNDETEEQTLDRLEKEHGAKEEQLERDKMAELEDKM LDSKREMQIADALDEIRTRNARIERTEALGDQAAIATAQEKIDEEALRAAKAEEEQRE ADRQLFRVKKRAAQEAALIAEEEARAARVAQPMIDWANIRQLPRRRVKRLKVKGITAK PKPSEE PEX2_055080 MERFLRSWRQDALNRGQHDSAIYIGDKVLALTNSDSDAFWLAQV HFSNNNFTRALALLSRKDLVSRSTACRYLAAHCYIKQNQFEQALSILGEHNPTDLIRN NHTRRKIQHQSHVTLRNGKSATPRSDRAEEREREDANNIRFEAAMCYLRGLCFAKQNA FDRARDCYKDAVRIDVQCFEAFDQLMKNSLMSPTEELEFLESLDFDSVSSPDPMMAQE AAHFTKMLYTTRLSKYSSPTILSDATETLSTHYNLADNPDILLSRAEALYTQCRFAEA LELTSSILSTSQSTDLSSKNPSIPAQNNLGHAPAIYPLHLACLYETGATNALFLLSHM LADHSPEEPYTYLAIGVYYLSVSKVAEARRFFSKASLLDPHSAPAWIGFAHTFAAEGE HDQAIAAYSTAARLFQGSHLPQLFLGMQHLALNNMSLAHEYLCAAHAMSTGAAPGSVP SIASNPSGIVSAVGGDPLVLNELGVVFYHQNHLSGAIELFGQALALATSLHCEPSAWV ATRANLGHAFRRMGRLSESLREFDECLRVGAGGSSMGYSPFLGGGTGGSGAIATASGV GGYEDRGLTGSLHTSRGLVLLELGRTTDAVTALHEAVRVLGASGGGDAAGGAGVAGTL LSRALELWALEGRQKDRTTFEESMREASAASSKRRGSARSREHKGKERVAPEEMSRRR GRQEPFVEGWTEEATHVATPPAEDEQVEMDLDNEADGLLRRALGRTNVKSLTDHAVQV YIFTMTASFPIRPRRGLSLLCLITAFSFSAIIVIWFGMNSDRGYIHPVLTQIIPAGHC ACESSTTFQCSTCLTCPEPGLQTDTARAWSFEYTRDGRNEALSQSQCKAAFPGLFEDV TRGGRFWSSQGRLSSAELDAIKIQHGMARAFISNGNLYVVTARSKGEDHRRKILGTLS SIHRALAADPERVSRPDFEFVFSVEDKVDDVTNSEWPVWVFSRTSSEEGVWLMPDFSF WAWDNQDNYMGPYDQVVERIKRMDIPWSEKTPQLVWRGKPSFAPKLRRALMEAARDKS WGDVKQVDWNTGSNVLRMEDHCHFMFIAHVEGRSYSASLKYRQACNSVIVAHKLQFIQ HHHYLLVADGPNQNYVEVERDFSDLSEKMEPLVSDTKAAQRIANNSVKTFRERYLTPA AEACYWRSLFDGYADVWNGTVEQWSETKDRERALRYESFVLLESVKMYEFEATRTAQW QAIL PEX2_055090 MTFSSILLTTLLTLLLPPLLFLTWYLHIPRDLPNLPKIPIYVSI LGLWSSMGQDEIYERWFRAPLEKHGAVLVWFAGRWSVLVSRPDWLTDMFRNEQIYAKA GSQIKIPHAVIATLVGDNIINAHGENWKLYTSIMKAGLQKKVFDTTSLLKQSRKLVDL LVDLQKETGADRGILIMADVQKWAVDVMGENFLDLDLKSLGQPNGTVRIEALQSIIKA TLFKPMYFSFPDLDHFPWLLPSRKRAYNIMHEFDNRLYTMIMTMLHKRTQTQSTQSEE MVSHMLGEAYTSGRITEKQFRDNLKITFLTAHENAQQLVTSMFWQLGTRVDIQDRLRE EILATAKIHPNPSQEVINSLPYLTAVVLELLRLFPPVCQLINRVALQPALLGNELPIP KGAFVGWNAWAVHSNPDVWGEDAREFRAERWGDTVEEMQGRFRRETVKGTYIPFNAHK RKCLGQGFALLQVKILLFVLLGRVSWVLDPEYRLKMTPGGILAPLGCRVILTELESSG PEX2_055100 MEPFLNVVGNLLPYHLLSYGALLGTELYQSFVNTKICYQELPMK QFILLQKRLFPIYFGTQVGLTALTAATHPPYSILSLGQDPWSIAPLAIVGLTGCLNWF IYGPRTTTATFIRRALQESDNTDANSEGSNVNQANRNFARNHAMAIHLNAIAMIATVF YGFSLSATLMAGI PEX2_055110 MCNILEHDNICQSYSTPTPSKMKMEEQSTSPNDKEILSQTQDPL ERRRLQNRLSQRNHRRKIRERIAKLQERVIANELRAAAALNGWDQGYNPSLFPRPHSS PSDNELGFTSRDVSPLIPDQCTSFMPSYPPFSQSWPNDFNTFPQHGYPSDLSQFNGVS EASPISPITSAPAQENLSMSPASSGLGGDIYREIIGTPERFVPDPLSTSAPNQPLYYV ATEEALPQIIQVINTMSPQYKVIVLVPPESPVSASMASFPSPTSPYDAGHSGSDHGPA AQQSNLQNLGCLCYPHNIHSGPPAHSASRPWMGGGSYAQMCPLHKTANTVPPRGAFPV RMM PEX2_055120 MVALAPGAEIVPTTYHPKLAENGIFPFENGMSNKKVSLETVEIT PPAYDSADPVVITSNGNGTNQLEQNVLNSPIASDTSNSLSGNELMAMEVLKIIESYGV DFEKSGISWKGFESFVPIVLEQISRQEPIRMILPAFPFKSPNASSKVLGTMPDFGEEL ALAHLNGLCQNIGEVYGPGADVYISSDGLVYNDILGVPDETVWDYGETLRKMAVEKEL HNVKFIRLYELLHHPWFPSSTPEAAKAFYLAHASCLRRELMYTFADPSFDATEAIKTD NDTCLTYRGYIKFLTKDLAHLEEKDKSMSKRARQAQIADTARQMIIRGKMFAAAIKAN RKDYVRLSIHESAGEKKLSVSLIPQIRGTLGYTPWHSSVAVGLDGSYRTVHAEDVRDT HDLVYKNGQPYFFRERSSLFDWSEDGLSVKFEHLYPCGLIIRPADIDNVNPPPSIRSI PMQKVRQLSNGMSPIVIRGFSETLEEDLYVKKGEELGTILPWSFGIIQKVRDNGRSDK MGNNVTSNEAMPMHFDGMFKFDEITDPETGEKKKVQHPPGYQFFTCPATAPKGNGYTL FASSRLFFRYLPLPWSAERLEKITWAMDNDGFWDAKLKNLPLIVKHPISGLPCLRWHQ PWDSTKTKFSTCDVTIENDDNELVKVVDRITYDYRVCHRFGWEKGDLLISDNTAMLHT RTGYISDCDRELWRIHCD PEX2_055130 MSSGFPNKYYKVGVLLFPGADILDFAGPIEVLSHVSHNRNPESP DRMFEIKTVACSPAIRAANSLTVHADLLLPDAVDEISEFHILVIPGGPPSVVQPLIEN NTPELDLIRKFAALPADRSLGTRVLLSVCTGAFLLGAAGVLGAMTVATHHHALDRLRD ICARSHAPDEPATTVLHQRYLDGGLLKGRGVRLLTAGGVSSGLDATFYLVSQLATPDM AAFIARVMEYDWRELTE PEX2_055140 MTSPYPTSTSFSPSVASDMAAAPNRAVSDLPQAQVDAIIRTKRK AREPKACYPCHARKVKCDRNLPCDGCVKRDHADLCSYERPSKKRSQAFHDSAVPSAST AHVPEYSTSYAYDETPAEVKHEPTLSRPSVPATGGGRVSIAREEWDNVRNRLQEMEST ISSLRVGLERAEDGLPTSMETGSVQSVDASSRSKCASPEREGIHAANTLGKGTVHLGS RSVLAYILNNQSGSDQLQALLEGGILPKLGLDNESATYPFVDLWSSDMSTFDITAVCS ALPTDQQCRELFYYYKDISGAIYPVLEDIFEFENTLELLLATRASFGGEYLADPDHAQ KPFGVTIAYLGLLFAILASGCQSSDYGSKERELTSQVYVCCSYQCLRMTNFLSQPTIE AIQTLLVIGNVLSYNMNPGISYVLLGMTLRMGLALGLHVESSRFSSAERYRRRHVWWS MAWQDSHFSLSYDRPSTTAVSQPDIAYRDGSKPGDISYFETLSRVISLALEVVRIRML SPHAQISLESIQTYKDRIQKIMVEAMPYLRDAMNCSTPTQHLERVVLKLHSSYFASEL CRPALKPMVDLSDSSAASMRENCVMNLMTTVEAYIEMHNISSHAARSWILLQRAISSA FLLAVIDEAKADPNVWNLLHSLEGIIAQRASTERAYDSNGAPTVASMTSPPQPLGTYD PITGIMNVPGPIAPAVDPSTPVGIPPDTQTQWAKSLAKTHRALQKLLAAVGNPSTRPS VGRNGTPGYSSTNPNLNPGSSSMGTLVPGQANMTPSVGSLPPPTPESSASGEWTMPNI MDRAAEYIHPPLWG PEX2_055150 MPARKAKSQSKLPSELMSQQSSSQGKKRRKTSDDTARAKKRRTV QGQTSPVEAEYVPSANDLISDVPRDENFTIDTLLPDLGTSYVDRFKSPTAPARAKRKP WPRQSSPLIDPEKLPPGWSMTEPDLNRDDIEAQIERCHVRIRENIMPHIFAHRLKGLQ ISRVKQNELAESEPGNHSLDVLRRLEILREMEIELQGSDNFNQLPNVLALLQAYRGGM LDWNIGLVTYWFEGVQLCEPRPFSWDEFEVLNAHHSGKTGFWMEGLVGPGPTHSLSAV GIPANLPNNAYFARSYMVALRIPGLRWYAELEFVYDTGASMMSLFEGDLQNIMGRSTV GPTVMGLNSSSLADGSRNADDGMDQSPSSSISRLGQLRATMTCYGLRIPTLNSSKMYL IQVILEDVRF PEX2_055160 MEGNPAEHDEAVSQFCAMTGTQASDAQEYLAANGWDIEAAVTEF FAEQDEALQDTTTGGERQLGTEGSSGAYAGGRTLGGGAVPASSSAAASSSSSARRAAP KKKFATLGDFASGGGGGGGDSSDGDDTDDHDFFAGGEKSGLAVQNPDDLKKKILEKAR RAQPPPSDAPEPRESHFTGTARTLGGDDAPSQVIENPSAPTQQRALRVQRTLHFWADG FSVDDGELFRSDDPRNAEILDGIRQGRAPLSIMNVQPGQEVDVELKQHEEKYTKPKPK YKPFSGSGQRLGSPTPGVRSEAPTPSPSTPAPSAQEPAKPNVDESQPTVTLQIRLGDG TRLTSRFNTTATIGDVYAFVAAATPDGANRAWVLMTTFPSTELKDWNIVLGDMPDFKR GGVVVQKWQ PEX2_055170 MPLTGVNNVVLVLSGKGGVGKSSVTLQLALALSLQGKSVGILDI DLTGPSMPRLVGLEDAKITQAPGGWMPVPVHGAESAAETDASAPSTQPQRGSLRCMSL GFLLRDRGDAVIWRGPKKTAMIRQFLSDVFWGPTDYLLIDTPPGTSDEHIALAEQLLT LSTTDAAAAAQAGLPRLAGAVLVTTPQAVATSDVRKEANFCVKTNIPVLGVIENMSGG GGQVMAQELKLPFMGSVPVDVKFGELVEGKMEAADSDEEDEEEIAESQPQDTSAEPDD RPLVERYRDTWSYPRFEGFAQTLITAIEGPAAV PEX2_055180 MAPIATAKYDWILAITTIAFVFSAFGNGANDVANAYATSVAART LNMATAGVLAIFTEFIGAVAMGKRVTDTIKNGIIDINRFEGKPGALMLAMGCAEIGGA SWLMFATRMGWPVSTTQTIVGALIGVGFASKASVNWGWSDGSVSQVAASWGIAPLVSA GFSAIIFGTLKYGVLERQDPFKWGMRMIPLYLALTGAILALFIVIEAPTAPSLEEFGA GKAAGIIVGVFFGCLFIAYFFFMPYFNRRLVRKDTRIRFYHIPLGPLLRKENPWLYFP GKGDEVVVSYYEDAYGEVRAGQKDTEKENITSNADSVMPTPEITPKSKPAHVGDDEEK ITPEPKPRKKHLEPTERFIDPVRELSWTNPQKAYGYVKWIFLQGVTRDVITHDSPELR AIHARAHRYDDRVEHLWTYCQVVSAMMMSIAHGSNDVANAVGPWAAVYSTFHAGFVET KAPTPIWFLIVAGLLLGLGFWFYGYHIVRALGNKITQMSPTRGFSVELGAAITVMLAS RLGLPVSTTQCLTGAAMGVALMNYDLGAVNWKQLGFIFGGWVLTLPCAGLISGLLCLM ALNAPHL PEX2_055190 MASTTKRADFEAIFPALAQDILAHAKRYNLPDNALEWFEKSLNV NVPGGKLNRGLSVPDTGLALLKQPLTEEQFEHLSILGWMTELLQAFFLVSDDMMDSSI TRRGQPCWYRHEGVGQIAINDAFMLEAGIYLILKQRFRSHPAYIDIVELFHETTWQTE LGQLCDLITAPEDNVNLDNFSLEKYMFIVTYKTAYYSFYLPVALALMYLQLATEENLK QAHDILIPLGQYFQIQDDYLDNFGDPSVIGKIGTDIQDNKCSWLVNQAIQRCTPEQRK LLDASYGRKDAAEEAKVKALFNELQLEKVYKEYEEKVVGELREKIAAVDESKGLKKEV FEAFLGKIYKRTK PEX2_055200 MEEPTDDGIRELMYQLENAAIKCSERCLYQSSKWAAEMLDSLRP LDHEDTDLESPMDISDPPPPAINPYLKVRDPVEAALETQESYKYLLAKSYFDTREYDR CAAVFLPPTTPPVPLSITSPNLKPRPSRTSQKGKDKASPFQSSKNQKTQIQQNPYPKL SQKSLFLALYAKYLAGEKRKEEETEMVLGPADGGMAVNRELSGLARGLDGWLSERTAQ GLDDRGQGWLEYLYAVVLLKGRNEELAKKWLIRCVHQNPYHWGAWQELNDLLGSTEDL KQILELLPQNVMSLIFYVYCSQELYQATEDTYRSLSELQSMFPESAFLKTQHALLLYH SKDFEEASHIFEGILATSPHRLDSLDHYSNILYVMDQRPQLAFVAQVATATDKFRPET CCVVGNYYSLKSEHEKAVMYFRRALTLDRNFLSAWTLMGHEYIEMKNTHTAIESYRRA VDVNRKDYRAWYGLGQAYEVLDMSFYALFYYQRAAALRPYDPKMWQAVGSCYAKMGRV EQSIQALKRALVAGSLQPDDGGQAGTGASPGSGSRKILDPETLHQIATLYERLGDEEE AAAYMELTLQQESGAPVNETQADDDDSASENGLQSDPEIEHSEDEDTELSQRHRRNRK PRAKAESLAMQNDDSIVSETWNGTGVTATTSKARLWLAQYASRNGDLDRADQLASELC QDGIEVEEAKALMRDVRARREGAT PEX2_055210 MGFKFTLLCDLLSNLDDSKTAKASTAARNQNPDIRTVAQWFSRH ERTIHHVDTDRVALLSCMFPEKRTDRVYWLQVMQLSRVIGRCLGLGSSRLSELNRWQS PGGFDLGGCVEVVMRQAENYIPAGQELSVEEIDRAMAMIASRCRFSGPLIRWNRTAVD VEEILSKLYRRSSSRDAKWLTRMILKSYSPIVFPEQYTLKKFHFLLPQLLQLQSSFEG ALEMLASDPIKHFPPNPDPQSAKSLSTIALQHMRPRPGIKVGRPDYYKARSIKHCHQM ANGRRMSIERKYDGEYCQIHVDLSNKYTPIQIFSKSGKDSTADKDKIIPMIEGSLRIG LPDCKFAHRCILEGELLVWNDQNGDLMDFNKIRRFLPRSGAMIGVDNDSPPQPYEHLM IIFFDILLLDNDKVVKTIPGRADLAYQEVLDFNRVDSYHRLEKSFEKAITKRWEGFVL KASDEPYFPIYCAGVDHMFGRWVKLKKDYIPGLGDTLDLALIGASYNARDAPDLAQIK GLKWTHFYVGCLLNPEHVKEGNALPRFRVVDVINRHCMSIQTMQTLNKNGEFYARQPE TFEGFHIEYGHEGLPTATALFKRPFIVEMLGSGFEKPSGARYFTLRFPRILKIHSDRT VEECTSFHELLTAAENARAVPADELEEREKWSKRLKLGSGFDQYIVRSPSPEATCSDT DEETNSPSSQAPQTTTTSEESFMDAFPLMDGIREDPVGKDSHDSLNNDHPLCPLVYID QTLLPMEDKNPVLGTSVLTDNENLSSRQRSNQDDGKVASQDSSGFIRPVRTISFTSAH EEHPQQLHDTQKRLSDELSVPTTSKQKRNVAPQSPLTTIPIWTPETSLGSFTIPEIDE KPGNDREACDLDKFVRYLCCDESTSHLQQSNPYAVSQGTVFGIVLFDPKATQLGKEMH RLATTLSSFVHTRTSPLPSMGSIFFLGSSMLGRDLRPGDLRFCLRDTWKDIGRDHFYG CLSWSLSKRSDDMSIGRENFRFKLDQSCYDMTNEISDPLRSGPRTPWIEMSFDETAIA VLGEYTSIEPLAHVIYN PEX2_055220 MSLPVISVAGNGLLSGGNRFQIRGVSYAERSSGFLTPIDVLADS RASQCAIDGPIIKALGANTINVYYTDATQSHDKCMQIFEDNGIYVIANMASQRDSTLV NMTEMDVRPNIANFTWQMDVFKQYAAVLDSMAGYKNLLGLLIGNEIVSGPHMEDQVDV APYLKAAVRDMKAYTAARQYRPIPIGYATSAEDTYMQELGEYLVCGGKSNEAIDFYSV NGCQWRGSSPVSASDYDELTSKLEGLAVPVFFSENSCNAFPPQLFGDQSASSEASNHG LVSYTTSGISTPTTLPDYDALKGRWSAVTTNTQPPSAFSTPSCATSVNSLWPINPIAA LPTIAGLDFATIKAASVSGAAHGTRTLTIRSTPSSNPRKTKHEIGVMAGIGVGAVSGM VLVFAAIFTFFFHRRRRRRNRARKTSSSVEEASDTLAPGSFNNTAPLVELAHIDSPNS LQDLDPKAEELDSIVVQKADNGKGEA PEX2_055230 MLLEDQRFIHEDLERLEQAIADRVAEEPRNARGRLARDHEVAQF LDRIESQSKRLLEIYQDVDGLREKEVQSISTGDQFDEFYKQLGEIKEHHKRYPNEPIE NLEQAYNRRQTAEGEAPRTAIDNMFTGEEGFGQYLDLTTLHEDYLNLPGVKRLSYVQY LDIFDSFTPPAMPIKRKDKISDRYFSYVGELATYLESFVKRVQPLQPLNELFVSFDEE FEKQWAAKEVPGWTEEKSENGTSGPATQGTGEGIWCADCEKEFKNENVHRSHLTGKKH IRAAEARKTAGTSDEKPSASQVTSLAHSLKEREVARREHQIRSLTNVLREERQATRIN VERRQGMTERERQMEWEAVQAGLDHTGPEPRAGEESEEEDEEIVYNPLKLPLAWDGKP IPFWLYKLHGLGVEYQCEICGNYTYMGRRAFDKHFSENLHVHGLKCLGITSNTNLFRE ITSINEAMALWEQLEKDRKKDRDSRDNVVQMEDAEGNVMPERIYLDLQKQGIL PEX2_055240 MADGIDRRAEERMEFSTSKEVTVAPTFEDMHLKESLLRGVYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFAISTLQIIDTVVRETQALVLSPT RELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVSGTPGRVADMIRR RNLRTRHIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTTK FMTDPVRVLVKRDELTLEGIKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLADKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPTNRENYIHRIGRSGRFGRKGVAINFVTSDDVRILRDIELYYSTQIDEMPM NVADLLS PEX2_055250 MLLSLSSTLELCISLKPIVPDSESYSINNASALHLQETPEGMKP SHFWREKRCYIQNVQ PEX2_055260 MRPDGISTENGFVDASNESLASTSRKTGLNGQAATNGSSHTNGS KRPSQPSTYYGHNREEVTRILIQSLYELGYNDSASLLSSESGYELETSGVATFRSAVL GGRWPEAERILIQSFRNTGAQQVERKPPQDDTLVLAEEADRNEMLFYLRQQKFLELLD ARDLAAALNVLRQELTPLNFDVERLHALSSLLMCPTDVLHAQPGWDGSVSSSRERLLG ELSKSISPSVMIPQHRLAILLDHVKQTQINNCFYHNTAEPPSLYSDHMCDRNDFPLDV IVDLTHHSDEVWYCEFSHDGSKLVTAGKDHNVLIYNTTDFSVIHRLTEHEDGVAFASW SPDDSKLITCSQDKKARVWSVESGRCLLTINHHRQPVTAAAWAADGESFVTASLDAES QLRHWSMRGQCLYTWKGGFRVQDCAISADGRRLVAADTEAKVHVYNMLTYEEDYCLPL PSKPTSVAISKDSRHVLINLAEGEIQLVDMETTAVVRHFKGQKQGEFVIRSTFGGAAE NFVVSGSEDSKVYIWHKENGNIVETLEGHISGCVNSISWNPADPGMFASAGDDSAVRI ILAGPERAIDPVQ PEX2_055270 MPNDGLLTPFLGALQACVSVLLTMCYGFVARRLNLIHETTINDM LGLGVKLLLPALLIVHLGEQLHLGTAMNYIPVIVWSALYTTASIGLGHFLSRLLGLPQ WVTPACSFNNTTSLPLLLLHSLKSVGSLKLILRDGETTSSAIDRAQSYFLVYGVISKT IAYIVGPKMLHDRGDNLSIPEERQRTASILAEDEQLTEETSLLPQRAQQARASAGNLI QRSTHWLGSLFSHRVKQELLAPFESPFADVAILCTILGTVLGLVPPLHRAFFFDEEDG GIFNAWLTASVSHIGGLFTTLQIFMVGCKLGITFERMVAEEYSGRIPVKAITTIFVVR LVVWPALSVSLIYGLAKRTRLLGDDPMLWFSMMLMPAGPPALLISGLAELAQASEVEK MVIAKTLTIMYALSPFVCFSITGALKASEAVLKGKR PEX2_055280 MSSITLRSALQTTPVAYGFWLTLPSAPVAKTILRRSPEFTEGGF SWVLVDAEHGLINDSHYYELTNAVGHEGASPIIRVPWPEEWMIKRALDAGAHGVMTPM CHSAEDAAKVVSYCRYPPVGVRGYGPMYTPHSFPGVKPAEYDEKTHGEVLVIVQIESR PGVENVEEIAKVDGLDVLFIGPFDLAKQMRVTRGGEEHEAAIQRVLNAARSAGKKAAI FCSDGDDALRRTQQGFDMVSITTDVAVFGDGMLNELEKAKGSSKVQGKRDGY PEX2_055290 MRVPNYVLASLICLPAAFADLLGPSYPPPRDLSSSHSRVAASWK NLTAQLNIVLTDSHVNKTSGVFALKNLTFSAGLFSTLDPKAKDLQFHHTANEVANSKV GVRKVDGNSIYKVASVSKLFTVLAGLIELKPHDWDLPLTDIFPFLADRVHKQHDKLRL AYDVQWNKVTLKSLAGQMSGIPHGATEEFLQTFLLYKYLERSDNPALTDPNFYGLPPL NESDLTLWPSCLGEQTQGCDAVSWDVAYAPRPPVYLPWTSPEYANGGYIFLGLAISNL TGKTMDQWYNDSIFEPLGLKSTYSSPPDNSTRPHSVTSEGLDEQFVPDGGTTNPSGGL FSTTNDLSKLGVALLNSTLLPSEKTREWMKPVTHTSSLRYSVGSPWEIHRFVHAGSGI VTDLYTKLGDSGFYGGIVVVIPDFNAGFTLLSASTQPSRSSEALLAIDLIVDAILPAL MEQAAAEAAQKYAGTYKADDLNSSLTLTVVPPIHPAPGLNITSWISNGTDITDLVSTL LGGVGSRLVPSIVSEKATGELAFRAYTATQSRGSGVGSSSSLFSSFYDLNDWTMLDQL TWGGIATSLFVFGVEENGTVNSVTPGAYRVKMARK PEX2_055300 MVQATAYIVKEKGSPFERITVELEEIQSQEVLVDLQATGICHTD LAVQHGKIPMPFPVILGHEGAGIVREIGSAVTNLAVGDHVVLSFSSCSECRSCNTEKP WQCLDMHQRNFGGRRPDGSQTITPASGETSTCFFGQSSFCNPAVVQQASCVKVDNNLP LPALCSLGCGFQTGAGSVFNVVQPIERKSRHIAIFGVGGVGATAIMAAHILMQENPGV IEAIVAIDLVDERLALAKQLGATHVINSKSQDLEGKIAEVTNGDGLDAAIDCTGVIPV VNSMVSLVGAGGVAVTVGGPSPGLQASIDVFEMLIKCKTYCGTHQGNSDSKTFIPFMV KLMVEGKLSFERLQKYYNVTDINQAASDMEMGLVVKPVLLWE PEX2_055310 MRLLSVLGLATLSISGVSAGLAIEGEPNVNATLVKQHLRDRAKL IALEKTHRQDHIFRQSLSSTAKQADEIVQAIRQDEIDNYWRVAGTPNGEEERFAGEVF PLARPYISNTTLWKVIKRMPKGALLHAHLSAMLPFEKIVEIIIHTEGMVISASQPVDT DDAKQNATITFAHNNGTLSSNQSRIDASDYVPGTEILVKTAAASFEGGEAGFLEFIRS KTTISPEESIRHELGVDEIWRKFQACFGPADTMVQYEPVVRKFYQKLFEDLASDGINW VEIRSGGSSGKLVHNGDEDIDPDLDAWWHVLVEEIDNFKATEQGKNFLGARIIWSDAR IKNRAAITKSMKIALQRKQNFPELFSGYDLVAQEDLGRPLSDLAPELVWFREQTDALN LTIPYFFHAGETLGDGNSTDSNLFDAVLFNTRRIGHGFSLYKHPNLIRQVIEQNVMIE VCPISNEVLRLNEDVLHHPLPAMIAHGIPTAISNDDPAILGQDVAGLSYDFYETIQAF DNIGLAGLGALAQNSLRWANFEDQSDNDWIQDIDRGEDGTSTKAKHIQAWNKDWEEFC KWIVDEYGSKYGTAKIDPTI PEX2_055320 MKIPTLPHLPRFQLHAESRWTNKDLDPVPADQRKWTVLSFIGYW ISDCFSVANWQLASSIIAIGLSWKDSLGMVALGFFILSIVISMNGVIGAIYHIPFPVI ARASWGFWGSYIPIISRLILAVFWFATQVVNGGNSVAVMIGAIWPSFLDIPNTLPESE GITTQGIIGFFIFWLLQIPFLLVHPNKLRWLFLAKSVVVPAAWIAMLIWAFVSTSHTD ILLAQKSQLSGSSYSWAMMSALTSVIGNYASLSVSQADFSRYSCVNPRWHILYVFLLP AMFTFIAFIGIAVSSAGQVKYNTESIPWDPNVLVSLWSNRACQFFGAFSFALAALGSN ISANSLAAANDFSALAPRFINIRRGQLLCALFAWPLVPWKILASAGNFLNFMVAYAIF LGPIAAIMLCDYWIVKRRKYDSVALYQPMGIYRYFHGFNYHALIAFIVGTVPSLPGLM NSVNSSIQIGVGIHPYEFGWLLGFVVSTMVYVGLNIVFPQTDAQIDTAIFPDTNLEGL ELDGNGSKVAAKV PEX2_055330 MTLKNISNDVVPFTPQQLASGASKCLDLQKSGRSIHSKRPFAAL LLSPDNETVLMTSLSLSHVRHAECELARNAADNYDWGYLAKCTMISTWEPCAMCAGSI YWAHIGRVIYLASEKALLELTGPGNTENLTLDMPCRTVFEAGQTPVEVIGPLTSEGWE QKVVDDAALYWLQSA PEX2_055340 MHLEGALTPELVFSLAAKNNIALPDLPHYKSPKVLATRYEKFDN LDDFLNIHYANMSVLITEDDFFELAWSYARAAYADGVHHTEVFFDPQSHTPRGIPIAS VIRGYKRGLDRAESELGVTSRLIMCFLRHLPVPSAEATFASATDFIQDGSIHGVGLDS SEVGFPPELFQNVFEKAKEVGLRRTAHGGEEGDVSYIKGAIDFLHAERIDHGIRLVED PVFLREIAAKGTLLTICPLSNVYLRTAKSIDSLPLRQFLDLGVQFSLNSDDPAYFGGG ILNNYCAVQEAFDFSIDEWKTIAISGVRGSWIGDERKNVLLGKIEDVTERFRSVA PEX2_055350 MEGCTTRDSTGLGLGERFNQRGNVFLRLVSHVQCAIKFAKNHNL RLVIRNTGHDGSGRSSAPGSFEIHTHHLKHTHYHDDFQPVGAVTTSGPAVTVGAGVIL GDLYAEGARQGYTVVGGVCPTVGFVGGFLQGGGVSGKFSHNRGLAVDNVLEIQAVTAD GDLVVANDYHNQDLFWALRGGGGGTFAVVTQATVRVFPDVPCVTTQLAVSAPEGLDDH SWMQVLELLLRGLRSFNEERIAGEFHLRPDPLSAILTLHFLNTSDLDSVDRRLAALID KFRTSEIPHIYSSKSHALEVPRSVEAKLYASHELTSVQMPVLYSLDPSYKVSYLNMGD PNDADFRNVYWGPNYERLLALKQKWDVDALFITRLGKRHDVMNTIPSTGAGADMRSFN RDIYDLLRNHAEDPRLNEVWTAINTVTEWVDWITSNGARMIPSDIAFRFWMLRVYMTH DWGCGLTSSTAEL PEX2_055360 MNSPTASDPIVRTLDAPETKDMQEAFLPGESPQDVASVIQETMD IFDKRMRLTHPHCFCYVPSCPSPLAWVGDLFTSLYNVNAVSWDVSSGPSAMEQGMIRW LAQQVGLPPSAGGCFVSGGSMANLSAVVAARDKLLPPMRRAEGTIYTSDQTHISIKKC LHIIGFDEHQIRVIPTDHLFRMDTDILRRTIAADRQCGRLPFLVVASCGTTNTGSIDP LHALADVAEEEGLWLHVDGAYGASIALSDKYRHLVDGIGRADSISWDGHKWLFQTYGC GIVLTRDSASLAHSFAVDAEYINSTTPHGAVNFYNLSPELSRPARAMPLWFTLRVLGR RQMGEMIDQGFLLARTAEEEIRRYPHWVILAPVTASIVVFRYCPLGLKDDDLDTLNLA LSARLLAENVASILTTNIRGRVALRFCATNPATQADTITKVVQQMDQMANTMPTCGLG MCKE PEX2_055370 MKLAPAFPRSYFMGRFWAGNTTGDGLEQTWLDGYYRYTKRGKVF ARKTELNNWSLIFPSELSHDWRNLPLDQISFQHWAQEGGKIKHHTVLTKAHSKVALLF AKKELLLAVQKILVKETLSLLPGIIGDKWVSLDLMQVCSDLVIKLNARILYGPAYADD QDFHKRLITFANGVDGINSIYFTWPRSLWKIVSLVHPTIRGFYANLPHLKKRMLPDIR SRIAKLQAKAAQGEGKVPASDEDVTFMTALIKMHMEEGTLGEQDSDLEKVCMEAVFYT YEFWGPIMPTLFFMLMAIGKNPGYLQALREEISSALESNDWSSDFLARTPKLESFIRE VLRLYVPAQWLITDIHRASLATVSRRTEKPIYVQSMDMHIPAGVNLCVPAKYIHRDPD FYPNPTTFDGFRFYDPVTNNVTIRATTATDTYLSFSHGSGLCPGRVFGAHVVQVLCAV FIMEYDVKVDPSKTFPDVQSTKEGRGDGMVGTTDILIRKRTSAKV PEX2_055380 MERSGTTPRFAQNPVVNDTGLLEALSHFNREKIPERVVHAKGTG AYGEFEVTDDISDICNIDMLLGVGKKTPCVGRFSTTGLERGSAEGIRDVKGLGLKFDT KEGNWDWVCINFPYFFIRDPAKFPDLMHAQQRDPKTNLLNPNMYWDWVADNPESLHLV LTLFSKLGTMFNWRTMSAYLGHAYKWTMPDGSFKYVHVYLSPNGGPSNENASMDDAMD ENINDPDGASRDLYEAIERGDFPTWTAYAQVVDPEDAPDLDFNILDMTKHWNYGFYPK NGSVIPKRAFGTLTLNRNPEKYFSEIECLTFSPSNLVPGVLPSEDPILQARMFAYPDA QRYRLGVNPENPPARPKKPLSLKPGSQKFDEWVSQVSSPAWSEAKEDDYEFARELYEW YPQFRDQEFQNELIDNLAESISQTCDAVRQKVYRTFALVSTDLADRVREGVEKRLETA ATNSTPQELPGRARL PEX2_055390 MASETENLRAIALDPSHPTPTDVGEIYDETSDSLTDMLGGYIHV GYWEDPSKQETAEVVGDRLTREVGVRLSPAQGEHILDVGCGTGKSTAQLAGIYDAQVT GITISKQQVEVARSQYGRKMPAGQVHFQFADAMDLPFGDASFDGAYAIESLVHMLDKR TALAQIAQVLRPGSRLVIADLVSDHPCPDSPVLARYAEIFEPPLVSADDLQNLLRQAG FKVIDVTDIRENIRPSCKLFETKGLSLGGELGQKLLEIASILEEMNELGYALITAERL PEX2_055400 MGTHDMSPNASHSYIYRVLSDILEFPDNEQRMWWHSVAPMFAEM LRACGYDIHEQYKILGIWKKAVIPFLGCYPTNDGPRWLSILTRYGTPFELSLNCSHRL VRYTFEPINAATGTDKDPFNTQAIWESLSQLRRLNGDVDTELFNHFKANLTVDNAESA HLVESNLAGSKIRTQNKLALDLQNGSFVVKAYFYPTLKSAATGRSITDLMLSSVRQQV QKWSPTLAQPLSVLEEYIEARGPDSTASPRLLSCDLINPERARTKIYLLERQVSIEAM EDLWTLGGRRKSDSALAALDIIREIWSLIQLPPCLASYPSGYLPLGTVPDEQLPLMVN YTLRPDDPMPEPQVYFTTFGQNDLHVTNALTAFFERQGWTELAESYKENLRAYYPHAD QETANYIHAYVSFSYRKGVSYMSVYLQTLETGDWPITYSPKRQYLCNEHPIHLKELAK ACA PEX2_055410 MESPQLPPSMKRPAIVYGDKTPTILETTLGHLLDELSDIHRDKA AVEFPWQSIRRTYSELAKTSKLVAISLLSAGLCHGDRIGILTGNRYEFLDVFLAAARI GCPAVILQSNMSPGEMKAAVLKSGTTGNPKAAVLTHRNVVNNSHFFSRACDFEQSDII CSPLPLCHSFGLVSAFLCSFMRGCLILFPTEKFSADAVVDVLQNRDVTVIYGVPTMFF AVLEKLQGRGHKPRSMVKAIAGGAPVPYALITQICQDMGVQYFLNGYGMTETSPATFI SPLGLCSESSLRTIGKVLPHTNARIVDRWGRTVQQGEKGELCISGLPLQKGYWEDEEK TSEIMTRDADGVIWLHTGDEAIIGEDDHCTITGRIKDIIIRGGLNISPVEIEERLILH PFIQEASVVGLPDKTRGEIVGCFLKQYVDMQRPSDEAVRAWVRELLGWHKAPEAIFWI GDAGIGEDFPKTASGKHQKEKLKDIGTYLLA PEX2_055420 MWVNTLRCPEGIEKVFPGPFACYNRLFDPGSQLDSYALIEETLH TYGPFDGAFGFSQGAALIVSYLLERRAAYPDESLPFRFLILCSPVVPLAGNAEYCHRI LGCLSRDNESRIRSCQDTQISDLPERARIAMTMLTDILDASTTITQEPRRFYLDRELP DVPCALHPDLCLTRLPVATLHVRGTTDAKALWNCGFLIQSFFDSPKLRVFEHKSGHDI PRSGPEVRQMLCAMEWIIAQSELP PEX2_055430 MSTETQPEMASTPPEPIAIIGMSCRLSGEASSVDGFWDMLRNGR TGHGRVPSSRYEASAWYHPNQDRKGGINHDSGFFLEEDPSRFDAPFFSITAKEAAGMD PTQRLLLEVAYETFENSGVPMESLPGSRTGVFTGCMTNDYELLSTGDLYNMPHNAATG NARAMLANRLSWFFDLRGPSIMLDTACSSSLTALHLASKSLRDGECEMALVSGASLIL HPNFTQRLSSMHMLSPDGISHSFDASANGYGRGEGFAAVLLKPLRTALADNDAIRAII RATGINQDGRTPGITMPSRQAQAGLIRALYGPGLPSLQETAFFEAHGTGTKVGDPTEL SAIGECLMGAETSTNDRLYVGSVKGNIGHTEGAAGVASLIKVVLCLENDMLVPNAGFS KLNSNIHLDKWLLRLSDKTIRWPSHLPRRASINSFGFGGSNAHAIVESASTYLERPAA LLSGLDKGEPQIVVFSTHDKTGIDRVAAKWGPFLQAQIDAEQNISFRDIAYTMYARRS QLSFRSFAVAGSLGQLRDALQQGLPHFLRANGTAHANLAFVFTGQGAQWAQMGVELLQ VTSFRESITRSEQILSSLGCPWNLFEEIQVEAATSRMNQPDRSQSICCALQIALVNLL ASWGVHPKATVGHSSGEIGAAYAAGFITQEDAIRIAYFRGLCSLQVACHGRAGAMLAA NLSLPDAQTYLQGVPPRSVVVACVNGPKSVTLSGDADRIDQLEKQLQADGLFARKLRV ETAYHSPHMNMVAEGYRHDLQDIQPAKCGESSIAMFSSVTKERVYATDMTADYWVRNL VSPVEFLSAVTSLANMTEASQYRHRAVAVKWSAFLEIGPHEALKGPFLQVLKSINAGL STVPYHALVRRHADALQTTLNVAGLLWCIGIPIDIEAVNSSINTAVPQLMHNLPSYPW NHQGSFWHEPVASARLRKRREPHHDLLGSPMDFQNDTEPRWRNFLRVSDIPWLADHVV ADSILFPAAGMIVMVAEAGRILANTSLRLEGIEFNDLAFLQGLVIPDDDRGVETVLHV APYHELAEWYEFTLFSLPEDGPWVRHATGTFTLHYDARGVPLNVEEWGLSVERFRKIQ TAECETNRDAVYEWLSQTGGVTMGPAFQSVSRAAFCTEENRLWIEGEVTDTRTMMPSE YASPCFIHPTSLDTLFQAAVLSCSDALGNQNAKIPVGVDRLYLSTTWDLQQGDYFSVH TETCLNDGDSRLDSIASDVSWSQPRVVLKGVRLGPVPMSKVPSTSTTAGVDSGTSRFS SIVWAQHLESPTSPALAGHDRDGQLTDWVRDICYTYGNACALVVTQPSWKSPAMTSIQ TVRPQLGSRPCLQGLTIVIVGLDKAADEFATAVTRLMPGAQVKQIAALQDFSPSTFNE SFFDVVLVDQPCIGNAADADVLLTSLSSTTKQDGVLAVRTYDSQLDPMDYIQRSSEWK VSGRIRDGDFLLAHRQRIPAPLDSTIFVLMPDTEQIPPTFRVALERALSAVGVKLCPV DVEDINGLAGKMVISLLEFRHPWTSKWTSVAMAQFKMLLEARYILWVSPIPILSKDAS AASFGASTGLLRTLRNEQPGVTLPQVQYDPDDPNSETSLAQGILQVIQLTLVPVPHRN HDMEYRLQHGRLLVPRVVSEAVVDDKMQTLLHGPRPILARLADDPRALRFHAGSPDGH GGQWVEDRQLVSDVPDDHVEVQLSLRSVVARGSRNFNAHESRLSVVEAVGVIRKLGFA GSTDLSVGDIVVLLVPGAGTVDGMSNRIQVSSKAVAKLPAQLTLAQAVTVPLAYILAY TSLFDIARLGPNCRVLLVGPVGPILRALLSCALEIRGMQVYVATEERAVVEELVAQYA IAPEYVLSIHGGLDGRIADLTEGKGVTAVLSCLGGSSGRLAARCLGSGGHYVDLTGEM NLAALPKAVVSQGCTFTSVNLNSMLQNQSEKVYSSFRRAVATIGLHHQIQPTSIFPIS KWAEAESLARQTGISVAIDFTDPGQVPVVPALQEPVNLPPQQTYLLAGGLGMIGLGFA KTLVDSGARHLVILSRSGVLQPSQRIAVASLADQGCHVEIIRCDISQEADLQQVLSQV RSQNWQLKGIIQCATVLKDAAFHTMTFEDWASSTNPKILGTLNLHKVFVDVDLDFFIT LSSVSGLIGNIGQANYAAGNVFMDELMIWRRAHGLPGHSIDIGLVPDASGMSDMAETA EVRRSRYSHLEGTEITLRELQMLLRVIILGDIPVPVQIIAGITDDLPREGASSWQYDR KLDHRVRLGHSEPDNMPAQISELLKSSPTIEDASYVVNQALREYLASAMATTADTIDS DLPLSSLGVDSLKVTEVQNWVSRKMGAQLSSFDFLGMQPLRVLSEKIAAQSAFVTVS PEX2_055440 MTVDTAPQSHYQETKVSEIPIVILKSSATDDVAAHEAIEALKVA GVCIVRNLLDRSTVDKVRQELQPYDKQADSFEGFPKNYCQVAGLLSKSPTYAHSIVGN KLFTAVRNYFLTSTYECWAEKGTWMSVKSPPQLDSTLALYVNPGSGDQGLHRDDATQQ NWNSGASEYSLGRDSGCAMMVALTECAREDGTTRFIPGSHLWDYQYDHPSNDDPRIRY AEMRPGDAYLMLSSVIHAGSVNYSTDRRRVLAAVFAARSHLRQVENQYLTYDIETVRT FPTWLQRFMGYSLSKLFQGWVDKKDPLLVVDPNAQPEGEDDGGMKPNEGEHVVEAQI PEX2_055450 MERSLSFEPYLLTPLDHIIKDFYVSSFISFPLHDPTTAVSALKD GVERLTRALPFLSGARVPSSKLPGKRNVMEIHPSPESLEWIPMLQIKHYRDTTLVATC SPGPTGCSDLDDSWCALPIIIPADRPSPVARFRASVFPDGILLCMTLNHAVFDGSGLG TVLKMLATCCCANSAMDHPVSLPTTYAKEASSRQIILESAAPSSGSDSEAYDRIFKSN DIIPGLDKGITSRRFSFPASKIRALKDACNAAKPTDQDPAISRNDVLTALLTICMTRA RQTEQTKNLTTQLAVPVNLRRKFHPSLPDSYLGNTIIPLVVDIDPPTVPSSRCGTSPM HAHLNELTNLSLRIRKELKLLDEKDYTGGLVSYLREQSDWGATSMKFTDITVSSLRHL DINGLDFGPEIGRANSFDVQSGMYPGICDIMPTCGRDRVEDINDVPWDVCVTLEDSAW SAFMEDDLVLWALEKI PEX2_055460 MAADQVTISDEPKGGGVTADAAVKAIKAGRNKDVDIAAQIVSDY ADQMDGDTWSVEEERKLIRRIDWRLIPTLFVCATLSGLDKTAISAAAVYNIKTDLNLT GAEYSWIGSAPFFGGLLFMGPLAYCLQRVPAVPFFAFNVLCWGILEMSVTMVVSMWYR PEEQPKRNSIILNVVAPIINGFVAWVVGYYKGPYERWKIIFLLVGALTIVTSVVVYFV LPNNPLEAKFLTPREKYIVIQRKAADNTGIESKTFKMEQVWEAIFDIKTWLIWIAIAA LQVPNGGLTTFNTLIISGLGFDSLQTSLLAMPPGAMSTLSGIGLSYLAATTRRYRTAI VTVSILLPLFGAVLCYALPRTNLAGQLVGLYILYTYWAPYVTLVSVYQANVAGHTKKI TLYAWFYIAWATGNIIGPQTFRADQAPEYTGGTVAMIICYVVAMFAITAYGVVCHLSN KKRAEAIEARTAADHDWLDMTDKENVGFKYTT PEX2_055470 MVSETVEANGPLYPDYLPFYDPLEKVEMVGPFEHDDPGHRADPS FPNLLEKATNVLELSPHCGTELQGVQISELSTQGLDELALMVAERGCLVFRDQDFTNL GFEKQKEIASHFGPLHKHGWMPHPKNGPEEFVIVYDSKDDLRIRKSWARKSPIQFHVD QSPESQPPGATFFCMLESPPGAGGDTVISNMARAFDRLSPSFRKRLEGLKAVHTTANP IMREIRDNGPDSVLRRPITRTIHPVVVVHPVTKRKALFVNSSYTQSIVGWDEEESDYM LKFLFDHINRGHDFCCRVRYEPGTVVIWDQRVTQHSQTLDYSAGSRRHAFRLTPLANV PIPSLIEEDDGECAKDEGRMLLNLC PEX2_055480 MRPLPTGIYTPLPCFFHENEDIDIEALQSHVKFIASAGTIPVVS GSMGEAIHLNREEKKTIIRSARVALDEVNLHDVPIVAGAGGASTRESIELCKDAAEAG ADYVMVIPPGYYAGALLADTSSIKKFFVDIAEASPLPVIIYNFPAVSGGIDMDSDLIV QIIQSSANICGVKLTCANVGKLTRIMAQVSRPEFQAAFPRSSATPFRAIDGFIDFLLP SISVGSAGAISGLPNIAPKSCVKLWNLCQDSGSSKQATELQNLIALADGVALKIGIAG MKKLLHRHFGRKVACDMPNGPPCLRCTNKYQECTFEEGPGPRKRARLCEQTETFGTCE QPDGWQDLLTETRPNIGQSEDLEAVSPQGSLLGANQELESTSPRTSHSSLSQDDTASL HSRSSLSSSPGRFPPSQKLVATSDSPSQINSLEFIPDAFSFYIGPTGVTDIHILSHQK YNDQNVSLPKVNGLKYRIMDNPGQKEMATVDFSPPTVFGITDHSLLEKAEPKLDPQLT ENGWPRLWAMMDPTAAWHLIKLYSRYIDPYFPILSSHQIPSSSAELNKMPLALLTAIC ATALPFVMYDDSLYTMLLNPPSSEELYRLCWLCISQELHAPSLATLQACLLLQQRLPT NMYLSDTAFAWTLMATSLAVAQTIGLHRDTGSWTSIPAWEKRLRRRLWWGLYAMEKWV ALARGMPSHLGDDDYDVSMLKADDIQDTLSDSPDTQSHIYHLSNLSTILSDIQRSFYS VKAIGKTSNDLQYSLDLARPMRVRLKDWRDNLPSNLRPVSNAVISGEDLDGNGSLYLS YIVTHVALLRALLRPLDRWPAIIKVNKEEPEATYEGAKAVVTGALLCVKEFVEFVERL TGAQWNAFWHSWSRPNFAIAGSFMVHLLQIVTPPNQTDSQSMPELLKYSFEKEHTELQ DWIRRWRWATRISANGAAGVKGLTNLGFIKVETLIGNGT PEX2_055490 MESTDSSPPLSMTDTEKKGDAVTTVTDESSVSEYERFLHLENVF SGASRKKLLRKLDLRLLPTLSFLYLMCSLDKSNAGNAKLFGLLEDLGMSGTQYNLALM YFFFTYGLSEPVSNIMLRRVGPKIWFPFIVCAWGLITTLTSQASSYAGFVVIRLMLGI TEAGLYPGAYFILSMWYTPKEIGTRMAIFYGANTTAGAFGGVIAYGVGSLDGNLGWRA WRWLFLIEGCITIFAGLACLFCLPAFPHQYQAGKGTKWLTDEELEYASLRVKYANGPV SSTYTFRWSDVVAAAKDRKTYFMMMLFWWGGSVPTYSLSYTLPTMVANLGYTAVKAQV MTTPPYIFATCVCVAVGYISDQTQRRYLCIMGAYTLGLIGIIILWITVHHPSIPGVSY FAIFLAAAGYSAQAPIVGAWTASNITNPSKRAAAIGLLMLLGSVGGGSIGSNIYISSE APTYPLGFGFSVGATVLGAMIPATIHWFLMRKENKRRGGLDVAEIERKYTTEELGEMG EDSPLFRFVL PEX2_055500 MSTTTVITPGTITREKNENGAPLYPDYMPFYDPLEKVEDIGAFE HFDPGHRADPKLPNLLKNATKVWELSPHVGTEIHGVQLSQLDSAGLDELALLAAQRGA LVFRDQDFVNIGFDAQKKLVSHFGPLHIHGWAPHPAAGSEEHMIIYDHKDDLRVRQSW AGRSPVQWHTDQSPEQQPPGTTFIAMLESPTTAGGDTLVSSSVRAYSSLSPRFRKRLE GLTAIHTNNDGVSQELKHGQQAVMRRGVLQAEHPVVLVHPVTKQKALYVNPVYTKKIV GFDQEESDCILKFLFDHIAKRQDFSCRIRYEAGTVLVWDQRVTNHSQTLDYPIGDRRH GFRLTPLANKPIPAKIEEDDEEFSTDDARHLVGNAS PEX2_055510 MQETFEPVLLERKAAAIRKSTSNSQLQARTNNKRRTPAQILTRA TVRPLKMLLLPIILPLSLNCAFMFGLTYLLFTTFPAVFETTYKFATDISGLTYLGLGV GMIISIGLFAVLSDKLLKQPREGTLERPELRLILIIWSAPIIPIGFFWYGWSADKVTH WIVPILGTMFIALGAFLIFIPA PEX2_055520 MEPRPTIKFKLNGVLHSGADVDNFELQACYRALDNLRSLLFQAP MLELLKDQIEEGNRYFESLIQASRGEFRECRTYMKATGVSATELRTICSRWQVSQPID EVARTFIFPTHPENYVVMHSAGALARSGPDCGVEVIGEHMAKVRFVYLMEEEIPKWMA GQREEEYEMVEYLVAKLDSGNKFYYIMNEFMDTEGGCKIKLRAFFPSASPWSLVSQHA EHLAVEFRNALQMVYGAIEELEDTY PEX2_055530 MLGLGRPRLKARASLLDLITAKHTLTQPSPPAELPPLPDSPSST TFTHSVSPHSTQASSIMTSDDSENHGSVFSVSGPVVVAENMIGCAMYELCRVGHDLLV GEVIRINQDKATIQVYEETDGLTVGDPVTRTGKPLSVELGPGLMETIYDGIQRPLKAI ADQSKGIYIPRGISVNALDRERKWEYKPAGFKVGDHITGGDVWGTVFENSLVNDHKIL LPPRARGTITRIAPAGNHTVDEKLLEVEFDGKKSEFGMMQTWPVRVPRPVSDRLSADA PFIVGQRVLDALFPSVQGGTVCIPGAFGCGKTVISQSVSKFSNSDIIVYVGCGERGNE MAEVLMDFPELTIDVDGRKEPIMKRTCLIANTSNMPVAAREASIYTGITIAEYFRDQG KDVAMMADSSSRWAEALREISGRLGEMPADQGFPAYLGAKLASFYERAGKSSALGSPE RVGSVSIVGAVSPPGGDFSDPVTSSTLGIVQVFWGLDKKLAQRKHFPSVNTSISYSKY NTVLDKYYEKDHPEFPRLRDQIRELLAKSEELDQVVQLVGKAALGDSDKITLDVAGLL KEDFLQQNGYSDYDQFCPLWKTEYMMKAFMGYHNEAQKAIAQGQNWNKVREATADLQN ALRGMKFEIPEDEAEISAKYEKILQSMTERFASVSDE PEX2_055540 MASPTSGYSINVNDPSLISLVNKLQDVFSTVGVQNPIDLPQIAV VGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLILQLINKSPATNGETKLETTDSESN VNEYGEFLHLPGEKFFDFNKIRDEIVRETETKVGKNAGISPSPINLRIYSPNVLTLTL VDLPGLTKVPVGDQPKDIEKQIRDMVLKYISKPNAIILAVTSANQDLANSDGLKLARE VDPEGQRTIGVLSKVDLMDDGTDVVDILAGRIIPLRLGYVPVVNRGQRDIENKKLIAQ ALENEKNFFENHKAYRNKASYCGTPYLARKLNLILMMHIKQTLPDIKARISSSLQKYT AELSQLGDSMLGNSANIILNIITEFSNEYRTVLEGNNQELSSIELSGGARISFVFHEL YSNGVKAVDPFDLVKDIDIRTILYNSSGSSPALFVGTTAFELIVKQQIRRLEEPSLKC ISLVYDELVRILSQLLTKQLFRRYPMLKEKFHAVVISFFKKCLEPTNKLVKDLINMES TYVNTGHPDFLNGHRAMTLVNERQAAAKPTQVDPKTGKPLLPARAQSPSLDTTGEANN NSGFFGSFWASKNKKKMALMEAPPPTLKASASLSEREATEVEVIKLLITSYFNIVKRT MIDMVPKAVMYTLVQFTKDEMQRELLENMYRTNELDELLKESDYTTRRRKECQQMVES LGRASEIVSQVQ PEX2_055550 MEPLTTSEYYVITTCHVCHVDTHTHVAHHVHSVTNMYSSHRRHT IWASPLWLFILHLCCPL PEX2_055560 MATHLAAVSLAKGEPFEVQTRPTPKPGPDELLIEVKSVALNPAD AHMRDQGLFIATYPTVIGFDISGLVLDVGDNVPVSAIEESPGPFFQPGITRVVAYAAS FWKGCDPDYGAFQERCLVPWQHAVPLPDEVISWNEAATLPVAVEVPLNAWDIMGIPRV GEATASSSISAAPIGADTSERTQKSEKQKRGALLIWGASSSVGTMGVQSARLLREDRD SSFAAVYATAGAANQKYVGSLGADRVFDYNDPQVVDAIVSAAREDGLVIRHCFLATGQ LARCQAVLQAFFGDDQGGENQKAKIGSAPLIPPDAEEVSGVETIFVMPLIDKGERLDQ FQYWLGTWLKENLANGSIRPSPEPRVVGKGLGAINAGLDLLRRGELDIEYNHTTACEY IKEAAVQGAELAVLPEYHLSGMVPTDPLWAVQAGESAQYLAKYQSLAKELQICIVPGT IIEKQTGSDQSTLFYNTAYFISNDGTVLGSYRKKNIWHPERPHLTSSGLEPHVAIDTP IGRVGMLICWDLAFPEAFRELIVDGAQIVIVPTYWTPHDASPKARAYNPDSEALFLES TITSRCFENTCGVVFANAAGPSEDFLGLSQITLPLVGPIAKMGTEEGFIVADVDMGVI EAAERNYKVREDLKKEDWHYVYRHTGLK PEX2_055570 MSTSTPQDQFVALVAKGGNLSEADIEVVYNKLPALPIDFLRGEW KGGSFDTGHPGHTQLLSMNWLGKTFHSTESVDPIVVSKDGKRVCDENWGHAVLREIRF RDLVSTAMIYDKHPIIDHFRYVNDNFIAGAMDTSSFGDAGTYYFYLHK PEX2_055580 MPDTIIIPKQHRAIIYDQPGTVSTKVVLVDTPEPGLGQVLIRLT HSGVCHSDYGIMTNSWSYLPDTEKGQVGGHEGVDGLASENAALMLCAGLTIYSAFKCS EAKPGDFVIVSGAGGGLGHVAVQLGSRGLGFRIIAIDRSSKKELVLKSGAEHFVDMDE FSDDESLVDHFAPPTYETELLMLRPNGALVCVGVPEGEMQAIASAKPAVIIFKQLKII GSAVGTREEAMKTLDFAARGIIDPHVTVAKMEDLTDIFHKMHGGGLKGLIVIDMS PEX2_055590 MTNWGSFNGIEVNYLHAYARFSLIQRDVYRRLYTATATRKSGQD LIKEVKDCEAALLDWKKCIPIELQPQPKFSAGQNFFFQCILRLHFAYHCCYAQLHQIC LHAKRLIEVEMIGNVSPDSIQDIEHCISGSLTAARSAVDLLEHVDKFGISFTWSIVYF PAAVVATLFAHILTHPNQDATADLRSIHQIVQFLKNVTAQEHGTYVDYLVSLCSDFED AARQASRNAPSGCNRPPLDQGTASVSLLNNDLSRDFASPDQGFTGISGNTAFSDPQVA FQTPRTILCQTPKAM PEX2_055600 MESADFDEFIRWGEDCDEFPDLQYALGSEDISSWDQILDQYLDP YEYLDPFPLGALAPIEEKAEAIEALPELSPSPTPQYDIQMLSESITELKHRVNGLEDR ITEKQRRIADLEAYLENLQPFLLQLGTSIEGLLTSAPQ PEX2_055610 MTTELNPSGHNKPYYEEEEQLLISLKQYSGLSWAQIASSFNNEV PNDRQRSASGLENKWRSLQAAQRIVELNHLFQEQG PEX2_055620 MNQSATMENQAVNFENAVIPLSVVRRFFQREQADAHLIAKLRNE ISSLQSSLHNSGWRMQHVIVEKNALSTYYDQLHRDYLKLFEAYEHLEKRINYTQPRIT DLPLKERLTSEDIITENA PEX2_055630 MDLAPAYLAPPVGLRHKVITDEGFSRRNPRDGPYGVFITHLDEG VHSAIDTGPYEHLWNQSTRFVMSLLWESNDQRQRAKEHLISMNIAIDQQNETELNRPS GTGCIEVHNLHRAGIQLDLAVRDVKFYRSTARYEDVRDFVWERIQNRNYPPSWAITRH QFQCHVTKFEIKPSISNALDSEDGAYQQSNVQATSKSTLNAKANRKVSLADVIETHRK MAASQTSRKPSKPRII PEX2_055640 MTPVYKYYVLPGNFEFKYYLSIMANILENRFASRLNIFTLLRAC FGPGRIIPPKTQERLNRPSHMNGSKYVQEENPKRTIGALDASPNGQTSDFTITRVISI NYDAKGSMVLIEFGSEEGEKQGWYEYEEIRKNHGMSEALAKFQPTWDLAKPAGHQLRG PEX2_055650 MPPRRNPRITAEGLLHDLSAQTQHFLMFLEVDLTPAIAEAGTTS EFPSFVDFVSCGASSRLWILRLQTIFQWSSLALEEEEWLRRQGLDRAHPGNQVRGVWS MPSFLRHLGRSKDHNACSALQQGRKLRRFEIEFGEGIALLFAPVLPTFRRLSLIEEAK AMQLLRGSHANILVNAQRLLPLKSKYQTLVVTHMLLYLSRLTQFLSRFRIR PEX2_055660 MTTAQIGSPRYHEQHPSEMEVTGFVVLSASSDGADLNSEVHRFL HLKPKIIKGPFVYGRGDAATCGQFLRCGTEESGAFSRKINEAIGEVNFSIHQGPVIVL ALKNHSTWGTRLAHPSHDAVSIFRDLSDTDETRVRFMLYPPDIPKETISEVRPFIRKL RRDEILFVRGSIRMEIEVPASGSFVWQGNSGAPMGHDMLGPDVFEFMAV PEX2_055670 MTDNRWTLFSRSTDIASGIIPQNNDHDDSREIESHTDINENRIT VFPRSEEICDSEFDARNISQPQNREVRRFQIPERWLQLPIKRTIKADEPHPKRQMLSF CKDHTPWMKYEKFKEGAKPGKTCLAYATDSPGTVVAIKEYKTSGIDKTCHLKMISHPN IVNLLDAFKQSRTLYLAYEIMDLSLEQLQSGIRLKESDLAFICKELLYGLWYIHRDLG VCHTALTCDNVFISSQGNVKIANIAACLLERHQGSEQFDIKSIGIMICKVLEPGLSAH DLEASYASLSHGSDGIRTFISTTARATIQALLQHVFISYAAAEGCLVVPVMKVRGLVL HDYE PEX2_055680 MAGRAAMRTPEPPDRDEREDSPPRLARPKRTTRPPNNYAREQEI DTDRRKTRSQQKERIELGDRRDEATSDDAATEREELDVGGLVREIAKLRREIRLRDEI HKEEIQRTREQFGAALAEVRHELQSLTNRNTTPQCHSETCSQNNHDAILREIQSLREE ISVPAVAGSPSYADVARTPPSSYPSNIRTLLTLNTTPTTFTDTLFCTIDTSKMADKGT GLTSAGSVRAAVEAEMQSTEGHAHWRCRAVTVDPKNANRIRIACRDEDEQQLVKKVAE QKIGAGARVLRDELYPIKVDSVNKTSVLDESGEVRTEAAAAFSEENETNVAKISWLRR KESEKAYGSMVVYLTKRIDARRLSADGFFHAGGGNLAIRHSSARTPRNARNALQRAIA TATASNRFQSVYRAEGLTNRTARTAGSSIHHVMNNSLRIIQLNVRKQGAVHESLMNDE DTQNAVVLAIQEPQARRIQGRLLTTPMGHHKWTKMVPSTWREGRWAIRSMLWVNKEVE VEQVPVESPDITAAMVRLPERLIFMASVYVEGGNVSALDDACNHLHDAITRVRRETGA VVEILMVGDFNRHDQLWGGDEVSLGRQGEADPIIDLMNEFALSSLLQRGTKTWHGGGQ SGDCESTIDLVLASENLTDSMTKCALLETDHGSDHCAIESVFDAQWSGPKHQERLLLK NAPWKEINARIQNALAILPSEGTVQQKTDRLMLAVSEAVHALTPRAKPSPHAKRWWTA DLTQLRYIYAHWRNRARSERRAGRKVPRLETMAQDAAKQYHDAIRKQKKKHWNEFLAD NDNIWKAAKYLKSGDNAAFGKIPQLLKADGTTTNDHKEQAEELISKFFPPLPENIDEE GVRPQRAPVDMPALTMEEIERQLSAAKSWKAPGEDGLPAIVWKMTWPTVKHRVLDLFQ ASLQEGTLPRQWRQAKIIPLKKPNKDDYTIAKAWRPISLLATLGKILESVIAERISHA VETHGLLPTSHFGARKQRFDVKGAYNGVCKERLIQRMKARGIPEVLLRWVEAFCSERT ANILINGQLSETQSLPQAGLPQGSPLSPILFLFFNADLVQRQIDSQGGAVAFVDDFTA WVTGPTAQSNREGIEAIINEALNWEKRSGATFEADKTAIIHFAPKMRKLDHEPFTIKG QTVVPKDRVKILGVLMDTRLKYKEHIARAASKGLEAAMELRRLRGLSPATARQLFAST VAPVVDYASNVWMHACKDKAMGPINRVQRVGAQAIVGTFLTVATSVAEAEAHMATAQR RFWKRAVKMWTDIHTLPETNPLRRCTDQIRKFRRYHRSPLYHVADTLKHIDMETLETI NPFKLSPWAERVQTDIDEQHESLAEAGGCMQVAVSSSARNELVGFGVAIEKRPPRNRK LKHKALSITLAARAEQNPFSAELAAMAHVLNTATGLKDYTITLLTSNKAAVLTLRNPR QQSGQEFVCRIYKLIKRLQRNGNHVQFRWVSSSEDNKLLGLAKEQARAATQEDALLQE RAPRMKSTTLNLARSQAVPRNTLPADVGKHAKRVDAALPGKHTRQLYDPLSWKEATVL AQLRTGMARLNGYLYRINAADTDQCACGQAKETVEHFLFRCRKWTTHRTDMLQCTDTH RGNLPFYLGGKSPSDDQKWTPNLEAVRASIRFAIATGRLEAT PEX2_055690 MSQYPEDSRVTRFPRSEDVRAVTGWQQPAKNGFAPNQPYQEKLS VNYSTEILPPKRSMPPLPVEERLPQASNSLGKRQATAFCKESSPWITNQKFAILGTSG TTYLSQRLTSSRDIVIIREHMISESGITQTLIPTSHMNVVKLKEAFVDNGLAYIVYEQ MEISLPRLRSSVKLYNAEIATICKETLKGLLYIHQTLRVTYTGFLYKNIFFDRSGSVK IGNIGPSLMANSKPSPRSNAGSVGNLLLHIMQPGTMCRNPEEASLINPENWDKSIVEF QKSTEDLSIEQLLEEGEPRLINLPEGISIKLLHGEHRMRAAEQFLEPSERWWVVMLYT TELDQSTQNAIREEYSHQLKFTDGDIYRSICLHARRQDPSRVKKWEARLSPKKRKLVS LLNKPLHHPIRDGLNRNLPFVGLWDVLEIGALSRILPLHCPQEFGHYLCRIHEIWSFI LHDSHLYGLLDPRTVSLLETLSPEASHDASLIIRLMDIRDIFPGIENLITREEIKKRI LQVKGRILSFYTFFDDWKYMEALVKSVRPLLPLSQNSLRDEFYSHFVGKRHSSNGIKI QTGEHRYRTCHDSLVQQKFLAYLMMFLAAMRDFPILSQIAPRKSKGEKKPSIEGSPEE RQSSLAQLAVEIGFKSNEIERLLAADPDIAAARSFLRRSRPLDRYAVDEKYAIALSSR IGKELKNLATPISSKSPPEFSCQLDRIPKEFRCGIPDNRSYKNDREHLYIDVIYNYNP IPRSNLTSLAFQRDIFVSYFGIITIPGTSQRSDSADGGTKGPEDPYSPEIEHSVVIEE AHSSGEVPSQPDAENNSSVISAVQDEEDYFLNYAQKNTSPIGSSVYGESQSECYSRPM SNFPGYIRSIEAAIWPHNQLSESPQNEADEGNAQLNLREIQLALGFEKSLAKTMNEFH SLEDGTRPSDAAREFLSSSAMIVVFMWKEESYIKFQPIPGQRWIFEETMNSLADCDYQ FIWIDKENVVVNDLGDIWAVGLEARLVFAGPKMDYADEESLESFLAWIDNQRAM PEX2_055700 MTSPSSTILIEDDELPLSWRPTDSQVQRRSPRTSLEVLIEPNDA ASGSIEPSRKRKRAKGKGRDTLDTCFRKLRKTFDEELGYFDQEVERLQQKFQEIERKL LLQEERYQEELRSKLILQEERHQEELQRELLLQAEQHEVALSQIRTLRCIICYEQPDR WHVRACGHLILI PEX2_055710 MIHSSSTNKAITADRNSLYTLDGVNVEKWSENGWTRIDGNPINV QIAGGDAGLFLRQKDGAIWKYDGSAKKWSRIFGEATGTFDSVHIAVASSAYRVNSKGE IFIYRDNGRWDRIKGEDPHPSPPSQTGVALAAVYNGGYGNTAQILLCIGNSAAGQSGL IETENLAIEQGIAVAPRHYIFREHFLLAGPHSNPAKLDLEADILHQFSTLYTAAEAGN TTPSVRFLSCYDKSATSIKDSELWIKIGQIPWAMKYSNWYHQFIAYPIQALSAAAALQ EYTLTDFGTYLSVDETVRKQLTIFKRGQDDPADLLLMPAHLLVGANAQDLTLAKDFAA WATGKEGQAVIANFIKISQKVYSPAP PEX2_055720 MDARGVDCDISYHEDGLYTICFGTACWTVYVDGLESPYSLTYRV SNNNDPMFGQNVDAVLEWYDYDMK PEX2_055730 MPSVAWSQVNETNPSPYDQVFILSEAVINQSFQAMFPMPVPFSR QDKEAVRTRQTGQWISNGMVSAPQVSIHVEERSPAYLTLFTLPFTSGMIHLRTSPRGA RDTFQNFPLGGWKLVFKTRIDVGAGAGFDVPHSSYNGVTLSDDALDNLKLFVNEWLNT ADTRHLNVIGYSLTAQKPTSPQNFVGTFAPSIIDYAPYAWIDPRRPTVETDGLQQNAL AMLTYTNSSHRSSYAPPGLQHSGAFTDSGAAFCMNMSLLWNHFLLGLLQEINQKTEVI PQKAAFATVECRYVLGKNPRHRSMSDRYFGWKQQSKDGQPHWIWKGDAQKRADKWSSR GSKMYYKFAQEELSFHAGGSDIHLKGTVIYDAQLTTIGYPWSMAFHSVTEWNLSFRMQ AVAGGGIQIIRAGNPSVHAKIVKVKSNNFPDKGRINRGLHSLEKSLENYLRSGIGRIT NQLGSKLKNLHKLFLPGLGVFRFGTPQFNKRGDLLADLEYLKTNAPSKFVISNRSVRD SSHEVDALPLPFEEDFESRFAHIALMSKDYPEDEPVFDEENMHQRIEMDYEHKEESDL FFDLNIIDIEKSAQYEADEDFFPGPGPGPLAGVDWDHVERTERPWHEEGDDEYTEDKG PDDKPEKEITKQPTVKTPVVDNEDGDIPPPFGPLDG PEX2_055740 MKVAILGATGQTGSIIVKGLLASTNPKYEVTALTRPSSLQKPGV ISLANDGVKIVAADLAGDEEALVKVLAGIDVVISTIYGGGVMAEKALINASKAAGVRR YLPCFFATIAPPKGALLLREMKEDVLNHIKKIKLPYTVIDVGWWYQVNLPRLPSGRID YAVMETSDGIAGDGNVPTALTDLRDVATYVARIISDPRTLNRMVFAYNEVFTPNQMYE LLEKVSGEKVSRKYVTAEDIAAQVHAVEVTHPAADSVEFITLAQLQYWYSCGVRGDNT PENAQYLGYLLAKDLYPDIKGKTLENYAKEVLEGKGKRVYEHIMDLPSIKAANSSLA PEX2_055750 MAERPQYFENTLTPLPINATTLSESLQEFRIAVRNGAELIQENT PLPETWRPNGIFRDFPGIALAFLRLDYQSSVLEESKAASLDYRRYALQRIPSSLPDTP LLASRLSPVGSSSPVTAVILRVLGKFARNNWQDNVSVGITRVDITCLHEAMQWALQNE PLVTHNSRKMGGDEMLFGRAGLLGALLNIRAHNFDQETHEALSPVLGAIPELIRVIFD AGRQGSKEFTEKHETQDAHPLMYAWMEGHYCFGAVHGITGILTILLSCKPEELADYLP VIGGTITALCKLSGSTNGHLPMTLPPYGSKQSSSELVQLCHGSPGLLILLGAALKNKS LTHAHWDPSWDQAIYLGTERVWEEGLLSKGGSLCHGIAGNAWAWLLLHDCFEYHSETL NEARTLYLQRNQLPALPNVEMSQELTSDFFLSRALAFMLHARQTKPYNTSPASSDKDY RMPDEPYSLFEGLAGNVCAWADTCAVLQARLRKMELVEMGVCATSGLSRDPAFQDAFC RQLGFPALGGNGAMGIF PEX2_055760 MAFSYEDGIALLQLIAFVPCLALAILLCYQQGMKAVASCWRFLI ILACLRIAGAVCQLITITDDSIDVVTTKITCDLLGIAPLTLAAVGLLQRVHVFFRNVS VNKLSKWIFIFVSIVSLVGLALGIAGAIKALDSYTIPPMLQAALGMFVGCLGLMLAIM GYLTIYRNEMPRNEKIILYSVYACAPLLIVRTIYGCLGDYTDIERFNLFEPNPTVNLC MGVLEEIILMIICLAVGFYCPPPKEPQPDAVDTTNSDEANIVEKDSSPPSDTRSDTVD TMNKEKMAEEGRMGTSTPSTL PEX2_055770 MAETEQRQLAYWLEQLEGSQPAELLCDKPRPAISSGKAQVHHFA LDDQLYQELQAFCQTHQATPFVVLLAAFRATHYRFTGVEDATIGTPIPSNTNLLCVRT TVEPEDSFYALVQRVQQTNSAASSNQDVAFQKIVSELRPGTADQSRNPLVQTIFAVHS PEDANSPRLDGVTVATSKFDIEFHLIQETQRMSGHIIFSDDLFEASSIRSVESVFTEV LSRGLKTPEALVSTLPLTQGLSALADMGLTDVARTDYPRDSSVVELFRRQVSLNPDVV AVKNAYSSSQLTYVELDRQSDQLAHWLVQRGLAPETMVAVLAPRSCETIVALLAILKA NLAYLPLDVNVPVGRLESILSAVQGDKLVLLGTGVTPPALQLKDVSFRYIAQVLDEQG QLFAGTLPTPTASSLAYVMFTSGSTGKPKGVQVEHRGIVRLVRETNVASKTQSSGNIA HVANLAFDAATWEIYAALLNGGTLVCIDYLTVVDPVTLGQVLQREAVRSCMLTPALLK QCLASAPSALSGLELLFAAGDRFDPRDAAQAKGIIKGDLVNAYGPTENTTFSTIYKVP ANEKCVNGMPIGVAISNSGAFIMDPEQRLVPPGVMGELIVTGDGLARGYTNAELNKNR FVHVTINGESVRAYRTGDRVRHRPSDGMIEFFGRMDFQVKIRGHRIELPEIEHALLRS ESVTDVVTLVYQPEGEPAELVSFVTVQGDIADAAAQQDSQDQKNAGDGGRSKESLTNS PLHLQEAQVVEAQLKTALQASLPKYMIPARITVLDKMPINANGKVDRKQLARLASELA KATKGSRQIVEPRTDLERAVCEELSSVLNIDAVGITDNFFDLGGHSLTAPRVAARINQ RLNANILLRDVFAHPVIADLAKKVGESLGDSPRDKLDQNSGEDEAIEIEDEEELLTMP LATKLRHFNSVARPQCVKSLGIASEDIEQVLPATGVQTRMLVFVEEAEEMNITKPCIE HFVYHVPDELDSARLNQAVVDVTKRHDAFRAVWVQVEHPLSPYAQCILNPAHPRAQLP MVHSVVHEYDTSPDSLWEQTISNAQRSAEEYIRLDRVGAVVHVVRSADNKHHVLIFSL FHLIYDGMSLDFLRRSFAQAYEGVPPSNLRPVSMRFPVEEHYSTDWLATSIYWMKRLA GVAPFKAGQTVLKGAVPGQFTSVRTTQDTACEILESSISLSELFSLSKNKFPSPMAIV QGAWAMTLAQTLVKAKSAGQEPSAANLDVQFGSVFHGRHSPEALRCVALMLNVFPTRI VFSDAYKSEEKGGPKKRTHREICQELFTQYVDSLAYSEMPCPTIDFAKLTRRFDNTII LQAYPKEEVDVDASGLPKTTMETLPGFTREENLQDPWKESNHGYPILMELWPGRDNED EKFRLRCTYNNRWPGYEFMTQEWAQGLLLTLNHALNQILHNPDGEFDPVGLVEARQQ PEX2_055780 MRSMLSHSTQVINRARSKVSKRTSTATPTDHLSVERTAPPQAQN QQQNTFAPDYHASHPSGVVDETMLDMSDVDVGAGMFAGGNFMPELMSFHDPFNPHETG LFSLDQDASPSAMPVSSDGPRSAASVPVSVSGHRSRVGASSVGGDDVALDQFPDEGSN RGDRQRLGHNNFTSSQPEVIARPFSLPLVMIGLNFFLSHYVVRQSGPSSGFLDYAPAM LAQDDGGNDMLECAILAVGFSGLARTTSQTDLLTRSMMMYTRTMERVNLALADPQAAR RDSTIVSVLVLALYEFSKASIDGWKHHIEGATSLLNLRGRSQFSTSTGLQIFKDVFSQ LITNCLRSGSPIPSGLRMLRIEAANAISVSDPYWVTCSGMVELLDLYQHISPGGYSFF PKSSGASSSSSALATPSDSSNSPSTASGSSPASTSMLSGPLKGTNISIEHLERYLSQA FEIDYRIESAFSKCPSEWQFITTSNSSSNSYDPTRIQRDVNHIYHDVWVASVWNGMRV CRILANHAISHLLLRGATTDSNWFFANNYADRLHQATQTIVRLRDEMLASVPQLMGSG TSPAQELQAQQQQQQQHERSKNNAGSAVGGYFACWVLLTVGCMHNLSHETRAWTAAQL RRISCQLGLTQADNFANFVESSNLRPPLS PEX2_055790 MTISIPKTQTAIIAVDEEGTLRISQDVPVIDLEPDSVLVKTAAL ALNPVDSKMAKGFAVPNAILGFDFAGVVVAIGTGVTREDLKVGDRVLGTADSMDRKRP SGGGFCQYASTVATQALRLPDDMSFTDAACIGTSLSSAGIALFRSMKVPGSLTAPNSA ASPPYVLVNGGSTSTGTMALQLLKLAGFRLIATCSPSHEELVLSYGAEKTFDYRSADC AKDIRSYTKNALAYAIDCITSTSSMKLCYDAIGRAGGRYTALDPYPEAQATRKVVKPD WILGSTVKGRGSGWMAPYGRDADPDARIFADEFYGGAQKHLLEGKLKNHPAKVMSGGF PGILEGLEMIRRKEVSGFKLVYEVDQ PEX2_055800 MSPSHNEPIAIVGSACRFPGDVTSPSKLWELLREPREVARPIDR FAASSFYHQDGHYHGASNVQDAYLLSEDPRYFDAQFFSIPPGEADSIDPQQRTVLETV YEAVESSGLVLEDLRGSDTAVYVGVMCDDYGNICYVDQEAVPTYAATGTARSILSNRV SFTFDWRGPSMTIDTACSSSLVAIHQAVQVLRSKNSNVAVAAGANLIFSPNMFIAESN LNMLSPTGKSQMWDANANGYARGEGIAAVIMKRLSDAIRDGDHIECVIRETGCNQDGH TPGITMPSQEAQTKLIHDTYRRAGLDLSKPEDRPQYFEAHGTGTKAGDGVESKAIYHA FFPEGQTTDESLWVGSIKTVIGHTEGTAGVAGVMKASLAIQNKTIPPNLHLKTLNPEI VPYYGKMQIAKTAQDWPALPAGAVRRASINSFGFGGTNAHAIIEAYEPEVSPVQAEAA AKAAIALPLIFSATSEKSLTSLMAKYLEYLTENPDADLTSLAFTLHDRRSTFAYRSHI AGQSIEEILPKLQKAVEEQQSGNSASIVRGSSAKKHLLGVFTGQGAQWATMGRELIRA SKLAQDIIGKLEQSLAELPASDRPSWSIMEQLMADPAQSRIAEGELSQPLCTAVQVVL VEVLKLAGITFDAVVGHSSGEIGAAYAAGFFSATDAIRIAFYRGRYAFMAKGPEGQKG GMLAVGTTLEDARELVELPAFEGRVSVAAYNSDASVTLSGDIDVIEEVKEVLDDERVF NRQLKVDTAYHSHHMIPCSAKYYEALDNCKVQILKPSGETKWYSSVYDGKLMEPCEEL KHTYWVDNMVNIVLFATALESALNNTVPQPTQVIEVGPHAALKGPASNVIEEVVKAAL PYTGTLARGQNDVNAVASTLGYLWAQFGRSSANWKGYSQVFSSSIPTILQELPSYPWN HDRLFWYEARKSRVNRLRQDPAHVLLGTRTDSINEREYRWRNYISSKEIPWLSGHSIQ GQTLFPAAGFLVMAVEAARVVGRDQAIHAIELQDSQIHRALAVNEDKSIETLFTLSNV TSVQTGADTSTVTANFACDACLQKGSDVFTSIASGKLIMSLGTPSSSALVEYPKEAGL GMLDVNCEEFYAYLASIGYNYADLFRSITSMSRCCDLAQGEIITSTASQSSPMDEFLL HPSTLDVAFQAIFAAISYPGDGALWALHIPTTIRRVVINPVICPMKGGLDQKVRFSAT SNRADDGTFSGSVDIFPVHSNHSLCQVEGMMVSPVSPPTAKDDRHMFGHTSRWLSTPD AEAVAKSVSITTEQEQEHQLLDRLALQELRKMVASGNSEHAHLRTWAQGVLKVAGTDV STDQNDSSSVTVDAASTADLAVLKALGSGESGLLNKFYASAFGAAEARSSLISLVHQI SRRYPHMRVMELSAGNGAATKPLLETLGALCSAYTVTDSTDEHFAALNKEHGDDLFTQ TLDLDQDLEEQGFSAASFDLIIAPAGLHNVQDRAQAIQKLRWLTRPGGFLFVQQITNA SAAHVGLTVASLASETEVPELSEYDALLQDAGFSGIDSVTPDSVSPFSVFVSQAVDAQ INAIRAPLTASNKTTIDQILLIGGRRFQTSRLIDNIKNILAPYCDNITSIQSMREFKS SLLASRPLVISLTELDEPFFQDLTDQKYKALQTLFFRSRYIVWATRGANGDNPYANVM KGVVRCLLIEIPHLHCQMFNIEGKVKINHHATVLAEQLLRLHIADSWKDKIPAYSALW TSERELMLADDKLHITRYDSEAELNDHYNVLRRRVVADVSTADRVVAITADKMLQQFR LNPQAPIGAEEAKASVNVEKSLSTAFKVGDLGYFYLSIGTNKETSETVLALTDQHQSL LTVPESRLLAVNVAASERRTLLVSVGATLLASALLEKSTASDRLVFHEAPTVLAELLR EKARKTGAQVVFTTTDKETTGHWQHVHAYTPVRELSRLVQANTSLFVNFSPATEGSEV VSRLKAQLPFRARCRTLSDYLPSQSVLYSDTTEAQLAKALKDAHDKAVSEASSVAFDQ VANAFVQQLAVDQTLSEPLTTIDWTDPTPFPANLTLAMDEVRFRSDRTYFLVGMTGSL GLSTCEFMMERGARHFALSSRRPNIDQRWLDKVETNFGASVKAYPLNITSRKSLQGVY EEICATQPPIAGVANAALIMRDGLFMESNATTMNEALGPKIDGTIYLDELFANVDLDF FILFSSLVYITGNIGQTSYAAGNGFMVSLAHQRRQRGQCASVMNLGGINGIGYITRTD HNILNRLDIMGYGIMSELDYKYFFAEAVMAGPPDSGCDPEVSAGLKFVNPKTDKNPPK WWEDPKFSHYVIDKSAREGGDKGAEGVLSTKAQLQEAATDKDAYNIILTALQVMLHKK LDLPPSESILSDVAIVEMGVDSLVAVDMRSWFSSEFDHDIPIIKILGGATLADLVEDT VANLSPSIAPNLGGAAAAEEEPKVEVETPSEDATPAASDDEPIFSDDDSPATTDIDDS SVTGKPTAEEKAETDAAPAAPAVVRPDFVRVEKMTHGCSRFCFLRQYLEDASCFNIIV RTRLTGQVDVARVQEAVYKVGARHEALRTAYYVDEEGEPMMGVMRDSKLRLEVSKITH ESEAEKAHKEVSKYNFDIENGEVIRMQLLTVGPREHHLIFAVHHIAIDGFSFNLMIRD MNMFYQGKPVPRIALQFTDLAIQQRKDIESGRLQDDIIFWKNKYTTLPDPLPLFPFPG VSARLPQTKYEHEEVEMVLDSEIAQKIRTLCRQNRCTTFHFFLATFRLFLTRWLEIDD LCIGIADANRKDIKTLATVGFLLNLIPLRFGGLTGKETFSTLLNAAKQTSYSALSHSA PPFDLLLQELEVPRSSSHSPMFQAFLDYRQLALKTPPMLESQTEGESNFGATAYDVVL DVTDDAASDITIKWQTQKSLYNGRHTQAMMDSYMHLLHHCAKGPAGSIASAPLYRDEH VTAAIEAGRGPQFDSQWPETLSLKVDQVSTQYPNDIALRDGLGDSMTYKAMDRTLDQI SEELFQAGIQPGDKVGVFQQPSAMWICSLLAIWRSGAVYVPLDPRNGLPRLAATCGVV EPNSVLCDSSTAADVAQLALPAGVSTINVDQVRKANNSPARRPNLSKGDSSAIIVSSS GSTGVPKGIEVRHLSLLNLFEGDSQIWNLGRPNTVQQSAYSFDISLDQIFTSLVNGGN LYVASQAQRSDPQAMAELIANNQITYTMATPSEYANWINYAAPTLSKATSWRRANIGG EGWNATLRDSFATLNLPDLKIQNCYGPAENSVWCTRQPIAYPVESTLIPAGPALPNYS FYIVDKQLNVVPTGVQGEILIGGAGTAVGYYKRTDLTNEKFIEDKNASSAHVAKGWNR AYRTGDKGYLMTDGTLVVLGRITGDTQIKLRGFRIELEDIEATIVRASNGVLSRVVAN VRGEGQQSYLVGFVEFADGYQADQQKTYLAQLLNKVPLPQYMKPNMLTVLDRIPLNSH GKVNRLAIATIPVQSQVDDAEISTEVTDTEKAIWELWQEILPQSIMDGVAINLNHDFF QLGGSSLLTVRLQSRIREAFGIVVPLPKVIESSKLSDLGALLDDLLANSGINWDLETA LTDDMLQISPADASSTTRKNTQPLTVILTGASGFIGRHILEHLIQDPKIGRVHCIAHR PLSDELPHRQKFNAVVASSSKISVHEGDLSAPRLGLSETEFAALAQQADMIIHSGANR SFFSTYEQVQAINVQSTKELASMSAASLRDHRRVVPFHFLSGTEAATIKPASDGSQGY VASKWASERFLEKYADQLRLPVHVHRQLPVPEGREAQGEELDQILQEFVDVAAKMTEL PSSTTWGGHYDLIPADRLSRDIVDHAFTALASTDNQISSDNVKQYSHLSSVRMDIAKV VERLASLPEYTQSDRPKIAAHVWVGKAKIAGFRYQFSTMNMVLQDAEGKGLATLSR PEX2_055810 MAAPASKTIHDLNGSWAVNDNLSESSADILKVQGVNWLTRKVIA MANVTLTIDQRKDENGEILFDIDNKPSGGLPATQEKRVLNWKPVELTHGLFGNIRGRS RICKLADLDDDYLRQGWEDGTEEVMQFKTEHLDSKGVVTQQVGGFIVLNGTRHYARRV LVNKEDGERLEAKLVYDYQG PEX2_055820 MYAQSEKLPMHGQDRAHSQSQYDRPSYPPRYDEAQYGHPQPGAP QYGAPPMGQPQYGQSQYGPPPTGQPHYGQPQYGQPQYGQAQNGQTPYGQLQPGPNPMF GGQPTPDPRYDSQAGMATMGGPLPLPIVIPQQRPGSQERGFMAAYSPSLESCGIDQKS FLRFIDEANTALQGNKYLAGVQVVSLGVGFTPEAIVMGVAVAVQAGAYVANKGYVRGK TNNIMDKYNRELFAPNGLFCMIMKYEPELKEPKNPNRLKQLASLAVNGSSNGGSSAWM RSHVSGEAQGPGGLPTAVAPLIYMENHRQHKQYLSDSPPESPGFKSGDVPAEGKTSTK DKAKKAFDNFSDYLDRRARAKYTAENGNDVLSGPAGRGFSNRYLDPNHPAVNGGLIGV LSGGVLSPTPEQRAQKQASRIDSEEKRVLDEYNDRRERILNDRRSQSETDRELRRLEK DYEPRLEQFRKKRRALEGGKRSIKSNILYLTVVNLPSDATLAAASSTLEQEYGHSVTT ETIQPPPY PEX2_055830 MGIKTLLLVLVGLAFITRIVSEKWRHSQNAKRLGCQPAPMAPSK DPLAIGDILEIIQADKDKLVPELLESRTNIMRKNAGRYVSTFRLKRGLGENLLTFDPE NLQAMLAKQFKDFCVGGERLGCMGPLLGKGIFINDGAEWSHSRTMLRPQFTREQISDL RLEEHHVQNAMRAIPAAGSNGWTEVNIQSIYFRLTLDSATELLFGESCYSQLAATGDA EDRMASGAKVSDFGKNFDRAQWYMAQRLRLPRMKFMYDCKEFRNCCQEVHRFVDECIA KALDDHKKKKHPEEGASEHYIFVYAMAETTKDPIELRSQLLNVLLAGRDTTAALLSWA TLLLSRHPEVFRKLREAIIADFGTYENPQNITFATLKACTYLQQVMSETLRLFPPLPT NARYATKDTSLPRGGGPDGQSPVYIKKGQAVLYNVHMLHRREDVWGKDAGDFKPERWE ARRSGWEYLPFNGGPRICIGQQFALTEAGYVLVRMLQRFDGLEDVNADQRIRWGLTLV SAPGDTVTVRLHEASR PEX2_055840 MSEVHGDSELVSSGPDLDYPLALAPRQVTLRDRVTVATLVPFAS AEEVPRALMKYLSDQFNKEIEKGDTYAMTEPIPLAQFGRYWFSNFGVVMLTGDIESAE QTHTMDRAGANWTKICLGGFHIRPNYPGRSSHVCNGTFIVTDAARNKGVGRLMGESYL EWAPRLGYTYAVFNLVYESNVASCRLWDSLGFKRIGRVPGGGSIKSQPGEFVDAIIYG RGLSLDGEDSVSQDRFEKIRYYLKHGKYPRGADRAEKSRLRSAATHYKLLGGEDGEPE RLMLKDKEVVSDPQQQYDIAQEVHLKQHAGINKTTAAIAIKYHWVRIKETVNRVIRDC PQCKETLKAPPIPGSKEEDKSPSETVSGMDMDSTMEQPQKEPVNVPQAMDESPDEPSS YNPLMHQPIPAAMPGTVHPMAGFAPMPLDPQIMQLHQQLRRYQQQNPMAGQFAPGPHN LGMSSFDDAMRYHTASNAYQMMVDDGSDPFRQDVLGLVNPPPHELQHDAELLSKFEYG SPSDGNYDFT PEX2_055850 MAFNGQTPTIVVLKEGTDASQGKGQIISNINACVAVQGTVKSTL GPYGGDLLLVDANGRQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVV VLAGEILKEVRDLVEQGVSSQTIIKGLRRASAMAVNKVKEIAVDTMDASVTHEKKVET LRRLAATAMNSKLIKRNSDFFTKMVVDAVLSLDQDDLNERLIGVKKVTGGGLQDSLFV DGVAFKKTFSYAGFEQQPKHFENPNIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDA EWQIIFNKLEAVYKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVASDDMDRVCQATG AATQSTCTDIQERHLGTCGAFEERQIGGERFNLFSDCPRAKTCTLVLRGGAEQFIAEV ERSLHDAIMIVKRALRNTTIVAGGGATEMELSSYMHGFADRNVPHKQQAVVKAFAKAL EVIPRQLCDNAGFDATDILNRLRVEHRKGNTWAGVDFDNEGVRDNMLAFVWEPSLVKV NAIQAAVEASCLILSVDETIKNEESAQPGQQRGMPPGAAQRALRGRGRGMPRRG PEX2_055860 MNHQQQPSYGGYPGQSYHQQPHQQQQPPQQQSNPYGYSHSPQPS QQPYGGHAPQQGYNAPPSGYNQRPPSGQQIQGRPGLPTIFQRAIFSAMKANDAQIQPT AKAAVQPPLPAIPSPSVTALPKATASNTPAVRKGQLRGCINDVKNMSTYLNQNFGYAR EDMVLLTDDQQNPMSQPTKANILRAMHWLIKDARPNDSLFFHYSGHGGQTPDLDGDEE DGYDEVIYPVDFRVAGHIVDDEMHRIMVQTLQPGVRLTAIFDSCHSGSALDLPYVYST SGVLKEPNLAKEAGQGLLGVVSAYARGDMGSMMSTAMGFIKKATKGDEVYERNKQTKT SPADVIMWSGSKDDQTSQDAQIAGQATGAMSWAFIAALRKNPQQSYVQLLNSIRDELS TKYTQKPQLSCSHPLDTDILYVM PEX2_055870 MQSILQHRRIRQKIEQQFVTKHEKPRDVWTHEGRYDYHEGEIHT QPREEGDDLAAGRRREHGHRTFISGPALHPRHTARSHLEREGDIEGAEVDPEISTDPH TINAEETLGNTADIMVTGVERPWPPDHATDSETDVESVKRNKIIIVTFEGDCDKMDPH NWSLRRRILTTILTSLTGFVIFWSSTIDATAFTSTKKFFHTSSEVQSLPTEVFGRNPV YIPTMIGFMLFNMGAGLSQTVVQRIVCRGLAGLFGSAPGVLSAASLVDIWSRIERVYT FPAFSIIIFTGALVGPIPGSFAVHAHSVSWRWVDWMTIILSGLILIPVVLFLPETYSP ILLYWKAKELRRLTGDDRYRSPLEFRKATFTKRMRSSLYRPLSLFLTEPIIMIHSVSL SLLFMILYTFIAGYVSIYEVANHFTQTSTALAFLGIEVGVVLSALTVPLSMWLLRREI YRSRERGQNRPDPEISLYMSMFGAPAVPISLFWMGWTAKLQISFWSPLVASVFYGFGT LCLFVSGYQYVADAYESHAASALSCLQMSRLVAAGIMRIIAETMYKKLGVSWTLTLLG GISLIFLPVPYLLYWKGHKIRSRSRYARRNE PEX2_055880 MSIILEDNQPPGFLRLGPTWYHEIPFLPYGWETTNDRGSGSLKH AAMKALLQDQSALKPELFEYVPWYLAQYLWDALKKCNKQTMHMWKIMASVYPVQFCEV SPYYCLNAGSPKKPLRDYMGVLNSDDLRWRAILTIATTYCTATDLTTIPNIKNLVALD IYSEPYSSIIAPLDPVGVSNDGLPLQDGFVRGWIESEALQHLRILRFYHQCEMTIAAL EALRELPELQLVVAYECKNITETIQRYDKPANGIIPIKGWSACRLDWFWDTHGTSKTI DDNLLALLHVYKSSLQTPENGDLRRPSSLPTNLPILEFKLPTVDHSRRDRVVVRSRYN AKSIVLFTRDPAKQKLDIEKQQRAREKKRSEPPERGDRPAKRAVMKERGPVDISETLN QFF PEX2_055890 MRCIKNKIEHNGSGAVTLCPDEPEDMWHAYNLIRPGDILRASAI RRVTTVQDTGSTSSARVHLNLIIRVKNLDFDPQSSQLHVSGQIMNETPHTKIGQFHTL DLELNRNFTLEKEVGSDGEGVGWDSIAIESLKDAVDEGGKRRAEAVAVVMQEGLAHIC FIGQFQTILKQKVEMSVPRKRQGGGDHDKGMNKFFKVTLETLLRQMEFNTSLTSGANN EAVRPVLLASPGFVASGFQKYIQSEASTTTPGLKRLLPSLVVVHSASGYTNSLSEVLQ SPAVKTILADTKYARETKLMDDFLEQLRKETNKATYGPREVEYAVEQGAVGRGGGVLI VSNRLFRSQNIAERKRWVSLVDRVRDVEGGDVRILSSDHESGKRLEGLGGIAALLTFP LVEPDLDSDVE PEX2_055900 MAPVSLQSTYKLVSGYEIPVVGFGVYQTPSDVTEKVTRKAIELG YRHVDSAKVYGNELESAAAIRASGLDRSKIFYTSKVPSKSMGYEKAKKAIEESIAAAN LGYIDLYGLTRSPENKANKQTNTVAISMLIHAPYGGKEDRLGTWRALVEAQKAGHVRS LGVSNFGIQHLEELEEYIKSGAGGQITVGQYEIHPWCPRDDIAEWLQKRNIVVEAYSP LVQATRMKEPVLQNLAKKHGKTEAQILIRWSLQKGYVPLPKSVTESRILENSEVFDFT LSDEDMASLKLNAYEPVCWDPVRDCKI PEX2_055910 MPDEPRRIILEKSKTVKRRYQRSNQRFQFTASQIARLDREEERE KKAKKLREKEKKRIANKKRKAEQEAQAREERKRRGIPDPNAARVPSSQPLLSMFLGAG KRQSPTVAEPAPIITEVESHDNDLGSASGDTEAESDAFDDLDEELENDLSELQDIGIL EEGEAHDISTATRASFEDEDEFSDCSVFDDEEVMKKAETVATTRTTDEETKSPSIPKE SPYLRPLPAVLTLESSFGESFQYDPADFLEAEAAILTQINSPGTKDHSLPKDTTLLHP PLSDRPCSRPTLASSFGDSFRDETADWIEEAFAHGSGDPFDEFNKKPSQ PEX2_055920 MPFVQANSHRLNYADSHPAGPPTPTGLTFIFIHGLGSSQNYYYP VIPHLTKLHRCITIDTYGAARSPYTNDTVTIPSIAEDVIGVLDSLHVPKAVVVGHSMG GLVVTELGARYPDRVQGVVAIGPTHPSDTLVTVMNKRSETVLESGIEPMANTIPFGAV GSRSTPLQKAFIRELILGQDPKGYAALCRAIATAKPADYAAVKAPFLLIAGEEDKSAS MEGCQHIFEHVSSKQKSLEVLKGVGHWHCIEAADEVGELIAKFANVVNA PEX2_055930 MTTHRFDPAFTDNVINAMGPKTTPRLRKLMAGLIRHMHDFAREE ELTVDEWMAGVQMLNWAGRMSDDKRNEGQLVCDVLGLESLVDEITFTLAEEAQDAPTA TAILGPFFRADTPYRENGEDIVKTKPADAEMTFMHGRVVDFQTKQPLVGATVEVWQAS TNGLYEQQDPEQVEFNLRGKFKTDEDGKYSFYCLRPTPYPVPNDGPAGKLLELMDRHP FRPAHIHIIATYDNYRPLTTQIFDRKDKYLDNDSVFAVKDSLVVDFVPRENDPQAAIE LKYDVKLVKFGASTNGARASSGIKVANL PEX2_055940 MESAIRWSPTSSATEQRFLSVDVTGKSFRLCRVTGFDGKYLRHE VLSTLTNVPGFRAFDWSSSNENLIAVGQSSGEATILRLDGDAKESLSFPVRNQRYCNA VAFSTHGLVASGLDRVRNDFCLNIWDVNQRLSPAGGSRGFTEPLRKLASSEPITSIKF FRDQPDTIVTGVKGQFVRIYDLREGLGNPSLQFPTRCVHNLAIDWLDENYIASCIPSN ESTICVWDRRVGSRLTSPSMGSSASAQESGYATPALELKNVFHPKSSIWSLRFSRTNR GSLSALSSSGHFKNYDIAKDYLPEEYRSSIDETLGQGSSRNYPESVYTKHVRDVCVPF DNPTRGCKEKDRVVSFDSLSLSLSPQPSAIALDGNGRPQIITARPPCAPIDLSSRSVL ACGISSNESDVRSIHPLSEHISPISDLIGTIRSRVCSTSQEHGTNSNGELFVSKGLDS EPVPSHENRERAMALGVMGVPLTAKEALTLCTINQSRCKEGYLFDEAQNRKVASDDQA LQDFWNWIERARTESSGTSMVVNGLDLNYLGVSNIWNNDICNGFEKRCINAKKADAKA VADAVETLAIQLNLPETTGCDTDFPDHRRLCLRLCGATQSYSELEETVKTLSGEDQHT KAAALAVFQDEPKLAYLGLRSNNPTQAHKLLAMAIAGASKGDNDTDWEETCAEIAKEL TDPYARAILALVSKGDWNAVIKETTLPLKYRVEVALRWLPDDELTEYLKEATSQAISQ GDIEGVVLTGLGHLAMGLFQSYIGKFNDVQTPVLAMSHTVPRLVSNQKHVVQFEAWRE TYRRQMNSWKLQLERARFDVGSRRFAVTADGRKLMPPPPQQVSLTCNYCTSPLTQHDA SSHVSPSSKSAENVHPTAGNPLAPTVMSGTVCPRCGRHMPRCGVCTLWLGSPDPMSRA SIAADAEAGSRKPTETEVMRRFVVFCIHCNHGFHANHASDWFKRHKICPVAESEKEGL KMNAQGYASVPDVLNWRKLKSLKVTFPEILHAVDSSDKKRFALLHIPSAQPTKSTTQA TTTPVTSPNAEHDAATIVGEDQPATSVLDSAPATSEAQQTATDQALSVKDTDPSNFLI RATQGHSIKTVDAASFLEPLSLSDESKLPDTVVHGTFHATWPAILQSGGLRCMGRNHI HFATGPSLESVLAVHTDDAAQGKSKPDDSRVISGMRRDAQVLIYIDVRKALQAGVPFW RSENGVILSEGIPVEKSEQTEKGEVQKFVALDFFKVVVERKAGLGKLWENGEVLQELP ETLTKKGNPKARR PEX2_055950 MSTDTRSHRDREAPSKRKTRDGSEDVPPARKKVHRKADKADDQV QFEDYSRKGDASKPKVTRKYKDKFAPKPEKEYPSINDLKKRIRDVKRLLNKMDLSADA RILQERALAGYEQDLADETTRRERSSLIKKYHFVRFLDRKTAIKELNRLTRREKEEDL DSKQKARLAAKIHTCQVNINYAIYYPLTEKYISIYPNGKPDATDPGSELQKQETKSDD AKPPLWSVIEKCMEEKTLDLLREGKLHINANGEKIQTSSSSTTTVTDAHKEKSKTKET KAPTQKEKHASKDDKKSSKKEKPARSERASKKHEAPQPANTEDQDDSDGGFFE PEX2_055960 MPPSPAKEARMACSPADEASFIKQQKTLRDQCSSILACHLKLGP DVVWTPEDSFGRYPTTKQEEAQLKLVLDVEYNEKTSEWEFPPANNRLSDELVYLAVKF MTARDRARQYSYDFDAMGHIKPERVSSGPAPIIWAHGLPFFPVYKGYYILCGRAHADC IGWLLHEKNKEIMQANPILSVGAVIAPDSAVMIPHTITRQMTQHQPRGSENESKYRCK AWNRDVVAAEHHLCAVLPNLGTDEIEVCRLWRALGYNVCCGPVKRGVKPTTIPKEFFT SQGIKDVDYKYLARPYGNHLLDEDDELDEETDSDDDMEVEELAGKSGEVASSSNQPTT TQPVPQLDVNMEIDSPVEVPAQVPAQGPVQVAEEIQKEAIEKVMEEVKKEAKKEVSKD PEITPPGLEEKQPATSSSVVNAELDVDMNIDDPIEESTDKSKEQTSTTTIPFAETAIQ AATTAEPSDVELMTEDSEIGQAVTNTSAGNIQTVDLLEVEQIAPGQPCTG PEX2_055970 MASQLSDEILPSPGSISPNSPTNAAGQIPLEQPPKLKGRQKLLQ SLQRMSSSPTLTRRGRSSSTNSYRRDHKASLSCVSLSSPSYSPCLGNGSSSQLYGGLN PRPATPGCSSSPGEPQGTNARIRLMDTDGPGFTTPRTVPLPFDVRPASRGSPRTATPV GVKFEEVIRQESQPVRALDFWGDMPQELKTRIFQYLTPQEIVRCSAVSKTWNEMCYDG QLWSEVDTTEYYSKIPSDVLVKLITSGGPFVRDLNLRGCVQMREKWSSDGERISDLCR NVVKFSLEGCRIDKASIYSFLLRNPRLEYINLSGLSSVTNSAMKVIARSCPQLETLNV SWCSNVDTTGLLRIVHSCERLRDLRASEIRGFKDEKFTSALFERNTLDRLVMSRTDLT DQSLKILIHGENPNMDILTDRPIVPPRKFRHLDLHHCSEVSDDGLKSLAHNVPDLEGL QVSQCSELTDASVTDVIRTTPKLSHLELEDLENLTNSTLVRLAESPCAQNLEHLNISY CESLSDTGMLRVMKNCPKLKSVEMDNTRVSDLTLMEASFRVRRRGYSDEVPQVGLRLM IFDCANVTWAGVREVLSSNAYIPRASRKPVSTVVTVTQTSDAGSSPATSTFVTPASSP SPSPAPTYPNEIIQLKCFYGWQMTVDEHTKRVLRGDLAAASRLDRKWTEYMVATEEAG AAGAGARRRRRRAREAERLYNEDEDENDAYGVGAISALGGRRRRAQSGGGCIVM PEX2_055980 MSSSSLSLAPMNGQRPAPSPRSRSSSRALTSHLSSRSFTDLEDL HRFPLESLHSFSFAQQSEEFLHSRQNILKRSIDFMRDRMGWAASNAAIANAQANASGD TEMQGMMDLLARANMLDPHENQIHGRGPMTGPAEINSDNIFEKAFSERDFSPASTRDG VQDSIPSGSHPSEGSQLLSPVPSDRISNQRRDLVSAPTSRRVSLKRTYTDLRSVSVRS KLMETLAQPYTSSADPFASVSSTAGLGFQIPALHAHSSKWTPASQAVFRTEAQEPWTI LAANDLSCLIFGVLQSEVRRLSILEVVQKEHQEWLKVKLRDPSTDAAARMPLQPERAN ISAVNPKFRGLGNGVTAQLLSKPSSREKSRRAQTDDGYGSSTRNARNNNHPANKSRGV LLCGDVVPIQKRNGSRGSASVWVMEKRGGLIWVVEEITENIASIQCDDSWNVVGSKGD VEKIWGPSVVQNGQSITDLLPCLPSESLETSLEKGLAKIVELKHFAARTAAGVCIPVA VGKGEGDRTLQVSSFPHVAGMMVLSSLTLNVISSNSVFSSVLFGQERPEGLHITELVP DFDEILDVLTEEDNVPLVDGIVIPEHSFRRARTLSILRDGKANAASVFTEPSGLLAKH RDGSTIVVDIQLRVVKSGTFFSKEKTEKSSDRSSDSDDSDDTIAVTELVYALWITYSR QLHATGHAAGLSPSSVPSSKPTSPTHDPGPSDAGADTQTAENRKTSVEIKTPTSTLSQ QLSEAASEPLTARPAQPVPQVSAANAKNDPPAKRTINDYVILEEMGQGAYGQVKLARS KKPPLKKMVLKFVTKKRILVDTWTRDRRLGTVPLEIHVLDFLRRDGLKHPNIVEMEGF FEDDINYYIEMTPHGLPGMDLFDYIELKANMDESECRNIFKQVASAINHLHTKALVVH RDIKDENVVLDGEGRIKLIDFGSAAYIKNGPFDVFVGTIDYAAPEVLQGRSYRGKEQD IWALGILLYTIVYKENPFYNVDEILDHPLRIPFLPFSEDCIDLIRTMLDRDVDNRLTV TEVLEHPWMVGA PEX2_055990 MRTIEETRKRWDILFSDNDTPSDLRAALQSEQGGNLCNDGLRSV CWKSFLLFDGLDKSEWAPKLDESRDAYRALRDHFLKYIEHPDDLESTVDPLADDEQSP WQTLRHDETLRTEILQDVDRCLQENYFFQEPDTKSKLTDILFVYSKLNPDVGYRQGMH ELLAPILWAVDRDSVKVHPGGLDANKDKSEGLMLKLLDAQFVEHDSFTLFLSVMQTAR IYYEHGETRSANGQMDVIPIVDRCHYLHKEALAVIDHELAEHLEAVDVLPQIFLTRWM RLLFGREFPFDDVLMMWDLLFAHGLRSELVDFTCIAMLLRIRWQLLTADYTTALTLLL RYPSPQPHTPQAFVHDALYLEQNPTADRGSFIISKYSGRPPDSKLRSHPGTRPTRRAF LWEDFRKRSESNSPVGLPNRNSPKSLESLFQDVSQGIQRRTETWGVAKAVRGAVTEAR KNMQTMHFEPNMRPGFSRPVSAASAPDIQSKAPMAATTASVRLETKIHLLEERNQALS KILREALKDLGSQLANIKDLGSDTSNAVKQALVKVESVQVCLENSSIPVDPPLEPRVD SELNQPDKLQETVTSTVGKAEGNIVANEDQSRTINPAKADTTGPKAASGTNSISLDTA SRMNSVRKTESARAIPSRSTVRPSMTDSGFSWMLGGGRNLSGFVSSTSPPPEQTRHLD QSRGKPKPNVLFGLSGDDNLGTDSEHGELALDSLRGSRDPLSGTGPP PEX2_056000 MSADLLAEFGQAPTPDNKSGQQPSQYQKNSFFDVDENIDFFGSS GNVQHNTHPNPTPGAPWQGPSHQEFDIPLNPHGDLFFDAAFDAPASDEDDDDWGEFEG PDSNTQLAQSTSLRPSMTATLSKPEPPQDAPGVSRTIDLLDSLSMQDSAPVVKHPSEI AKKNQHLEPSNNQDQTTWDDDSFGDWGDFADAPASQPPPKVSEKKTKPPTKRPVKSNR PPASAWDDDAFDDWGDFSDGPSVKPVPKSKPTSPPTVPSPAPSSSVSGTTAPTATVRP TNIPPPSVLLELFLDVFEILQKEATLAKSQLRSSAPQSSSPNIVSTTALNIHNVLQSA ARVIAGRSLRWKRDTILSQSMRIGPARSGKAGGMKLNSVNKQETIKEEQDAVDVLTTW RERAVIFNAILQAAGQRPIPTVQDPSALKVITARADQGALKASHPCALCSLKRDERVL RVDEQSVQDSFGEWWTEHWGHTACRQFWETNRNLLGQR PEX2_056010 MAGNGRVTKRSSNACVRCRRQKIKCSGSQPCDGCSKRKLSCIFN DRDQKILVTRGYILELQQKIARIEQSEKGQVSPFSSNFDPQIDPKYREDVPPLERTIT PDDHDEPQDLEDLDSGLANPLSSGPPAFMSAPNGRTFYLGTSSNWSFTRRVLSLAHQQ LYQNPLPTETLLFDESTYELGWDGLRTTPGPDVPVVPTRDHTMYLINAVQFRCGQLYH LFDEDEFMSSLQQFYSGDGKSMTNSLWYIHFLLILAFGKGFVQPKAQGKRPPGVCYFV KALKLLPDPTALYRDPMLGTEILCCIALYYQCVDFRTSAHNYIGQAMRIAMAQGMHTS MPAEDLGHDMVQRCGKIWWTIYILDREMTSLMGLPQSINDRYVKTQLPTFADPSETMS LGMHIKLSQIIAEVNSTIYVANGRINRTFLVSTKSALANIAGLADELRESFPLHLDPG SGVSRISAYLHLQYHQCIILATRPLLFCFLKIRFESPESCVESLNASRNVRSLMQMCL ESAQHIISILSSLQSQGLLETFLPFDLESVFVSTIILLMGPVIDPRVLESHPNWLEKA YAVFDEMIRDGNQVAKFRRSELQQLHETLIGCISGDRPRRLPVSDFFPQTDVLPDSTS PSETPAPGAIPQSVRYDDALLRPDPDFDVECDFSAMLTSAEIMAVADSIESYDTEWVS NAMIEHSIW PEX2_056020 MGISKSDPIIIVGGGAFGLSSALHLTRSGFTNISVFERDEHIPP RYSAANDLNKIVRAEYEDPFYTDLTIKAIAAWKTPLFAPYFHQTGFLHCVSGEAPQKA VDTLNRFRASANASDQIKPHVIPLNGVDDIRQACWQLDGPLPGWNGYFNRFDGYAHSG NALAGVYRAAQAAGVRFYLGAHGAVDEIVYVSTLHGKKSSGIRTKDGKFHPSSLVIVA AGGAVGRLVPELGKKVVAKSWSVAHVLLTDEETSALRGIPVTYARDLGFLFEPDPKTN LLKICPMGGGYINTDPKTGVSHAPGTLEESAFVPEHDERQMRKLLAQTLPALANRPLV KKSLCWFADTDDSDFIIDFVPETSSSVVLLSGDSGHGFKMFPIFGSWVSDFLQAGQQS EARWKWKHTDPNEGKGNWGGDVSWRLGESKELSEIRPAVLSKL PEX2_056030 MSTTLMRLRSARPTGSRLRPVPAGLSIQTRAKTTLPFRLPDARN EPNPLYKKGSPERAKLEEALAKLRSQLPVRSELFFDGKLQASSKSWDQPLPAEHATTF TNYPLATDEQTRSAIDLALEAKQSWQDTPFVDRASIFLKAAELLCTKYRYEIIAATML GQGKNIWQGEIDAAAELADFFRLNCNWAAEILEKQPTRGSDGMWSRIDYRPLEGFVYA VSPFNFTAIGGNLICGPALMGNVVLWKPSASNVYASSLLYKILLEAGLPPNVIQFVTG DPEAITETVLSHRDFAGLNFIGSSDVFRSLYGKIGQGVAAKKYREFPRFVAETSGKNF HLVHPSADITSAVNHTIRGSFEYQGQKCSATSRLYLPESRAEEFLTKLKAGIKEITIG NPDKDLEAFMGPVIHRGSFEKIKSVIDASNKDPSLKLIAGGTYDESVGYYVHPTVYQA ESPDHRLFNEEIFGPVLAVHVYKDADWASTLKSVDQNGGGFALTGAVFAKDRVAIRQA EDALRYSAGNFYINCKTTAALIGQQSFGGARASGTNDKAGSSDPLRRFVSPRLIKEEF FDQEAGFTYPSNH PEX2_056040 MQQEVQSTEARWGNPELGQLAGDLAAAAASASLVTPAVAIIDRA LVEQAAFKQPILRGLRRHALGALRQPGLFVFQRPFGIVWALYAATYSVANMTDTISRK LEITAAGTITFATTMMANVPLALWKDIRFAQEYGTGKGPDAKTLKNSPISLPLQNKSL ARTAAAIFLVRDGVTIFGSFTLAPWLSGVIPDGLADHPHAKPIITQLTVPVLTQLVAT PLHLLALDMYTRQYTMPFLERVKYSQQYLPSSTFLRCVRIIPAFGIGCLTNMELRSAF HAKVSS PEX2_056050 MAPAKLRGKPLSLVIGLIGAVGFILQGYDQAVANGLLTLGSFIA VFPQIDTVNTTGSEKSHNSTIQGLGVGGFTATIPMYVSESSGAEARGRMVLLEGWFAI GGVAFATWLEFGLYYVSDNSVSWRFPIAFQGLFAIIVVGCIMLLPESPRWLARVGRLE EAAEVLARLEDVSVNSEHVLQELEIIRQSLVIDDNTESAGSSSPFALTKNRHLQRTVI AVGVNILAQMTGVNIITFYSDTIFESDLGYSGTMSRIITGCLQIWQFLAAGVAVLLID RIGRRPLLIAAAVGMTVAQACLAGLSSDLGNKSAAGASLLFYFVALFCFPVGLFIVPF MYAAEIAPLRTRAKVTAMSAAANWLFNFVLAEVSPVGFATIKWRYYIVYTCISAFACV CFYLFCPETKGRTLEEIDDIFVQSKSVFDTVRIAQEMPYQTEILAHVTDTEKGGLEDT QVENA PEX2_056060 MATILPGKPLTALARCTRPTSNVNTSMTLRFNSTLQAHAQAHAQ VKTAPSSTLPPLSALPTRVLLRSLLVSTISSKRFLLIPALSLMSFLSKPNRIWLFDVD RNPLLHGVLKKTFYNQFCAGENGAECRTTIKEMKDMGFRGMILTYAAETVFDHSTQAQ HGQGVASLKSEHGAASIDPSIEAWREGTVETIYMTEAEDYLAVKLTGAGVKVTKAFAA GELPPQQMMDALHEVCTKAKDRKVRILVDAESQHFQKGIARVAVELMRTYNRDGYSTI YNTYQAYLKSTPATLANHLAVAKEDGFTLGLKLVRGAYMATDERSLIHDTKEDTDNAY NMIAQGALRKNIGEFGDKGTREFPSVNLFLASHNKESVVAAHELHKYRVMSGLPTVPV RFAQLHGMSDEVSFSLLQMNDGDGTPEVYKCSTWGGMGECLAYLLRRAIENRDAVLRT DNEYRALKTEVFRRVKSVFSLAPSS PEX2_056070 MGQSHSKGNASGDPLQSYPSFSKSDTKESLRSFRGSIRSKIPGA RSSDSPRGSTTALSRTESQTDKSDAGSLKSVGSRPGSNAGLPQSPGSESASRPGSPQP PPSPSLSTSLQRGHKDVNAMKQSGEVDHVSDGPPSGGPPTGAAAVAGESILMKRENQL NPILDFILNAPLETSGSPGMGMGALKSIDLDDMISRLLDAGYSSKVTKTVCLKNAEIT AICTAARELFLSQPALLELSAPVKIVGDVHGQYTDLIRLFEMCGFPPASNYLFLGDYV DRGKQSLETILLLMCYKLKYPENFFLLRGNHECANVTRVYGFYDECKRRCNIKVWKTF IDTFNCLPIAAIVAGKIFCVHGGLSPSLSHMDDIRGIARPTDVPDYGLLNDLLWSDPA DMEEDWEPNERGVSYCFGKKVIMDFLQRHDFDLVCRAHMVVEDGYEFYQDRILVTVFS APNYCGEFDNWGAIMSVSGELLCSFELLKPLDSTALKNHIKKGRNKRNSMLNSPPAAV SAQSY PEX2_056080 MSLKRKASFTALPTSPSVPAPSEWGMVIDGNTHLHSRTRKRFRD DRPSDQVIYQNTLRWIFSAQKQQESTQAIDMDTMDSEPTLETTETVDPRQQTLHRFFQ QKPQQSSSFRPSRQALAPRANETALAQEDLLRRQAFNQMSSGDSSSESNSPGSNQMGA DVDMDMDMNCRGGNDVLDQAPKAWPIWPQAPRKLFVHPTFIPPSIEPQAQGNPKPQDK LLNFANPPNNPTLSFLRQNPFQLGYPQTSTPPHIGGLSTADTNNAPTTMDHDQSVQYL EGLLGRTLRIHTTDTRMFVGLFKCTDADRNVILANSFEYRMPTTSAVQAAAEEKQWGE GSEAKSTTVKVNMTHRLIGLIVIPGRHITKIELE PEX2_056090 MPSRQASHSGSWYSDSARTLARQLDGWLAQVPDTMEKVGSLPTP GARVIIAPHAGYSYSGPCAAYAYKALDLSKAKRIFILGPSHHVSLSTLALPTLTSYRT PLSDEPLPLDTELITQLLATQATKPNGAKMSFTTMSRSVDEDEHSIEMHLPYIHRLLQ LQYPDSPASKYPPLVPIMVGNTSASTEQAFGALLAPYLADPENAFVISSDFCHWGLRF RYTYYVPQAPRPGPQLPLSGEILPQPGMDASSVHQAVEMVSAGHSLRQRDRISSREPA IHESISAFDIATMAAITTGSAKSFLDVIDRTGNTVCGRHPIGVIMAALEVVTADQAAD QEGRFYFLRYERSSDVEEVSDSSVSYVSAFAVL PEX2_056100 MFFFFVALVGLFSFTFAFPTPVDYNTNMTSTDENVGNKLMGIVS NREGACDYDDDMKRAKEAGIDAFALNIGTDSYGDTQLNYAYESAANNSMKVFLSFDFN WWQTSQGSEVGLKIAQYANHPAQLMVDGKVFVSSFSGDGVDVDAIRSTAGSEIFFAPN FRPGQSDFDKIDGAFNWMGWDNDGNNKAPTAEQKVTVSDSDEVYTDALQGKAYIAPVS PWFSTHYGPEVSYSKNWVFPSDLLWYDRWREILRLSPRFVEIITWNDYGESHYIGPLA SPHTDDGASKWAMDMPHNGWLDMAKPFIAAYKAGSRLPIRFLEEEKLVYWYRPTMKSA DCDATDTTMQGSANNDTGNFFRGRPDGAHTMNDEVFVVTMLKMPALVRVQSGDNTETY IAPPGVWSHAVPMGVGKQSFKVTRGFRTILSGTSLKDVLDTCVCGIYNFNAYVGTLPA EETIDQLQPAGLTMLTDGLRVTPRINTLGQ PEX2_056110 METPANVFIYLSSLLEIAYGSMLGCAQLKDGTEPCSNKIAGANL KPVKEYFEQLFAILDEPDRKFHDKDGVFDEILAELIDRCLCLRRHRQNSDDAKSQWLK ELYNDEKRHQLRYKLQTHIYGGVEEVSIISTPPPATEFEPYKTSTSPINKYDVQLKVT CQLLETLTNRDKKSGYIYLLCHPREPKMFKVGYTTDPETRFDSHKRCYEEFSVLRKQN IRYAYRIEQLIIAEFSLEHYRLKEKCKRCDESHKEWLQVSKDKLLRSFNKWVKFAEAD KAPYDKDGRFKSRTVALPPPAMDFKSPTPTPKKGTPRRSNVAPSQELSPSKPDHSKSD IRILQEELSDVGSDESASLPRYSEADHSSPILSLADRLAAACVK PEX2_056120 MYNVHPQPTTHNLLLLLLLSLLTPQKELPSSPTPNTNKKNPRYH ESIPLLYTLPTLEFSNPWTLPYLLPTIPPEHRDRIRAIELRWSFPGHWLPSKDSVRAV YVSAGRMQWSETCRAVSQLGSLRSFVLVLESNWFSEPVEKLAGFLEPLRGLVVRPVSR RGWGWGSDRDRSRDYWDCCGKKDDVDVDLDVELDGEGGGGFSSDEDSCKSLGSDSGSF SSVGGYSNPTTTATVSRGSWELRLQGQSYYLHELDRIGVDLRRRGIDCWISAV PEX2_056130 MADGRHRATQPAPSGYDASSLTHEFEQLMRTKRLNRLHEPSRSH NHSPSPSPSPMSSSAIPPPPTRAPPPPPTAGTPAAAPSPKPSTTSSALRGLPIMPSPP QDAASLKFYNLLKGLSVTPTKYENPGLLDEALCVIPLDRLYSEAEEESQIMHAQAASV AGKPEWGYQDCVIRALLRWFKGSFFQFVNNPPCSKCHMPTIAQGMTPPTPDETARGAT RVELYRCSDTSCTAHERFPRYSDVWQLLQSRRGRVGEWANCFSMFCRAVGARVRWVWN SEDYVWTEVYSEHQRRWVHVDACEGAWDQPRLYTEGWQRKISYCVAFSIDGATDVTRR YVRNFSRHGSPRNRAPEEVVLWSIHEIRRKRRENMSKTDQRRLIKEDEREEKELRCYT ASALAAEITNMLPQGLTGRPEDQKHPGARQEASTEWLAARGHGHAGPDRSREGR PEX2_056140 MRKSRQTSKTMNVEIQNDSKWVNNRVWAKEVQFDPRLGEGRRIR KGCQRRRTQYYERRQHLAPITGPAAKPRVRKRKKRPRERPCFPVISVISVFLESMNT PEX2_056150 MTVFIASLFLPYTIDFQVKEPKDGQHGSMPSHANVDGPGSIVGC LPDTYHQHRPIDFLPLTPGATTEDETVFKGYTLRVADEIPIANDRLWHGPSEPRTIHW GHSRRFNQPKSRAADPPTPSISSSRGPIQGPRRELSLDGSQDRWGMTYHSSTGVSLSN ADWVVKAAEQGHGGLQNAIHAAEKLSLLKDKIWVGTLGMPTDSLANTTRTDIAETLQD VYDSLTVFVSDNEFEGHYTHFCRAVLWPALHYQMQESPRHTEYDGYSWVQYLKLNKAF AETIIKHWKPGDRIWVHDYHLLVLPGLLRERLPQAEIGFFLHTPFPSSEIFRCLTSRK ELLDGLLGADLIGFQTEEYCNHFLHSCSRLRRLEVSANQVHLNDRYVSVVNSPLGIDP ISLYLLRQSTEVKGWIHSISHKYQGQNLIVARDRLDAPGGIKQKLLAYELFLKTYPEW RERVVLVQVMSSASEIPELEVQISKIAMRINATYSTITHQPLVLVRQDISHFQFIALL SVAHVFMATNLREGMNLTSHDFIHCQDGQLTPQKHGSLILSEFVGSASIFRGHKLLVN PWDYKQCADAIKSALEMSPAERKLNWNFLLDCKSPYTALKWHTGLQDALIKAHKIQQI RQPSHLSPLSPNSLKKSYLFARARMFFLEDGAIFGPAFGIEESIPSDKACTTLQTLIN DPKNILYIVSNRSIEQLQEVLEKLPCELGFIVENGCFLREPESEPWIPFVPESAMKWR PGIKKMMEYFHERTEGSQIEERRCSLTFQYNDAVDRELAARQASELADQINGACGRAD FHVIREATSVKFGPLHAALGNGRAATYILDHLPNTRYPEFLFAAGGSRSSETLFRWAN ELAVAPVASRFEESELEKTLHVTTVTTSTHATEARLVLPPECSLLDVLDELSSFTSNG EETK PEX2_056160 MKATPLLISWHNDNAPIYSVHFDPNGRGRLATAGNDNNVRLWKV ESTGEERRVSYLSTLIKHTQAVNVVRFSPKGEMLASAGDDGNVLLWVPSEIQTQAGLG EDRSDDKETWRVKHMCRSSGAEIYDLAWSPDGVFIITGSMDNIARIYNAQTGQMVRQI AEHSHYVQGVAWDPLNEFVATQSSDRSVHIYTLKTKDGQFTLTPHGKVLKMDLPAKRI ASSSPAPPDVTSRPQQSTGNSIAIASPAPSTPGTPMASNLPMDPPPVSHSRRSSFGSS PSIRRSASPAPSLPLPAVKPLEVSSPSFGGLGVKNASIYANETFTSFFRRLTFAPDGS LLFTPAGQFKTSHVSATDSTKTTDEIINTVYVYTRAGFNKPPISHLPGHKKPSVAVKC SPVFYTLKQGTQPAKNITLDTSSGEEMFSSLPEPVVSGTPSFTSQPHTGPPSSTTAES SNLQPSQKAAEDGGNEAGQSSAPVFALPYRIVYAVATQDAVLVYDTQQQTPLCIVSNL HFATFTDLTWSADGLTLIMSSSDGFCSTLSFAPGELGQTYTGPTSVTHNNATPSTPAA NVTPLLTPTHSASPHVPSPIKTSHISSNSTGPAPPASPARSNSTCSVATQPSTQPTPA GVVNNPTPTLGTVPSVTATHSAQPPTLPLTTPPQTPISGGPQNGPSTTGSSVLGKRDT RPASESEKEQGKEEENNALQQPPKRRRVAPTLISSGTDGASSSKDNKS PEX2_056170 MGWFWGDKIDTVQKLDPGLRDFLEQEKPDKYVPGPNVKPPSTPT PSPEPSDTSNPKVPAASLYQDGRYADLWKTYKPPMELDEQSGVRGASRVIEKYKERGD TVQRAAMENCALEHEALTHCFKTGNWRKQIEARLTMCSAENGTFSRCFTTQTKFLQAL GYAAGFDYDAEKEERIQMHADKLYHQMLDYEKRIEDAKAAGIEPPPLTSLFNPEKPTK TPEVGLEIPGGEAIPEELRPSKPLQKLTPHERELEIRAHYAQREQHKMYAQEAAPFMK TQDDARQQRQEKAVSWFGETLGKWMS PEX2_056180 MEASREERQLMRQRGAGTRKAKEVNFGFSFGSPALGLSAPAPIA TVPEAQNPPRTQTAPNTTPLKASQPGSQPRGVERTPGSARNKLPERPSTYEVPSSDDR SEQTRSNKRRKISPTKATEGTPSRRNGGRPRNGDTHPVDKSAGSTESQTSHTQELPNT DVPPPSEITNNKPPPPHISPIPETSVEDQHLTEEGTNGVEPTTSAADEPLPQVTSPVS SPTVPAVAEEAQAPISSPEAETGVEQPENTEQLPEKRHNTLSPPRPEEQIQRTKKNQR DITETVLPVVQTDKSATEAVSPRGSSAEALKASHAPTTDDVDMTEDAPSSKEDAPEQD NQPSQGPSTKTKKPRGRPRQQSTENNTPDLHVAAAVQDPTTEAQDEPAAAQVEKAPKT KRPRGRPSLDKKTIEATETENISPEPIPDAAESSSGPRRGRKPTSQKDDQPETVVESA VSKPQRGRPGKKAKQAVEPEAEPEPVPADQAEPEPESAAPKSRGRPAKNAKRAAETDP EPEPATADQPELEAEFAVPKSRGRPGKKTKHAVETEPEPETEDQPEPEVVPAVSKPQR GRPGKKANRAMEPEAEPAAEDQPEPEADSTVPKTQRGRPGKKLNRAMEPEPEPEPVPE DQPEPEAESAAPKSQRGRAGKKAKRAVEPDPEPETEYQPEPEATQEQPRRKTREPRGE TVAVTVYRLANVNSLGGAASTANGSGDEEESADELTTHQRAKIPNRGGVNPADVLSQI CRETLEKTLNTLKDGIANEANATRRAEWSRKRKAVEIFGSELESRLMELSGVLDSNFV LGVQLKKTKREMMDLRNHLYRVRRERENIALQMDAVRSRHIEEEKAKLSRSTISNSLH SLELALDRNKQRSASAADSSPDLEFMLRTVADVSSRAPGAQGGLLNQIRAFNAQLEAT VGRLER PEX2_056190 MTKLFTPLRVGRVELSNRLAMAPMTRFRTDDNHTPLPIVKEYYA QRASVPGTLLISEATSIAARAGGYPHAPGIYNDAQIAAWKEVTDAVHAKGSYIYLQLW ALGRVASRELLKAEGGFDLISSSATAVNADSPTPRALTESEIHEWIADYAQAARNAIA AGFDGVEIHAANGYLIDQFLQDTCNKREDAWGGSVEGRAKFAVEVSRAVVEAVGADRT GIRLSPWGEFQVTRMENPKPQFGYLAAELAKLGLAYTHLVEPTTEGNATVEAGDNLDF FLKAYGKASPVIVAGGYEADSAVQAVDEKYVDYDAIVGIGRPWISNPDLPFRIQKGIP FAPYDVSTFYIQDPKGYTDYAFSAEFQNSIEAAA PEX2_056200 MSGTEAINHNDHAALEKDVNPSPPSPQIGLGNSGAPMQPQMSAE EHQVARAAARFGYGPLAHVNATEASLPPFGGEFQPGLYKSVEGRKFANPAPLGLCAFA LTTFVLSAINMGTLDIASPNIVVGLAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGG FWLAFAIVLTPGGFQIGEALGETSSDPSKFYDSMGLFLMGWFIFTTIMLFCTLKSTVA FFLLFFTLDLTFLLLGVSYLQRGPDGHPNANVQKAGGFFGFLAAFSAWYNALAGIADT SNSFFIIPVAHFPWSPTGRVRRDKTDRELA PEX2_056210 MNAKDLLPGYEQTLPVLSRPPAAAWAGDPIHSPYAAPIHFDSNT VQTVTSTHQNPPPPPPPPPPPPTAPSRKTTTRVRKPRKNNNASTGKSTLFWVNSDQQT AAAGTTDETLKRIRSHVMSEHNRKKRMESTEQYNKSKWKHSPYQPPTTNPGALVPAAP VRPRASLSTSLSSSQISDEQELEQIDELVTSTSVGYPATQAASWDDSSFGGTMAYPAG PTAWSYVGQGANDPFNTGHTQLTDRMMRHLRVFLWDLTQEAHPLQTRYKPKLQAHWAS LIQRDPAILHATICMASSNDAMRAGELPIRDPKQKRSQLVIDTFHHRGETIRLVNEGL SDPVKASSDVLIAAVSTLLTIEIASGNPDYLKIHLAGLRQMIALRKNFDDVPSDVRFQ ISWTDIRVACMAHAKPIFPFVRYSRPARFSLIPPNDDVALLSTRLFPLLKIPGIFGEA MPQIVYDLLELSWYAEWIKGNTGYKEFNEETEDYFNTEVLHVEYQLHTDRYTETGQVK GDNSIEGCTRLALLLFHNSAIWNFYPMIGQLLPKPIQALRTALEATIHTGLFALCRDL LLWQLFMGAACSLTLPSERTFFVSELANAARLQGIYSWQEARSIMLGFFYVDRIHLPM LRQVWDECHLQVEQPAV PEX2_056220 MPGGFEKSVKGATKLKLAAPKSKYIENILVATHTGEAGVAEVFR TLQIRLRDSAWTIVFKALIVLHLMIREGQLDAALGYLSDNPKKIAPSNFSEAQSQGHN IRRYAEYLITRAKAFEACKTDHVRSGPGRLKRIGVDKGLLRETEIVQKQIRALLRCDL LTDEPENEISLTAFRLLTLDLLTLYSVMNEGTINVLEHYFEMSRPDSIRALAIYKTFT KQTEEVVQFLGVARHFQSATRLEIPKLKHASTDLARLLEDDLNDPDFDLRRREYLAKK GVRVPPSMETSATADVSKQMPNTPMSNPPASNPTKQAEQPKPPPVDLIDFFDSIEQNQ QPMGQPTTMQYQQTGFQQQPQQPFYPQQTGFQQQPQAIQQQPQAIQQQPLATGYGQPT QYAAPYQAQGPNNPFGQPQQQQPPPPQPLQAMPTGAGFGGYSPQPQSYSFQSQLAPIP QEGIAAFPQQQQQAPQPLQPQHTNPFRQSTMLNTPTGAQPPAAPLSRQNTNPFARRLS AANTQYNPSNEPFQPAQPPQVPLPQPPQAQPIQPQRTGTNPFARASPAPQQGLQPPAA APLRPNPTGSTNPFRQSQFINQQTGQGWQNSGQSGTMGGLEQLETMPVFPRPGMT PEX2_056230 MENQPPPKRPKLRWDVPMRQALCCMYRFFRCDKIQKEEIFFSIF RDYLKSRGIHHFVPGKTLHAQWHWMRNTGDLVWCRVHRDTEFNTDGEWRNVIQRIKST ALTLRLPLVEKTEDDIDTAQWGTRLAMTLGPRTDSPPQTPRSITFSLRQRSEEERSQY FVESDHQSSESNTMTVGHNQEQTDHLEQADHLEQTEHMGNDESVVTSHGKICLWCKHG LPIDEMAGPNDHSQQNDPEEINSPDQDQQLQCQYQELQCWGYNEQDQPHRHGQGHHRD LEKAMHGVPPEDMPPLLFRWSNRDSQGVNSKTVLLAGLFCNGECSNPEDFSEDQFESF FRSHVTKEKVRTPFISTARSPLAPFHRAIAGQNGALLTIIDTSKLQTKVFYAHPLAIR TRTLVYRGWQGFGEYLIWGRVPAEAIAFSVGITSVEHITQSRRDLNRLMQIPVIRSSK RCDDKLRDMLALKRKSPFQSGRTLGKLLTLLQVPAIHWENLASEFAKAWGWRHKKEAA VFQSGIRSGPPYMLEELSDSECEVPWPTPQKTPGKTSQNMQFSSDYVSDVDYEPPETD EDSGGISEPEGVDESRSMTICDMSETSDDEKFSTHETLSSGIFSENDHPEPSSGPVHH QEVIDLTSDNEDTSSQRALQRDWPSDDDEYMYPDTPTKIGRRIPLQSGNRTNHKLLLN GHLDMDVFEKVRNWAYHENQHKDI PEX2_056240 MATELTVQSERAFQKQPHIFLNTKAKASSKKTAQGRRWYKDVGL GFRTPKTAIEGTYIDKKCPFTSQVSIRGRVLVGKVVSTKMHRTLVIRREYLHYVPKYN RYERRHKNLSAHVSPAFRVEEGDLVTVGQCRPLSKTVRFNVLKVHAKTSRAVKSFSKF PEX2_056250 MSTRPDSAALRPPRSRRSIAHVPRSRMTSGLDKENATTEIGAAQ PFSNNTKPAVRDKKSRSKSLGPGGLDALQTSNGNRRQSTASFPLKSILKPTVPVSPVR TIPSFEETRRRTPARSKQQTHDGTMDGQGQKDQGKEGLLIDFATTPGPPTRENDNDDT ANPFDTFNATSAIREEMAATKERDEQERKDRERQSILEKRAARRKSMANRRVSFAPEA TLHTWNVVEIPDDSTSSSAANSTRRASAAANSQNQPAPISPTKDAPSSPAESEFGFSP VRTQDLDQIRDRPSSGGGDDGQDLSSSPFSGSSVGSDDTGAQSLAGEEDDGDSSDSDD GFDAESTAMSMDDMTARSGMSVQSDATSSSSSSARLNEALRQAAHEAGTELDEDGEMS MEIADQEITGAFQPWIKKGQRQSFDWGDISARHDEENIDPSKDATIQGLPGSDDIDED EDLSMDVTNAVGRILGKSPGRRQSTARRQSVAEESNYGDQTMEMTNVVGGIAQDDSPS KFSEIDNEDEGMTMEFTSAVGKILGNQPTYASNSDQMNSDQENESEDGMDMEMTGAIG GILQSGIEANDKVHAKMVMELETDSGQLASSPFQDNVRQSPAKSPAKSPLRYQIAAVA SENGSPSLATVRARPSRRSSTPSSGTPRSASRQRSPHTKPLTPPKQSTPQAKPTTPSK TPPSSNVTFRSASPRKLFQPELHQSADKRKSLRQSLFEHNKATGESTPLFKLQPRGAR RSSGLGIDKEGLGSPRVAALLDKRQSLGDSTPLFVPQEQLRSGVRFEDPLQLQAEEER EREEEELREDGQITGSQSDRDVTPSLKDMISNMSPKKTRIGSRKSLHVGAARGVLGKR PVELDLDEDEVETPPKRLRGHNVSPVKGVKLPTPMFREGNTRRSMPSPVRRAASSSPP KGSTTPSQQPQSASQTSTTPLKHGIDALHIASDPQQPEEENTPTEESPAEIESIQLQD FLKMTNIHFMELTTTKRRQTTAPGSAIKKLTRPSGENIPKPGSITFDDCVAAGFCTVP MLELYQHSCRELKSYISEGRQVIRSIEAETYAENPALFKEYVTAPPDIRVIMDNQFRN VKTHARLLSKATWYEWRMKLLEGLKEGLIRHVEEMKADDDLLSEREELLNRNVPLLVE KHASLEQEATNLQQLVEEMENCDQDELRSTRSKLSEVDSEIAAKKRELERLQAEVQEK TRFIEAGAEMRDEFLAQIQEAERVKEECRGWSARDINELKASVQRIQQQTGWSIVSAS TSSDVSGGPLLKMAYRDQLELEFYPGAFASKNSGQDEGKNYPMELTPQKNATISPIAS LVLHSLQHHLATIQQSTVPPKQLLRFISSAWDRTVGLENEARMLEFCGVTRLTLSKPD EGSLSLRTRCTLLGNVAGSTPGRKGTALKNNSAKRIDVDFTVRTRIDKTNAENTIGVL DFDIDVLATKVYGFGTGSKSGIPDKELQSILGKGLGQDKDGDVQLGNGVWCKAVRTLT GSVF PEX2_056260 MRRNTPCVFPAQHEKRDDRQSNDEYVRSLKDRLVRVESLLRTAG ILQESDLSQDDFSDGDDDGPASQGFSSTSSPKSNFGSSVCSKGGFIEGTPIFPADQRD DSRYYGGDIVLIVNSIADRSEVFSLMKDFFRTVNRLFPIYHEPSFMKMVEWQYTQQTC DDAARWANINMVICLAYEYRSSNSSMSEKDKEKSELYFKNAMSVFTELALKRTDLLSI QALISMAFFLRGNSGTQSALPLITAAMRSSHRMGLHRDIARPELSPAEQEERRRVFWV AFVIDQSTCLRIGNTPSQHHDDFDVPLPQELDGDKHGETASKIPFFRELCQMSLIKSH IYSRLYSVTALEKPPAEIYKTVKELHEELEEWKRGSPTLNEPQMKPTERDFLFGFAAI GLHFVYHNALMMIHRIPIFLNYMVTARKESEKVQSISKAHASRSAAIAAQAARDTLKV VNNMPWGDIAWTWSLLYYVFLAASTLFSHILRDTRHSRVRADLQSIGMVSSFFATLAP GEGSNNYAGFMARMSASLERIAKAVIEKDEKRARAPDEEDQEYKPPPAKRHTSRTQPA PQHQRQHRRPTTLRTTMTPATAPGYPTSSTAELNRMDISIPDTLEGLPPVNSSGYVVP VSPLPGPGDSRQIPTPYLTNPYSPSTTFLHEAGDNPFQPPQIPSWQLSHDFSTTAAQA QAQAPGSSGMTPNPINSINSPDSFTSAANSIPDFFQYPMSGDWSHGRNLFGGLFPTEY TFPPPIPTGTQSADAYPSVPILSAESFIHGAPPIDSQTAQAGGFDPQSLGYGYVPQGQ EDPNQAADPVWPNGFLGLF PEX2_056270 MDVNIAPSHLVPIAPAPVRTPPVTVQNSPSPRVATMRFNCQTCV RKKIKCDKTVPVCSGCSKAKLQCIYQTPPPRKRKRERSQFEDVQERLAWYERILHDNN LLHASSASTPHGKKTEASAVCTRNLTSMPDPQPATSGKLLSADGKSRYIDSVLLDGGQ GDLCEVSNSDQDDSHHEDIRADESTPPGLLGILAAHAISGAILGSTQSITGQHPGYEE ANKLWNAYVQNVEPLCKVLHIPTVAKMVKTVSKEPSMASKSDECLLFAIYHFAVFSMS DADCLREFNQSRNDLISKYRTVVYQTLINVSWLNTTSMPVLQAYTLFLIAMRTQIDSD TFWILTGIAIRLAQRMGLHRDGDTLGLPPFEVQMRRRLFWQLLPLDSYAGQVCGTGIL ISPNSWDTKQPLNINDDQIFPGMTEPPLEQRSATEMIFCLSRMELSNFYTRTGVKLKE IGATIQFRDAEDIERLISEVEDLIETKFLRYCDILNPLHFLTTGITRSAIDAVRLRAR MPLLITQTITDAQRRDICALAARILDTTSAIYGNPSMQKYRWQIKAFYLWDALLCILR SVADVGFYSTSELDTSWNKVADVYSNHEELVKGRRNLYVTIGKLTLKAWLANPPSQSS PEPVFITALCAQHEAKVSRQQESMDESVGTTTLSDGAYDFDEVFGNIDGTGLNLNGGF DPSDWVFWDQPC PEX2_056280 MSNKRSSASDEDFAETKDLEAAKPPTLTRSSLPTESSLDADANA NIDPDIITWNGPDDPENPKNWPKGLKWKNTCVISLFVFISPVSSSMIAPAMQDLGKAL GMHSNIEIYLSMAIFILAYSIGPIFFGPSSELYGRVCLLQISNVWYLAWNLGCGFAKT KSQLFAFRFLAGIGGSAPLAIGGGAIGDMWSAEERGKAMGIYTLGPLLGPVVGPIAGG FIAQYSTWRWVFWSTSAAAVAVQLAGFIWLRECHPGTILRKRRDALVQKTGNSNLHTD EKVEKLVYKLLHAFERPLLLFMTQPIVFCMAIYMAYLFGVTYLLLATFPNIWTEVYHE SPSISGLNYLSIAIGSFAGLFFNLKLVDRIYRTLKARNNNVGKPEYRMPSLAVGSVVS TIGLFWYGWSIGNTHWIMPNIGALIFTAGTISCLQGMQTYIVDSYETYAASAMAACAI LRSLCGFGFPLFAPYMYASLGYGWGTSVLAFITMAIGWSAPFAFYFFGPKLRAASRFA AG PEX2_056290 MRPVTIGIVSIGDMGLGMARLLKSHDYRVVTVAEGRSEHTQARI HSADIEILPSDQALVTQADYVLSIVPPRNAVATARRIADAHAQSLTGSLREAIEDVES KPKRSKLYYLELNAVPARLVSEMAALFDEPTTTTEEGRGCHFLDGGIIGAPPLQSTQD GTWKKPSVVLSGLVDLAPRFATLASVLNIRLVSTRIGAASTLKLSFSALTKGLTALSI LSFSTAQKEEMLPELLALLEEYSPRTAALATNGVIAMSPKAYRWEDEMRGIGEAFDAE GGWNGVGAGVYGGIAEIYRIIAEDTILGEERVEHRVRGITVEDASQIIAQREK PEX2_056300 MPGEQPPLPGPGPVFDKLENFNFLMSRHDPAAKTRQYSFDADSG LVPFGNVSMDYDQTEGMGGLSVSSYESIEDDRSSLDLRGYPYHGPPGEKPVNYNLPEH MMPYSAHSIYPPVPYAPDELGHAQGALTPSDVSSSISPPNGQMGNTKYSTTISGDRIA AALDQEEGVRGAAEEDRRRRNTAASARFRQKKKQREQVLERTVRETTEKNASLEARVA QLEMENRWLKNLLTEKHDAGSRLPAPAKDSSALELKGPGGNTRQKHIQPKKKGVGTEE PEX2_056310 MHRTYSMRQSRAPTASQVESPPPPISTTKSGRWLGKGGIGHAFR KNAAGAFGPDLAKKLSQLVKMEKNAMRSMELVARERMEVAQQLSIWGEACDEDVSDVT DKLGVLIYEIGELEDMFVDRYDQYRVTIKSIRNIEASVQPSRDRKQKITDEIAKLKYK DPNSPRIVVLEQELVRAEAESLVAEAQLSNITREKVKAAFQYQFDALREHSEKVAIVA GYGKHLLDLIDDTPVTPGETRHAYDGYDASKAIIQDCEDALTNWVSSKAVVKSSLSQR SRTLSQRHRSNLNKNREGVDLSGQDQPLAGDRDSWLPADQHPNYEDGDDGVSTIEGEL RGREEEREPITV PEX2_056320 MGVTGLWTVVQPCARPIKLETLNKKRLAVDASIWIYQFLKAVRD KEGNALRNSHIVGFFRRICKLLYFGIRPVFVFDGGAPVMKRQTIAGRKKKREGHREDA ARTAGKLLAVQMQRSAEEEDARRRNKSQRQEEEEVPDAPVYAEEAFMTETEKQQSRKF KKKDAYHLPNLDVSLQDMGAPNDPRIMSQEELEEYARHFHQGQDINLYDFSKIDFDSM FFLSLPPTDRYNILNAARLRSRLRMGYSKEQLDTMFPDRMAFSRFQIDRVAERNDLTQ RLMNINGMNGEEAFYKSGQRIAGERGREYVLVKDSEHEGGWVLGVVGNREGHEEKPID LDRPEILSDEDEVSDEDEFEDVPIEGLNRLPKLPFLQEGVFDQSLQLETDENLDMRRA IQESRHTARRQSVNEHRVQEIEDDSLFVQAEGNIGAQQQNDDTDEFFDGDDDDLERAI ALSLQPDTADDEDMPDIPIRRPVISAPSYEMVPDIESESDDGMDFAAAIARTKVSKKA PYAPNPFGGPLPFESIKLTKVTKDNDKAGEVGENAGGFVKEPTKKSKQADPLPPWFVG ERSDAGFIVDPIEGPEKDDEQSAAPDHMFLSNRRSPDIIDVDEVSATKEVIDLEEEAE EEEKEEEKPKQVFQVEDIEKIYNELSTNSDEKPLSEPALAPINKSVDEPSARTGHSPS PEFEDVVPQLPTLGPEITVVSHQNAQAQPQLFEEINRVEDFVQDQADYSDPEDEELFK QLAAEGEEHVRFANTLNSAAPNQEAFDYEQELKQLRSQQRNERRDADEVTTIMINECQ QLLTLFGLPYITAPMEAEAQCAKLVSLGLVDGIVTDDSDIFLFGGTRVYKNMFNQSKF VECYLTSDLEKEYALHRQKLISFAHLLGSDYTEGIPGIGPVTALEILTEFSNLEEFRD WWSELQMGTNNVQDTHLAFRKKFRKKASKIFLPPSFPDTKVDEAYLEPAVDDDPSQFQ WGVPDLNGLRAFLMTTIGWSQERTDEVLVPVIRDMNRRDQEGTQSNITQFMQGPQGAG AFAPRVRTGGPSRMEKAFSRLRQEAQTGGTSLDREQAIADEEGEEASVSQKKGKRGGS TTKTKAGTNKKRKTRRANSSEP PEX2_056330 MSLSLEHIDAFLPITALKTFVLGDRRFIFQSQGTLFRVVDETTG VVATQVQIFKRNNIHGFITLNKRPQDHDSSHVQIIVWGGRSVRAVDLFLASENEVMLS TSSAEFSAPDWIMSGCAAAAGGHYGAFFITANNALLSLEVLSSELPGRNTTISIYQLA TSVKSILYSADVIALSSSHVLIAAGTVFGEIIVWSCFLNKTGSHKTNAIGSIHHFFTG HDGSIFDVRISPQIPSLNGGQSGRILASCSDDRTVRIWDISDCEHKTAQDPSAYSTDG FELRSTGFGPVEAGEDSVGSESCVVQEFAHTSRIWGIHFRAIENNHQTHMGLVSRGED CTCVLWDLSWHSSSNGTTEYQLRRTSSIQTHIGKHIWSLDLCRVGSETVVYTGGADGA LKSFPIKEHDDEYLDNGPTSSFQKSSSLQVKAKQNPAADGSKAFAFVTQDCLLRTSTQ GQIQLGYLNQAEETAITWETICETPDLASFAALTTLPQMGLALIGNCRGFIRLYNHTT KSVINITDVGNRLLGLFFLQTHSSRMDTLNFSKTISFLVCYPTGEEITLVTVSDWNSD HPNSETTTFSLPSSFVVCCASFIFDGQFLIIGSKLGALAIYNTSKAEPVLINRRVHGR DFVNHISVVTSATTSDTIKSDFVLTCGRDGTYCLHELQLCGNGTDAISLQTVHRTASM TGGNIEGAYFDKATGDFMLYGFRSQDFVLRNESKLTDVVSIASGGFRRGWGFIPGDIN NNAVFTWKDGVSFMTTRVRAGASTLLRAGGHGREIKAMDVFNPTDGDRPLFATGAEDT TVRLFTPTSSLAASPWGSFECLRVLDTHRSGIQQVTWSKDGRYLFTSAAYEEFFVWRV RTIPIFGVATKLMAASPKDDVNSDLRILSFDLLEVEEVDGEQGFLLCLALSNSVFKIF HFSASNGGQFTLLARGKYMTNCLTQAHFLVMSSSVSLITAATDGYFTLWDLTSTLEPF YTITQSILKAKHPFDGSSISPENITCENRYQIHSNSIKGMELVPISDTATVIVAGGDD NSLSVSLLRTHPSDNGTNAQVATVSIPDAHAAAVTTVKILNQQISRDVTSNAESIKIT VASSGNDHRVKVWSITVDPTQPGTQGIIVGFVLDTYSAVADISSLGLVHGPGNSVPAV SQVPGSEINQSRLVVCGVGMEMFAAESDRALPV PEX2_056340 MTSFDVNGTQPTAGNPMGNPALGTGTTTGGVNWVGYLTTVNNAS TVLSYNLAVGGASLDNSLVSTNTKEDMVTQVASFETIYSQKPDTAPWTSKNTVFGFWI GINDIGWAWSSNDASVLIPKIMVQYKAQAEKLYANGGRKFIFLNVPPVSRSPQIANQG TTVAAGHAKWLAAFNAALETMVTEFIADNNGTTTVLYDTFTFMSKVLDAPTTYGFTDA SCINDDGATCVWWNDYHPGYAYHKLQAADMKEHMHSLGPW PEX2_056350 MRFDQIALLAAVAGSVAAAPSPAKNHAKRASPFKCLRFGASESG AEFGENNLPGVYGTDYTFPDASAIQTLKEKGMNIFRVAFKMERLVPNSITGEFDAAYL SNLTATVKAITDAGSHAVLDPHNYGRYNGQIISTASDFQTFWKNVAGKFVSNELVIFD TNNEFHDMDQDLVLKLNQAAIDGIREAGATSQYIFVEGNSYTGAWTWTTVNDNLKALT DPQNKIVYEMHQYLDSDGSGTSATCVSTTIGKERVTDATQWLKDNKKVGVIGEFAGGV NDNCKTAITGMLDYLGDNSDVWLGALWWGAGPWWGDYIFSMEPPTGVAYTGMLSTLEP YLE PEX2_056360 MGKAGRVACIFTPYVLTIASLICIIMVGLGCTKASSSTLNNLYF IRLDLSNISSGSALTSEITDRLSDAGITDVTAEEVSATIKTLQDDANIADFYDIGLWG YCEGNSTNTTDTVSSCTDPKAQFYFNPASVLGVSETQLEKEIGSSMKKIMKVYKAVSK WMFIAYLVAFIATCAQVLLGIFAIFSRWGSCVTTIVSIVSFLFTLGASLTSTIMFSIA KGSLGTALKVYGVEVGLGKNIYVATWLAVAFSLAATGFWMLSTCCCSGRSPYSHKDRR NTRGSVTAEKAPYTYEPIGAAHPPFGTQEPHGPQHGYSTSYPPPPAHHNDIPMTHNNQ QSSAYEPYRHA PEX2_056370 MNPVSPWIAALADQCLSFYLGQNDQDEVQVEDVDGCLSFTIRKL TLKTAVVVSWEQGEHNHRATFTDSKNQIDAIISRDSPDAQGATSPCPPSVKGGPRHLV ELSDIKLIFTYSAASPDVCLHVNRLTIHRNAVPKGDVPKPKLKKAAALRSLMTMVCEK IQQTREHAGPNSQTNTGLIDPFVSQRDQAMPHSQNNSVSQSLFSQHPPNMRHSAPKSY SMAKSVSLNGSSDLLGLLTTSHPIQAKDTATREPIEAHGLSLGDSHSRRSPPTNKRKS AALKDIGRPLTGTQPSFQRLSTNTTDATRVSKSATNPHYTNIEREVDNFIAECKVQVT TSPNESDYRGQAHSVATGDNQQFSKKRHRGSADAPSQAAHGDDLDSQNWPSSNTLPCK KRQRIDAREVIPADPTEFEQVQNKTVPSLPRVQIVSTKADIVCLSATNPWEGMTKIPL SEVDIPKDQAELLEGLKWIPQEPGVSAPLCHVPPHLLTQWNNIARRRQHLAEEQEAEE QEAEEQEVEEEEQVSERALTPTQEDPYTSPIPWSPSPDRTPARDVLPRDTASPPTYIR PTRNLSTPNRTQHSIDRSAEDGNVSMVNVSCNAPSQTGQEDIDLSKGGMNSADQQRSP SPEEVTRKPKNSVSSDHDPGDDPFPRHNASKSLDVVNAQPSSTIQEHFHNESPAENAP SKSELRGESSSDESDEPEMETYVPFALGGSLPASSQPEQELTSSGPSLPRFVGGTIQV VETPAVHTTSLNPEKKSKQRAVFQAPSSQQPYSLALKTSPSSQILNTYRSQDSHGHSD LSQKAPNPSLPVLEDESLRVDVLGTQTQTSSVHAPSQATVQSSSDVVLDSSRPAQRQR GSSIFHLDASDDPSSFPYASYHSLPMSQLHEPSQDSSRGPFSLDGASQLPGLSPMELT TWVATHGESPSKFSRPPRCENADAGQKASHSPNVELVARRQGFIGKSDKYAEAQTIYE KFCNDYSLYSGDFAHFTEMCSKLQTMRQRGQLQRSFLWDDFVIQHLEEYPRYFTESAS QESKPLVYEDFFCSTFTRPRHKKRSLTAHGVEIVASQFVPAPHQVTIQGEVAQDKAIQ NEVANSSFTASLVEKLSTLHAQSFGDVPVPDISMPTATQPSPSPSTSTSSHSMELKVE SDDSFNEQIDSQIITSSNDQKPVFSSHDEEMIDATQYDADNIRIAPDANDVEMAETGM DEIDETQERDDTHHETASIELGDDTDDHHISTSPAAPAALGSLNVAEPERPRQRRPWF RSLRNIFPTGPVWSDDPDTPFKRWARQDQNVLQELKRRGGARVLTDEKGVIRWPTYNQ EKNPDP PEX2_056380 MDSQLSQGSHSPSVSSNSLPLGRRGSHSSMATTQTERESMNAAL DNYHNAAYQSESLTLFNEFTSPPDPAATSDEKAFSEELQGGLSGLYSRFRTSVGGVRD IVSGGGKSTDKSATETATIKGPSSERLIARPVSDLATSSADYSPSQPNSSQGSRLHSP VVPDFQTHQDLVQQSNVGKRSRISSKSGSISSKTSVSPSPGIKPSIASLTKATGSAIV ADPAVSQLHVNVIGNPDRSRSSSVNGPFQGNQNAESTSIGSKEGPLDLSMSQTSSSLS QNLSSSPVLSVKMHDSHHEEFNSKDSLFSASYTPDNQSRKSISTPDQQTLPENSSQSA NMQYHKQSEPVQDQISQRSGERSKLRISFPPEEPNASVSASTSLSTLSSHNEAPYAAE SLPTNIETNIPRDSANANESSKVTAPGRSNEESTSSNRAARLPGYVISRASTAETTTT VSSLPPLNTEFDRPTGQSLQARRQPPAGGDGVLSQLRSKLLSRDFWMRDENAKDCFHC GEPFTTFRRKHHCRICGQIFDSKCTLLISGTRFGQPGSIRVCKPCEKMINAHDDDSSE FSDSEQSPIVANPRVSELSWTNTGRTSADDDDSSSVVSQSIGHVLRTPTMAIPATRRA GEGHNRRSAILEIDSDRPLARPTSSRSLRSSLGGRPHSISHKRHHSRQQYIRGFKPYH EDRAPFQRRHADDIDAESRLPAFHKDNIIDPDIAQYLSDDASSGDEQPSLLSAVSEGA LSKSGGENERASFGGLLAAMKKGRSAFGDRSTPSMNRDADEASITSSRAVNLPRFSRR RNMSVTSSYQPRHSPRTSKDNMFAHDTSVPAISLPENTKQVGFKMTRSSSMRGTDAPP VELNKASLEHVRKLLHQLLVESAVPNGDSWENALMPILLKAADEVDPDVQKGDDMDIR HYVKLKKIPGGRPSDTSYVSGLVFTKNLALKGMSRNILRPNILIITFPLEYARQQQHF MSLEPVIRQEREFLENLVSRISALHPNLLLVEKTVSGLALGLLEQAGIATAYNVKPSV LEAVSRCTQTRIMTSMDKLLTTTLHSECSSFDVKTYVHNGRKKTYMYISGCPKELGCT IILRGGDDQVLGNVKRITEFMIYVVYNLRLETNLMRDEFGRISTSPTEESTIVPDKDK KFNSQIITHEGDAHLIDQCTVQQGTNEKLGDNSDSRILVDADAAKVPDNIPMPTYYND MIKDYNTKILSASPFVRFEPPYLLTRAREMERRLSYLKSLRDQDRNSDQITDEKVKPQ KFVLVTPEMVHQSPQDAPAKVKEVLHAAHEAEYDRALYHHQTQKRQWEAYVAGNPNMF DPYAHQNLVILYSLVCTTTSVPCLGPDTFALEFYNEHGDDTIFESDLTIGQYVEDLCH TANSVCTVNGCEKRMFEHHRQYVHGEAQISILVQPYPSKLRGLHNSVLMWSCCKICGN ETQVMPMSQNTWKYSFGKYLELSFWGRHLLARTGGCSHDLRRDYFHYFGYRDLALRVQ YDPIRLLEIIVPRPRVTWKVDNDLKLRNEVYLRTEQRISKFMASVQDRLKRINVESVV PELLESCKTEIETLIKKGHEDHSSLVRYLQDKYTSSSYWEIIPLNEVLRAVQEKVVEW DMTFADFEMNFFPSEKDIKHMATLQLKKIFLDKDATSVSTDDALQTPTDVEDENNQEA DRPRMMRRMTLSPEKAQNVLVSVVEEHAGKKHREELQEDQLPSSPPSAEEGILSVDEA SGTRSSPQDEAVSQEEVRHLDLAVPTGQSERSSVGNAGISNQSPPNTSIPMMIPGDGS SESSLPEISEIPDQGTQNGTEDSGASDMPSTPVRRPSAIPRPTEPAFRRTGKVRSPPL VRAQSQPANLPRTSHNIQLPGLKLAFTHPIDPEKSAGQSSTKSSDRKLSDRLGLSSLK SARFASGHSLIPRSAPGKKGISQVSNLARHFEQLSREFEKERQRERRQRAAKGTQSRA FPLASSKPIVEVYRNVREAVEEREPPVDSDESLPSAPQISMDDLSRKSDELTRDDITH DEPSLPNVSPPAPMEDDSALCPDNQLLSGGEADDHQSDEDQSMVEDLHPVNSHEETKN SAEDDSIDFKELPKHERNTLLKILTNFWSERSASGWASLDYPLSVMDHVFADCNIIVR EDEPSSLVAFALDSSDYKEKLSSIQQRFDEVEENKPEGDDLEAAKEARVEHALLRPTG THLKYQFQEGQAKMLCKVFYAEQFDALRKKCGVADRIVESLSRCAKWDSKGGKTKSLF LKTLDDRFILKSLSPIETQAFLKFAPAYFQIMSEALFHELPSAIAKMFGFYQVIIKNP VTGTEFNWFLLLMENLFYDRVPTRIFDLKGSMRNRKVESTGERDEVLLDENMVDFIYE TPLFAREHSKKLLGQSVWNDTLFLGRQDVMDYSLMIAIDESRNELVVGIIDCIRTYTW DKKLESWIKDKGFAGGGRNRPTVTSPREYKGRFREAMARYVLQAPSCWHQFQPNAMYR YENQPTEAETVDGHGFLEDAGY PEX2_056390 MADIASLTLSGTTRADGEPHEAFLARVATSPSTPELVPSLVKQV ASLGKSANLQDYDDRIALLEAAQSLVYALQTPREAMNRHCWELASTYASVEIGIDLGL FAILSKDEKPKSAAELAKATGADPALLRRILKHLSTVGVILEAGPNEYRRTGTSITLS WQLCSDSYACATNSVMFGVLALPAHLKENGYVNPTNGKDCAFQRGYKTDLHFFEYMKA NPKVAGQFNNHMAFYRQGRPSWMDVGFYDVPSLIANVGPNDVLLVDLGGGLGHDISEF RRKWPDAPGRLVLQDTPELTAQSKKKQLHPSIEPMVHDFFTEQPIKGARAYYFHTVVH DWSDDNARKMLKAIAEAMKPGFSKLLINDLVITDTGAYWETTSMDIIMMADFATTERT EAEWHQLVESAGLKITKIWNIHKGVESVIEYDNVGVPIPALGPDFSVADEASQHRLDD SLAIISASDIEAISMGFITLEREMQKEAQRQAKEEEEEGARSHAAHTESVLQADADQD NPEKNSSQPGSKSKSSEAEVHAEATMKRIEWQRFRTLPGQIIDLGSRSVLEILIGEPE TSTSYDILDIPIHLIATDKSDDILKDRKTATKSLLPGQAPLPERIRINSVPLLHLLAM IQYKEVSYDSGSLIMTRPFKALSYYEKDFRLWHDALATKPEDRTKDTEDTESIPEITL LDQITRQDWFKTPSIVLQHLTCLLDFIDDEITAKKEYLTSENHQMVTFADLWYLFKPG DEVIGHKGLQAYRILSVTSPKHQGVLPWDNFYNRFDSESEEKPMRIHCVYVDFDDKQL GPVSEEFEIEAFDGEKSITLLPVQPLRFSKTPGLREKLIQRGREFITMTAVKHMHCSG LTLDTRDEVDGQVVIYFSEALSVPANKDWKPEIEELLGNIPDAEAGKNCSAQCSPLDL ADLVDFRSEEDSAGHKRETPFDKLVLPPGHKQMVQSLIAQHFRDKESGGSRNEQVDFA RGKGKGLIMLLHGAPGVGKTSTAECIADLFQKPLFQITCGDLGSSAKEVEEALETNFS LANRWGCILLLDEADVFLAARTPTDFTRNGLVAVFLRVLEYYAGILFLTTNRVGDFDE AFASRIHISLYYPPLTLISTIQVFQLNLAMIIERFQTKGRKIHIDKQILEFVSQYFLA NEKARLNGREIRNACQTALALAEFEAQGGKHDAVVDAGVEVRLQEKHLKTVTDAYLEF AQHLKDIFGAFADERAQDQNLRAQSKMSETVNPLLSYNSHASQPSPPTLNVPVAQQGY PSGPMQQPAGPFFQAPYPQQFPSGFAYGSPGNFQNMPQQVPQNWPGMMNIPIGATGPS QHSGLPAGTTAFPHGQTNPYSPQNQEAPH PEX2_056400 MAATNSLDHLSNRMKLEWHSKLNTEMVPAKNFRRTSIICTIGPK TNSAEKINALRTVGLNVVRMNFSHGSYEYHQSVIDNSREAARIQTGRPLAIALDTKGP EIRTGNTVGDKDFPIKQGTVLNITTDEAYATASDDKNMYLDYKNITNVITPGKLIYVD DGILSFEVIEVVDEQTLKVKCLNDGNISSRKGVNLPGTDVDLPALSEKDIADLRFGVK NKVDMVFASFIRRGSDIKHIRSILGEDGKEIQIIAKIENQQGVNNFDEILAETDGVMV ARGDLGIEIPAPKVFLAQKMMIAKCNIKGKPVICATQMLESMTYNPRPTRAEVSDVAN AVLDGADCVMLSGETAKGNYPCEAVKMMSETCLLAEVAIPHFNVFDELRNLAPRPTET SESVAMAAVSASLELNAGAIIVLTTSGKTARLVSKYRPVCPILMVTRNETAARYSHLY RGVWPFYFPESKPDFNVKIWQEDVDRRLKWGINHGLKLGIINKGDPIVCVQGWRGGMG HTNTVRVVPADDNLGLAEE PEX2_056410 MMETEEIKRLAAPPHGSPSIEATPTFPEEIEGLAVPLPASPAIE PMPTSLERGNPALVPLSPSLVIEPMSWSQPNPGFSEEASQQTKKSDSQLVNLIPHQEF PDSGMKEALKVLIENTISVVEYGHQVLYRCGYAEALIVVEWVVPDKQLLFASQMLLEN NWPRLLYYERDWNGKPRAPEYWEAQYLIHALDDEGWMRVHLIPLSLVGFTLEDTVEVP STFADELHLLTPKPPHYMSSLIRHLLQLPIDHDSRVRVEKDLMGFISAYILKDGPANT TMWTYLNGQESDEDYQKRVEEGVRFMKTWDWGKIEERYLAIAECAVRDCHYIHTLTAA HKEQHTP PEX2_056420 MPSQSDFIHLHAAATTLTNILRCERISHIFIGGYATGLLGGGEG RVTEDIDLIVEKNCRKLLLQYPNITESRDNRLVYHHHGTKVHIDMEVMGTAPWVPNPR TTKVYTVAPTDCPRRQLISMIPILHPSILLLTKLLPWKEADQATRAAQYTRSRTGFMD IRTILQWLADKKSRIDFSGLA PEX2_056430 MTTDYATESPRYTFPLTSLSLKGPYGLINIQNVDFVSNNDCREL LLLERAGFNRSGVGRLAYDYRGIKVYVETRYHGHAEPIMTHLEQRGSTKSILNIIQGA G PEX2_056440 MAVTARPTLEQINDGPNDQPANDGHLFPPTGQLSFLERLPAEII QDIASYLSAQDLGCLGATSQALVDHASNDLLWAKLVNERLPAPIQSSGPFGSFRRLYL AYHPCWFIPQHKVWVADNEHTGMLIIARYDNLRGVIEAYQVVAARGTPEFQTWISHPE VIIQSFEPNVHLDMGDDPVLSLNDPNPSSRTAPIQSLQSMKEKRQMSMASHVQHIYKP LSFCSELSPQGPWVNPDVLWPPQTIPSNARTVRDLEDSPPPILNHSSELSESFFRVRK WVNPGLMFSHPPKQANLTCSTLDPVLYTPTPEKPYQGIWIGDYSAHGCEFLLVLQKDT TTVAHGDENESQIMDTQNEVEYGDQENIEDIGQRGQLQAVKLTGDPNVPRGQFSFVAE DIGSRGLISVEMEEPFVGARSVRCRGHVAGLGFHDDTYIDSQLILISPDHMAHYWREM GHVSYYHRVDIDALLRTCEAHM PEX2_056450 MKPIRNVALLGKGWLGSAILEQLVNSGFQVTVLSRTARSVDEPP SSVKTVQVDYSSIDSLVAALQGQDAVVSTVGSSGISAQKTVIDASIQAGVRRFIPSDF GALTTRPGAESLPLNALWIDIQKYLKEKALSGQIEYTLFAVGPFLEFVMSMPFLTDLQ TQTAPLYDNGEHTFSSTSVSSVAKAVAGALKNAAETKNRLVSVHDIVLTQNKVLNLAK KYSGPDLKWVENPVDAAAELGTILENTKQSDLDLFKTLALLKAALLGGKFEAEFKNLD NDLVGVRLLSDDEFEKIFATAFKPSQMIAQEDLEANVEGIQGEA PEX2_056460 MTFNVFLTGLLAATAAALPHSARSGESGAVETRDSSSGSLQIVN NMGTNVYLWTTSSEAGTMQTISTGGDYSEGWTTNSDGGGISIKMSTSESEESVLQFEY TQDGDTLYWDMSSIDLDSTSEFVKSGFTVVPSDSSCKTVSCAAGDADCKDAYQLPDDV NTYSCSLSAGFTLTLG PEX2_056470 MDFCFCFCLFSLSFAEFYCLLGCVYTLLEMYINFCRSGYILAVS LSSITSTIQECGLAPLYYKTDTSHSSLPLSSNRYFNP PEX2_056480 MASPQQIRTPITDLLKINHPVLLAGMNVAAGPKLAAAVTNAGGL GVIGGVGYTPEMLREQVAELKSYLNDKNAPFGVDLLLPQVGGSARKTNYDYTKGKLGE LVDIIIQEKASLFVSAVGVPPKAVVEKLHGAGILCMNMIGHPKHVQKALDVGVDIICA QGGEGGGHTGDVPTTILIPTVAKLVEGKKSPLTGQPVQVIAAGGLFNGSSVAAALMLG ASAVWIGTRFILSDEAGAPAAHQEAVRTSNFEDNIRTIIFTGRPLRVRKNPYIVNWEE NRHEEIKQLTSKGIIPVEHDMENLPDDVDDETLDNARPFLMGKVAAVVNEKKSAKAIV DELVGDAAILLQKGNKMVAKL PEX2_056490 MSLKQEIETWVQALDAYDNQEFNESLRCFDQIADTSKILFNCGV IYATLGEHHKAVECYQRAVGLDQYLAIAYFQQGVSNFLIGDFEEALANFNDTLLYLRG NTSIDYEQLGLQFRLFSCEVLFNRGLCYIYLRQMNPGIQDLEYAAKEKVTPDHDVIDD AIRENADGYTVFSIPVGVLYRPNAAKVKNLKTKDYLGKARLVAASDRNQSSDHQWNPM PVDKEALQGREGVSFAASHLVRQNLSSRTRQQSEPPLNRNVFPPTPPPDDRSVSTASG SGSASGHHRTSSLRTVRPPRLDLDRAGASLDRRPCPPEQPSTEKPRIGTTRTASEPRG PSSRQNSTRGYTPESSSRGSSSREQAGHRRNLSDINPKTNYAPEPDYGHTEPYSSQRN LANAGWGRGSRHQPPQYIDEAEEYNSDAYDATTLNDGAFELVAAPPAGPSQSAQQRRT RSPTRYSRHANPRRPETQKFRVKVHAPDDTRYIMIGPTIEFGEFENRIREKFGFKCPL KMKVQDDGDMITMVDQEDLDLLVSSAKEVARREGSEMGKIEIWVEERSMI PEX2_056500 MVRPSQNRHSAATSTELPTLHSPPSITRSITSYSMPRQKDPLVS SFGNATDATNDQIRDYHHQVKATLTNILNDDRVKHDPRGTRCVQKILLENEQDMRKQR RHSLSTCTCAAKRTMPF PEX2_056510 MEDAQVHLLRVSPGGPDGDGTLLVMVSDKRMSNEEMQEVASNHD HIIGFAFPPRAGSDCDYEIHLWHPFYELDKCAHAMIGTVWLLSKLGKMSRNDLRIRSK NGRVEAMITKTADKDSTWVEFSYPICSVMEEVPQNHINAILSQLEIDGDDITPRIQVR NAGTNKIVKTMIPIISIAKLNELDLEYPLSKKLLEKIKSNGLCLYAADDHNPHEYEVR QIPKHAGDWEDTATALAIAIMLNGTIFDPNQRLKIRQRLDEDRYREMDLRFKLWGGNV VGCWIGGTAEFETEKGETRKTKYWETDTE PEX2_056520 MTDLTPTLNNLLSKQGSSVPQARKPCTETADEFLKEAYRINSHI HSLLQYLQSIRHAYLSTTQPQRRNQNTSTPNPKNAPPTTQTNLTDPERDAVDTSTALL LRDLATSIANLSSAESLRQETSSTLLHKKYGHSAAGALLWRWAGGSGALDSTSDDNEG KSAEQVQAEESARSLATIRESVLWFLRRGLESAVGVQRRMVEKRIERVREKEKSVLYK VAAGKTAGGSGNGGRKVSVSVSERTGAGAGAGVTGGGSFDPTFQAPDAAVLSEADTAR IEAQLSPEQLQLFAEENDSMLRHYEDTLGKVQNAEKSLLEISSLQETLVSHLATQEEH ISQLISDVDTTQTNVGQGNRELKRATERRSTAQAVFWGTVGLCTWLVVWDLVF PEX2_056530 MGSSNPSVFTAATVAAAPEDPLFGLMKAYREDPSDKKVDLGIGA YRDNNAKPWILPVVKKADDAIHNDPTLNHEYLSIGGLAEFTSAAQKLIVGADSPAISE KRICTLQTISGTGAVHLGGLFLSKFHPQKPAIYLSNPTWANHNQIFTNVGLTLAKYPY FSVKTKGLDFTGMIAALEAAPQGSVILLHACAHNPTGVDPTEDQWKQIADLMRARSHF PFFDTAYQGFASGDLVRDSWAIRYFVEQGFELCVAQSFAKNFGLYGERTGAFHFVSAP GPDAAAASAHIASQLAILQRSEISNPPAYGARIASRVLNDPELFKEWEADLRTMSGRI LEMRQGLRQRLEKRGTPGSWDHITSQIGMFSFTGLSEEQVMTLRSKWHVYMTKNGRIS MAGLNTNNIDYFAEAVDSVVRDSAKL PEX2_056540 MGLFLFFLLFFSVQAEVVSLPSNTTIQIQSTGFQIEGGNLTGQK LVPLAESLIQSTTAQLKSFNVSGTATQVIPSNSLDLKSSQIACISCDQEDYTGNIRVD ETIEYVTSAQSAAAILLYSKTATGCNFTSDDQTVARYPNIFTFTSQAGYSALLSAFDK PTTISIVSMSYLSAVPSDGDPGGSGDSPNTAMIILYSITGIITALFLGIIITGAVRAH RHPERYGPRRIAGRVRQSRARGIARAMLDTIPVVKFDDKNDDVEAAKRDVEMSMGSED AAREHSQHQADGNTGVSTPHESEMPTPDDNERPTATAPEAKTDMPEAGNFSCPICTDD FIKGQDLRVLPCNHQFHMECIDPWLMNVSGTCPLCRIDLNPPQPENPEQTEENTDQAD QADQPTEGGPVLTTEEARQQARQQARHTHRRLTNYLHGPLNARRMRDATVEERIAALR RVREANQGESAPEEGSRRGLTTRLRDRFRIRTRAHGAEAESSADADNAAPSPAAPAPV HLTPSTA PEX2_056550 MPETAIPSRAWQHRFDGKPISPTPAIAELDIERNELAHTWKRFI SLLLPQDQVQWEERPQTVLDVKTLLGNIKTFWMSRPRPRVFSDSMDLCDRLLPTVDTH ATLLSTLPDHMAYSPLFYGVVQSVIKASSHYPRVMEGLATALLNIHDALGLPSDPSLI SSAVQHITKTYTLIFFFLTEFMDCQDVYSEFHHLVIYIQLQAQNFPWQHAVMDVDDEE EDPYSPRALWEESRLSQVGRRGKDRRIAAQNTITRRLIWEIQQDAEERNRYREGRDRL LAETLSSVREQLQPVNEQSSGIVCITTPPAPNIGRKAYAFYSSIHPSNPILIDTSSFE WSRGSKRRLARLEIQSSSKHLQDFFDSDDQICDYESDVKVVAEGSIVASLQQWATSSR SQALAVGGTQPTASLDPVALISACYASFARQARLPVVSHFCTLPSQEAKGLNLHEQGL IALTYSIIRQLINWLPPVVDSDAVLDLSAERFRQLDGTLTSWKAALSLVDTLLQSAPP LLVFIIDGLDAIHNPSTDGAIRELVCVLLTHTRRQPQGGLNGQGPTLLMKVLFTVTGR PSALVETMSEHTLILNESNKSNQPTPSESVLTSDLGAVMMNA PEX2_056560 MNGHGVTSRLYSPSTPQLPEFKDICSQTTNPATYPLACEVQSNI PIYDLSALESTGLTSDLINRLQDEWHHILHTGPGVYVLRSMYAPTKYSTTLNTTNTAF NAIIAREAAQSNKKGDHFAVGGTNDRIWNSFSKHALQDPPSFIDYYSNPWLAAVAESW LGPAYRVTAQVNAVHPGGAAQEAHRDYHLGFQEEVACKRYPAATQLTSQFLTLQGAVA HTDMPVASGPTRFLPFSQTYKSGYLAWRKPEFRAFFQESYVALPLSFGDGLFFNPALF HAAGANQMDPENGGFRRVANLLQISSAFGKPMETVDSVPLVDATWDLLVERFRAAGGV LAGQELGPETHSLQQMEIRAFVQAVAEGYPFPTNLDQRPPALSGMAPESEQDIVVRGL EGGWDRKRVVAALEKMHLDSRA PEX2_056570 MPDHGNGMLNPNDVTIDIPLTETTSRGQTGARKWNTNTSPQDGI SQTTEKEPIIGNHQRGPGGRRRTDTDINELSGKPAESPEDGTINRMGRFYQAVLNYSL ITRYLIYVLPIAILIAIPIIVGATVAPNAKIGGVHIYWFFTWIEIVWVSLWAAKIFAR YIPYFFQFLCGIVSSGTRKYALILRALETPIALLIWSIVSLVTFLPVMTLNPSKQDSG DTGVKAWEKSIKNILLALLLCSLIYLSEKALVQLISISYHRKQFDAKIQVSKRNVHLV TLLFEASRNMFPVYCPEFKEEDSLIFDSILAQAGTLGGKRSSAMPLRMLRQVGRNVGR VGDKVTAAFGHVASELTGKQVFNPTSTHTMVIEALERKRHAAALARRIWMSFVVEGRE ALYMDDIVEVLGAEHEAEAEECFMALDRDGNGDISLDEMVLTITEFGRMRKALHHSMH DVDQAIRVLDNLLMCVAGLIGVLVFISFVTTGFGTVIAAGATSLLSLSFVFSVTAQEV LGSCIFLFVKHPFDIGDRVEVSDKPFIVERISLLFTVFRNVTDSRITQVPNNILNSLW VDNFTRANAMHEQLIIPVAFDTSFAEVQLLRQEMENFVRDKENSRDFQPDIDIELDGV GDMDKLQLRVDIRHKSNWSNETIRAARRSKFLCALVLAVRKIQVRGPGVALPEEETAD AADADDKGDDSGAGAGAGRRKSTQGGGADSSKPDPGVAAAAAGILNYENTAQSTGYDQ TRSGTITHRVSTHTNAEREAAIVEMLNARSPTVDAGRDDYDSHENALHRTVTNASHQG NQLNVHNGSEGITRGLSTGHRKLGERVSYNEHDHYSAPRNAPLSPVPAGMTPSSSQVP ILEAPQPGSRGSARYEPRPHSGQDQHQSTVQMVGSPDPNPFNGGYYAHDTGYNQIPVG GYSAENTPARERRDSNPNISGVTASSNYQLSDRYDPVSPPSIYSPPQPAAPQQGAAYN TAPLRKKDKSPYGERET PEX2_056580 MSAPLRIQSWRAIETLQNATQLSRFSRAPNPRVSQLFNPMTKRA ISYTTKNQNNQSTTKPSPSPSSSATKPAPSASTPIPTGVPASRVPGSTPSRATTENIS KTGLSDKPLELESPAEERIDWTRSFHGLSAEPFPKKAADILLAETEPDEVEIKPDGIL YLPEIKYRRILNRAFGPGGWGLVPRSESIVTPKTVTREYALVCNGRLVSVARGEQDYF SPDGIPTATEGCRSNALVRCCKDLGIASELWDPRWIRKYKAKYTREVFVEHVVNKRKT KIWIRKDDPVGYPWKETGGK PEX2_056590 MSNNVEKTTVVPDDDEPDDWDKRIFSTGCHTEQDKMNDCYYAKK DWRECKKEMEAFRECWKRQGNDQRTQTKDA PEX2_056600 MATPTGNSADFSGKKLNVLVYSGNGTTVESVRHCLYTLRRLLAN HYAVIPVTGDMLLNEPWITTCAMLVMPGGADLGYCRTLNGAGNRRIAQFVRNGGRYLG LCAGGYYGSKRCEFEVGDKTMEVVGDRELAFYPGICRGGAFPGFVYHSEAGARAAELE VAKDALNTGTVPTNFRSYYNGGGVFVDAASLADKGVEVLANYTEKLNVDGGDGTAAVV YCKVGSGAAVLTGPHPEFAAVNLDPKAGGPEYADMVAALAADDKERTDFLKACLSKLG LEVTQDTTTVPSLSSLHVSGIDADGPMDILSGLGATFTQEGQTEYLKDEHDTFRIERP GTWNLGELEESLPAGSSESSDGIVDYQAIIKRLVFHDDIPSSKLTPYFNHHAFYSHLR QYQSESKGEATTFGSNLLYGEVVTSTNTILEKNTKLLRQLPQGFTATATAQIAGRGRG SNVWVSPAGSLIFSTVVRHPIDKIQSAPVVFLQYLSAMAVVRGIKNYAKGYEKIPVKL KWPNDIYALDPDDPEQKRYTKICGILINSHFMSNEYISVVGIGVNATNASPTTALTTL AARYASPGAAAASPVMLERLLARILTTFEALYTRFLRTGFDRGFEAMYYEDWLHMHQI VTLEEEGGARARIQGITRDWGLLLAEELGWNDRPTGRVWQLQSDSNSFDFFRGLVKRK V PEX2_056610 MPQISNTPESLLPRSDSRNPATTCRGITAQGRPCRRSLASPNPS TASNPRKKDPRQEAIDVASLYCWQHKDQDSSANPPTAVITPAKTRTSIDTLMDRLGVL EINDDPVSQGPKPPHKRRTNQSRPSEKRRPKKKTIFCCFQILEDSGEDDKPTSVQRPK PPRPTSGVSASANSSQKIKLPASAGKPQHAQVSPTPPISRPSLSDTGKPSFPQTQSLS SWIPSTLSPQTTSILTTELAKPISSADDEGYIYMFWVTPQTTTTTRSAESAVPRDIAS SLLPQAPQSSNHLRPPTQPRSVSEAIRAAQDLNALTSNPTPTTPGTLRLKIGRTSNVH RRLAEWSKQCSYDLTLIRYYPYTPSSSSSPSPTRVPPSHSSRNRAPSASLVPGRKVPH VHRVERLIHLELAALRVRDLGQCPECGKEHREWFEVEAEKESLRRVDECIRRWVSWAE SMAP PEX2_056620 MSCPNCFSGHVHQGTPRGEVTSLHGLQAYTTKPLNDVPHRGIII IVPDAFGWEFVNNRILADNYAEKGKYLVYLPDFMNGHAAPVSMMSATKGVLKTSGLTS WLMKPYHLASMLTKMLPFMYYNTFKVSWPIVRDFFKSVRENEGAELPLYGAGFCWGGK HIVNLAAGADIASNGKPLLNAGFTGHPSLLEIPIEIEKIKIPVSFAVGDKDIFLKPPH IEQIKQVFASESSSGKGEVVVYEGAGHGFCVRADFVLEDASRQADEAENQALAWFEKY PEX2_056630 MAPIYAPAWNEFVQEIGPLLMTGTTVEDLFKDSEVNTQKIISKY EIPLPDDSVKTEDIKLKDAWLRVFTPPSATGDEPVGIFMHGGGWIMGSVDHEDAACRH ISKSTGMKIVSIGYRLAPKFKFPHGLNDCVEATLWTLEHFSLSSVVLMGGSAGANLAF GVALRLIDSGLGDKVRGIVALVPCTVHPDAVPEDKKKQFTSYEENAIHTVNTLAAMKC FLDSYAPPPGDKYFSVLLHPRLKDLKKVYIVECGTDTLRDDSRLMKVALEEVGVPIMY DDYPGYPHYFWSYPSLVLVKASGEFYTNVFRAIKWINSV PEX2_056640 MDLFIDIVTPNGHSYKQPTGLFINNEIVPATGGQTITSLDPATD KPIATVQAASAEDVDRAVKAAKAALVHPSWKLLPATERGQLMARLADLIEENRELFAS IEAWDNGKPYHVAVDEDLTEAISTIRYYSGWADKTFGQTITTTPQKFAYTIRQPIGVV GQIIPWNYPLSMATWKLGPALACGNTVVLKPAEQTPLSALILGTLIKKAGFPPGVVNI VNGYGREAGAALASHPLIDKVAFTGSTMTAREIMKMAAGTLKNITLETGGKSPLLVFP DADLDQAVKWSHGGIMSNKGEICTATSRIFVHRDIIEKFTASYKTAVEAVKIGDQWDE SVFHGPQVTRAQYDRILSYFEIAKSEGATILTGGAAHTPTDEKNKNGYFIQPTVIVNA TDSMRIAREEVFGPVVVIFPFDDEEEAIRRANDTTYGLGAAVFTRDLERAHRVAAEIE SGMVWINSSQDCDPRIPFGGVKQSGIGRELGEAGLEAYSQTKALVREEIMGFKTLVSK LKRRTPPEDPINHSGTTSGSNGKAVLSPRAPKDADINLITQVLGTDERDLWLEAFGKL PRKSQQELEKRGMNRQCSEPMIDQIKSFQIEAKRQRDRSLAKDWKVRIGNHELPVRET TVQIVHWAERIGDVAIQFAPAPGAGGVWTVAKSILQGVDTFDKEKSALLSVVDKVAGA IFCSQVYYEIYNPERTGRKDVVNRLHDAIVALYGCVLELLVTSSDLSSNTAVQFCQAI FDHTQPSDMLLELEKLEQELVKAAGKCEDTAHAHQEVKFKDYLQEAQSSLNRITRHME HVFQWVNDQERRDLLGWVSGIQYGRHHDEIEERREPNTGDWLVQDERFREWMDSPSHS TLWLQGSPGTGKSFLTSAVVKHMMDTKGSQMEGFGYFFCNQDEKDRREALPVLRSLVR QFAAPKSSTESVRKSLRDARGRATDRASRLGSSECHRQLVESFNLYSTSFIILDGLDE VLDDELDILIEALDSAIAETKDKGRVKLFVASRPEKNISAKYGSSSTVIIQAQDNKKD IEKFVTNEMDKFGKKHPRSDVNAMKDTIIRVILDKCDNMFLWAALQIKQMIQCNTVES IHYALENLPKGLDEMYNQICQKIRTRFPPEQAIAECALKWVLYSPYPLTSEELLSATR MFIENENIKLASCIQQESLLSICENLLVVDTDGRWRYFHLSAREYLEKTHEIYEQGRS YCAQVCLLSLMRTFGPATLPGSSEDPLNPAHPFSQHTQTCWPVYIVGQTEKDQSVILL KKFLGSPGRSSVFYIRWLDHVSEHDLPFQFIDHEGLAPNTTPMFAIAHLSLYEETLRE WCDSNDFDPFQENTRGEDLLMMAAMNNCIPLCATLIAKGVNVNQCHVNSRYGSALAAA ASCNMMDTVKYLVEEAKADVNLPLESGDYGSALAAAATRGNLDILRYFVEEVKPAVDL LVHVRVHGNVLVAAAFNGRLDVVRYLVEEANIDVDLEDDEEFFNCALEAASWGSLVVV KYLVEEAKAGVNLPHQANNALVAAVSSGKLDILRYFVEEVKVYVGSMFEQGRISVALG IHSDEDAVALREILKLPSDQEAAGDEH PEX2_056650 MASLHGIMVALITPFTDDKTAIDESRLEAHIEHLITAGVHGLVP GGSTGEFTTMTVAERKQLTELCVKFAAGRVPVVAGTGSLSSAEAVELSVHAAKAGAVA TMVVPPYYDPVSLPELHELLNEIHTASGLPIVFYNIPAATGITLSPAEIAGLSKVGVK YLKDTSGNAPALTELIFGLSDQIVSFNGWDTLTFYGLAAGAPGGVWGAANIIPELAVE LYEAVSVKGDLKLGREIWAKAWPICKFLESHSYAAAVKTGVELIGQPTGGLRKPFALL NPELTAELKQLLQNAGVKTV PEX2_056660 MRTGSDEEIESTRGTRRYLYSEQSRLSMSTALGSDLISGSIDAS LAEVDSRLRDPERSLEGDIVIGPFAVLDFSSATQKPEQKLVHEIETVKSDTNSAAEAD NTVAPEKLQEPTPSPILDSLSQIDDFLHWSDLLSFGLDQTEFATNGALSMPNDISFDM SQEMGLLPIDSNHSDDLLQTFTTQQTPAELISADIDALKDAPFLLKHFQDIVIPHIMA IPCRQKSPWKILNVPAAVVTYSDSTFLGTEKISHAKLANLYGLLACSAIHIVLNPSTI STNPPEYWWKVANQAYLQAKDHMQASFHSETQGLKKAKYKDQLMAACILIQYTIMSGQ QQHARCFMIDAERLLRLRGLSKTRISKKARLLHHVYTWLRIVGESTFVLHDYNLSSSS FEALGSRFQSRHTPDSEEMASTEPNPRLDDFLRLETQNSDSDLNIDEPKDQTSGLHDI HLQDSRSYPETLYKQIYGIPETWLSLVSQTTRLANVLETFRVAREAGQTMNLEAWETL QRRSTRLENMICSFSLGRTRAGVLELHPDSKTHGHMVEALNAALVIFFYKRIRQTHPA ALQGHVDSVIASLQACSATLTEDDPTGPGTAWPLFIAGCEAISSTRREAIMSMLDSAS SVCGFAAFGTARNIITEVWRKQDEHLTANRGESMPSWVDIVKQGQTWPLFC PEX2_056670 MAHRGETLHKDVCCIADLKKMGSSRLAPMVRDYYNGGAMDLITL NENEAAYDRYKIRPRILVNVDKIDTTTEFLGSNVSLPFGFSPAASMKLAHPDGELATS RAAAKYGLCMGLSSYSNYPLEDVAAQGAGNPYVMQMCVLRDRSITLQLLERAEKAGYK ALFLSVDVPVLGKRINEYRNEYTIPDDMSWPNILSHGADHSNRTEYDPSLDWEETIPW LKQNTSLKIWLKGVTSPEDIELAIKYDVDGVVISNHGGRQLDGVPSTLDALRVCAPVA KNRIPIAVDGGIRRGSDIFKALALGASFCFIGRIPFWGLAYNGQEGVELAIRILRQEL RITMALAGCRTISEIQKCYLSVLQPDGILSKL PEX2_056680 MFNFNFFKSAPANEPATDGWNANTVTMQPTSPAAPSSNQNVVSE QPASQEQMQLRGGGGGGVCCGVCAGIACFECCEICC PEX2_056690 MDMLSSRSYLELSQEQEETSINTSNESHLRPRKGPQAPEIPDQV TDRNSAAPDRPVRKRGRPRLEAKDATAIEERRLQIRRAQRTYRLKKENTIQTLRSRVN LLEQTLQNVSNHLDGAHSDAVNNLNNDSTLQPSVDYLARTRELILAEINKTRSTSENN NDLQLEHTNRPSRNKDTFGYKVSHTYPQKNDPNISSPYALYNRARSPSPLINRILPTT TIYTYSHQESNLSRRLHRFSLEHTYRWITDARSDPALLIRVFGLVPCIHDMAGIRRSF RRTLRSEIGSGLEFSKMPFYTLGGAGKHFPRVDGDGNPVYPENSRRPGKILRRMERIL QRGGIQDWDEDWSGEREPVVGMLEGMVDGRRVRMGAEERIRLLDLDGEWFDCHDVQGY LEHRGLMLEGSAVRLDVPEALVGALYGVSPDRETVSSLYASSDGTTPVEKMESESLTS SSYSLDVECFFDLLLANFRILGRAPGFRVWDVDAALRSAISRRPFT PEX2_056700 MTLSFVLGFAAAAVVLQVIRMAINSWQHSRNAKSLGCGSVPMYP CKDPLGIDNLKQSLAADKAKLVPELAEERVKIISEQENRYVTTFSIRNLGRTHIFTID PKNIQAILATQFKDFELGSVRRYSLHPLLGTGIFTADGEEWSRSRGLLRPQFTREQIS QLDLEEEHVQKAMQALPVAANGWTTTTDIQSIFFRLTIDSATEFLFGESVESQLGALN GLNRPEDSFANYFDKSQWVCAQRSRFEKLAFLAENKETKFSDKQVHSFVDKVVSNALA ASEAEKKASPDEKSSYVFLEALLEVTRDPIELRSQLLNILLAGRDTTASLLSWTTLML SRHPEVFTKLRETIISNFGTYSNPQNITFATLKSCQYLQHVMNEVLRLYPVVPFNRRN ATHDTTIPRGGGPDGQDPVYIRKGQSVIYTTHVMQRRKDLWGPDADEFKPERWMSRRP GWEYIPFNGGPRICIGQQFALTEAGYVIVRLLQRFDQIENVYPDQKIRYGLTLTSAPA DLVTVRLHQAEDA PEX2_056710 MKFTNIVLAASAATVACAYPRGRDVVPTKQSADIKKRAASGFTW VGVSESGAEFGSNIPGTLNQDYAWPKTSQIQILRDAGMNVFRVPFLMERLVPTSLTGT PDATYLADLKSTIKFITDSGAYAVLDPHNYGRYNGNIITSTSDFKAFWTTVATEFASD EKVIFDTNNEYHDLDQTLVLDLNQAAIDAIRAAGATSQYIFVEGNAWSGAWSWTTNND NLKALTDSEDKIVYEMHQYLDGDSSGTSESCVSATIGQERLQSATAWLKDNNKRGFIG EFAGGVNANCEAAVEGMLAYMSENSDVWMGAEWWSAGPMWGSYMYSLEPSNGPAYSTY LPILEKYFVDGTASTSSSSTSSSSTSTAAKTSTTTAAQTSTTTAAAIEVTSTPNSNVQ VPSSVSEASSATTSAPAETSAAVVVPVVSTTTVAPTTLVTAAATTAATSTAKAYTQVP TASASPSSGNVAKHYYQCGGINWTGPTVCETGTTCVKQNPYYYQCVN PEX2_056720 MAEDTSAAWPIADEALAQSLLDLVQQAAHYRQLKKGANECTKSL NRGTSELVIMAADTSPLAIVLHLPLLAEDKNVPYVYVPSKMALGRATGVSRPVIAASI TTNEASDLTAQIRAIKNQVERLMI PEX2_056730 MCIALISTAHPEYSLIIINNRDEFLHRPTSSPDWWPEPASHVLG SRDLARSTHGTWMGVTKHGKVAVLTNYREDTTTAAIGIYSRGVIVNSWLIGSTDKRED TTEFVQGIVASPEAKQVGGFSLVCGHINEPLAIVSNRSTDMDQITWVATEKNQTRGLS NTSFDDRTWPKIIDGEQLMEAAIDEHVQKGDGADEDALIDRLLQVLNTDSLPRLPDEN ATIETYIHHLHKTIFVPVIGGPNDRDQDAEKVAAARVEDESPTNGPPDQSYTCGSYGT QKQTVLLARPDGRVRYFERTLYDNDAKAVPIGQGDHSFEFNITE PEX2_056740 MFGIFADLLSSVITILFPVFASYKALRSSDPSQLAPWLMYWVVL SVILLAESWTVFIIGWFPFYSWIRLGFMSYLVLPQTQGARLLYQDYVDPFLTHHEREI EEMIGHTHERAKALGLQYFYQAIDLIRQKVLGLPPQRPTTPPQPGAASYAQSLLSRFN IPVAGAPGAAANTNDWFSVLSTAVGSVTSGKTREARDEELSASGNLLQRQMQSMSGAE KAHFISSQRELLDHLRSTLAQEEQSTPRGGLEADDLAYGVPLRKNRSENSFEVVDDED IGRRSERKTSSGWKSGWFNSEQDSSASSGAEFAARAVDEIARSRAAGHDRS PEX2_056750 MSSNPPQSGNQGQSTATPAASTQPASSTPLAATQAPARSYANAT KKSATDSTAAPATVGGSAQHGQSSSVSVNGKPMQNQSQQSASSGVTIVNGAPTASAAS SGDHSRKPSVTITSSGTSGYMPNGGGPASRPNSLQFGFQQSSPNMGAPAVPASNQAQA GLGAPSTNPRVTSPQTSPSPIPQPASSGGRPPPSTYQAQGNVPNFGSFGESADANASL AHGAQHLRRESSQSTHSDMSNHMGNGPGRSSYQGGRGRGYSQTGYQGQQMPYSPNPGF RQTPNQPRGGPNMGPQFHGSNQGRPLAPYPNSPHQANRSPALANVNPTTPQMNQVPMA HPQMPQQPYGYGQHMGPQAVRSPPSRTSQDPWRRPQRNLTSSWRRKDKPAYSPECPVD LAPESGQFEQILTMVKFQQSFPNAYDPNYAGYYNPNGGYYMGPPSPQPRAAGMPYNPQ YMGQQFPNPMPQATPLSRTPSQVSTDRPGSSVGQGPAPTGPAVAGHTHTGSRASNSPA PKPHFIIPSAKKSPIIIKDPNSGSVKTFEKNPASPARATPSPVKFTPPPTSTPPPRTA SASEHTRTESKPNATKTDEEKKQELKDAVRLKIQQDEAAQKQRLADEAARKTTEAKKD DTESVRAAVEDLSIKDKAAPVEEPKKVVAAEESKEVAAPAEEPKKAPAPAPAPAPAPA DDDEIDFDAIEREMAEIEAKERAAEEDYNRAKQAKKEEAARKEREELENYEANMKKAE AEAEAREIARENAARTGGGEAAQDDTKDAFASLKKGGYSATESSTPADSGAATPVSSD MGPPAKPASAIPKKPAGLKLDTPKPTEPQQATAGMKSLQSAKFLEDLSKITYPSTITP PSTEMNSVAPEGRKFHYDRDFLLQFQAVFKDKISIDWDARLRDTVGDPTDSSRPQSAR TPSMGSRAGSRSGTMGPSGAFPSMGNFTGRPGQMPPMGSGPMGSRNASFQFGRGGPGG MGPIGNIRQNSAGVPPRGSSSKGPRNDSRQKKHGGRGDEAQNKSMPLTAGMDLKAIQT TATGWKPRSVGQGAAGPAPGGDTGYLAPDVVQRKVKSALNKMTPENFDRISGQILAIV SQSKDETDGRTLRQVIQLTFEKATDEAHWAPMYAKFCKSMLESMSPEIKDENIRDRNG TVVAGGSLFRKYLLNRCQEEFERGWKTNLPDKPEGTTEEIAMMSDEYYIAAAAKRRGL GLVKFIGELYKLGMLTERIMHECVKKLVDYEGIPDEAEVESLTSLLRTIGASLDASEK GPAMMDAYFARIHLMVETPNLPSRLRFMLLDIIDLRSARWASKDADKGPQTIIEIREA AARAAQAAEAERQRQSSNRGGGGRIPMGRGDARGFGYGNQAPPPDYASSKVGTDDLRR LRATRNTNQPMSFSPSSLLGSRTNSGRKNLGPGGSLVRGSDDSAASSRTGTPTGSKKE DNSSMNAFSALAALEDRDNMATSPPSNPTSPMLTKSQPAAAERRPSKTPSIKDGESTA PEX2_056760 MSVPTSPKVSSESAGRPEFRDHTIPVAGSGNTRSLSGEVKPRSQ RRSIQFSVDAAEAQLPTRSASFKEKRLSYGDTPTRELLEEKEREQKAAQLNRGPSPPP PKTYERGVSFDTFDNFDATDFSLTLNYKHKGYQSTRRSRTFLCGTDQNDYSEFALEWL IDELVDDGDEIVCLRAVEKDSRIASDVGIEERKYREEAEKLFEQVIQKNSQDEKAISL VLELAVGKVESIIQRMIRIYEPAMLVVGTRGRNLKGVHSLLPGSVSKYCLQQSPIPVI VVRPSPKREKKKKKRRADPTRRSYNHILEMSEQRGSQIFGASPSNDSSTSMLPDEEAA VAKALGLPASYANAASRSSLSVSDRSSISHDDSDSTYPIRNSLDAVVMSSPGIGSPAG SSQESVVTSNSVQPSPSPSLDKTVISPSPSDHPDSPESVFPTEVDRPHEANEPSDSTK NPVSIPVIEVSDNDNDKSDNTKESES PEX2_056770 MDSSSVTSNTSKPSPYFDSLLRRRQQKKMSITQTYYLAHTARKK LTREASRADHDLRLLVGHANLLDSLMYDLADAEREQERWFNQTVSGATKASSSSSSRQ HIQWASSVVEEPEEDWDPEDASDLDSELSDSDDSDYDESEFVINTPPVRRRAPSPVAH FQDDLLEEDDDADDTDSDFEYDDSEDLDDLSLTRSPSRQSPPELLADSDGESEDEATP PSPPQPTLEAFDEKEPATTAIALAGSDQPHLFEQGYFGTQEQTMIEAY PEX2_056780 MTMFPIELPLSDAPPDRGPISGSPPTDIYQLFVAVYHRGELSLG DYRKRLGFSAYHWAILVLDSNTERYHAYDVTDGSSPDPVMRRDLNPDFQWTYRVKTNV HPESCDSLLVRMAIGEVDDGIGPDTIKLLLQSVQLPIKGAYPPQNCVNWIRAVLHKLR LHGYTHNLHDIEMTIDRALAYADLRMMDPDHSVAVLDHLGNELFYRPYVR PEX2_056790 MLVRQVCVALAIAALSGAVPAPVKHVLHEKRSEHVDWVKGERIK RDSVLPVRIGLTQNNLEKGDEYLMAVSDPSSPKYGQYWTSEEVHDLFAPSKDSVEAVR RWLESSGIHESRIVHTDNKGWLAFDAYAHEVEELFKTKFYEHEHASTSSIKIGCEEYH VPEHIQAHIDYVTPGVKLSPIVKKKLSTIDKKSNMAKRMSHLAHSKGIDESATTAVIS EKAKSLPADLQKCGTDITPACIRALYHIPEAKKAAEGNSLGLYEQGDYFSKTDIDLYY KNYAPWVPQGTYPIPALIDGANFSVPDYSPLNTGESDIDIDMAYSLIYPQTVTLYQVD DQIYEPQEVATTNLFNTFLDALDGSYCTYSAYGETGDNPDIDPVYPNPSAGGYKGELQ CGIYKPTNVISASYGQAEADLPVAYTKRQCNEFLKLGLQGHSILFASGDYGVASFHGD GSANGCLGPEGKIFNPQYPSNCPYVTSVGGTMLYADQTVKDAESVMHANLGGTAANFS SSGGFSNYFPQPAYQKEAVEKYFEKAKLTYPYYSELEVDLNTTKGLYNRIGRAYPDVS ANGAVFPAYTGGIREHYYGSSLASPLFASVLNLINEERIHKGKGPVGFVNPVLYAHPR VLNDITNGTNVGCDTQGFSAIPGWDPATGLGTPNFPEMKKLFLSLP PEX2_056800 MSQQKTAIISVYDKTGLLDLAKGLVKQEVRLLASGGTAKMIREA GFPVEDVSAITNAPEMLGGRVKTLHPAVHGGILARDIESDEKDLAEQKISKVDFVVCN LYPFKETVAKVNVTIDEAVEEVDIGGVTLLRAAAKNHKRVTILSDPRDYSDFLKELEA GAITEASRKQYALKAFEQTADYDSAISAFFRKEYAGNGLQQLSLRYGTNPHQKPASAY MVQGKLPFKVLNGSPGYVNLLDALNAWPLVKELKQALGFPAAASFKHVSPAGAAIGVP LNEKERKVYMVDDIKDIETSGLAQAYARARGADRMSSFGDILALSDIVDVPTAKIISR EVSDGVIAAGYEPEALAILSKKKGGKYLVLQMDEDYTPAPEETRTLYGVQLTQHRNDV VISPSKTFNTVITPKNTTSLPEAALRDLTVATIALKYTQSNSVCYALNGQIIGLGAGQ QSRIHCTRLAGDKADNWWMRFHERVIGIKWKQGTKRADKSNAIDLLCSGQTPRTEAET AEYERVFEEVPAPFTQEEREAWLQNLGEVAVSSDAFFPFIDNVFRAARSGVKYIAAPT GSQNDTPVFETAEKLGITFVEQGVRLFHH PEX2_056810 MNEQPRILRPRPRRPFDLTPASTESSGPPTPAESTGPEYLNPQA ETSNSTSVSRTGSVMNLTSSTLYGIYSPTAFDGFRDESSPWGTEANSPAAEFPPEPLQ RAAEKSAIEPRRMALRRTRSRLSHGLFRGVILPQALSSVLLFGFGLAYGVITVHLHQN HWITPVKLENIHYYDSWQYLGFWGFAGIALGNLLPWLDSWREGEPDSKLAGTNEEESE DRTPSWVTVARSVGAFVGIAFAMRRLPWESTTQASLTLALANPVLWYLIDRTTTGFVL STTVGLTGMGVVLGLKPELVPTSTGPSLGASLLNGTGLENVLGAGITQESIAVRTWVA SVIFCACVCFGNVGRQLAIGAGIRDVMKP PEX2_056820 MADFENSMMDESFAASEAADDQSHGMTASATVGTRRQANGTIGS VYSGNKIRHLKKDDGIPLWRKDIQYQFLKLVFEDKTPVFTRYPDGQKGMDFADVYINA MARSSKTSKILKDKLQNDKPAAINMAMVCLLVNFGRMNTTLNFFPEMRAQLRTYHSIP SLQAHQDSNAYKQLQDAPRLKSILKGASEDVDQPNTLEKIKRQSIPRTNPVNLIFVLA QYAPKVSETHFFPPRDFFDLVMRSTLSSQSRARAFLWLMWWYLESDFSREAALNNPFG PGVEGEGAEGLPLKVPAFDILTEEQASEENLDTPEEQEYGESKRLERKRILEEEEPLP RIPKRPKKEYGFDEESFAGDYSGMGGRGSAMSTPLHPSAKRSLDDEDDEMTPGHSRPR PKQAKRESSLNRTVGQQRLILKTRMEHTPDASPAPPGPNHPVLNRFIAEPSLSSAPTM RRMRPLTQHQIAVEQNRRQRIDYLLAKRKSNAYRVLRAKRETEIPFARYGRLLQGLPE GYDTDDEETSWGKGGLFPNPEEEDDFGECANVFLSVVRKAARRLDRWDYTEANGPKKD RKREREERYQAKAALEADVRSSNNRSRPRPRPSAAAKRKSTGVTGTPGTTKKAAASHA KGNHGHPDGLGAVPSAMGTPTWDPEHGPDDYMEGELDDLDRELLGEVSGEEDDGGAPL RADEEGDFSDDQDDEDDVDGDENSSTYEGANGYARHEASSPAPRGPAGPRGEGYGDDA MED PEX2_056830 MADGLQMGNLSLNESQHAPQGNAPVGRAAYIPPHLRQRGPGPGP APGPSANADAAPSGPGFGGPRYSTQKTEPHEATTDFVSSSDGGGNWANANAPNFSPRG PANGMTSWTPDGKLRPFNPNAYGNPGSSYGGGSSGSGGYSGGGGSQQARGSGDGQWRD GKHVPGPANARLERELFGVPNDPSKQQTGINFANYDDIPVEASGNDVPEPVTQFTNPP LDDHLIANIKLASYVIPTPVQKYSVPIVMNGRDLMACAQTGSGKTGGFLFPILSQAFQ SGPSAAPAQGGGGGQFSYGRQRKAYPTSLILAPTRELVSQIFEEARKFAYRSWVRPCV VYGGADIGSQLRQIERGCDLLVATPGRLVDLIERGRISLANIKYLVLDEADRMLDMGF EPQIRRIVEGEDMPGVDDRQTLMFSATFPRDIQMLARDFLKDYIFLSVGRVGSTSENI TQKVEYVEDADKRSVLLDILHTHGSTGLTLIFVETKRMADSLSDFLINQRFPATAIHG DRTQRERERALELFRNGRCPILVATAVAARGLDIPNVTHVINYDLPTDIDDYVHRIGR TGRAGNTGIATAFFNRGNRGVVRDLLELLKEAHQEVPAFLESIAREGSGFSGRGRGGG GRGRGATATRDVRRVPGGMGGGFGGSGGYGGSSGAAPSYGSGYGGAAAPSYGGSGGGA GYGGGGGGSYGNPSGSSGPSSWW PEX2_056840 MYFRNLFVSLFLVFAVGFALVQAEEAKEPRGPKITSKVYFDIQH GDESLGRIVMGLYGKTVPETTENFRALATGEKGFGYQDSTFHRVIKDFMIQGGDFTKG DGTGGKSIYGNKFKDENFKLRHTKKGQLSMANAGKDTNGSQFFITTAVTSWLDGRHVV FGEVLEGYEIVDKIQNVPKGSGDKPKLAVKIVKSGELELEPETEDKELDQQPGVTDKV PDAPDAPEAATPSNYLQPAVIFFLLVAVVGIWIARRRGQQQQQEKEQYDA PEX2_056850 MKSLETFFGIGSNSSGRLMSRFNIHPTCRVGELANKQVLDLTAV LSDMKIENDLRREVLNDIKRMKETGTYRGRRHALGLPIKTPVKLNRMERRL PEX2_056860 MSEDLVTSAAAESALRQVRVQLISQQEDIALPESTGPILVPTGL RRYALSTLVNNLLSSEKPIPFEFLINGTFLRTSIDEYLVDNGISAETTLEIEYVRALI PPLHIASFQHDDWVSATDVLSTTSPAASWASGATFTKGQERILSGSYDGLLRIWNMSA ETIATSPAAADGGHTASIRAAKFVSPNQIASAGLDRTVRLWKYTEGEGGFTGKISPQL ELYGHKAGIESLAVHAKSNRLLTGSADNTVGFWSTKKADAPAAPENLLPSSNARNTKR RKLNTSVTTPHRGPLTLLSAHTAPVSAAIFDGKDATVGYSASWDHSLRTWDLVTGSLV DTRTTSHSLLSLEHLPELSLLAAGTAARHITLIDPRASATTVAAMTLRGHTNAVVSLA RDPHSTYGLISGSHDGTCRIWDIRSTKTDKEGVVGESVYSITRKSLEEEGKSDSKRVG GEGVKVFSVCWDKTVGIVSAGEDKRIQINRGEGVLSSKA PEX2_056870 MTGNTEELCQAVLGFVAEGTYPEESVVAGKFPAAALARELELIS KAREQVENEISSLSRENTFDADDWIVQAKQLHADIERSRLTAREIVAQHEHTEPLQAK VEDARAKVALVETEIAFNQAVAGTLEEVHRLCQQLETGRAALRNGQITTAIEQLESTD AAVGKDAFFTNTNVMGILSDEVSLLRSEIVEALRSRWADQLKFDRQQGEFHVSSGDAD ADSLENTITSLARLDILTSAIEKLQRDLLSAIVNPILLPHPDGTSHGVVVTENSIRIE PEASKTTALETLDRLSSVLGYLRQNLPSSVSATFSESFIVTISSKAISGWLSSAIPTD LGGLAEFENVLDHVLRFTKQIESWGWTGQEELVSWVNQAPRLWLTRRRVDSLDSVRKV LAASQGTTKQVERIEKEKVSQTEGALLENAGNDDWDADWDDDKEDEPKKAETAKPEEE EEDVSAWGLDDEDIDETPDQGKSEAAASTEDDDADDAWGWGDEEEDDHKVDNKSSQPQ NTAATNPTGKKNTTGSASPKEVTLKEVFTITDIPESVLGVVQQQITDSKDISQPAHAN SRVASSGAGLLALPTLILAMFKATSSTFYSLKLTSGQMYLYNDSLYLADEIRKLVEEH ELSRLQSDVEALEKFGKLAYSKEMQTQRTIVTDLLDGAQGFGQCSEQPFQADCENSVS ATVDRIRDVYKEWQPILSHSALLQSVGSLLSTVINKVVIDIEDLGDISEDQSRQLVSF CNQLSKLEDLFIPETNGDAEALPVTAVYVPSWLRFQYMINILESSLADIKFLWLEGEL GLEFSVEEVIDLIEALFAESDYRRRAISEIRRAPRG PEX2_056880 MSPSVQNSHFKLLQQFKPDYSPSEFTQYESQRTGMRVVVIDQPG PKVNGYFVLATEIHDDSGAPHTLEHLCFMGSRNYRYKGFLDKLATRVYSSTNAWTATD HTAYTLDTAGWEGFARILPVYLEHVIAPTLTDEGCYTEVHHVDGSGNDAGVVYSEMQG VQNNTAELIDLAARRLTYPPGVGFRYETGGMMEQLRVLPAERIREFHREMYQPKNLCL ILTGEVDQDNMLEILDKFEDTILDVIPSPEAPFKRPWVDSKQAPYLEKSVIQKVEFPE EDESFGEIEIRFMGPDCTDTVLSGALNVSLLYLAGSSAALLENIIVEKEQLASAVYYA TEDHPSMEVRFTLTSVETEKLESVEKRFFEILQDAMTKELDLKYLKECIARQRRTWKF STESSASSFAEYVISDFLFGKRDGSTLMDVATLKEYDVLETWTEDQWRSFIKKWISDA AHVTILGVPSKKMSATLKKDEEDRAAAQKERLGEKGLKELADKLEKAKAENDKEIPQE LLERFAIPGTESIHFMETSTARSGAALKAGRPDNKVQPLVDADKSDQSLFIHFEHIPS SFVQLSVLISADSVPVQLRPLLSIYTEAFFNIPVQRDGKTIDFEQVVVELERDTVGYS METARGLGNSEMLRVSFQVELEKYANAVGWLQELCWKSVFDVERLRAINSRLLADVPD SKRSGDDMLAAVHVMVHYAEESITRARSTLVKARYLKRVKRLLADKPEEIVSRMEEIR KSLFQPDNVRIIVIADLEKLQNPVSAWKPFADTLGTSTELRPITDRRSRLSDAGKVIG GKSYIVPMPTVDSSFAYATARGLDSYDSPDLPALLVAISYMNAVEGPLWVAVRGTGLA YGTNFSYNIDTGFVNFDVYRSPNSHKAFESSKQIVENYLSGATPFDPLMLEGAISSIV VNYANEQMTAANAAQGSFIRQVVRKLPSDYKEKMLKNVRAITTDQIKGALREIILPLF DPKTANLVVTCATVLEETIKQGFETSGFTPTVQPLKEFEDDYGLKVGDEEDEDDEDED EDEDDDDEDSETGSEDSEDESDDE PEX2_056890 MLSSRSFSSLLSTPTRFQPSRTLGARLSSPTTTATASAFSPLSS LLSQKAPSQSRSFSASASLGVRRVTFRPSRRVQKRRHGYLARKKDRNGRKTLIRRTLK GRKELSW PEX2_056900 MATQGPQALPPVTVQNTQTISQTAAHEFLAAYLDRAATDPSLQP NASISEHGPISRTTTAAPNLILHNLKRVQAGLAGELLGRDLTVAKQNPGEDYLDVAAG IPQTNNHDQLDDSNDLIMNDAEFGMEAEAFADQEAGVDKEERKRKKKERRLAEKKGKA QAKAEEAEESD PEX2_056910 MYILEQLARLLDRPLFPWKNVLVGFSLGQFVLEGFLSLRQYKFL QRTKPPQVLAGEVTQKVFDQSQAYGRAKAKFSFVSGLYGQIQNLAFIYGDVLPKIWGI SGLLLARYLPGRFQGEICQTLVFFFGFNILSTILSLPVSYYNTFVLEEKFGFNKQTLK LWITDMLKGQMLGIVLGAPIISAILKIVQKFDSSFYYYLWLFGVFLQVFAITIYPIAI LPLFNKLSPLQPGELKTGVENLAKRLEFPLSELHVIDGSKRSAHSNAYFYGLPWKKHI VIYDTLIEKTEPEEVVAVLGHELGHWSLSHTTKLFGIAQAHMFYIFALFSVFVNNKSL YQSFGFVKEQPIMIGFLLFSDALAPMDAVVKLLMNILSRKFEFEADEFAQKLGYSDKL ASSLLKLQIQNLSTMDADPIYASYHYSHPILTERLAALGWKGGKVTSNKEEDSEKPVK AADREL PEX2_056920 MASNGDFSDEGSQPGSPLLDAHNARGEVEDQEPLEQEEKPLKSA MKKADSAPQPKRPELPEQPNPETLDLSKLTPLSPEIIARQATQNIGTIGHVAHGKSTV VKAISEVQTVRFKNELERNITIKLGYANAKIYKCDSPECPRPTCYKSFKSEKEVDPPC HDILMSTMLSGAAVMDAALLLIAGNETCPQPQTSEHLAAIEIMKLNHIVILQNKVDLM RSDNALEHYQSILKFIRGTVADGSPVIPISAQLKYNIDAVNEALVQTIPIPIRNFEAT PHMMIIRSFDVNKPGAEIDELKGGVAGGSILTGVVKLNDEIEIRPGLVTKDEQGKIQC RPIFSRIVSLFAEHNDLKFAVPGGLIGVGTRVDPTLCRADRLVGFVLGHRGRLPAIYT EIEVNYFLLRRLLGVKTADGKQAKVAKLAKNEVLMVNIGSTATGAKVLGVKADAAKLS LTSPACTEVGEKIAISRRIEKHWRLIGWANIVAGNTLEPVQQ PEX2_056930 MVKRKRTDAPKEDKAAGTPPKVTKSVPAPSETLDAAFTVQVVTG SYERVLHGFTVGVPASSLKAKAEADSSAIQCMDTFLFEAHGSAIRCLALSPLPKSTDP VDAQKVMLASGSTDERINLYSISAAPPAVNEDYPSVPTFAGKKILENPRNRELGSLLH HTASITSLSFPSRTKLLAAGEDNTISVSKTRDWTVVSTIKAPNPKVQGRPSGDTAPPG AAPSGVNHFAVHPSMKLMLSVGRGEKCMRLWNLVTGRKAGVLNFTREVLQGVRESRWS TGEGRRIAWDSEGEEFAVAFEWGAVVFGIDSIPRCRVLPSPRSKLHQMKYVSLPLKGG EKEDFLAVSTEDGRVIFYSTKEMKEAEEDTECSIPHATPVAQLGGKEAGFPGRIKDFE ILNLEGQAKELRNDFLVVTGNSDGVVRIWKVAGKDISAAKQEKKDTTQIGKLLATNET GNRITCLAAFVMLPAEDPSTLIDSEDDSEDEVESSSDSDDE PEX2_056940 MLRDELLRSKNDEIANCLSRRKRKLSALYLATVGFGATEDAHYH QKEQAFLDANDLSKGRYFNEATLPPPTHVRARSPSRNAIPPTTAAITSAKPVAGITLP LTVDGRAPHATEPQQPGTPVLDQPQTATPDLAPTPVDTTGTRQPSVPAISPKLPKDLN VPPIAVQSLDSLRSDRKGSVPIAETPPSAYDHDGNQAKISPRVPKPAATGVPSLQIPS DPLRKKHEVRPSLTLGLSQHEQPPSPASSIDPYNNNTPVPVAASPDTSPAEEVAEDVG TIDRPKHKHDRPAHARPSLVPLTPDEQLRLEEAQSKTDQPLNDVMTDLPSSKEVILES VDTGADTDRMDIGQEHEPVPSEAKPLQGPETTARDSLSPTAQVEAAVDSAVETPTAKK LSIPATQPGSTQLERMTTRVSSGAIRHKSVSEILGETPKSVTHDRSHPATPSDQGTPD SVARMRFKDRKEREKERSRLSTVVFPKQPAQQEKADMDLTHRDMDAVAKLNEEQDYLF TLFLNRAYAPPRGTNLNTLLASAHKTLSTSNHLLEYQEQMDCRTLRRIYALQNANRWP LRQLKRSVEPPRQGTHWDVVLDHMKWMRTDFREERKWKIAAAKSCVDWCAEYVNSDVE HRTLLRVQTKIPTSTPVERDSSKAGLSPPCDDVGDEVFRVGHHTPDLIPSAEEESVSD GFNDEPRHDIHDTVAPAAIFSLGSDEFNFSIDMTPAAEKLLDELPIYGPLQIAPGTNA PVFKVSPDTVWKTELLPVSKFASAKINFHDDDNPRKRSRYDYSQYGNDLDNSITELAP EQTNVALFRPENKPIRDRIHPGHQFRPPTEHPMPSVGFFESRSSSQWTYAEDDELRRL VKEYSYNWSLISSCLTPSSLFTSGAERRTPWECFERWVGLEGLPADMSKTQYFRAYHQ RLETAQRTVLAQQQAAQQQQQQQQQQQGANAQPQPPVRRRTTQPLRVDRRRSSKHLAL LDAMRKLAKKRETMLQKQQHASHLASLRKANEANQPKPPISSPAEFSRLKYDREMKLQ ERQEQYRQQMIAQQRASLAAQRSGQVPNQQQIMNVPGRNNAMPPTSNPSLPGGTPNGM TNGMPPAAGVNQARPLPMQNMPNGAQPNGQMPNGMAMKMMPQAQMQQTPGARPGLPMQ ASPDNTRVIREANRLQEQQRLLQSRQQQQHPPQQGQQFHNPQFGSQGSPNLNMANVNG TPNNPAMMAALQNQGGMQSPSFHGSTPQGVSTPSPRMGQPNPLSSGVVPQISTLQSQI QRTNPNMPPEQVTKLATDRLNQYQQQQQQRLSQQAAMNAAAGSINANAVQANYQVPHE ASFQTPNGGSAMQVPQNQGFSPMMRVPQAGQQNRVGPTSSPAMNGAAPQPSRSATPQN QRSGSAQAGAVQGSSKSPHAPAAQTATS PEX2_056950 MARIRREFEEELPRWIHRPPEVEEAWNAELQTLEGHSDWVRSVS FSPNSQILASGSTDRSIQLWNTSAGVLQQTLRGHSGSVYSIAFSPDGRFLASGSSDET IRLWEVVTGTLQQNLKGHTAPVRSVGFSPDGRILASGSMDKTLNLWDTTTGTLQRTLR GHLEAIRSVAFSPNGQLIASGSVDEGIRLWSTTTGVLQYTLEGHSDWVLSVAFSPNSQ ILASGSVDKTIRLWDTATGALQRSLMGHIDSVSSISFSPDGRLLTSGSSDETIRVWDT VTGAIEYTLEGHSSAVLSVTFAPNGQLLASGSTDKTVKLWDSALGGFQQAIKDYSDSI ESIAFSPNGRLLASGSSDKTIKLWDTTTGAIQQTLEGHSGTVLSVTFSPNGQLLVSGS DDKTVRLWETETGVFNTLNGHSDSVLSVTFSSNGRFLCSGSDDNTVKLWETTTGGLQQ TLTDHSYPVLSVAFSPNDRILASSSVDKTVRLWDTVTGVLQQTLRGHLGAVSCVAFSP DGLVLASSSVDKTVRLWNTAQGALVQTLKVDGMVTNLKFAQDVSYLCTNLGFLDIQSR FDNPTSNSSKPNVEISIRENQWVGLQGKKVLWLPTEFRPSCSAVEGNTLALGHPSGRV SFLVFCA PEX2_056960 MPITLTTAKHPPRGWKLQRVAEVEELFEQSCPKEHDGSKRLVGS SFTKDLFDTSYISASENGFVWAVFHAYSQHHNLVLRPEDVWFTILSQLSFFVIAHSEE LRHLFVAHKDTVRLEVMTNDTLDTVDFGEMAMRLTEFMKERVVDPDLRDWIMPAFSTT TASDEVVAAIIIMGSMQKYFSYQFTLRCGIPSVTLLGDRED PEX2_056970 MSSRGHSEGGKPLSKPISLSLSSSNGPPKKTGFNLQSSNRGRST NSPANGRSLPRRPHQLGDADDSDEDEAPPVHEEISGFDTHTGAAITADGQAVADANKL LIIPVTSKNNWRDRAGVKKGKNLLPSEVQAMQEAQKNGQAPPGEPTVETDTPSMAYGL SFAQQSAEKADSGAAVDQDQAMPDAKPVETKPLTDDEIAMQALIRETTGDNERRSDLV IESATREEEPVHYSELGSFRTDVASRPEPASLDAYNAIPVEEFGAALLRGMGWKDGQS IGRGNYSSATAAEKAKNPRVPERRPGFLGIGAKDSSGGKGAETELGAWGKAAMRKASR KQGEENDKAEGVYMPITMRNKKTGEQLTEEELAALQKEAKSKPPKEDDWRERRDRNLE KSGRDKDRDREYRKRDYDDEEDRSRRKTGSSRRDRDRSRSSGRQSSSRSSRYDDDDKS RRDDRSYRDRDHDRDRRRDRDDDKDDRRRDGDRERDRDRSHRSRDDRYSSSRHSSHSS RNDRDRDRDRDRDSRRSRRDD PEX2_056980 MSKAIVKTTFEASRTLRPIYTGGSTALDASGRILLSCVGEDALI VDLETGNQLTSLEGDGEIVTGLAITPSASHAIVCSRSMSMRIYGLSTFDETSQTIEAK LLRTLKPHTAPVVTIAVDPTGTLLATGGADGSIKVWDIRGGFVTHTFHGHGGVISALC FFEVPTADSDAKSSKKNKSKQQATEDDDEDMEGSARTVSTAGFRLASGSEDGKMRVWD LHKRKSIASLESHVSLVRSMSFSPSENALISASRDKTVIVWDARTWKTRRIIPVLESV EAAAFIADSGLCVIGGENGKLRVWDCNRGSEITEEQEAGEEFESVVAIQYSPGLPFVL TVHADQTLRIHSLESLSDYKPGSTLEPLAIIRRISGNDDEIIDLAYIGPDRSMLALAT NTESIRVVSVAPSEDRPSTQGEEYFGADVTHLEGHDDIIICIDADWSGHWLATGAKDN TARLWRIDPKTSSYTCFAIFTGHAESLGAIALPRVPPPVGSAAYNDPLNHPPAFLITG SQDRTIKRWDTSKLAPLTGEKPHYSKAIYTRKAHEKDINAIDIDSTSELFASASQDRT VKIWAADEGSSVGVLRGHKRGVWSVRFAPQGTPIINSDSRTSTSRGLAVTGSGDKTVK LWSLSDYSCLLTFEGHTNSVLKVLWLPPPQVSNNQIDDDEDDEEAATARKNAIQDRPL IASAAADGLVKIWSPYTGELETTLDNHIDRVWALASPTPSGSRADVKPTSSKLNTTPY AIASGSADATVTFWTDTTSSTYTAAVNASSARVEQDQELQNYIRAGAYREAITLALQL NHPGRLLSLFTSAINAADDPTVPAEDRERAAASLSGNPSIDEVLQNLDPANLRTLLLR LRDWNTNARTSRVSQRILYALFRSYPASTFVELATQSVRGKEGRAAAGLKDILQALAA YTERHYKRVEELVDDSYLVEWVLGEMDGGVGLGGLRDLTVGDVSDEEEHEKDVIMLEA PEX2_056990 MGRQAYLNRLALGRSPYEAPDNAAVDPSTPVRRISNVHADNYMQ QYDSRGHPVNPESRTLGKELRRAKNDILSTMGIVVSGEDRNSSITNEQQKINQIASEN DFGLVITTLDQLFIFFGTWWTSSVTGRVQTFQHYAHSALLSVIQSERDTAGILNFYFS GIPAWAMSSGLAIARETPLKRIFVSFRDYVQSLTGDSLGVRSLFGLLYTGARNAVLML SMEFYMYSTLQSLSLVSPYGIPGAKLLLPFGRESLLQLPPLPTDFSPHSLGNSLLELL ISPGVLVFLYGYYLRPELEERIYRLIRRHLPKPALPDELSVRVAFEENLIEWVVPTLG RRSDEELYRGRLTLFEDIKFELATFRRWVSSIFGLRSNQLTEKQALQSLSDERLQNLR NSIESLQNELVGVQNNFVAEEGPNDPVPRPPGITPDAQAAALASRARSRPEDASRPTH LTQAESVIGLNQVLTNENRMSQSPGEMSNDYFSEIATAGQTSRLSATSTPQDETASLQ NGEDFATDRQSSRSNTLFSRSSSPETSPPTSPRVRASLIHQSSDIITMQLELMGHQNR QPQIQPSNPAQLNALAGNNVSPSNPNPDAMDRRSIAEFLEALILSQAQRQAQQPLAAE DPDGLSNITAGPSNTPPQDLGAPELDPQIPAPETQATDAPNLESIEEALGSWVPNILP DGVEEPNDDEPTNESNLVADADHNEDAQSDTLVQPILPSSLIPRQLTNTLSEVHRVTL LSAYPVDSLASHLAAAISGIILAPLEALYLRSLTRSWIISHPSSVMRLSDVHPLGLWF GGRTWPDICSYSCRLVLVRGMQVAMRAGIWGFLVGSTMRIGRKFCGWGTL PEX2_057000 MSKRPAELPLEQDFSGSPVSKKARVEDDNDLDSRNGAVPAFPTS SEELRQDRNDVDVLGAAEIEGEELQEGEKDPSGFSDIDEDAPALSAPKRQSAPMEGYG DLYLDTINREILDFDFEKLCSISLSNINVYACLVCGKYFQGRGPKSHAYFHALEVGHH VFINIGTKKVYVLPEGYEVNNKSLEDIKYVVDPHYTKNQVVKLDREVHDAWDLSGSRY RPGFVGMNNIKANDYVNVVAQLLAHVLPIRNFFLLHDFPTPGTPEIILRFSTLVRKLW NPKAFRSHVSPHELLQEIAVRSSKRFTLTNQADPVDFLSWFLNCLHLALGGSKKPSPT PTSVVHAAFQGRVRIESQAITAHSDTQNARLVFTESGTINSQVTPFLILTLDLPPTPL FQSANRESIIPQVPLTTLLNKYNGYTASEKLAHRVRHRLLHPLPPYLFFHIKRFSKNR FVSERNPTIVTFPSPHNLDMSPYVEPNPNICSPGEPILYDLVANIILDPAIAAPGAMD DAVDKGINAASGAGGSSSGAGGGSEKVSWLVQLHDKAMEAENTRAKNSGSTEKQQQGP EWLEIQDLFVKRAESETLFTREGYLMVWERRKVPGNKGKGRV PEX2_057010 MRGPTVLKAEDDKTRQLNKHTLMAFSGESGDTVQFAEYIQANAA LYSMRNDTELSPSAVANFVRGELARSLRSRSPYTVNLLLGGIDPVTEKPHLYWVDYLA SLAPLPYAAHGYAQYYCLAILDKHHHPDCSLEEGLALLTLCTDELKRRLPIDYKGMLV KVVTKDGVKEIPVDNDKVVRSA PEX2_057020 MSSFFTVPNSQRKRKRDDRAGAPGSKKRGVDAKTDGGRRTRERE ESISGSDLDEDAAIDTAESGDESGSDSEDGETAADRRLKLAERYLGNIQEEVDEAGFD AADIDRDLIAERLKKDVDEFKGRTFRQIAAKLSVPTAPHSFFRSDTQSNTAIAVHPPF VYIVSKDKTLTKWELATPSVPSSTESTGDNDTSKRPPRPQRKKPKRVKYARGMRKVGE SGEDQGHTGSIISVAVSPSGKFLATGGTDNKLIIWDAETLTPSKTFLHHRDSVCSLSF ARHISTMSSGEQLFSGSYDRTIKTWSISGAGHAYVETLFGHQDHVTGVAAMTIDECVS VGARDRTARLWKVVEETQLVFRGGASRNAPYHESNIDCIAPLPPTHFVTGSDSGSLCL WSVHKKKPLYTVRLAHGLDPIPPLNVLSPETDEATAAHNTRHMRPMPRWITALATLPG TDVVLSGSWDGFIRAWRVSEDKKTLIALGPIGAGLPSTVTPPDTPSQQLNQTLALDAT PAAEQKEPEPLIKGVINDIAVFERRPESDMPGAESKKSETEHEPRGLSIIAAVGKEHR LARWKCFNNNFHEGPTSGGRNGAVVFEVPFATNDTVKADV PEX2_057030 MGHTPRVEITALEEPQKVRMTARSGSGAEASGLVNTLQGHVDDI RTLIQCGICIRPLYEPFTIACGHTFCYSVRAVVQMFTGRAELLDKGETTTEHTKNQRD EAERLDQDKANNHPTEGGLFGGLFKPKAPPPKPFVDTEDGVVRCPLCSWELEGDNCAG CGYRYRPGSEETDDSDSADFSETDLDSLDDDMDEEEEEGEGEGELGGSDHFDDIDDHD GVWGNFALHYYGPTFPGRPNWPSGAGRQLFDRLENLMDGPGMIPLAPPVPMGHGNYFV SGNTNGTEYDEEEEEEEGEEENEYDESDSFIDAENDHPPTSSFIESDAHRLGSGDMYE SESDRSTGTVVDDVEGGHHPIPTGLNYRNTTPYFDDEASEEEDEEEVSGESDDREMVE EDSEDSEESDEDAIQTAPPRQARLQYPQQSPWFQAPSNAPWLTARPPPESIPDSSEEE ESSPPVRPARSTARRSIHNGTTAHNAITLDDSDEDQPVGPVRRTTQRRRARFSPY PEX2_057040 MATVETLTSAPIPSSAAWFQIGKRPLLASNKEGFPSKKVASMPE FVTFDASKHLEFTPPSKLYTMEELGFSGSKGVSPIGVSEPFSLFSAEAVQQMRKEILN PEVRKKYEYSSDLAQCQLRGYAADCAPFIYDAWKSPETLEIVSSIAGVDLVPAMDFEI GHINFSVSSEEDKSRDLAAAAENKERKARGELQEDESPIVDWHTDSYPFVCVTMLSDC TNMIGGETALRNGNGEVVKVRGPQMGSAVILQGRYIEHKALRALGMTERITMVTSFRP RSSSIKDDSVLTTVRPVSILGDLYHQFAEYRFEMLQDRFRDANRFMRDQKRARRQFDT ASLKLFIREQIDFLEHMDKEIVEDDKVQKGMSDGNHLRSDELKQQWNKKQLHTAKK PEX2_057050 MAAESTSTQGDSHDKTWEKAERKFTHKHASEYYDPCQDFADRSL KCMKRNAFEREMCHDYFQAYRDCKKNWLTQKKVSLTPQPK PEX2_057060 MSSNNDAVFLRRNNQIQDAIDSQNMKQALQLLEKRMKKGENTQF LKANSLDILHRTLRKMDDHTELRSAIWERAAKAKPQDHALQMRWFTFGYEAGDWKSAQ KAAMSLQKNFPRERKYYFWAIFLCYLVSDDVASSDMDRKLFGTLAYRFAQKAVADVPS NPTELLSPPRAIQTAEELLLVIRILESQDRWAEVVQVLNSENAGLSSRIVNNDRTFMS SKVTSLGAAGLWEEGHSYAKSLLTVSEDEAERSTLHERDDWKYWNLLIAAVRHLDNIP GLLSDTQQHIEKFIEFSPKSRNAHIALMDIVLTGFKRGERTEDDLVLACQRYFEQHKH KLYAFKDLRGVLETRDDTLIHRVSQHCMDIVEGKPDDAIPLINAYKLQYCDRISGNSN ASKSIIETLVRNCLYFYDAFTLLAKTQDSKNESQPDTASAMESRPTDDFCIIAAMALL QPSPAGQASEKVSNTALIRAAGILERLLIDSPHNYEAILMLIRIYLLLGAGSIALRWF GKLSLKQMQYESVAHNLFTRFATIHPHPAPSIEGAEYKDFDAQVGFIAALDFYRNANF TIKNSLIKGLDDGTYVNLDDSIELQKRIRDSVCRRMWALDLRRMQRIRKGKHLALHED IAQDTSPAQDQRKYDGFMNLERCDKSPFEHRLRAGPIPGENWLASARLTDRLFSVLES ISSQKPVVLKLELPQIDKMSLSQTDDDHTEAERDATKIHVELLKVALFMAGSKAHTST EIETALGEVEDWLKAKREDLALEDTKKSSLITRTTIEFTSGIPGAPTWEYFHAIWTLV ETLKALWNIFDLDSRKAIKTAKLPAEKMKQLNTLVREVFEDVRSNTRSLKQGLAESAT LSTLIDMVKLGDATDEYEKPLQDVLEKVMDESALELFCGELRESWEEALDGAKDQAIF TRLPHLLFDNLRQPLQSTTVEMAERSGIVVGLNAGRKTTALNTPKTRISRTKGKSSRR TAFVREIAQEVVGLAPYERRIIELLRNAQDKRARKLAKKRLGTFGRGKAKVESMQKVI AESRRTAAH PEX2_057070 MSAHVVVIDATARRATIKTTPTKHLTDILQEACSKLGYNASQYS LKHNRKQLDLSLSYRLSGLISGAKLELVQLSRSPSVVTVGLQLPESEARDAPNGRILD KFPSTTTLWMVLRKFEAGVAGSGPVRNLTSRAVPSADGGDVAGRLYYEIPVLQILERE VSSFTDLQKTLAQLGFNSGNVLIRLSFRRTEEPIEEAMLKIGEFFKSEDEVPSTQDKS AEPTAVPGDNTSKEPAQEPSSVTAEVAPPPSISEPATSTADSGRTITVFSPPSEDIPS SAKLDYNESDYIPSIEHAKLHQRLLNESSRPKRLPTDAEIAAKAEAEAARRAAIHEVN VKIRFPDQSQVVAKFGTSDTGQSLYEFARGCLAPPFASENFTLTVHGVSRSKHTNAIP PSDKKHLIKDLGLAGRVLVNFSWSDNAASVVHERRAEILRPELRSQAQQLKVEQPPEL KEEDVPAPSQPGPNSAQGGDKPGGARKSGGIPKWLKLPGKK PEX2_057080 MDLKADRLLPSQSNPFDINGGACVAMVGKDCVAIACDLRLGMQS LTISNNFPKIFNYAPSTYLGLTGLATDVNTVSDLFRYKVNMYRLREERDIAPQTLANL VSSSLYEKRFGPYFVSPVLAGINPTTGKPFICGFDSIGCIDFAKDFIVSGTASDQLFG TCEGLWEPDLAPEDLFETISQALLSAVDRDALSGWGAQVYIIEKDKVTQRLLKGRQD PEX2_057090 MSQYYPQHTKAIHLNFIPVTPPYPWRSPYQFIKSLLSVPFSAKD RGYIARTLGYFTGGNAYMKQQETRPQTLGYGLHDSPVGLLAWIYDKMHTWSDNYSWTD EEILTWVSVYYFSTAGPMASTRIYYEASAPKRSGSAAISEDESQEDLLEKKDLNYMSL DQVLGVRAPQCVRFAVAQFAEEIIMCPMAWCRSIGDIVQETEYDRGGHFAAWEVPELL ASDIKRFLSNNGPAYGAVANRNGY PEX2_057100 MTSVTPYNIAVPDEQLQQLRQKLEHTTFPDELDASGWDMGVPLL EMKRLVAVWREQFDWRAQELKLNEQLKQVNVRVGVEGFEELNIHTVHHPSGNSKAIPL LFIHGWPGSFLEATKLIPLLTKSNGDGPVFDVVAPSLPNFGFSQGVKKRGFGLAQYAE ALHKVMVALGYEEYGKHYLRPPKETN PEX2_057110 MNIKYISDIERYIIYDQLHAVAVWAAQAVDTRLELLRNPATSLP ESYATNSPPLILIGGKSLATYQLVNELSTALSSKFSSLVRLPSIESLNTVTIPDGATV LGLSELDEPLMREVTDERIEALKGLWRSVRNILWVSKGARAEQPYSYMMFGIGTVVKF EQPNINFRLLYLDILDKETSSIISAVDDLLWSSEPEVFIENNQMLIPRLYLSSRQNLR ANSSSRAIFEQVDPARADVKLVGSGDSFQLEEVSPLRVPQLRLTGGAPVMIRCHVLLY NLIKAAVSQEAAKDRVNVQFTTCSRQSGGELIWIHHAIPARLIKEKSPRGTLVFVNFA APEGPDTHVARTMETCVPEDCVIVSTASLFCDKLYTRPEAEFAQLGDVLQAAWKSVME RPQSDSQFVNGWMAQHGAGCSVLTSRNPKHEVENGTKRVTMKNPHHEDHVKDIIETEN SAYPNEEIINSFSPEEQKKIIRRVDIRLVVTMGCLFIVSLLDRTNLGAASVAGMQKEL GMNASNNGYSIISLVFFVTYTLFQIPATVIIRTLGPRIFLAGIVTLWGGVMIGFGFVK NWESMAGLRAILGGLEAGLFPGSVYLLSTWYPRYELQKRNSVFFLIGSVASGFGGILA YGLSQMDGLGGLSGWRWIFIIEGLLTCVLGLGSYILLVDFPDKAPKSWKFLNQTEASF IITTIERDRADTTFEPFSLQKYLAYGKDSKVWAYAILYMLATMPSYAIAYFLPIILQE SMHFSVVKAQCLVAPPYAFAGVVMYIQAVYSDKWRLRGPIIVGNALVAILGLALLGFL KDPAPRYFGVFLATAAANANCPAMVSWQSNNIRGQWKRAFTSATSIGGGSMGGIIATT VFRAQDAPDYIPGLIAAILANGLIVVVAGLLTLKYNRANKRVDAGGKPIERKIGFKYT Y PEX2_057120 MAPSFTSETAVPVSPGPGQAPLKLSGALDAFESFDVTPVIGREF PNANLKDFLSSPNSDELLRDLAITISQRGVVFFRKQDDITDDMQKELAQRLGELSGKP KTSGLHVHPIANSRREHGVKDDEISVINSQEGKKLYKSRVTRHQTARKEWHSDITFEP IPSDYTILRLTVLPRTGGDTLWASGYELYDRLSPPYQKFLEGLDATFSQPQFNQFAKD NGFEVFKGPRGAPENVGEDLRAEHPVIRTNPVTGWKSVFAIGTHVEKINGLSDQESKH LTDWFVNLIMENHDLQVRHRWQSPNDLAIWDNRSTYHAATWDYEEVGPRAGHRAVSLG EVPFYDPKSTGRREALEREALEREALETGK PEX2_057130 MSSDITLYTWNTANGVKISITLEELGLSYKTEPIDIGKNIQKEE WFLKINPNGRIPALTDGPQRVFESGSIMLYLVNKYDTDRNISYAPDTPEYIEQVSWLM FQTGGIGPMQGQANHFRLVASVRSNYAIKRYIDETKRLYSVLESRLKESTYLAGSKYT IADIINYTWVRRGPQLLEIDLSDFPALKNWVDEIDKRPGVQKGADVPHLDRTDEQWNE ILRNSRTRIDGMTNSDQY PEX2_057140 MAPFGKIWSYNPSPRVMRIQAAANFNGLELAIPDFVMRKTNRSP EFLSKFPFGKVPTFEGADGTNLIETDAIAEYIALSGPAAGQLMGSTPVERATIRQWIC FSQGEVMDNVVQLALWRRGAKPYDEATENSALERLDRSLTFLDSHLKDRAWVCSEDKI NMADITLASALAWGFSMGIDAEMRAKYPVTVAWYERVIDVDEVKQAFGEKNFIEKRQV PQV PEX2_057150 MPDTPEPDVSHAVQPCPTMPFPSCKSECMTGEGLNAVHDDGDVQ RDLGTWNDGAQTTAIEHLVTLKKGLRASDTELFWKRLMEDMTSITKAQYGFVARRVHG SEPMPDLGGRRPSLFGAAFYYNDGYQTVGLQRNKYFAGGNPLLHMDHERPCLIPDNLS SLVSFGDDQLPFTADGYLAIPLFSAGKCLAHLGLMWSKDGLRHRHLSWSFLEMILHSL EDLIIQRLDPGEQILDEVLDSPTTNTSDFHTSQTAAFHQAADFNSHPLKPYARSLSHE LRTPMQGVVGMLDVMHATVREAIESKTPVKSGGIFQSLKEGIEMVQDSARRAVEAADN VVHAYELNMQIPKTPLREEPDKLGGLTVPPTILETRPSVFVEGKNIAVNPYKRRRSLP PEMNTRPIRKQRLRAASSRQELSPRSEEVKNAVHESEQIVHAIPARQFEAVMANMVDP RPSLAVRRSAPHLLLEGINVNLRGSALRFTKLRDLLRLVINESLHVGGRPDSAVSNAT EFGERIEIRSRSSNGELFTKVVDWSVDPSLPDTLLADDRDLAKLISCVFLNAIKFTNN GTITVYATIDPKKNDVLILVRDTGPGIPAAFLPNLFKPFAREDASTTRSKDGLGLGLL VAKGLSRKMGGDLTCVRSSTSGPTRGTEFRIRIPVNQREAELRPATPSESVSTPSTSA TDQTRQGSVSSLLSDPSSFNSPFILPQSCQLQQPTPCTTDGNMSESPTPQRPSSQTRL HRTHISGDAYDKKLGEKYPLRFLVAEDNRINRRVLVNMLRKLGYRDVLEAADGKEAVQ IVEGILSASKPSTTLDVSLDPMQGVINEPPLATKIKPIDVVLMDLWMPEMDGYEATSR ILQMVDNHRDQHAAFEQPNPNSPDSMEMDPIPNNFCSPSPPKPPTVLAVSADVSDEAL NRASRVGIKGYMTKPYKLSDLERLILEFCGGTSNPVV PEX2_057160 MHAAGKPRLSWHIFGEATRLAQVMQMHEEDSLQGLTSLEVEFRR RAFWIAYIGDKSAAILNNRPITIHKYSFNSGITIGYPTGIEDETILTPGSAVPDAEST QKSFIAGFNANIRLWQSASDLLLEMRLLDSHKNGNLLPRQPPTAEESHRLDHLYVLFA TSLDDLPSFLQYDHLMSNANKDNQRLSRLTRLHIIQAANVYVSLHCLKMVITQKFEEF NYYPPAPNEMLLLRKTDIARDLLRVIRDAPFWALQINGEPCVEKIRLIGACLLEIIDQ HEASPLSARARNDLPARHSIQFFTLDNPDMRLHEKFGHVLTWTTLVNALPSASGHTKE PSCRFAHQYSQKQILQDPTAFINDMLYWEGKFHQNNISYNSNNGISYDGTNIDWVTGK ATAKHTFSAASKESLQIMLYTQAVAGSPEAARFLSPENTADAPKIAASIMQKKLQTYL KFNETYPGFGGFLPWVSADTLEMTPRSDWVNRVPALDNGELVWAVYGFIQALENTGNK NYRELAQKWQVWMDYTKTTAAKIFYKGNGRVCTVIDIKDQSLPVNHPDQSYLCETKDL LDDPFEGEVFTFWLQFFGGLSKADKQALWEVKKSQLVSVDYELGEFGPITVQKGFWFS SHETWKVLEMPYYDIDIVRRVFKNAERVRTCNSVATKVPGMFASINNITDPSTGDVTG YISNAGIPSISNQTVQELDVITPYSVFPTILVNKSVGLAWWRNMVVAKKMQNIYGSTE STRVDGTGVSALLTWDSKVTTVVAILGGVTDFVRQKMKADNVYDEFVDIIEAEYSRVF THLKGENVDFCLPQEAVPDVGLVDFTLCN PEX2_057170 MSVSLPADFEWGFATASYQIEGAVNEDGRGESIWDTFCHLEPTR TKGANGDIACDHYNRFEEDFDLLSKYGAKAYRFSISWSRIIPLGGRNDPVNEAGIAFY DKLIDSLLARGITPWVTLYHWDLPQGIHDRYGGWLNVEESQLDFERYAQICYERFGDR VKNWITLNEPWIVSIFGYATGGNAPGRSSINPQSTEGDTTTEPWIVGKALIMSHARAV ALYNRKFRASQQGRIGISLNGDYYEPWDSQDERDQQAAERRMQFHIGWFANPVCLAQD YPKCMRDQLGERLPSFTESDFALLREADIDFYGMNYYTSQFARHRDEPALETDFMGNV DELQENKQGVSVGEKSGVHWLRSTPELFRKHLTRVYRTYGKPIYITENGCPCPGEDKM TCEEAVNDTYRIQYFKDHLDAVGRARTEDGSDIKGYFAWSLMDNLEWSDGYGVRFGVT FTDYNTLERTPKQSALLLKGMFDERMGVNSSESQ PEX2_057180 MAPAAAHAAEGIISSIDVNAMPPFWRRKNGILLYFLLTSSLLAS AALGIDGSMTNGMQVLESWQNRFGHPEGATLGFFGAANAIGGVIPFIFLSWISDKFGR RLPTALGSAVIIVGVIVEFFATSLNMYIGGKIVLGIGSSLIQMGAPVLITELSHPKER VQVTTFYNTSIVLGYVIGAWATFGCYRITNQWSWRLPTLIQIVPSAYQFCLIWFCPES PRWLIAKGRTQEARQILIKFHGECDPNSELVAVECAEIQQAIDKEAESNMSWKDFFSS RANLKRLFLCFSTAVFSQSSGNLLVSNYLTQILKDTGLKTQSEITLMNGMVTLWQYIV AIFVALVIDKFRRRFFFLTGSGGVVVTFVVWTIAAQQYLEHNSLVAGRVVIACIFFFQ AFYTFAWTNLIVTYSLEVVTLQMRAKTWAFVLLTIQVASIFGSYVNPIGLKNLGWKFY IYYCVWVLIVFLVVYFFFVETAGPTLEELAYLFEGEDAKRGLVHKVAEQKEQVQYEEH VETKSA PEX2_057190 MPETEPTRSLGPVSKEAENYVVESEDVFDSSVFDPVLARKLSLI NTAIDKIGMTPFQWKLFFLNGFGYTVDSLLVICQSIAMPAVTMQYGSPDKRLKGIALA SQIGLLAGAAIWGLSADIIGRRLAFNSSLLLAAVFTIIAGGMPGYISFATMVSLYSAA VGGNYILDSVTLLEFLPANKSWLVTFMSIWWAVGYTITGLLAWAFMSNYSCSSAAACA YQDNMGWRYLHFTIGGVTLLLSLLRVLLIRIVQTPRWLVSQNRDEEIILFLTNLSIKY DRQFDLTLEDLRSEGDVKNTEQSVWSTVRIKAHFSGLFRTKQLTWSFLVIMLNWFVIG VVSPLYHVFLPYYLKSQGAKVSSSSNYLVWRNYAINQVVGLVGPVIAGVLVETKLFGR RGTMALGALLTMVLQFAYTQIKTPAQNVGVSAAISAATNIYYGTMYAYTPEILPSAHR ATGYAICVVFNRIGGIVGVIVGSYANVETTTPLFVCAALFALLIILSVLLPFESRGKR TQ PEX2_057200 MPRALAKVGNRIIAETDSWQIVENNIYFPRSAIIDDSILQDSDR STFCPWKGHASYWNLKVDGQTLENAAWYYREPYDAAADIKDHVAFYSDKVEISQEP PEX2_057210 MVFTGTSSSWLASALTLLSLFSLLLPTNALYFYMEGRHTKCFFE DLPKDTLVAGRFETEVINAQSGTYTIDHGLKMHITVEETFDNDHRVVSKRDSHSGRFH FSAADAGQHRICFTPETDATSSWLSNSPGAVKLTVDLAIGETSKIETEDKDKIKDIVQ RVKDLNSRLHDIRREQVYQRERESEFRDQSETTNSRVVRWTLIQLAVLSAACAWQLSH LRSFFIKQKLT PEX2_057220 MAAADVRDMLDLPAEGQPRPHKKQKVVEKRPEGITRELYALLGE RAPPIAINENKYKGRPKWMNKLRVRPWSMAPFTNNARSDGLVLNHWQRKHESARPPAP APAPVPAESQMEVDQPKEEEKEDVPKLPEQEYAFAKYNVKPRLPRRYTDDEYTRHLTN DDWSREETDYLVDLVTDYDIRWVLIADRYDYQPRMDTKPDANAIVPAKHHRTMEQMKA RYYHIAATMLSIEHPPSEMSEAEFELHEKMLKFDPDRERDRKELAALQLNRTADEVRE EAMLLEELKRITSNEQNFITERRELYSRLEVPISVGNTTMYQSSAGLSQLLQTLLQAD KSKKRRSILGDGAIPSPAGQTPSTAGGLGRAETPVTQAANKKGSITSKEPNQMVRTLT TAEEARYGVQHHERVSAGVQFRSDRAQRLTQAKSNVQTQKLANALSELEIPVRLFMPT ERVCKDFEKLIQSVNMLLDARKVSEKVDSEIRVLEAAKTERERKSREAAGISGPSDPE HPHVKKETEDGDTGLLGHSTATQGNGAQQGDGNHKRSASVLSAVSDKSNKRQRR PEX2_057230 MFFITCPRLRRGHRADSPNVFAQSCQDGQMENVDGPREQAQGKA QINTSPVRNHRRRSTSLSRRLRYRFSRESRQSGEHRPIFPFSLKLKPNALKATTGLSM VGDMGSSLMSARGYDSDAQCIGSPQHADQVKVSPRGPAFRRMGLHDLIEHSRERNNLE MWAGAQGHDQYNTPGTPFGMHYIPTPPGSLKGRSPYTNPATEGMGSHSMSSFKNERSG EQNPFTKSLPSLHASQDELAPANQDRLRAREGFIGSSSRENLQNLVADWAHYMGSGPN DYRAASSASLAREDPGITVSKTRQRTSSPGAHPEPRVPHLGDLDLSHRLAGTSIGSGP LSASPSMSELPRPNRYGPQMVSQENFQSHERSGASTIGGSEHQNQAMSHQRDASSFYS RQSSNPSRGASAVQSLRVHAANAIESLPNIHTQMAAETGLVQNEREPGAEVLKSRFVE QLDAANWESPQVHGIFNGPNGVGPHRRVSPGWMTGGRRMGYGYSLVENTEDGPQTVGG NSSPFPNGNWQRDTPGPESDSQQCPMNKCLANAKGEPILTPTMWAKMKSHSVRGDRHA PLAVDLAGEGMSTGEARRTSSNQAQHIGSPTSAKSPTSIKSPTSAKTPSSARSFYIED VDETFLSRWAKGSRSTRKQPQLDKYHDSAHSRNVCTPDASPLGVRRFSMDQTNYRPSV YFDPSNDRSADRLNGEPSRSRSGRWILKFSRNRESKRRSNVPAKEPPQEPPVQYEERP SSGLGRANSTRSDMAEDLASAYQECIGMPGAFYGSRWASRTSLVVEAE PEX2_057240 MATVNLLEYLRSRTQVDIDTYDAEASKEIGPFQDATGNQFEIQA EIVKPSRSAVLLRSLVLATTIHPQFATITLEELAVEVVGIELALEVIQTVHGNVHVMV NPSYSYNTEAIVANAQRLHHICQIVDPDFDTSRLVTKIPATWEGMQAARQLKRIGIKT LATTLFSIEQAILAGEAGCISVSPFVHELKTETYEGYKDTNPILGVCVQAQQFYHQNS LPTRLKACVALNLDELIMLAGIDALTIAPKVLKVLAATERSQEEVESMSLFAKTAKTT ETIKYPSYIDSESQYRIHFAASEGGKAQFKTAQAIALFCDAQTAAELYIASQLEDSSY IPINL PEX2_057250 MSTNLRNSRSLRLTIALILPFALICYLYSWRQTPTLVSSPIPKS SETISSTGSSASIAVPPPPPSTNDNHPTTSTALIYTLPDKVWHSAKFDNLSENQREWT GSWTKKNPSFRQELLTDRSAEAFVRAHYFKTRPDIVEIYEALPIPILRADLFRYLVVL AEGGIWGDLDTSCEKDVADWVPVEYRNKNIDMIVGLEFDMEWRGPGTEVASQFCNWVF VGRKSSRNLQIIVDTVIDKLKGIAKANAVGIEGITLEMLSDVVDVTGPKIMTVAIMKS LEKLLGRPVDDRDYAHTKRPKLVGDVLIMPGVSFAALQNGNPTDQGDALVTHHYEGSW KKEDAEAKERKKQKQEQEQQQG PEX2_057260 MTDLNTATMRVQLLLAGFFLLVAVALASIPPIEIKGSKFFYSNN GTQFYIRGIAYQEDYNAGGAQGTGQSSDTHYTDPLADVSHCERDIPYLQQLRTNVIRI YAVDPTKDHDACMQKLADAGIYVIADLSSPQLSIVSNSPTWTVAQYDRYIAVIDALHR YDNVIGFFAGNEVVNKVNETIGSAFVKAAVRDMKAYIKAKEYRKSLGFGYATTDQPDF RNELSDYLDCGNQSTAIDFFGYNMYEWCGDNTFQGSGYKNLTEQYKDSPVPIFFSEYG CNTVRPRKFGDISAIFGPDMENIWSGGIVYMYFESTNNYGLVSVDGNSLSTQPDFSYY SKAIQTAAPSGVNSGSYTPTNSPHACPTVNDMWLAKSSPLPPSPNKDLCSCMVESLSC VASQSLHVDQYGDLFGTVCGSDKSACDGISENATTGQYGAYSACASKDQLSYIFDRYY QNQKKDQSACDFKGAASIQSAKDPSGSCKALLSQAGTPGTGQATGGRTSASKGAGTNL ANADNFAGFFVVIFFTTIIVSITDVIFPTDLWIEVWLRAIVGRIQIWLRQ PEX2_057270 MGNGAKANMKRERNAKDTKTAKSQTKSNEKAMSIQCQVCRQTFL QTTKAPALLEHASNKHSKGLPECFPGVSA PEX2_057280 METTPGVVALRQLPSSDGLHDEEAPEYTRHDSSSDSSPLHDEEL PSYSQAQSAEQIPSREHSIFSRRLPWVSLLSIIYIGLVIYTWAMTVMLSAHPLKAKSW VYTIDSLHLHYYGENGADFHRERKIYRSVRTIQTFIQVVTLPWISTVCASAAVSFAQN RKKSMGLRPSQATTLADREWMDFSFYRAVVYGRWRQYGLKLLAAAIFIWVFGLITYPI QSLFLSSQSVKVRTYPEQRADVYDFRSVDRSDSSSYKVGKDVLQVRDALGKANTYTYQ PNLWSNNSEVQFLTLSDMSSQTFYSQVPSGFNTGLLRQFAPRVNSTATYEIIDASQFP VDCGSSSEAFYAEYSASFAWYTAYSWNIIACMPGNMTESPWRTERNRQDFSEVLYLNM TQDEYASRDSRATLYRITVNTTAGFFELPNYMNQGVPGPLLAQDPVALCDQHCLMQIH SYYPSNYRRRDESTASSTLKAASLGPISAQSKGPLLSTALATFGPGSFIDTFMQFGAA IKENKAADGLLSSVISTCIANPTLTNLLGSSSLECMSIEASPNGTWYPAGTQIAQWIN RLQQSAESIPQVFTAAAFLANKEFLSAQGGGWSINQDLGADMDLPSISRVGIIAVSVL MGLYLLPLVFLLLVGCKTDKLPGPYEMHGVVRVPFGKQNVIEP PEX2_057290 MSSEIAEKGQIGIISTDETPIPAKGNVHYVNRNGDDTPKSFGEE YIPGYDATLMRARATLSSAEEKKLLRRIDWHLIPLLAIMYMLKSVDFTNVSYARTMDK GTPYNILTELKMTSDQYNLVTTMYYVPYIIAEAPSNLLLKGVRPSIWQARIMVSWGIV LCCHAAVTNRQGLYVVRFFLGLFEAGLWPGMLLQLCYWYRPDEVAPRIVLVTLLGNFS TVISGVLAFAFNGVVAGGLSGWKWLILTEGIFTVLLGIFTYFFLPDFPSTASWLSEKE KAFTQARLPSNSPRAAESNFNLRELITTLKNKRIWLFLSCWAFFTIGTTGLNFYQPTV ISNLGFTSMGEAQLLNIPSAVFAVILTLVFGIFADTGRIPQPAIPLGFMIVILACYGV LYAFPNNGGVYAATIIAGGFSTAWYTMMWPWRVQTTEGATGSAFAIAFANSYGQIGGA VGSQLFNSRFAPRYAISFGIAMGFIGMAIIMNLITWAYTWRVDVDTRKIKRIRIAAAK ENQAVLDDVDIHAGEKKERLAIMPGGLGGLGSSIGQKLRQQGARLAILYAPFEAARRD ELLESSYGASGNLDDIHTYECDITSPDSVQAAFNSLEKQIIDPASSSPTERAFPSILI NTAGYVSLSDMEITPPEETLKHLTVNLYGPMLCSQAFARLYFAATKAAESSPSPPPPG RIVSISSQAAHAALHRHGAYCASKAGLLGLTRSMASEWGGRGITANTVSPTVAWTELG RKAWGEPNVRDAFLANIPTGKFALPEEVADSVLFLCQDSSGMINGADIRVDGGFTVR PEX2_057300 MKANYFYAVASLARVALASESQQPLIAKVTHDNAKSPSVNLDAI INESPLLSFHRDIVQIPSISEHEETVGNFLLDFIRSHNLTVEKQIVTPGSDTEQERFN IYAYTGNNRFPEVVLTSHIDTVPPFIPYSLHQPASDNTENLTFNRSDLIIAGRGTVDA KASVAAILFAALETLDANPDASIGLLFDVGEEKSGIGMKHFSNSDLNPAPPKFHTIIF GEPTELKLVAGHKGTLGFKLIAEGKAAHSGYPWLGKSAVSSILPVLSYLDTLHDVVPE KGGLLRSETFGQSTLNIGVVRAGLAGNVVPAYAEATISVRLAAGNPDDTREIVRKAVE HVTGGDGSVYLDFGNTENGAPPQYLDFDVDGFDVITVNYGTDIPALKIRTQGGPKVKR YLYGPGSIHVAHGDDEAITVGELEEAVRGYKRLISAALGAH PEX2_057310 MTLPDVVALDAANPSQDVRNIEHQDQNSDGVSMTNEDDRDLLKL GYRSVLARGWGSFDNFACSFSALNCVGGIRVLFYIALSGGGPAAMYVLRFPPGAVSRG IDHITNNSLSWSSWVSGSILSVITAACLAEACSSYPAAGSIYYWAFRSWGGGKVGRFI SFLVAAWTLVAWTAFLASDSFGVANYLISEIVVFDPKTSFPYETADVRARAVAWAISL LFLAIATSLNFLPPRMYSWVFRAGVTVIIIDMLLNFIWLPIGVSKTYGFQSAEYVFTS TYNGGETSPGLNWVLSWYMVGSCLVGQDASGHVAEETVSAKKAAAKGIFWATVASALC GFPIIILFLFCMPPIETFYNTSAPQPFINMYAMALGPHAHVVLTIVSMIGAILNTSIS LVAVSRLVFAVARDGVFPFSDVLSRVSKSKQPHNAVIFISSIAALLLCTQLPSQVAFS SLVSTSAAGSLAAYGLVGIGRAFITRKSFRPGFWDLGRFGVVAAVVTFLWNGFAFAVL CAPAYSDSAINKDSSLFNYAIVIMGGVTIIAIAEWWRKSNGIWFEHLKIVDSDTETGH FVGHEEKTNVSAGTTAV PEX2_057320 MVQSSILGFPRMGRLRDLKKATEAYWADKISRDELLAEGKRLRL EHWKIQKDAGVDIIPSNDFAFYDQVLDHHQLFGTIPERYTKYNLNPLDEYFAMGRGLQ KEGIDVPSLEMVKWFDSNYHYVKPTLQDNQEFKLAAEPKPVAEFLEAKAAGIITRPVL LGPVSFLTLGKADRGQSVDPITLIEKLLPLYVELLSKLKAAGVEDVQIDEPVLVFDLA EKSKAAFKPVYEKLGSLGAEAPRITFATYFGDIVHNIDVLADLQNLHSIHVDLVRNPE QLDTVVAALGPKQILSAGVVDGRNIWKTNFKVAIEKVESAIQKLGKNRVIVATSSSLL HVPHTLASEKTLDAEVRDWFSFAVEKATEVVVIAKAVTEGPTVREQLEANAKSVQARA SSARTNDPAVKARQAAVTAEMHNRKSAFPERYAEQTKTLKLPLFPTTTIGSFPQTKEI RIQRNKFTKGEITPEEYEKFIEKEIQEVVKVQEELDLDVFVHGEPERNDMVQYFGERL TGYVFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAASISPKPMKGMLTGPI TCLRWSFPRDDVHQSVQAQQLALALRDEVVDLEAAGIAVIQVDEPALREGLPLRTGEA RAAYLKWAVDSFKLSTAGVADGTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDA KLLKVFIDEAYPRHIGPGVYDIHSPRIPTEQEIKDRIEEMLAFLTPSQLWLNPDCGLK TRAWPETKAALTAMVDAAKYFRQKYAQ PEX2_057330 MADLLTGYTHSAAFDIGRLLVSDIHTVHYEQYGKQDGKPILYLH GGPGGHTSYNNTKYFNPAIYRVVLFDQRGAGKSTPAAELRENTSQHLVSDIEVLRKHL QIAKWHVVFGGSWGSTLSLLYAQAYPEMVGSLILRGIFTGRKSELEFSRGSIGAANIF PEAYEAFVNYLPEKDRARPNEAYYDLLISEDYETRIAAAREWNRWDLSIGTLRPDPEG FAQLEDDAWVLAHARLEAHYFANGVFLEEGQILKEENLSTIIQGRYDIVCAPQTAWDL HKGLPDSRLFWTPDAGHSATEPGTQTKLFEVCDEYAELEFTH PEX2_057340 MSAELPEHYKDHRVLLELELAIDELAEHKSTKLTEDSAYRPSFF APYPSIQAPSENIVDELQSTLAFEDTCFTEPVDRARQVAGPWSFYVPYGQHPPVDDYK PYKDLYQDDKPEFGTFRMTDVRGKALPHTKAFMYNDMDATDGIILRGELLTILRLMLG QLRKVFLVSFMGKRARAFESYFNGQALVLRTTKLYNFPEEISIGFKNRAEWYLSSPTG DTF PEX2_057350 MVPRLDVRALDQIVLKPPKDRTLRFREDGTFHIGVFEDLHFAED DEKDEKSKEVMSNILSKEDIDFIVINGDLVSGERTKKADSSKYIHSVVSPLVEQGYSW ASTYGNHDSEVNLNPKDDMFKAEQKYPNSLTQSRISGDKAGITNYYLPVFSHGQKNTS TPVLLLWFFDSKGGHYYKKEGEDGPAVKRPSWIHESVVEWFTKTNSNLKKEYGKVIPS LAFYHIPAHAMLEHQQTRGINPRLTPGVNRESVHPQGTGEWRYDGQDVKFMDALLHTE GLIAGFSGHDHQNDWCFKWDGSLVDHGLTGNGINMCYGRHTGYGGYGNLVRGGRQILL HEGNLADDTETWIRLEDGSAQARVTLNTTYGQNPYLAVINGAGKLDSFMQGSLLSYSW LWVPIMILSRWRM PEX2_057360 MVAVSILTLGALFTQAWAMPAATGSAIVSAATPAAATPTAATPT AASTSLPVAESQLDNLAGLAYNASVESVSSDSDIEKRGGCSLSNLRIRRDWRAFSSPQ KKAYIKSILCLQELPSLTPSDRAPGARSRYDDFVATHINQTMTIHYTGTFLGWHRYFI WNFEEAMRNECGYTGDFPYWNWGADAGSLENSQVFDGSDTSMSGNGAHIDAVGDIELS LGSYPVIHLPTGNGGGCVTSGPFTNYSVNLGPVSLMLPGGETGSTANPFAYNPRCLKR DLSTKIIQDYANFTSIVDLILRYDNVYDFQMNMQGVPGSGSIGVHGGGHYSMGGDPSR DVFVSPGDPAFWLHHGMIDRTWWIWQNLNLRKRLNAISGTGTFLNGPASPNTTLDTLI NIGYAGGETVAMRDIMSTVSGPFCYIYL PEX2_057370 MNITIPTSDGPRGGGANLPDVNHGPRIIIATAITTFAALITVLA RFYVRVYLIRNVGWDDYIMALTMLLSVCGFAIIVPEVKYGAGRHGAYVQGTAVTAMHL NYATQAIYMWAIGLVKVSIGLFLLRFAPQKGFSIFIWVVIALNILTDLIFAVLPVFML RHLQVNSRVKASLICILGLGIFACAAAFVKISILPSYGRTGDFLWDFTNLTIWVVTES NTGIIAGSLPTLKPLFKRVLGTYGSRSKTTREYFGSKKYKMHSMSRSRGPPLQSRGHR SGNLSVIEYDAHPKTTPSQMASVTGSATYSGSRASNSSEERILPIQGVGIVRTTEVIV SHEQSPPEVQSSPPAVSNLGRMGSLNGLRVNADDRV PEX2_057380 MTVAQWILSWHPAGKTSSQSVFNIPGRIAWSVMEIVGPINLIYC MVTPSPYAPSFTDLPMSNILIVTLYCTHYFNRAIISPFFSAPSMSPIHVIIMSFAMLF NWFNSACLAGWLRGYTVSTIPSFHTASSGNPSRSAVVDLLPTVGVILFAIGMAGNIYS ETALFRLRREEAETRAAKKTDDGTPAGDEAQGSRNKFHKVYVIPPTRGVFRYILYPHY VFEWIEWIGFALAGTAVAPLSALPASISAPSMAIAPPLRLAPWLVPAAWAADKLAVPL PLPAVVFVVNAVTNMLPHARWGRKWYVDKFGEKDVAGRGAVVPGCSWM PEX2_057390 MSDTLSDADKIRNKRLAKLSNPVQPSLDGNSESAQSSANTSPSQ SPLSTQPPSRLFNAPPTPQQSEGKRIKITPVATPERTRPAAPSAPSTPPPQKIESIEA FEDRTLSAVFRVTLKEEGQRDIHGNRTYLPGLRSELQNEGQDLRIQVAVLDQALLEAA SKAERQRPLDYLLPCWKRITKLYKGLRRTGDNDPKYQVLCEARRLCMSYCIFAITMPE MFGSEWSPQSSLAAYLLVDPEDDKGIDFEFINEAVRRFDEDDSVKPAFISAVEQLSAQ LSSMDVNDDYKPYAIALRNLVRNGSIAAAITESSLFNNTKDPAEFEKATLLGPWFRLS PLQANVTLSYFSSPKTRDQAYISNAQRSLRMTQQMLSSDLLDVVNHLIRASKEARDRV LDWFATAMNINHKRRAMQVDPTQVSSDGFMFNLTTCLDQLCEPFMDAAFTKIDRIDAD YLHRDSRVDMRDETKINADQHASDAFYSKKVEGTSNFITEIFFLTVAAHHYGSESLTS KMEQLEKDVRQMESTITKFELERVRWLNNPQQLRTFDMALKKYKDKLDLGIALKYSLQ GVLFDEQWQARSMLFMRYVTVWLLRLVSGKNFPKEQVTLPLPEEQPEVFKCLPEYFLE DVVSNFKFIMWCMPQIITATQGDELVMLCITFLESSAYIKNPYLKAGLISILFRGTWK RPGGASGVLVDLLNSMPFANEHLLHAVMKFYIEAEFTGTHSQFYDKFNIRYEIFQIIK CIWPNTLYREKLSLQANQNLDFFVQFVNLLLNDVTYVLDESFGAFKTIHNTQTELNTQ GHSMDAATRQQREEHLSSAQRSAKSYMQLTNQTVAMLKLFTEALADSFTMPEIVQRLA DMLDYNLDAMVGPKSSNLRVDNLQEYGFNPRALLSEIVDVYLNLIGKENFILAVARDG RSYKPANFEKAADIIRKWSLKSPEQLRHWSQLQKKVQAAKEADDQAEEDMGEIPEEFL DPLMYSLMDDPVILPGSRISIDRATIRSHLLSDPHDPFNRVPLKIEDVVADTELKAKI EAFKTEKLAGKRREMVHDRMDTSN PEX2_057400 MPSASASGSDAKRGGATSRDHKQGNQDRKYTDDQKAAVLRIRKC QPTAFYEILLVERSSTDNEIKKAYRKQSLLTHPDKNGYEGADEAFKMVSRAFQILSDE EKKSKYDKFGGDPDSRFQPGPSASSGASPFGGFGGGGFPRGGGQRFDEEVSAEEMFNR FFNGGFGGMGGGGFGGPQFVFNMGGGPGFRVHQFGGQAPRRRPRAAATEQEAQIDGRS FLRQLLPLILLFILPLLSSLFSGSSTPSGPSYRFDTPVSPHTLGRTTPKLNLNYFVNP LDVDNFSARNFRELDSRVEVEYVRTLRNECDAEAHERERRLQEAQGWLFPDVEKMKKA RAMEMPNCRRLDQLKMKGRY PEX2_057410 MADDSTVEETKQTVIQRFRAWGENSFPPTLLASMIAAQHMRPFQ FFPMIFPPVLIFSSYANLQGFKTDTAGISAAFSGLYLLLAARRRQPFMKKFGVRGVVR GATLGLALVNMVGGGLAYTLGKREEEEDAS PEX2_057420 MSSFQHLRTQYASLLKRFLTSTKDFEVLTTIPPDHSAESETLYV LDSSFNPPTCAHLRIVSTALLENPRPRPRVLLLLATQNADKPSKPASFEDRLVMMELF ARDLRAHLASAPAFAASGFTHAVETLPLVDIGVTKKPYFVDKAAAIEASESYPMPLEQ VHLTGYDTLIRIFNSKYYPPEHTLKPLGPFLSKHRLRVTMRPSDEWGGKEEQLGYVAA LARGDRDGEGARREWADRIQLVKGRLSTDQPVSSTRAREALQSAPQDLNWLVPEQVRQ FVLSSEHLYSGNSKV PEX2_057430 MVVLNKFSVALVAAMAAVGQATHEGLHARRVLARSVTPVAEVST STVLVVPTPVEPAPTASSAAVSAPAEETSAAQSSSAVQSSSAVQYSSAPSVASSAIPS VASSVVPSVASSSVVPGVSSSVPAGTISPIASSSKPVVTRTPVSFRPTGRPTKSSPGS LTSTVSAPAGTDVPGDDSVTVTYTVTSGTSTSVITTTISKTATEQHTVTATESGAEVS ATKPAEDTTETRTSTINSTKTETVTLVEAPTPSGAAGNDSGACTAVTVTATVTETVTA GAAETQTPGNGNGEGAQSTSTVAATSSETTAASTETAADSTTRVPIGSTRIPLTRTPI PSSSGFATRTPSASVTPTPASSGLPLPTGTPSGKPFLANWRRRFI PEX2_057440 MFLLFDFYSCNGLVMLDGALDPKLSLPAGYVLQAAPNLQLFGMT FPCVTFLPSNVKLTHSYDRFPLDSEHFLTYRFYGW PEX2_057450 MRLSAALYSPTHPWLACLKSVQLQRIARATGIQSSGTKGVLIER IAAELTLHSHSQLQLQSQSAAADGDGDGDGVAEGAITSSPNHITNTSDSASTCPNQDR QVDRRVLTKTPSSNHKTKIIIKSADLSKSNPAQPWSILSIDMGVQNLAFAHLRVPRSG GPGIGIDAANPRPPELTAWHKLAVSEISSLDLIPGDNTGIIKPVQPISGSGGATESSQ AILPVDTQKVLPVKVKIAKSTTKEKDGFSPDLYAANAYTLITSLIAAYRPTHVLIERQ RFRSGGGSAVLEWTLRVGLLEGMLYAVLHTLRQERGGEVADLVVRGVDPRRVVRYWLE GGSGSSVPGKGDEGTASEVGKRVKEKKPTAREVKKAKIDLVGRWLSAAMQKNNTNASL DTDGSLKKLELGIAADDKIVLADKSECPALHGVAGGYMRKWQSQTQTSKKGKGKGSRS LKSGSLSSLPVSPQSDMADEVAAVDPGKLDDLADCLLQGVTWVEWQIMRERIAREGVE ALDSIP PEX2_057460 MDLQGTKEARTQEEGAEGPLEAYGAGSGRSKEYHKNEGRDSHNH DDRPSINPLRRRQQPFAYPHGARQAASPVPAVISRPREPSPSPEAISIPQELGLDSRD QRLLRKARRSPPVTKKTLSELDLPCIMSNINLRMDANFDRDLHFKPDLDGEKGQRKRK EAADYWDSLAAEITIYSYHAATADAATGEVKSSDSTRRTFDPRLPALFETLQDVIKTL VPERDHPTIMQNLEVPLLMQQIRKGVLDMLTLAKWLAKLLKTHCAPMRDEWADSMVEQ IEKGSQSQDPREIVNGLRTLFSILEAMKLDVANHQIRAFRVLLIEDTVPFLQEYFQGK MNRGGFQVEPARNWYLSVREQARQDDANAEAQKSTASTPETEDTLKPLEALFRGISGQ LLQFAPPTNFPETFLFDSERLWQLRSTVQNLINLDIAWYIFESYVNKHKRYLSTPEET YTTFRSRIWSLMEDGMDLENRIAGNPDNNDDDPDHRGGKRWTQNMRCIALEIARFACA ALQLDPVVADEVIVPIEEALEWHLSNESELFVFFQNSMRGKILTTTLAAARRYLPLSP LAICESQRAPLSVTLSSAVPQTGAAGASGSVKTVNTSSLALTPQQSDIERIGMRLAHM GVLHWRVWAPLLYLRDEIALAEVEQPALV PEX2_057470 MNISALLYFFHILWATPGFVLSRGIGSYNTSQFEVHILPGVSDL PPSWAGRLPVPGTEDGNEIFFWLFQSEQPAYDDNLIIWFNGGPGCSSLIGLTTGNGPI SFDGNSTRFIRNPHSWTQLGNVLYVDQPVGTGYSTASFPYPVKDNDRVTTDFTQWLRS FFEYFPHLQSKQIHLMGESYAGIYIPYFASELLEGNNSLPLNVRSMSLGDGSWGNGAA MASVAMGKYLRSQLSLLQIPEDVLSVFDEADKTCGFNDVLAKSTIYPPQGKIHIPGNP EYFNLRRRDLTNAANSACDISPTTPEEVRSSLFNSTCYGPCAAFSTASDYLTAISTNR TTRGCFDIYDISHDCSAVSELPLMAEYFGRADVQNALHVDNSGPYSACNSTILGTLLS VPSPVPPEYFILPSLVTNHNISLHIYSGEWDMMINHFGAELSLQNMTWHGAQGFADKP KKPFFADNAAPSGTRQLLLKHTPVATTLLTATPTAAAAGTWAMERGVSYHLFRGAGHS VFVNKPREMFSFVRDVVVAPRAG PEX2_057480 MTKGFATITPECEEVFDEVKGTDNLNYVIYEASAHDKKITVAES GKYKDYTEFLSHFKDDTPRYAVIDFTYDSPAGDGQRSKLVFITWVPEAAGIHDKSYYT SNKDHLFYELQDISLHVLAHSQAELAHAAILGKFKAL PEX2_057490 MAESNSPTSSQLELPESPSQIIGRRRNRLLSIIKQNDLSLLRQF IASCSPEDVIAPGTPYLEDTLFSAASYGSPEALRILLGVYAAAPEIVKKLNPNFRLLL DACGAANIDVVRFILDSHADPENPLPLGSVDLHQRDDSGDTPILAAAGSLIYPDEDAD EVEDEGLDRNEWIRNRITRSHQLINFLLDRGCSATDVNPPLPNGLSPWGNQVQDSVIG LSVSTGSGPLIQRLVDSGADIYLKHQHIHHPRVPLQSSTTDTRTHDVTTLHLASLFYN SDAVKLLLNHQNHKANGNPDLTSSCDSDGRFPLHWAASGSAESDCGLLDKQLKISETL RLLLDHDSTGINLVDKTGSTPLHYAARSHARCGCTQYAELAIRNLLEYKADPRIPDGS GRTILHLLGYHSHQGDPINTTLLESILSHGANINHTEKNGKTALHVFTQNLRQVSAAK FLIEHGADFRARNTFRETPFHAAARGFLSDHVRRDGRDPEVTTANKIRLQDEIMLALN KAAGEDAAMLMNQPNAEGKTPRDLLEETRNRWQGIARPISGPGRGRGRGRGQPVGA PEX2_057500 MTSAMTRPEDTIHEPRLNRGETDEDEMENGTPESGGFLGSQSDD FPYPEDGSRPGTALGDASESTSKQPTGPKTLSPEETIELARHAVESGIQDTKRSLAGS EAVTDVVKPKLTIDLGHSHIARIPEPVVDLIKDEVERLSLSNNQLFHIPYRFAECSHL RYLNIRANNFREFPKGVYKLPLLEILDLSRNKIKELPNEISKLKSLRVLSVMQNRLID LPVGLSEMHKLQIFKCIGNPLRKPLRDILEETENDATPSGMTDNEKEVAATTKLKRFL MKTRQQTSTPEPEIVTDTSDGTFDIKPIPTPIPSKRNLSGRFPVIPSTGDSSAASSRS PSISRAPPIPLKSHYRMASGQQGANYHLPGLQRPGVAPHSVNERNRSNSEGIIQGSSV ARSKRMGLITRKNTDLGTLDETRPYRNSHLRGLSHGSVLRPRQAAPPIATNDSTPPSP TSPRERRRPRDGWVNRMSSLPEHKGERGSDEPIIKTAKGILFALAQVHSHIDTLINVI KHDDTRRHSLELVFYNASSHVDQLNDALEKASNTLYNDPESITSVTETVKRECETCIS AYIHVGTQLRNNVAKIVSNGDPRYVRSLMLTMFGSLVELRNACAILNVPLQTINKRGS ATKRLLGPSNPLPSVPDRSQLSLVTPTRDPAPPTRRLRSDTTIRHPQYPMTMTSNQTS ISSPGFSASYARSRSSSRSNMINTSMPHSLATPRSGETFPPMPVPGAPRVNTLTGLDE NEEERIFEKIFHQLTATYHAAYGALPLARRQFIRCLEAAQQSRDSEGIQILWNNLIRR CQFCLEVSDALGQRLSTMKIKEPGGGLRNQREFWQLCKSFMQSFVDLVNEMRELRSMQ LLPADVIILLRPVQKASREAGRLIEVSPWAYLTDPDRHPPPNALYGPPLQTPHLQHHQ TSVSTSALTNNPSFQFNMMSPPQSVTVPATPLSAALGPAAQATVPSTPASAYSDKFFE GDVFQRADSLLSSNQAPFFRR PEX2_057510 MKGIEDIQVGRGWKSSDWMKHAQTPDLRNSASRLRLRHYIEISR IIFHDIS PEX2_057520 MGKINLTALRVRQTALSQFASGKTSKLPQWVSVVSEIPPAETLI RTRPPQHELVQQRLKTVAGSSKPQVVFQVQEKRRKPKKPSRLFQPVELKYEEDQLRTQ FFRDHPWELARPRVLLESTGKDFEHYDWSRIQQPGKRLDGESVVQRQLWLLNNVPDMN KSAAYDIARREFYKLRLQEDIQRRVAAEEAAAYGAEFGPSYMDIGMKMENEQYDKWVT WARETSLIQDQRKSALSGAPDLGETTESSELETEETEAVTL PEX2_057530 MPPSKPLSHTSSVRRLCPRRPRPSVASIADIFVGSLVLASFCHE HSPNRRGLSTVAWGASDHNLQKFQNKGATGRRQLPPRRQPEYSSSRFHLSQPKRLISN GLARTNDDNTEEYNIETESREETEADNDYIPLWPAKSADAESRTHCERFDFTYDFDRR IEITEHTKTDIDPKLPDKYPRQSFPSWLHPEKEKHLSTSKLYERSLRDYDWEEAVNAV APYSRYKKTYKDESHDQMEIQSVEKLVRTLWEEPNPSTQYLFRLYRDIPAPGVALLSK RTRGALLRLFAHPRDRRWVDARRYLALVDDMVTARLPLSRSLWSSAIHLSGRANGRVL KRDLIRAVGMWQKMEHIAGVKADEVVFNILFDIAIKAGAFKVADRLEEEMTERGLSFS RCGKTSKIYYFGMIRDVEGIRETFDDFVKSGEIVDTVVMNCLVASFLRAGDTQTAEQL YARMLEKQSSNNKRLSHSDDGSHDGGSNLSYDMPQYRERSRELGRILKKSSALKEKFP EYHRALQDSLSIAPDTRTFYIFLRHYAYNTGQLDSFMAVMRDMEKTFVVPPRAIIYLF LFEGFALHGRRKKQWSAENLRLTWHAYIRALRDSNARLRGLNLNNRKMKWENPLAKSV TIDVEEPPVTDSPNGLYMALPTEDTVGSPEGAEETAEGSAMDNSQNNEQDAKPELDEK EDESTEIEELDVDEVFNPRSQFPGEAEQEELWDPEQRLENGLFVGRRMITIILQAFGT CCGPKEVLEVWLQLERLWHPQQRKAIDVFAVKEELDKQMSRDPHRNH PEX2_057540 MDRNPAVTRQTANMRWVLKHTFKKNEFRPLQREVIASVIEGQDV FLQACTSFGKSLCYQLPAVLKDWGLTIVVCPLLSLMTDQVNTLKALGVSVATINSNTT HDERQRVFEDMLCGHPSTRLLYVTPELCLTDNFRRRLLIVHKQGQLIRVAIDEAHCIS EWGHDFRPAYKELGWFRKNLVSPTVPITALTATATPRVRSDMINILGLDLENLRMFNT PSDRPNIHYEVRYLADFAGDNSGAEDSQLQNLLRWLKGIQTRREARLGSEVTYLPPMS GIVYVGTRAMAEHLASRLSSSSDEMVTAIAYHAGVEATKRAHIQSTWKSSRSFQPADG GKTPVFSIIVATNAFGMGIDNPDVRFVVHWTPPRSFESFVQESGRAGRDGRAAASLVY YGIQERNFIETMIYRDTESHRANGPENREAKLESFGKVIRYCESIRRCRHELIKDFFG DFELEEMGSQLPAREGVSVTSPSPCDFACDFCKEGHTGLAKRREKMASETQMALLYAD FD PEX2_057550 MADDEHRVKRSRFDQTEPEPRRSRFDRRSRSPSNRQSESARTRS PLSRPLSRSPGADTPAKPSAVDPAAAAAAAAAKINAQLQAKKGIQHVDVPPIRSADNA TENSQSPAAEGAAKLNAEIYVADGDYIKDIEINDLRNRYTLTKGSTQKMIQDETGADV TTRGNYYPDKNMATAASPPLYLHVTSTNKDGLEKAVAMINDLMQKELPNLVDERRFRR REPEQQVERDEYGRRKWPDERIPIDLEPIPGFNLRAQVVGQGGAYVKHIQQQTRCRVQ IKGRGSGFIESSTGRESDEAMFLHVAGPDANDVQQAKELCEDLLTNVKEQYQRFKENP PQHNYGGYRGGDRGDRGDRYQGGGGGGGGYGGGYNNRQQHNTSSASPAGQAAAAASPS AAATPAAADYSAQYAQYYGTADPYAAYGGYQNYVAYYQYYQQMAAAQQQADGTAPPSA PASEAPPPPPSSGSPPPPPPGAGGSYSAVPPPPGL PEX2_057560 MLKIEGHVFTLSQRAVAEVGRLKRIYPGRIWSESSHNPFTLLEL LMAFRRPLTLSLGSASQLSSAAATARSRAAALPRFTSIRASSSSTSALAYKALHRRSP LPLPVSDASPQWDAPTAVSSILYETPVAPSNPPKRHILNCLVQNEPGVLSRVSGILAA RGFNIDSLVVCNTEVEDLSRMTIVLQGQDGVVEQARRQLDDLVPVWAVLDYTDSALVQ RELLLAKVSILGPEFFEELLQHHREITTSPDSLDHKHTSLDQTAQQYHPRHLPQSQAL RHKHEHLDAITRLAHQFGGKILDISTNNCIVEVSAKPSRIDSFLKLIGPFGILESTRT GLMALPRSPLGEPNEEIEKDAADIVDVSTLPPG PEX2_057570 MADEANRPLLDAQFGSGRASDEQPRPSSQLSTESSHVLHHSEDQ SKGNTKQRISRSHSGGAAMSDAANRALLDEQSGPIEQPLERTSANHPRPSYELSSEST PLLNRRDDLTYGTEEGLSRSSSFASQNPPDDGAIKKRSRVPWPTVISLSILTLSILAI LVLAFAAPAAVKEYAQEAAVFKPTAVSIDSTTPDGVRARIRGDFVMDSGRVKNKSVRG IGRLATWIAREVETGPSNVEVYLPEYGNVLVGNAALPSIKVNIRTGRHTNVDFLADLE AGDIRGIHAIAIDWIEGRLGRLNVKGKATLQLKSGLFALGTQVLTDNIIIEEHDFPAL PTINILKLNVHDANSGDMAVDVLLSSLIDSPVALTVPALGFDILVPNCSPGDPYILVA NAKTSAVDVYPGQETAVGVDGLIQQLPDELTSTCPGREGSPLDFLVSSFMQGLETTIY VRGSDAPLPSTPAWLVNLLRSVTVPLPFTGHALDNLVKNFTMSDTHFSLPNPFAEPDS PDSQPTVSALVKVLIALPEEMNFQVEVPQVRALADVYYKEEKFGVLNISHWQGANSTM VEDEDGSSALLVEFAIKGAPLQVTDDDLLAEVIQAMLFGSKTVVLRVAATVDAKVSTG LGRFAVRGIPAEGKVPVKTSIGNSLDQINPRVVSLQLGKTTESSMLVSTQANFTNPTN YSATVPFLDLLILYNDTAVAHITAHNISVGPGNNSYVPIDFFWCPLDAAGVDGVEAGR ALMSSYISGLNTTITIKSHRNTIPSLPDLGEALSILNITVPVPQISVPGSPDSDDGDQ KPRFIHDATFYLWSSTAEFILSSPLTENNILITSIDATAFYEKKEPIGRINNREPFEV PPGISRSPRLPVDLDMGGVGYDALRKALGQSLEMDAVAKVGVLIGNYADVILYHGKGI AAKVRL PEX2_057580 MASGLTAAARYGAQSVNQLAGSTSLRRTLQANGIRRFAHQTPTL PPTSPFAPRHLLSIADLTPTEFATLVRNAASHKRAIKSGAIPESLLGSLSGKTVAMMF NKRSTRTRVSTEAATVQMGGHPMFLGKDDIQLGVNESLYDTSVVISSMVSCIVARVAK HEDVAGLAQHSTVPVINALCDSFHPLQIIADFLTIHESFPAQAHKLSSLGLEGLKIAW VGDANNVLFDLAIGATKMGVDMAVATPKGYEIPAHMIEIIQRAGEGVAKPGKLTQTNI PEEAVKNADILVTDTWVSMGQEEESKKRMKAFEGFQITADLAKRGGAKEGWKFMHCLP RHPEEVDDEVFYSPRSLVFPEAENRLWAAIAALEGFVVNKGKIVE PEX2_057590 MGFEDKRVAIVGGGLGGMSFLNAALYAGLKNVQLYEQAAQFSEV GAGVNITSNANRVLDAFGLQESMMRKSSRKLPSYMEYHNYKTGDYVGHIGEFSQPHAR LLHRAHLLDSLKERVPESSLNLGKHLVFIDRNTAAGAAPYTLHFQDGSSAEADIVIGC DGIKSNVRRDMGLGDDPNYSGQVVYRGFVDYKDLPTETAQLLRKTVNFRGPKRHVLIL PIGNQETGTDRAAIIGFMSESLEAWDSESWMSRSDIDSLQEHVRDWCPEVQHIIAGLR KSSEDGKMLKQALYVRDPIEKWYEMKSGQKDAGIILLGDSAHSTLPHQGQGTCMAIES GIALATVLRYWKTDDLEAAFKFYQDLRKPRTDRVTKTSYEAGKLASSDSPDQMSNNFN PDALMERMRWIMEYNVLEDLKVKGQGYLDFQGSRLNLRISSILTSSSLILFEVSAGKP IMEFNSTPGSFQSRRSYPSLRHISLAPLNPRFPIDDDTDPTDYFNHRDDESAASGTRT PPASSYLSSVSVPSTPPILSHSRSNSRSRHMRSKSSTRIGPLSDTNLHSRELGHALHH IPNTHKKQSGISHLQGRRPLPDSTPKSKSDAEWMLRAGIALASSTREEKGQSWLSKRE SSTSLVPDTPFDEASTNRHHHRTKSGTLSRISRSGASTPGGGGALSRAGSRRGSRRGS RVNLTMTALPAASASSTSTPAERVPIRSGTSTGTVTRTTSPEARGRSPLVPDFVDARI RAEMASLQHQFPDEDSVYWDRAGSEEDETSSEYSRDSDETPDDFDEADLQQLTRERGF GLGSWIDRLVEWTLFGVDEWPAGVPAATAASRIGTADTTTTVTFEEPMLVDGRADDTL SLGSVGDGDLSDGDSSIGSAAPIEKPGSQGGWEDAGWLFRLMKRAMV PEX2_057600 MNSLVATPPVPPHFYENSRFSPSRMMSTPSSSYASRKRKADDDN DHDGRMSASPTNSPAFTPRQLPHSYRNIKRSRPNVSGRPLSLPRLLETLDTDALRTVV RTMCERHPQLADEVVHTSPRPNVSSTLQVLRNYQAALQSSFPLGGNTESDYAYNRVRQ PLTNLLDALSDFTPNFLPPNESQASTSLSYLDGATDIIHALPRWSTPQNNLERDSAYD EMCKAWVLVIREAAKRGGGIQLQYGGWDQKLAKHNQTSGGKLQGAVNELGLSLGWMAG PDTPSHGANNDMGSIRDQLFSGTYGLGTPVKVGPW PEX2_057610 MFCSQWEVPTLSNEEERVDDRKDTDEAEVNIARKSPDRLPTPLI LLLWLIHQSQPLEMDELFDVFEDQPQAVKPSEGAPKRLKKDKNKKRQVNGDVKKTADI QPEQKEDVAMADAPAAEVESTDAEAPATPSEPEQPETKRPRLDQEPEPVVADSFETEQ EREIAASGGLSGATDTSALVVSHQVRHQVAIPPNYPYVPISQHKPPANPAKTYSFTLD PFQQVAVSSIQREESVLVSAHTSAGKTVVAEYAIAQSLKQNQRVIYTSPIKALSNQKY REFAAEFGDVGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVQWVIFDEIHYM RDLNRGVVWEETIILLPDKVRYVFLSATIPNAMQFAEWIVKMHNQPCHVVYTNYRPTP LQNYFFPAGGEGIHLVVDEKGVFREENFQKAMSAIADKKGDDPADALAKRKGKGKDKQ INKGGNKGPSDIFKIVRMIMLKNYNPVIVFSFSKRECEAGALQMSKLTFNDDSEKNMV SKVFESAIEMLSPEDRQLPQIQNILPLLQQGIGVHHSGLLPILKETIEILFQEGLIKV LFATETFSIGLNMPAKTVVFTSVRKFDGTSQRWVTPSEFIQMSGRAGRRGLDDRGIVI MMVGEEMDPAVAKEIVRGEQDRLNSAFHLGYNMILNLMRVEGISPEYMLERCFKQFQN TGSVSGLEKELEGLEEKRANMIISDEGTIREYYDLRKQLDAFADDVQHVITHPNYSLT FIHPGRLIHVKYKDFDFGWGVVINQKKRKQASNDTEKLTPHQSYIVDVLMKTTEGSSI GTKSFQDLPPGVHPAKEGEPTRSEVVPVVLSCITEISHIRIMLPKDITSPGSRNDVMK SVNEVKRRFPDGVPLLDPIENMQIKDESFKKLLRKIEVLESRLLSNPLHNSPRLTELY EQYAEKVDLTAKIKAIKKQITEAMSILQLDELKCRKRVLRRFGFINEAEVVQLKARVA CEISTGDELMLSELLFNGFFNNLTAEQIASVMSCFVFEEKVKEAPALAKDELAKPLKE IQAQARIIAKVSQESKMAVNEDEYVQSFHWELMEVIYEWTQGKSFADICKMTDVYEGS LIRVFRRLEECLRQMAQAAKVMGSEDLESKFEEALGKVRRDIVAAQSLYL PEX2_057620 MFTSASSVSFRSSTLSSSLLKMYSSAIRARMATSFAARRGFSTT RTQLGSPYHYAEGPRTNIPFNPLTKYFFWRYWAFMVTGFGAPFAIAVWQTYKTK PEX2_057630 MRNLRNVRLAETQIESELPLTATAWDTASDSVVCTFGPAENNAI IEVRRKRPEITSTDPLSPEAFECIASWDAPCPLPDLACDRVLSLHYFADNLTTCLVLE GGDIVVVREEPLPGEDKIEIVGSVDVGITAAAWSPDEEMLALTTRAQTFLYMTRDFEN VADITFAHSDLQSSQHVSVGWGKKETQFQGKRAKALRDPTVPEKIDQGILSSHDDTST TISWRGDGAYVAVNSVEADTRRVIRVYSREGTLDSVSEPVDGLEGAVSWRPSGSLIAG IQRLDDRIDVVFFERNGLRHGEFSLRLSEEEMKSWASRIHLAWNVDSTVLAVKFQDRV QFWTTGNYHWYLKQELPITVDTSSSLPYSFEWHQEKALRFVAGSSGSILDADYVFDIN HGSTSIPDDVGAVAVIDGKTLKLTPLRMAGVPPPMAHNELTLDSNAIDVAFSKSGARI AVLMSNTLSIYLWSLKSRPVPVPILESSYPLSDAPTSRPRQVAFLNENEVYVLKDNGP NSSHIERTILDTRTTEFVYQAADEEQLASIFAGIGHQALWFSHARQPARPVSYSSIEE SPSGNLDIAPWGESPNVDSHWARVVSISEDERILIAMTRTGALYANKRVLARNCTSFL VTPSHLLFTTSAHLLKFVHLNRVNEMEVPEDTPETDERCRSIERGSRLVSVIPSIFAV VLQAPRGNIETIFPRALVLAGIRTFIDQKKYRSAFLACRSQMVDLNILHDYAPEQFME NITLFIDQVKKIDYIDDFISRLSEDDVSQTLYKDTLKISQNVSAAVAQPDGPAVSSVP KTGKKESKINKICDAFLAALQSRVDTNLQNLITAHVCKSPPDMEAGLGLAAGLRIQHP EQAEDAIAHMCFLTDAHRLYSHALGVYDLELTLLVAQQAQMDPREYLPFLRKLQQLPE TRRKFEIDNHLSRFEKALGHLYALNAHDEIGAYVVKHVLYKEALELYKYQTEQQRQIT ELYADYLQDQSKYKDAAIAYESLELYESAYKCFNLAHKWRESLYCAMMASLSEEDLAA HIDGLVTTLVDENKDYVSAATIYADHLHDIVTAARLLCRGSKFADAARLLTLHGKQSQ VAEIVDSGLAEAMGNMTDLLADCRSQLNAQVPRVKELRQLRAADPLAFYGGDPTGGDA GVDIPDNVSLAPTDASTLAGRSMFTRYTGNTGKTGKTGMTRHTSKTRRREERKRARGK KGTVYEEEYLVNSIRRLIERVNSSVAETETLVDALLRRGMRERAAAVEKALQEVLTMC ADCRDEVFEVPPPNAKNEDQEDEENDIEPTVPPTYGGGGAGVLRESIAIVEGGGAARV KEIPIVKEMKKSSLLA PEX2_057640 MHLSASTYITFLLGLGTLATAQVTARSIKCVCDGIAENSQTACA QTGGSFNNTGCGFAGCCVYANNEQTRFIENCRTLGYGFRRCDDCRSC PEX2_057650 MASTSNQSVYRATTTAPVNIAVIKYWGKRDTTLNLPTNSSLSVT LSQRSLRTLTTASCSAEYPPADTLNLNGSPQDIQSSKRTLACISNLRALRKALEDADS SLPKLSTHPLRIVSENNFPTAAGLASSAAGFAALVRAVADLYELPQSPKELSRIARQG SGSACRSLMGGYVAWRTGELADGSDSLAEEVAPASHWPEMRALVLVVSAEKKDVPSTE GMQTTVATSALFAERAQNVVPGRMAAIETAIQNRNFHDFAEITMRDSNTFHATCLDSW PPIFYMNDVSRAAVRLVHDINRVVGRTVCAYTFDAGPNAVIYYEDKDSEVVAGTVKAI LGPNTEGWNGVFYERLANVTAGVSLDQVDSRAVEVLKDGVSRVILTGVGEGPVKVEDH LVSETGEILSTL PEX2_057660 MGAAASTPSAPSVEPPAATCPVDHKTREVWLQQNGKSPHPTSDV PGEQGQPRAKAQRPLSTDREVSNIPRAGDFSSASACPEANKASSSPYAASHGSPSNAE SETGRDEATGNWIYPSEKQFFEALMRKGNTPGSTASASELATSVASIIPIHNAVNERA WDQILEWEKKGPSADPGSKKCGGPKLYSFRGLGVDPQYLSPRARINGWLGYQHPFDRH DWVVERCGGEKIEYVIDFYQGKPSGGNETPSGLAANANATPGKLSFFLDVRPKLNTYE GWRLRFNRFTGL PEX2_057670 MSFDRLNSLEAQPTTMRRDEDPQYRDDPDFDHLAEQLSDQLFTL TSNISRLSNQIALLGTKRDTERVRERVHNLLEETRTGFRDVGEGIKQIQTWEDVNPSQ KWTQQKLSSEFKATLDEFQTIQRRALEKQRASAVAARTAFEEGEHPSGENDVQLQEQL LEEQHRMANQGEVDFQESLIIEREAEIRNIEQSVGELNELFRDVAHIVTEQGGQLDII SENVQNVTQDTRGANVELRSASRYQKNARNRACCLFVILAVILAIIVLAIVLG PEX2_057680 MHIGSRTASSSFGTLRSLTLLQIAQTFGWYIFSAWWFTVVYVWS SSSDSNLELVKRGRPHERPTLNERPIYLHSFHALLACVQAVFHLYYDYDRIAVPIAEK SSKTNDERTHPVPPTSKYLQGALLPELAAGALRSVTVAGASLVIYPIFFRSFAWSWSL YFAKLSWSFPRSAAEPQSFIPSIFPYFFFKTLVPGVMLVLSWQTANLFYTVFIGKEPL KRGQPLTTEAKDPNGSLLSGLKAKKEIVKTFALWELSLISQRVPDRRKAIFNEIDREG GSAWSQVLTCTTDVIKAINTRIEESKNPAPVTKPLPQAEKSEPVLQTLPRLSEPIKDD NIFTSAPKATSSQDKFGDAFSSAAKSFGQSEDWTPTARARVRDVFDRASSAMLSPEQK QKYLGSPQKFKLLTGGPFTTHKPEDMNPVLAQILRSPIGQPLRQTYAQRLSAIVLGTP ESSLSLIVDAVESLTRLLIASLAEDPYGKVQADVPSAVRLLTQTTLTLDAFAHQGGLD AHWTDINFPPSSDPQAQAVARLVPDVEIVLAALRGALKDLLTAFRPYLRDIGIAGKDL RLAKEAAGIDGEEVL PEX2_057690 MSLTNVYHVRRVADTSAKACLICYKPSTSVMITPDNKDFFYVCP AHLQDKHFCSPIVDTEGQAKRLKEEEMAKEIEKVKKEYEEKQRRKKEREKASSKDNKE EKDKGKDETKNKDASESNANDEKDRDDKIASIQKGSGTETKSDDSPRIFSLHKNFYQM RIDRLRNIEMAKRNQERLRQPSLFPSVPSGNP PEX2_057700 MAMNQIKGHNIYVGGIISAKNKAALARADISHVLSVLRLNPAEE LEAFKSYQHLSIGVDDVDDENLLEHFPAAIKFIQSGLNSGGGVLVHCAMGKSRSAAIC IAYLLHRQPGALTPQSALELVRQSRPLCEPNEGFMEQLNLYHEMGCPDDVTDHPSYKR WLYRRDVEGSVACGRAPELKSVRFEDEQPVQSKDATGRTVEIKCRKCRTKLATTPFII PHEEEKKNTAKSSATADCGHVFLHPLTWMRPSLFPSEDGADASADTTYGAHPDDAPLS GRLTCPNPICGSNVGKFAWQGLRCSCGGWVVPAIGLTKARVDIAYQVNMAQGPRANPA IRLPPGMRVPAANEAGRGNL PEX2_057710 MSPAPPPLPPPTIIPGLEMGHDPGWQHGNPQTPTSLPPIHPNSS LFGGHRRPAPASQGDPMQIDDLVGRQGRVPVSRSPETQIQIQPPLPVTDGFPNSMSVI SNPAGPILSGERTEFSLRSVKDSSNAYDQHLLSKIGKPQIAKPPSPGGSISLGTENRN LLSPLTVPSRSPSNLPSPGPSEGSTLDVKWHNSPQSGGVSPRTKVNWREYVEGRSPSV ESNAPSTALDYDQGSLRDVSRRRYRGTTPQREDSISLPSRSNRGSYDQGVFSDIEGEF STDEPAPPQQFILREPTPPYPDSRQGMKRRASSPPREPMSDDRHALHVATSNGDLSQR RLGGHPFTNTLSVNPNYPMSQRTLSAASSLSIRTSGSYSSTLSIGGSSMTSLSPYDRP SPGGFSPSSDLDTFHEKSILNPSSPAALSQVTLPRLPGPASLEPPATDATGKMSVPTT IMNMPKPAAPKIGGLFICDCCPKKPKKFDNPDGLRAHEMEKQYACAYCNHRFKNKNEA ERHQNSLHLRRHSWSCAALLSFQAAFHPSGAQSCQTNAGATHDTCGYCGEEFANFPQA DWDRRFEHLTTVHKFGECNNSKKFFRADHFRQHLKHSHAGTSGKWTNILENACMKEEQ PPERREPRGPKDKTASGPQTGGSLTSNAISEVLSEQ PEX2_057720 MLGLSRRSALARSKSLAALPARAAVAAQPSFINTQDFHSTPSQA DSRHTWMPMRVKTPWIDALTQSREAEKAGPQVNAGQSVKRDISPKKMSDSYYSAILPL AQDKWLLDSYLNASGHIRLGSLLMDLDALAGIVAYRHTGDGVSTVTAACDRITIENPL MEICDLELSGQCTYATGRSSMEISLQVTKARPEGQEAKPEDVLITCAFTMVSLDPATK TPVPVAPLIVETEEEKRLFQKGEANYKAKKAHRTRSLLEKSPDDEESNLIHSMWTKEM SYLNPQNAATRPANQAFMSDTVLKSAMIMQPQYRNRHNFMIFGGFLLKQTFELAFCCA ASFAHARPNFVALDPSTFENPVPVGSVLYLRATVSYTEPEEREGDSTKYTKVQVRVDS KVRDVEHGTKKSTGMFNYTFLVEKDVQVMPKGYGEFMLWADARRRAQNAAAIDPAHKT SALRSIKDSVTE PEX2_057730 MEERAKEKLSEGGWYYASSNAGMSHTHLANRQAFYRHRLIPNQL IDTNNRSTRTTIFNHSVSAPIGFAPIGINKIYHPSGEAAVSKVANELNLPYCLSTAGS TSIEKVASANGPKGTRFFQLYMPHDDELTISLLKRAWENGFDALILTTDTWQLGWRHD DVATSNYAFYRGFGADLGLSDPVFRKRCAADGIDPDVDVVSASTKWIDSVWHGRAWSW EKIPWLMETWRGISGGRPFVIKGIQSVGDARRCVELGVEGIVVSNHAGRQVDGAVASL DALERIVDAVGERIYVMFDSGVRGASDVVKALALGARFVFIGRLWIWGLSIQGEDGVR HVMKSLLADLDILMSVAGYNGVEDFNRDILESDPKNYTLIPQKTL PEX2_057740 MHFSSCLVFIAGISGVHAFYPWELRMKLSTETTVERRFVPWTLI EPKSDESTDSTDTSNTADTKPWTLDLKKMPVRRDDGYKIVESREPSLANSAPLDQDGT DYSYFSPVQVGSQKQEMWMAIDTGAPNTWVFDSKCTEPVCTRHHKFDKSASTSYTTDG STFSLFYGSGQVSGKMGKDILSIGGLEVSQTFGLANNASETFQSYPFDGILGLGRSRT EGWNLPSFMDLVAEKKLIKSNLVGFSLSRSADNNKDGEINFGGVDTTKFDGTISYTAT NDKIWSIPVDDAYVNGKSCKFSGKSATIDTGTTYLLIPPADAKTLFALVPHSSQQPSN PNNYLIPCNSTATIEFEFSGVKYNVSPKDYVGNTESEGSDYCISTIVGYASNGANWLV GDVFLKNVYTVFDFDNGQIGFGALASASASASKSNSNSSSTSSSSSSSTSQGNGTFTA PPVTGTAASTADATSSSTPSATATIFDSSATHLIRGISWSMFAVMLGAFAI PEX2_057750 MTAPRSSSLRALRTLSQQPVAPSARRSLHITGVQSAQPANTGEK TLSNHDVLQSRAFSIAMRRINGNAFSDSSSSRQFNTSRATKALNDSSTVDFVYMPSMA DLDAAPLQRGIQVPVLPELPSIPWSQTPTAPPMKPQIYAVSGTGTDVPASAMSEVVDN HAIDLDPFSLTEAVSRSRVGEEIQRRSNGSSEPGVIRELWAGFLDDVMGPKETAQRK PEX2_057760 MPDQKLLSAQQISEHKTSEDCWVVIDKQVWDVTDFLEEHPGGSA IILKHAGRDATKAYSEVHAPNVMKNNLNPEKFKGTLDESTIDAEWAKSPPGQSPKVVL ENEKPPLDTLINSHDFEVVASKTASKKTWAFYSSAATDLITRNANKSCFDRIWFRPRV LRNVRSVDARTNILGGSYNLPLFVSPAAMAKLIHPDGECAIARACAKKGIIQGISNNS SYTMEELRTAAPTADFFFQLYVNRDREKSAALLRQCSANPNIKAIFVTVDAAWPGKRE ADERVKADENLSVPMSPSKANNDKKGGGLGRVMAGFIDPGLTWEDLKWVKQHTHKPVC LKGVMSADDALLAMKAGLDGILLSNHGGRNLDTSPPSIITLLEIHKRCPEVFDHMEVY VDSGIRRGTDILKAVCLGATAVGMGRSMLFATNYGQEGVEHLIDIMQDELETSMRNIG ITSLAEASPDLVHTGDVDHLVPASHEHPYARAIAKGRRPGSKL PEX2_057770 MAESKPLRYVDIGINLSDPVFRGEYHGKQAHEDDLDDVIQRARD VGCSKFMVTGSDLVESKHAVHVASKYPGFCYATVGVHPCQAKLFDEYPEGPSKMLEEL RALAIESSQSGHAVAFGEIGLDYDRLFLSPKEPQLKYFEAQLDLAVEIQLPLFLHSRA ASEDFERLLAPRLAKLPKRGLVHSFTGTMDEMNRMIALGLDVGVNGCSMKTEENLEVV KAIPLDRLQIETDGPWCEIRPSHASAKHLGGAPDLPKAVKKEKWQKGCMVKGRNEPVA IARVAHVIASVKGITVEEVCEAAWNNSIRMFGLGEESP PEX2_057780 MSQIERVNNFGEVVPGVYRSRSPDLYILPSNLMDVKTIIKLVDT PYYRAECEYVEANSITVYLFPIKTNKVYEADNASNKDANLVMEKLLDVKNHPILIHCN KGKHRTGCIIGCLRRLQGWDLVDIIQEYRLYAGHKARPLDEEFIKNYEPGEMKQIALD VNVAAWGR PEX2_057790 MAQTQVPVKDYWSTELLLLLSPILQTLVQSIEFNPTDKVLDIGC GDGKFTTSFASSVNYVMGVDSSPSMINTAKTLDYGEAATDFRVVDCRHLEEDAEVMNG KWDKVTSNAAFHWILKDPSTRISTLENIFQSMKPGGTFFFEMCGHGNAPEKVTAFMFA LVNHGVPIETAEAMCPWFYPSDVYMKSILESVGFQVKMIELNPQPLELTTSDNGGLEG FMRLIGAQMLDILDSEEKKNSAMEQICRMLRYGTTREDGSQWITYASLSHGTPQIRID LAQFSNLESVWITEAPELELRFFVGGNWDRDKVAIFVSKLSSKLCDIEIWSDLGFSVE LKTGRREHCVDTTGFHCLELALHRECRVRKNTRGRALPSSMTNPPDACPPSGVPVATL ASIDIEVEYRFQANDVPQAQDCSKLELGLLRQVQSVVAGPGVLERITESAFLHPMHNK GSSEVLGPQTAYISGDELDPSCEELFCLFDLGIHRLVISNLIKDPAILASQHDTTKSF ADIYPAVFDPGYRDAINQRGVTIPIITKAISSMLAGNKDPSTNAKLADLLELTRSQHT DHLTIQPQLPSCRNALLSSLWRVLQKNVPRTKPIKRRPSVFLTERLSSGITGSDEPER LISTDQQQSNNGNLGLRRSFQTEHHNDNEESDVYLFTSESEGQLLDNLSEASFTDIGE STQTSLDTLFSTIGSSHTSYGGHDTMLLSDQGELVDYAGNYVTDYDSREDMEAFDTDI IIADGL PEX2_057800 MGANHSCKNRRRSVPGERASEAARGPEAPLFSFHRKPFSCNNFL SALSTKLRALGLKANGYPRHSFRKGAAQYAHDSGILDD PEX2_057810 MSPPVDEVRAGFVVSVTQEEKREGEPTIFSATQIPSVNSLPVVP PTSAKSTQSIDFSFGPIIGYIDVSSLEVGVRVEVFGAHILNLYGNLKDGVVGKIDLFL AEGEIRLYLDNGNEVWLHYDVKVKFDGNFKDDIKLLTI PEX2_057820 MPGDELSKDHLYAQNGQDEHEAQSLLSTSSEEHDLAFHPEPLPS PILPSNATNGKCHSAISQPTADGKRRTPRTMNRVRFNLEEELEDPNRISIERALSPDD SPDDTLWLEDEDYELENGGPGTHHSGQSIPLLTNIEAPSVTLATSDDFFPEDHLEDAR PRSGMKMAFMNMANSIIGAGIIGQPYALRQAVDWTIRLIVINSKLSGADSFQATMQHC FGKSGLIAISVAQWAFAFGGMVAFCIIVGDTIPHVLGALFPSLQDMSFLWLLTDRRAV IVIFVLVVSYPLSLYRDIAKLAKASALALISMVVIVVTVITQGFRVPPESRGEIKSHL IFNTGFFQAVGVISFAFVCHHNSLLIYGSLKRPTLDRFTRVTHYSTGISLVMCLAMGI AGFLSFGSKTQGNVLNNFPSDNIVVNIARFCFGLNMLTTLPLEAFVCRSVMITYYFPD EPHNTVRHVIFTTALVVTSMVLSLITCDLGSVFELIGATSAAALAYIFPPLCYIKLSS ATRREKIPAYLCICFGVLVMGVSLVQAVIKIIRSKSTPGNGSHWTQLNGYLVAPSTQA LTFKFVFADDGEAHSCSAP PEX2_057830 MSESAEQLRKMQILQMVISSPTHFFVDLSNQRHQQADLGTARRE MISTADIKTQARSQLVDIESKRLMDQRDEAQLSKWANLHTEIGDTHGMEDLDDINSGQ SHRATLHAMLHANGSQPRTYANHPYPPPSAGRGGGVMGKRGRGGFVPPPPMGPADLPQ AFASIHLDPALNYNNNEIDPHERRGRGRGKGRGRGRGNAQEQAHVPSTPSRLKRPPPG VNFAARISEPGEFMSLFQSRRATETYEKSSNTIVSAPMQKAASAQKSIPSIEQNIKIP LSGTPKSKTTPSRAVATAAKISQPQMGPYVVETPLSTRVVPLDQTASFKPRKVQPDTP KSPQPHNNPPPVEATPPSSHSVSIQRSAKSKRLKDIATGSRAPYPPVVAIAVNDIQWK VSPGEEPRKKGTAPLSKSVVSSESYMPLAESKKSPVSKVGGIKDNKQNVPPDSQKGKT MQQAQQSQDLLGDDDSPVNAAAKAPHTLKAVAIQSPGTAELAGLKFAEKARGSVRVKS IDEVIAPKTTSNEAPEAQARRDNQSQELDGFGTYGPQIIEELRDIRRDIRSPQDLATT RDIERILESKLLQLVPTAYAPPPAAPIASDIENRLGNLVALVEYLSASQHGTNTAEVP SAARRDLTPFEGHRSPPPPSSSSNSPPSADKRAHKVRVPGLSPTRSESSDIISHFESL QVSDKLVAPLQPQRVIPVPKLRPLDIPKKSTTSDASVQVTPAMVSRSKEEMKRPRTLN DSIFAGPVGPVTSNSNVFGPNAVLSQTTTRGLSANHPNIPKQQDAQSAGVRTIGPAPY KPRVIGPAPSPYEQTTLRDVSAAHVRTVSVQRVNTENRPSLNVTNRASSSMSQSSDPA SHQAPGRLFSMPDPAKILQPKARTTGSFPSNPSRR PEX2_057840 MSRDEPPSMLFFDVLGTIVEWRYCIANELNAAAQKVLQDQARSP SPDMRARVSDMSASSWQEISEEWHRSYMNFGDNYDTSKPFMSVDEYNRISLEDILTKW RLRDLFNEDDLKHLTLAWHRLDSYPDSAPGLSLLNTKFSTSTLSNGNVKLLEDLQGHN SLPFTHITSAEHFGAYKPSPEVYDGAARRFGFKNSQCCLVAAHLEDLQAAKICGFQTI YLERELEEAWDSRDVARAREEGVVDRWVGVGGSGLIEVARYFGIESEL PEX2_057850 MEAMKKSKNYLEHRRTQNRLAQRRFRQRQSQQKAKADQPPQQTL EPPISATDNPSSVFKFSSGTWPTCPSTIKSPDGPIGFGVNCLQGGANGLIGIQNFINM DDLKDSTLSNLLAGPSPGISNTDSTEHTLSGSDRDSNPRHPGPLASASGSSSTHRTTP DSSDTKLLSKALIDISTPASAISANAPLSGTTRSDDADSGCLSALHIAAQKGHHRIMR VLLKQDIECDELDSDGLTPLIHAIIGGHEEIVALLLMHGACIDQTDRQGRSALHFAVT HRREAILKILLDYCVGHQGLIDAYDSGGRTPLHIAVDSGFEEGVQALLDRGASVHYRT RKTGIP PEX2_057860 MARTVLITGCSDGGLGASLALAFHAAGLKVYATARNPSKLDQVT SAGIETLTLDVLSSESIKNVVTKLPNLDILVNNAGAEYVMPVSDVDIADAKRLFDLNV WSYIEVTQAFLPLLLKSPHGGLIVNQSSVGSVAVLPFQGVYTASKAAIAMLSDTLRLE LGVLGITVVDLKTGVVRSNLIKNQKEAKPPTLPKNSIYYPAREVVEKALSQDSFAEGG MPTLQWATAVVGDLLKKKPPPVIWRGEQAWVVRLISVLPFGMFDGMLKKLTGLDVVEQ IMKIAV PEX2_057870 MSSSIGLCWSCTPAADAVVVGLSLVFLALVLVILYSSNCQGYKH ATDLTITQVRGLNKQYSLKVFSNLHRET PEX2_057880 MSYPMEPSTDSDNLSVGDQHRGGAGSIMMPNATGAATANPFEEP QRRISEYTAQEIATLQARLDKKLGPEYISARPGAAGQKVHYLSADKCINLANEVFGFN GWSSSIQTIQIDFVEENQNTGKISLGLSVIMRVTLRDGTFHEDIGYGHIENCKGKAAA FEKAKKEGTTDALKRALRNFGNVLGNCIYDKDYVAKVTKVKAAPGRWDVEDLHRHPDF APPAKKQLPPPKRAPEEDDLPLPRPIHQARSNNPGNNTSFEGDGEFGSDLFDEADFGV AETDIGNPDEIVIDTEAHREQQRHVPTNGPAPQRGPPVRAGFNPAVVTPSKPERWNSA GQAGRPNPLNPRQNASAIPSPAPVQGRPMAAQGPAQNLANPRLSVPPQQPVGQNAQQN NIPQPTVKRETGPGGFQGNQDMNPPQGTPSTGFYSARAVDLLRENPNSVPPGAPQFDP HAESPSIRKTAGVDHTKSIPIARPMLSSASPAPPTNNNNTRDFVNPATDLQRRVGAPG GGISSPVSRGPSVSSYRPLTRPNIDQRNISNSAAMNRGSVPPQQNLNGKRPPLVDVTN ADATPGAYPGVPAPGPNDPKRPRVSDVDSSGPSSGPRPPSQ PEX2_057890 MASDLDQLIEMGFDKERAQLAVTKTGGLQGALQWLEDNQDKSLE EIQSQAKEAQDEESPALQPGEQPRSLVCNECGKKFRGQSQAEFHASKSGHVDFAESTE EIAPLTEEQKKQRLAELREKLAAKRAGLSEQDKIDKKKNEEIRRKSTKESQDAKEDLE RKQQLKEAAKKKKEKQDEIDAKKRIKAKIEADKEERRLKAEKQRAERAGLAPPPQPAA APAPTSSGPATSKPASAYTETRLRFQSSKGNILKTLPVETTLFEVAAALREQDGIEVQ SFVQTFPKKVFDNEFFGETLKELGLVPSASLVIQ PEX2_057900 MTIQIEPSELGFKRPFNHEVCQVLRLSNPNEESVVFKVKTTAPK HYCVRPNSGHIEPGKTVEVQVLLQAMKEDPAPDAKCKDKFLVQAVPVSRGLEDASVAQ IFDQTAKADVVERKIRVVFLASDATPNESVHNEEPPAYASPGGANFQTPAPKKIDSDE PSPIPAPDFSDKKSQSPQHEPASAISNARSAAVDALPSNEDLKSQLSEANAQIQRLKD RLADEGLRQRKTGGEATSKAVPATLQQSHAPASSGVSIKGVACLCLVSFLIAYFFF PEX2_057910 MSAVVETSSPHLRVSAAKASDKTQPESTRIQAPEALVEDHFFWT YTEEPHRSRRQAIIKAHPEVTKLCGPEPLTKWVVLGVVSLQLTCAYLLRNTSMLDWRF LVTAYVIGATCNQNLFLAIHEISHNLAFRSAFANRLLAIFANLPIGLPYSAAFRPYHL THHKSLGVTGLDTDLPTAVEAFFLNSLLGKTFFCTFQILFYAVRPMFIYSPPFTYIHL LNLAVQISFDYVLSKLCGSMQPVLYFIMSSFLAGSLHPCAGHFIAEHYFFSNVKNGGT ESLTELKKNEKPKAPHPLDSLPPPETYSYYGPLNILTYNVGLHNEHHDFPAIPWTRLH KLHEIAREFYEPLPCHRSWVWVIYTFILDKDVGPWCRVKREQGGRIVGGGTKRGGDSA ASKQWNGRGGEGISAASAGPAGEEGDGWKESEIQC PEX2_057920 MAAQTTEKLDQLDLDVQAAPTADQVPAEAGEDSDDAQEGEGAAE AGAAGADKKKKKKKPKKKSKKKGGAKVQSEPPRVPVSNLFPNGQYPEGEIVEYLNDNA YRTTNEEKRYLDRMNNDFLQEYRQGAEVHRQVRQYAQKNIKPGQTLTEIAEGIEDSVR ALTGHSGLEEGDNIKGGMGFPCGLSINHCAAHYTPNAGNKMVLNQGDVMKVDFGAHLN GRIVDSAFTMTFDPVYDPLLAAVKDATNTGIREAGIDVRMSDIGAAIQEAMESYEVEL NGTMHPVKCIRNLNGHNIDQHVIHGGKSVPIVKSSDQTKMEEGEVFAIETFGSTGKGY VREEMETSHYALASDAPNVPLRLSSAKNLLNLINKNFGTLPFCRRYIDRLGQDKYLLG LNNLVSSGIVQDYPPLCDIKGSYTAQYEHTIVLRPNVKEVISRGDDY PEX2_057930 MATKYGHVGVVRMFLDHGRDPTPAINEDSFQSHPDLDSLISFVN PLTAAVLEGHTSVVSLLIGSGFIDRCVEPPRKKEWCNLLLSLAVMKRHIPIIKLLLAG GCDSNATCLSGEAPLHHVAAAPATSTTMEIVRLLVDSGADPVNREFNSPFSLGLKTGN EPFVNYIFEQGIAFDTEVILNMVDEISGQHKRMASLLLKRVDLNHTIKFSQGARCKLI CGAIAGGFEDLMERLLLAEPSIFANWTCIFGHKDIMSLAVASGDIRNIEFLLGLGRSL DHAVTYPAIIIEKDTDGNWDPDDCPPSMILALNRGRDDVVKYLIKKGFDVVFASEHGN DLFKRALYLGKVEILKILFGTSIPSIKDIAFSDDGLSIQLAVLGGETVFRLLLERGVQ LQPSYVGHSRAFACAALLANVPILRIFLDAG PEX2_057940 MRHHPDRNRTDPDASQRFARISAAYNVLGNVSKRAVYDRDHGFH VAQHASPGQSHSHQHPMGSHSSYAGSRPASGLSKRRSAFHGPPPSFYEQGGYGATGRQ AQGWAPNGSGSGSAGMGSEFGAGAGAGAGAGTKHADPEDWEGFIHRNPLNHFNARGHF RTQEAEDRRRRERRGTRRIDEDRVGREPGVDQTVSRFFLCSGCLIVMVVVVDFWRSVP KVVDGRKTRKQALSS PEX2_057950 MSAPAAFSDIAKATNDLLNKDFYHGAAANLEVKSKAPNGVTFTV KGKSAHEGPIAGQLEAKYVDAPTGKGPLHKKPPDPGAHKARPMPLMFGCGIPIARRVN FFIFSDAKVHRIRLICSLRPGLTLTQAWTTANALDTKLELDNNIAKGLKAEILTQYQP AKQSKGAKLNLYFKQPNLNARAFFDLLNGPSANFDAVLGHEGFLVGAEGGYDVNKAAI TKYSAAVGYSVAQYSAAITASNNLSLFSASYYHRVNAQVEAGAKASWDSTSGNAVGLE VASKYRLDPSSFAKAKINDRGIAALAYNVLLRPGVTLGLGASFDTQNLNQAAHKVGAS FTFEA PEX2_057960 MCGIFACHHHPDVQKFKPTALRMAKAILTDLYPDHSVRHRGPDW SGNFCAQSTILAHERLSIVGVDSGAQPLVNDDSTLALAVNGEIYNHRLIRKNLHHKYN FKTHSDCEVIIPLYEEYGLDAPKHLDGMFSWVLWDKKQDRVVAARDPIGITSFYLGRS SSTPGAVYFASELKCLHPVCDDIIAFPPGHIYDSKTDTLTRYFEPKWWDPTNVPSTPV DYKVIRHSLEKAVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRMAEAARNAPQNV NADGELVGIDDDNELSTVTTFQQLHSFSIGLPGAPDTAAAIEVAKFLGTKHHPFTFTV EDGLDALSDVIYHLETYDVTTIRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLY FHAAPNKEELHKETVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKEFLETCMGVDP QDKMITPERIEKHMLRKAFDTTDEPGTAAYLPDKILWRQKEQFSDGVGYSWIDGLKDE AERQVTDEMMKNPKPEWGTDVPDTKEAYWYRTMFDEHFPPYCAGTVERWTPTWSKQSD PSGRAIAIHNQKYDKSE PEX2_057970 MPLPRTNFDGFPRKQWRRDHPFGFVAKPKRAPQGGLDLKNWECA IPGKANTLWEGGLFKIDLIFPDGEQRNTFLTTEDHYTNLDTFTLLEYPTKPPKCKFTP ALFHPNVYPSGTVCLSILNEEEGWRPAITIKQLLLGIQDLLDDPNPESPAQAEAYNLF KKDRPQYERRVRMVVKENQPN PEX2_057980 MATPSHWPGGIPREIKRHATDVSRDEIEEQVKGWLIFVRESWLP RPSDLDADKEYELRQRRALSYHNRAISEGNQFPSYPREALERVYAFMPCEPNHAICMA PVEDPLNKARWVKLAILFYRYDYEAGHCLPIMNPIPNMAVPNPATPNTHSVPDFLPWY YLESACFGDIYLTKMGSVLYEENTRHFMIIGYGPTILDLLESVGGMTPPGVDYRKQWE EDVELYAPGYLALEAVGQGGEYDLRNLTAPIEIPNGLKAVYQKILKSGSH PEX2_057990 MASHAPVEDPCDSIESPQASDSESTIKALKKRKVEVERDILYAR KEWKTLGLFDQNYWSQAVEVERLSVQREIIDRKISQGSFSGEEIYWKATEEAKSILER INAHRQAEKICDARATRLGEDKPQRTLRASFMRLFTTSKMGINISWTGAGKRKRSDQS EWKRTMIDAYNAKHPDQNWLWCPITHQWRASHSVVAAHLFGYMHGQATMDAIFGKGRG IFSPRNGLLVSLEFERYFDSGKIVIVPDIGEDAGISEMLNWLNRKPREFKIKILDQDW NHLDNMVDDKSGLTYRNLDNRSLKFISDFRPAARYLYFHYCVQVLRRAWQYTKDTDKT EAAKDHAVNVLHGTNGKLFWGTPGRYLPRNMLLALVEELGHEYEPLLKGAASSTSADS DMLLELAANQTKARRKSLSEDLFRDEDPDIDDIDDE PEX2_058000 MCHSIIWYYALCQHQDQDASYQIACHKVYITNNECTEEQHSTLY FSLIGKCLECKLEQLVLRQSVFREWRREDLLAALNDAFRYSNEDGWTIDDSDAEELDM ETWVSQSPGSFADRVENRETRASFHKGPARMPSVVQQASIHVSSVALVGYTDDYADDE EYVYEPSQEPRPQMRRRWRSWIPLPTRLVRNQQTPAYRAFITDDDMSDTESLLGTSKA KEDRRTWRSWIPLPVKKVSEQ PEX2_058010 MASDPTPPKGGMSLYANLLNASADTPGSISRAPVVFKQPETEPQ PDDAAAKKQQLNPASLRFQPPKRPQLSAQKPKPKHTLPKAVPQPQPSANPSVPTKNTL ADWTAVEDDDYEYAREKRQRGGRKKRKKNFETHAVQNWEDIYDPSRPTNFAEYRNSDE TIGEIREWKDALYAHVKKRSPSRYSDSEDDRPKKRMFGSFTISEDVQTKIKPPGQFAP PSNFAPPPNLNDVPPPASIPNDPSGDDAFARRAALGQRFDNGSIAVQTQLPTSPPSRT EHPTRIPDDPTGEDAYMRRFQRAENPSSGQVIPPPPPPRPLDTFQPSSATISRAPVRY ALPPPPEDIPASKAEFEAVLAQKPADVKAAFGSRMLTKWGWEEGSGLGATGSGIVKPL QVKLEKRKKRPDSEGGGFVTPAGRGKIIGSNKKGEYETSKFGPMSEVVKLQGMLDGMD LDVEMERGENGGLMQEIGQECGDKYGPVERVFIARDVGTPVPVFIKFNHPLSGLRAVN ALEGRVFNGNTIVARFYDLEKFNQEIYTE PEX2_058020 MASARNSSLFCQALGGILMEDRFSDVTIVCQDVTFKAHCSIICT QSHFFDAALKHNFQESISRTFNLPEDDPETIRRVLCFLYQQTYDGNDQEGEPKCNISG NYENLLSGLEHRRAASACNNLSVYLAADKFGIFLLKEFALYKLSAWLERYYMSSSFPR IVLQIMVSMLPHDSSLLNVLVDVISGNFYELSCNKEILDIIRDHGELASLIIARVVEK KSQDCPLTRI PEX2_058030 MTTNGRSAPNSSPSQTRSIHVDNTSNVPAAPSSDFKARLEIFST KIPNFYIAPFCGASAGVASGIVTCPLDVIKTKLQAQGGFRRGGAKEVASGVLYRGMLG SGRRIWREDGVRGLYQGLGPMLLGYLPTWAVYLAVYDRTREYFYDQTGSWWLSRGYAS ITAGACSTVVTNPIWVIKTRLMSQSLKQNSEGVRAPWQYSGTWDAARKMYQIEGLRSF YSGLTPALLGLTHVAIQFPLYEYLKMALTGYGIGEHPDTGSSHWVGISVATFLSKICA STVTYPHEVLRTRLQTQQRTIPAQSHEEVAFRGGLKHPHDRGRAGGISSSDGMLSRPR YNGMVRTFQTILKEEGWRAFYSGIGVNLFRAVPAAMTTMLTYEYLRKQISTLQHEGAL RIQMEEEAQRSI PEX2_058040 MSAPSLASYIVKRPWLKSWLTPLSHWYTDAAGYRRLGLKFDDLI PEESENVQKAIKRLPAKEAYDRVFRIRRAFQCSISHTLLPAHEQIKPEEDVEYLSPII REIEKEVQERADLDNLVVRK PEX2_058050 MLNDRSLRVSQVLLKSAIMLGTRGVARQTSNSAPPQTPASPITP SRLPQIQRSARLGSIPTIKTPLLQSSAPSEATRVQRSAVTKPRKAYTKSNKSDIKLTK TKPKTGTSYWSPEPAVTEKQETDNQPSTTNPTIFRPQTPPPLDRPVDPHRTNATLITP HGSTVNAYPAHAEDASPSKTGLPRPTATTGTLLETATAHLIATDPRIATVIEQHPCPL FSPAGLAEEIDPFNSLTSSIIGQQVSGAAAKSIRNKFLTLFDLPDTVAPDGHRHAKFP TPDQVAKCDIPTLRTAGLSQRKAEYIQGLAEKFASGELGARMLARATDEELFEKLIAV RGLGKWSVEMFAMFALKRTDVFSTGDLGVQRGCAAFVGRDVSKLKGKGGGKFKYMAEK DMLELAAKFAPYRSLFMWYMWRIEDVDVAVLGG PEX2_058060 MSLKYILPALAASQAVFAASCGDTKIQTQSDADGINTCTTIKGD ITIDKSYSGDLALNNIEKITGGLICNGGQNVTSITAGSLASIGKSFDLEGLTTLTLLD FGLLDSVGSINWEALPKLQSLSFAKGVTQAGDVSIANTGLTDLNGITLKTVGTFSIQN NRDLKTININNLMNATDLISFSGNYDTLSVDLPNLGTGTNMTFQNISSVSLPSLEKLT GQLGFWGTKFETFSAPNLTQTGDLIFKDNSKLSNISMPVLKTVDGGFTIARDDKLSTI SLPSLKRVNGAIDFSGTFNKLALGALTDVEGSFNMQSTRGNFSCDDLRKLKSDNVIKG SFKCDATNANPTTANGGSGTTSSSSGTTSSSASTSSGAAFMTGANVPVVGIAALFGAL AQLL PEX2_058070 MSIPGGCSGPLASARLGREEAPEKKIIIFGITEVSVTSCTDRTS HTNLLDPRMRGRRWSPRERFMMIQDP PEX2_058080 MATSDVGEERRLPPLPQILPLPPRGPFSPFNVKDALVPTTPGPQ DTFQFKSPWAAAEHIVTPPSPANSAESSWQESFAMQKSTSVDWPNDLSQSEIMALVAP QNLNRKAPLQQLCGRKRKGSAQSTEDDQREKHRIAEGNRRSNLSELHRQLDSRIHDFF LERAGWNPSKSLTQSKEHIVQGAIYLVDFMLAIIVHLIRQEQVTPQLSEKLQPQVRCM QLQQLVSSLQQQNQTAQQQLKATKAENEILADRNRALEFQLKSYEQMFRSPKSESTSP GPVLEFPEHKRQKKNGLPGMRVLCDEIAASMPSEPSYAAPYYDSMPSSTSQSFGSSYL TATPPMTGPSSPAFPPSQSSSFSFPAPSRRHSLIASP PEX2_058090 MLLPKGGVNWKSAKARLPPWRAIIVLLTRTRFLVSIALTGLLIL MWRGVSRSASEMQSKSPMEMSINEMVEWSAHAQTPVLFNRHEPYEVNSTSITDLDLNP IKSTSKAALNRERVLILTPLRDAAPYLAKYFDLLYKLSYPHELIDLAFLVGDCKDDTL ANLASELDRIQNHAEEKVRFRSATVIQKDFGADVEMTVAERHSFAAQGPRRKAIARAR NYLLYSALKADHSWVYWRDVDITDCPERILEDFMAHDKDILVPNIWFHRYNQDGHDIE GRFDYNSWIESDKGRRLRASLPTDTILAEGYKEYDTGRTYLVGMGDWRNNKDDEVELD GIGGVNILVKADVHRSGVNFPAYAFENQAETEGFARMAKRAGYQVVGLPNYVVWHIDT DEKPGNLGDRKAF PEX2_058100 MPPVSKTCQGCADSKVRCVRDTDIACNRCLRLGKECQYRRTGRR FKGFHKDRKIAALELKINELKADRGGLEGNDTNTSTRSTSSIDGDAPLEDIISRDFLD TETAERYLTIFKTKLTPHFPFVVVSLDVSVQQLRKEKPFLCLAILASASYENMPLQRA LGNEVKKVVASRMVIGGEISFELLQGLLVFLAWSHYHSRPHRYTQFLQLAIGLMIDLR LDRPPQTRTWKTELRFGLQYNLQNQTFNRASWGSNEQRAVLGCYYLSSSIAMLVQKKS TILRLPYQEECCKALHEANEYPHDKYISYVIQLQFIAEKVDNLSAKHGIDLETPGSGS ELYIANLKSDLEAFYRHLPFDINESFLLAIQYHATGLCLYQLALNITNQESQSPFDSN SWRDEMAFSAFISANSILNLYIQLPSNDEVGFNNTQWVQIAFALLVAYRHTVAAYKPD QAAAFLETLSKLRSRVGALSTSDVDMNGARDAFFDFGNRIARIENWLGEHGRQEDNSQ SDESFDEYRYTLCLEPTNFDGLIGAAEHLDVPFGNEFSSSADDLQIPQDSFFASSFEQ IMGEWV PEX2_058110 MLSILHASAFFLLFSSALSFTPCPLLGPAFPPFSLNGDDKTIAS ALKELTKKFDTLVATDTGTHGDVSPNTTFSIALFSSDSGDAENEPFFWQYHHTAPTLN KSAVGSYAADTDSIYGIGGLTEVFTVWSLLLTGDGDQIFDDPVTKYLPELGDNTREQD MIEKVRWNDVTVGQLSSHMSGIGRDYCSKDVTLQISSSEAGLPSHQAILMPCCDDGSK CDSNDFIRYLANQTRVAPAGGTPSYSNMAFQLLGYIVEKRTGKPFSKVLQHDIFDVLG MTETSIFAPDKTTAGIIPVSKEASGWLTHHKRDEASKSLFSSIKDLAIAGQAILNSTL LSKPQTNRWFKPVSHTSNPANSIGSPWVIYSAGSYPNTSMVDIYTVLSNEGNNESLYS SYLGLVPDFGVGFAILAADTEAPADLNAHADIIGDVVLEALMKTAIEQAAENFGGAYK ASNINSSISVGYDSLPGLYIQEFVSNGTDFRATLAGIVGVEKQADLSIRLYPTQLVED SGSGSRQAFRAVFQDITELADNDTPTCVSWLNLDKLQYGGRGLDEFIFSLDPSGQAVS VEIPALRVSLGKN PEX2_058120 MSSAPAKREFLCILPDKPGAQAKRLEVRPKHLEGVKPLVASGAI VAGGAMLNAHPADGETPSFKGSMMLAVAENEAQVLELLNKDIYVSSGVWDMENAQIIP YKSAVREAL PEX2_058130 MFKRLVTVTPRVGSIATRSALSPLTGLQSAQPAIRRAAPAPAQQ RRGYHEKVLDHYNNPRNVGSMQKGDQDVGTGLVGAPACGDVMKLQIRVNKESGRIDDV VFKTFGCGSAIASSSYLTELVRGMTLDEAGRVKNTDVAKELCLPPVKLHCSMLAEDAI KSAIANYYTKNPNTRATDLSGTGGVIPDVKVESAGSPAASI PEX2_058140 MSAAIRVPLRAFKIDPATVIGKTPKPLPSGSSISLTSNSSLRQS PSYGTINGSSWGRSVSSQGSTLAASRRSFSVKASPLLSAETKSQHKSENGPNMSASQR SVIRWFLIGSILGLGAVTFSDQAEHAFQAAKRSGRVVGTLAVCINDYRVTLKQDASSP EEHNALIRACHKRCAERTLRVLEKNGSVFIKLGQHLSSMGYLLPIEWTSTFIPLQDRC PVSSIESIGELFRKDTGQDMNELFASFESTPIGAASLAQVHIATLKETGQKVAVKVQH PALDEWVPLDLALTRFTFSMLKRFFPEYDLEWLSKEMDFSLPQELDFRMEAQNATHAS EYFKKHSDAPLVIPEVMWSQKRILVMEYIAGHRPDDLEYLDANNIDRDEVSAALAHIF NEMIFGDNAPLHCDPHGGNIAIRPNHTRSYPNFDIVLYDHGLYRNIDRDLRRNYAKLW LAVIDADVPRMREYAFKVAGVTDKQFPLFASAITGRDYSVLTKESVVSARTAKEKDDI SGALGEGMLQQLVVLLGQVPRIILLILKTNDLTRSLDENLHTRQGPMRSFLILARYAT RTVFEEQLEIIKESGGIFRNFFRFLYAYASYLRVEVKLSVYETLLSLKTRFGLRSG PEX2_058150 MVARPGEGTEGQPRPLTPSSRTPGTPIKPRLTRVGTSPTKREEK PKEDKVLKSSAKDVAELKDYQLGDCLGKGAFGSVYRALNWNTGETVAVKQIKLTDLPK SELRVIMLEIDLLKNLDHPNIVKYHGFVKSVETLNIILEYCENGSLHSIAKNFGRFPE NLVGLYMSQVLHGLLYLHEQGVIHRDIKGANILTTKEGLVKLADFGVASRTTGLSESS VVGTPYWMAPEVIELSGATTASDIWSLGCTVIELLEGKPPYHNMQPMPALFRIVNDDH PPFSQGASPAVKDFLMQCFQKDPNLRVSARKLLKHPWIVNARRTESVIPKKSTEYEEA VRSVQEWNEALRSPETGTSKKKQRPDHPSTSGMPSTRNNPLVDSLPSPTSIKPTDRFR SPVLAGDDNWDDDFATAISPSALKLPHLRPHDNFGGMLSSERLKAFASLDGTILKSDD SSDPFEDNSGFSDDDLQTIRPYPIKPSVAEPSKPQSPAISPPGSNTPVSAMQNVPILA QNPMPRRTRPAPRPTNVFKENSVDDYSDLIMANEDILDQKLGGYQDFEDDPSSPIHEN IGYNQVDDDLGGPHPQLRKQLSVKRDRSTIEIQRFAENENDEDFSDILGADQAALDQV ESDDGSDQSTLMLNSKLSNSWLGDQEDEDDPFAQLEEGFDEVDLEENIARDKYARLRN QVEGLVDSLKTSQDEEVLAEISEQLFTIFYDLPETKNIIISAHGMLPILEILDTTRRR DIVFCLLRVVNAIIYDDYEVQENLCFVGGIPIINEFASKKYPREIRLEAAVFVQQMYQ TSTLTLQMFVSAGGLNVLVEFLEDDYEDERELVLIGVNGIWSVFELQGSTPKNDFCRI LSRNSVLDPLSLVLSRALDEDGELTEVIEGRIANIFFIFSQAENHVKEMVSERTVLHR VLKELRRMSPVHQITMLKFIKNLSMLSTTLDSLQNSNAIDVLTDLLRSNLRKAHFREL SNQILNTIYNMCRLNKSRQEDAALNGIVPLLQKIVLTERPLKEFALPILCDMAQSGKV GRRELWRNKGLGFYISLLLDPYWQVTALDAIFTWLQEETAKVEEHLLDGIFESDTFEG PITFTGAIAECLKLSKANAFENTLEPLQKLLRLSPHVASTFARPDIFQRLRQKLHHTK AAVRLNLLRIIASICDSNEEQGGLLATYGLLDAIRNMENDPAILVRDMAGKLVRSSEA YGVSKRRPTARRQSTCTTPPILFSASSTPSTPSSSRNGQSRGYLEGRETPRHPRNSLS VSSLAMRPGSRDGNNPVLGSTANGSNAAAARPRSARPLSSRMTHVELLREEDNKTPNS LSRRPSIIPRRRRPTLADSEWT PEX2_058160 MIELGLSRVASLLQQTPLSWKSIHIAGTNGKGSISAYLSHLLST GGVRCGRFTSPHLIDRWDCITIGEKVVQESLFRQIEDQVKLRDQTLGIGASEFELLTA TAFEIFNHEQVEVGVVEVGMGGRLDATNILNNVIVSVITKIGMDHQAFLGSTLGEIAR EKAGILKPGVPCVVDNTNSSEVIETVKAHIQELSIDSLFVSPATVSEQIPALAPYFQK LDLEPHQQENMCCAVSALRLALSQLRPEIDAFSLLPYLADVTWPGRLQKIDLQPLIPR AEPILLDGAHNAQSAEVLGKYVDRKMRIQGQPVTWVVAASSGKDLAGVFGSIIRPGDR VATAEFGPVDGMPWVQPTNAQELASSIQAIQNIGQVQSFEGDLLPALQWASEHSQGGP LVIAGSLYLVSDVLRLLRAQK PEX2_058170 MGIPMWREPSKAEASKSASEKDSSSTARSSIRRGPSRHSSSRVR RADILASFHSQIIDELRRGTVEPRRVPRFSFIPPNGAIENAVALEQAERDALVWAQAR AASAPRQTETANQRFRSHRDQALTDLIGRIDGQPRNTNASPSLTPNFAPALAYHTSAS STPSDSGVRLPPMRERYNSDRASNTSFQPDIPESRSHNTQTRPTRDPVVDGLGDRQRS PSPDAERETDAWETLLSTITPDATLPSANTSFTSTSAAAPDISRNARPRSSVNLTQMT AEAARAHFALDPYPDQLNPCDFSSSDDEDAPSTFHEFMGRAGRYPDQNSTMSNHPPVP IPTIIPASVLALSDGRRQNPSLSDRHHQNDDLHHMQVILDRLARREDIPDDWWAAAGL ARTLDRGLSASMDSPNTEGASRTTRDN PEX2_058180 MDQQVDRLVNKTWAKFCSTADDARLMIAISGIPGSGKTGLATMM AARINKIYASENPTTPTPIAISLPMDGYHLTRAQLAAMPDPVHAAARRGAEFTFDGEK FLELVRSLREPLTARTGTLYAPSFDHAIKDPVDGDLPIPASCRVLFFEGNYLSLDREP WKTAAGLMDELWFVDVDFEVARKRLVVRHVKAGIARDEVEADKRARENDLVNGREIVD QRLPVQEVVSSIFDPVWQKL PEX2_058190 MPLPSRSSKWERLSTKLHWHSPRSLSATPNSEKNRLSKGTNVQY GEIATRVNSSGPRRGVSPASQRTLQPEDLCHELPMTELLTLTSSSSKDLWQRASQQLP DDARQRLTALGFTEQTQTTNEHRVKDLIGVVQEKEDMCKQKKWKVTFAGHEIIIGDYA VKAATWLQKIGDIVIPFAPPQASPPWGLVKAILQIPINANEQMFAVLATTEKVVQVIF HCQVYESVYHRDQLPEDMLQALHDALIAVYKTLLELLLHTKDLLSQSTFKQFMRSIVS PSDGLFSSVSSQEAKLRETVQSCEIRRSADVDGTLLRELHAIQAPVARIDFRIEACFQ KMDKRDSLALLEWISNEPYTTYHESVKERRTDNTGEWLLRHQDFREWEDSSSSAVLWL RGLAGVGKTCLASKVIDHVKDTLSQGKNHEGFAFYYCSRNDGRRRDPLSVLSSFVRQL STTSNDPYNIQKSLRETSLNLFPKTTMVLDALDECDSATRGVLITFLDGLLSAAKNPV KVFVVSRPDEDIRNQFLTRPNIEIQANDNHADIELYLNARILELAQSNKALLAWKNQI TARLLEHCDGMFQWVYLHLEQLRNCKTEEAVSGRLNHVQKLPKGLRSTYDDIFQEIQD LEESDYHLVRRAMMWVTCAYTPLSSEELLCAIRMIPQQNGLHLSSCISEDSLLSLCRN LLVIDSQTNKWRPSHASVVDYLESFHWKIIDAHIYAATTCVAFLLEPLDRQKETPGSD EMPNTLQCSDQIEIDVHPFGNDADLDFLTTPLEEAAWYGRPEVFKCLTLDVTPDLK PEX2_058200 MGGKNVCVAEYWIMSIYFPVGIALFQANSMQLLNVFGVQEKLLL TAQQPHRPHFIDPAESSSQYLTRWRQLNIVQRTGLGIVVGIFVQLLLSLSIFLASRKF HTFGTFSEHVSAEECRRGPEWIPSILWQLLWSWVFAPYILWKIRKIHDIHHWGLQITC CLIAGLPGSPMWLAALYSTTNVWAAINRYWVPSLWFAPGIMTMEAVTIFFPCYELIVS KRQRDRFLEKLQAWNEKKATSGEKLNSLSSRTPSEASRVPEVYSRGALEKCLEEDSGA LLQFAAAKEFSGENVIFLNYVRDWKAAWANIGESEPEYDWNCDPEYHRLHFFKIAVEI YAAYIDMNLAEYPINIESQIYSDLRELFGEASHIIGQSVLSIAGTRANGNLPESYNGM PTYRKKLSTVVTVEEDTHALCSDAHFHEGQSIMHVESRVPNSVIIPANFTADAFEQAE KSIKNLKEAAEIFKLLNENENCDGNQWIVVLGLSQRAIEILDGERRDILGGTSFRFQL EGSTGLVKIVPSSTHPMIINECLTLIDEKLDSMGIPWAGWGWTERATYMLEGNDTKGK QPDQAFIPPSRRVARMGLSERWPTLVIETGVSKSLPRLRLNARDWFASSNGEVRIVLL VGITNSEILFEKWQLAPISEPRPLTQESIDTLRQQDPKMPPLIGQPASGQQAYCAQEV YLKLNEVVGNPLVLPFHAVCDRAPREGESNIVIERSDFEQITKWIFE PEX2_058210 MPWNPRPPSIFHDESWSTPWRSSEFPPEETLFGRINRQHAKPEW KSVPHFLDYESSKSGGWPWGWAIYRTSYRNTSDQDWARAIEKLDEACMAGLEFYERSY HQLGATCVEMIREGYRNVIFEDPALEGASEAVIQRRHKQWVENHGFYVCSGVPRLGYA VLLDDRCILSILASAAPRKPSVIEYGSPWPKINDKPGGMVGYVNFIDSHFHPEDPEND YGEYYRGLIRVHLDCLVKFAICCEDLETGEQEWGEYGMDTPENVFFTDGHCSNTESKE MFLSSQDRDVERKASVTELEYIECRDMEKLSLINVSGSV PEX2_058220 MLFNKSIFAVAIVALANVVTATTVQTPACVLKIIGNQANPADSK TICGSSASKIQSDISKNCADSDASLKFFASNCAQLGHKVVTDVSTTTATSTGTSESST ATGFVTAVSSGSATHTGTSAVATGTGTSAAAGTSGVSTSTASGTGSAASPTFNAASSD RQFSATTFVAAVFLGAAALL PEX2_058230 MFVYKRDGRKERVQFDKITARVSRLCYGLDPEHVDAAAITQKVI SGVYQGVGTVELDNLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQFSMVISDLY HYVNPKNNKPAPMISKEHYEIVMKHAEELNSAIVYDRDFNYNFFGFKTLERSYLLRIN GNVAERPQHLLMRVAVGIHGEDIEKAIETYHLMSQKYFTHASPTLFNAATPQPQLASC FLVDVSADSIEGIYDTLKTCAMISKTAGGIGLNVHRIRATGSYIGGTNGSSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEVRARDLFYALWT PDLFMKRVEANGDWTLFCPNEAPGLADVYGDEFDALYAKYEQEGRGRKTIKAQKLWYA ILEAQTETGNPFMLYKDACNKKSNQKNLGTIRSSNLCTEIVEYSAPDEVAVCNLASLA LPTYVDSARGEYDFGKLHEVVQVLVHNLNKIIDINYYPVPEAKKSNFRHRPIALGVNG LADAFLALRLPFDSPEARQLNIQIFETIYHGALTASCEIAKKLGTYETYAGSPVSQGI LQYDMWDRTPTDLWDWASLKAKIAESGVRNSLLVAPMPTASTSQILGFNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIADGGSVQNIPNIPADIKALYK TVWEISQRNILQMAADRGAYIDQSQSLNIHLKEPTMGKLTSMHFAGWKMGLKTGMYYL RTMAASAPIQFTVDQEQLLVEDTNIARSKKRTGGTSASAYSAIPRTNGAEAAAAKVVA PVEETPRTVAAPVVVAAPAVEAKGIDAAAEAEPEDAESRAAEGDIFSDAVLQCSIENK DACIMCQG PEX2_058240 MVFSAFQQRFVQLLLVLFGVVFLFFKLRPSITASTQQVWSDQHD WDNFDAIRNETLGVQKVFAINLISRPDKRDNIVLGSSLSNFHVEWIDGVTTDEVDAKS YPYNWDHGHKQTEYAARRAHVNGLQRIVQERLASAIIMEDDSDWDVSIKVQLQSFAHA VRALQLQDTQKAPTQSPYGDDWDILWLGHCGMSCKTDLPFYLTPNDPTIPMPHHFLPQ WRDPPIFEGYERHNHSRLACTANDAVCSMFYAVSYRGAQRILAALSVNPSGLAEEIDI GAQFDVSVGRMCGNGHLKCFAPYPSITGGFHQPGPRAKFSDIHDETGEEEKFFSWGVM YSTMLNINRILKGERTVHATWGDVDVLDVIPGEITMVKGAIYIPKQIN PEX2_058250 MTATLPLELLFQIATLLQNDGNSLVPCTCVCRSWQAAFEPLIYS NLAVYSDDKHKEEGQRGISLRNFQKLISGDHAIRKTYIRKLEYNILVPYEILDWIARK HRESPENYSTDNPIRKDNDLSFQTAMVSLFQELQSWDQNSRLRLNLAIRGRRQGLAPE PHTQHSEIAGDYRWDYRDGHILSIPPYRARFLKDMSDLPYLPCIEKLSFLNRNQIWTG AMRTIIQRCTQIVELELDLEEFVRPDHLEYIQARREGHDDAPWKPAMSPLNVIPSGVD SVSSNLRDLSIHLQQLKLVNTTIAYDFLCPLDEKGQPKPGSLQLNWPYLEVLELEGIP PWLPSGEPTYHNTPEDQGEINEIENWEDVICDVEAGWGWPELPTEEHFHRLLISLGYA AQRMPRLKNMKIEVESHRQFTSCLQNKADQIILKWECFYPYRPDIRMAKAWDFDLDDV KGHPQYEDKSSVILRTWPPNTPNALNPERPWNET PEX2_058260 MAPITKTLALAGAFFALTGYSAPVAKRAVVWETVTDIVWTTVDV TTTIYPQATHAATVVPVVANAVPTTTAAPVVVSTTKEAEKAAPATTSSSTTTTAAAVP TVEAPVKEKAAPTTTSSTTTAAPAVPTTTSTTTTAAPAAPTVEAETSSAPVVEAQTSS TAAPTTTSAATSAATSESTNTETTSSSNTGVCSESSPCDGDITFYDTATTATNPSSCG TTNDGTVENVLALPHGIMTDSDCGKTVTVTYNGQTATGIVVDKCMGCDNESVDLSRHF FAELASMDAGRIARADMVLTTLPSFSPSFHFVVIISSSFLLSLRTQSFVSQRDRALFY HPSTLLTQDLFTISRNFLLFGVFLRNTTYDGPHPAIKHMLTIPARMLASHGRFDSLDE ISNMQMRQGNYDYDDHARETQKTPKKTQAILQETDH PEX2_058270 MSLPWKRLIRFIATDGRILRGEPILPTPTTDLGFITESDKLQAR VIEGDDLYDTTGKTRVTDEIVSVKTVLGPLAQADVPILRCVGLNYAKHVKEAGRTPPP FPFIFFKPNTTIHDHGAPVAIPQIAQDSQADYEGELCLVIGRDAKNISPENALSYVAA YTVGNDISARKLQRDPALAGRVPQWGFSKGFDTFAPLGPCLVAASEIADPSKLLLKTI VDGEVRQEEYVADLLFDCAYLVSYLSQGTTLQKGSVIMTGTPGGVGAGLSPPKYLVLG TQMDIFIDGIGTLRNGVVFD PEX2_058280 MVSNGQVNGHVSQASLKIPRYPSNCLEFLNDPLSCEKTLPSKVR LNIIVVGAGLGGLATAIALASSGHAVTVYEQAQKLGEVGAGIQIPSNSTRLLSRLGLD PYLKEYVTEPEFISFRRWQSGDVIGLTRLIPSFQERFGAPYYVIHRANFHSALHQRAL DLGVTVKVASRVVGYNVEEPSIVLENGENASADLIVAADGVKSVARGIFDQSGKPSFE KTGFAAYRATVDVERIKEDPELSWLLEKPALNIWVGDQRHVMTYTIGAGKSFNMVLSH PDDSDPSTWDQQNTLGDMRREFQGWDSRLEKIIGLIEKTIKWPLISGVPLSRWMNGKV LLLGDAAHAMLPYMSQGAAMAVEDGAALARSLSKIENTADIPKALSIFEQVRIERAGM MQEASLLNGKLWHFADGPTQEARDVAMKPETLGLPFSHSPNQWSDPATQMWCYGYDAE KEIDRAWKKSEL PEX2_058290 MSSTQVESVIDTEEQLLADLEASKTLPLWKQMARLNPPAPNPTT VPNVWKYSAIRPNLERAGKLVPADQAERRVLMLTNPARDAPFTTDTLYAGLQLVMPNE TARAHRHTAFAMRFIIEGQGGFTAVHGRRITMQRGDVILTPTWNYHDHGKDGSGPMVW LDGLDLPNFRHFPVHFVEHFDKPRYPAENVDSTTSPLVFPWFTMKAQLDGVTGEWVAK RYLKEDGREVSRVLGGCAERISASKASPSRRETTSAVYHVITGKGYSTIGDKEYTWEQ GDTFCVPAWYKYQHFADAKEPVYLYRFDDKPMIDALGFYRSEDMDVESLVDE PEX2_058300 MPNVDTRAEIICAPTILLFSSVFLIAWNSTFPSATEKLLWRITS VNTLAFALVGGPLSLYFHRKMFRPELTKARAQATMKRKRGSKNRWISRLAARLRNIDP ELDPNLEIPLRALMPVSFVCAFYCVGRGFILTEDLIGLRIMPESAYQTVSWSKYLPHW PEX2_058310 MDDELDTSKIKSWRSIITLIIFVITNIIVLFPFHIPLYLPRWIT NGLLNLLSAMRIIPHRTHPRRDDKQPVLVKFSFPLNFITAPLIADLFLLAILAIGRKE VHDGIVGADNINPIDIMVFFLTLAYIAISIDASGLIRYLAFKVLQKAGKVGHRLFFYL FVFFFGLGSFIGNDPIILSGTAFLAYMTRVSSNIVHPRAWIHSQFAIANIASAILVSS NPTNLVLAGAFQIKFIVYTANMIVPVVVTAIVLFPFLLYIIFADESLIPLSITMHELS EAQKKKPPVNPNIPHARGSAEEKEEADDKEGKQLSLEEIMNPFLDKKGASFGGLIMAA TLITLLAINASGKEHPVFWVTLPAAFVMFCWDLAFGWLHRHDTREIAAKGRQDIEDAQ AEREMRKREEQRALPEKIDPETLGPEQSEKYDATSLRHRSEHTEDGISLESSNSDTAS KNPYSECGTPLSVSALADKEKFPELQPTGGVAIDSKKLARASTPEALDSRQTTDTTID EEKRGYPYHQDNGPRTLVSLAGDIYHWSQETFPTVTVVVSHMPFALVPFAFTMFILVQ ALVTKGWVPVFAYGWDHWVEKTGTVGSIGGMGFLSVILCNFAGTNIGTTILLSRVIQA WQKIHEVSGVPISDRTWWATIYSMALGVNYGAFSTAFSASLAGLLWRDILARKHIRVG SLEFARVNLPIIAISMAVGCAVLAGEVYIVRSDKPHVLA PEX2_058320 MPAIPFIASFLEHAIVHRATASPPNVTAAASSSQLEVVCAWPVS GQYGPGTRFLYYILIAACVVARKVEWIRNACLAAVLLFPAIAALHGIVLAALHVDGAI DMDVYGAFQLCAIGILTAPATVRLSRTYFNNPGRDIIFLWTVLLLVGLVSLIVEFMRL NPTICPGDDPASIFWASTGGAKGGVFQYGSNCSIVCNTDDGPFSPLRLDAADNIYVIP VPHELTFNATTLIAAACCIPAILSLVSMWIKILDDNWEKFSTGKPKQKPDDLILGTNG ATINHMTGITNKISKWLALIEIPVFAAAVLGILVKGEMNFWSTPLRYQTEPIQSIGQW APIVGTALAALGSLYLLLSADMKAAEKEDGQQQEIIVGKCQNCDCAVSDTGSSSRRDS RTSAERQDLDMELKAIRRHTTNQTNITQPDLGRRKVARLFNAASDFMTTKAHNQIEKT GFKPEAKTTYPETPGERYKNSDLQKQIDKYKRSSTPDNRSRAGSSRGSVDNGEGSSRR PRSPTRRSVPTPAVSRPRSLSSCVRVRGLATTVESVRYNVDLAKQSTIYFGGQPHSFI TGASELVLSTPRQHRIAIRSTPARHNPRKSSIPAAPDPTIIVRYYQMASNSPDNETPN GRPSKRRRVAVACDACRTRKSRCDGKRPSCSLCQDLGFECVYTPPPAATNLIVQKDYL HGLEDRVKRLEESFGAVRGDLDGLAKRVDRGPEKDRKRGSAISGHNVGESQGDARIAT PMPNLIGTEDSVDGMGAVIFEDEEDSGFFGPSSNIAFLRHLSSAIVQPGYFPSPGAAE GGFVNASRPSSPPRQRGQDQRIQVNIFALPPQANTLELIQRYFSNTGLLFPYIYPPFF LDIYHQMVRENFSRVRRTWLGLLNMVLAMATIAAIPGSASADARIKESDVFYQRGVGL CGSEILRGTTLEVVQYLLLMGQYLQGTQKSIQAWTVHGLAVKAALQLGLHSRHASKLF SPMEQEMRKRTWYGCVVLDRTLSMTFGRPAAIPDSYVKLELPMKREFEDSTGPADEDP AALSVVFFNSTIGLYKQLWNVLELLYGQNIGCDGPLPVSETVAHIFGLEQQLFSWERS LAHPLQLISSASIANLPHDQMSSNSQYLSLKFQVILTLRYLNLRVLLHRPILVKFITA SRSPDRDPQDMRLLQQIGMNSLHICADSAMEIIDIVHHMVSEPGWKHSLLGAWWFSLY YTFNAALVLIGVVWIYRDTNLTSSPMTDQTSKARHYPGRAVAALSRLDERNRLIDRCR YYLEQFSKVLNDHETNFDASITTLPVLHGNRAGLTGDDFNFSPFGMELGEFMMDGDLV AMMDRQGLIPVDPDSAFTA PEX2_058330 MRLNTLLLALGISASLRGVQAETQVEESIASIWDDFKNAVDCGA CQVLLGSIKVTAGLGEKFMIDVFTGLCKISGVQVEDPDVCTGIIKKEGPALHDTFRAL HLNSDVSKTLCASLVGLCKHPDVHPYSLTFPSPKPKTARPPPSGKTPLKVVHFSDTHV DLLYEPGSSYECSKPICCRFWSDKYAPENTEHPCGPFGNTKCDPPQILQESLHAAIAD INPEFSIYTGDVVAHDIWLVDEAEALNGLNATYSAMEKDIGTVYAAIGNHDTAPLNLF PPDASSEANPQWTYEALAEDWYGLTGVPSVKSADQFASYSAIHPDSNLRIISYNSIFY YIYNFYMYQEPMGKDPRRQFEWLINELQAAEDAGQRAWLISHIPSGVPDHFRDHSEYF DQIVQRYEATIAGLFYGHTHRDGFQVAYSDYNNRDWDTATAIGYIAPAVTPTEGSPSF RVYDIDPVTFGILDYTQYIANISDPSFQTKPQWVPYYSVKADYGSKISPPLTDPKAEI TPAFWHNVTVAMEHNTTIFQDYWARRVRGFEVESCNDDCMKNEICLLRAANAQYNCNK PKAFDFSKRDGQEGDILLGKKPHIDCDHAGMATLISKMAYRARLERRKELAA PEX2_058340 MGSSNWSSSCAVGLAFLQPDIESLFLQISSLPGPPYPGFGLRWE RDDDASAYAPFQPAYDRNFTLKTEDFNRLQYSALNSGLLELDCPDCPLGQSTVNFVME GRQQATLGVSITFFSIATIFVALRFISRIFMVRKIALHDWLMLVAWIIDFGFSFSLFF AVRNGLGLHSSDIKPEDQLAFNKANYAFTVLYNPALMAVKTSILVFFLTLTRNQKVFQ CANYVTLFIVNAAGLALTLVNVFQCRPIGAVFLSDVPAYANCTDIVTLYLSSSPVNII TDLAILLLPMPLLTKMRLPFKQKIILVITFSFGFFVAVVDVIRIAFLQQAAISRSLEV KSIHIQNIGGVDFSSDSADFAGYASLSFMWSVVEVNVSIICGCVPSLKPLVTRLVPKL IRDTNDETSTSSPHGLSAGAVGESQVAVPPPAAAPGSPESPSLLPLPPSNEGSKDLQN QIDGISAGRPSSRDSEPIGILDFLGHPETAPLDTEGGTHTSASYAPDITFFDFVNMKN PESMLKLNNRESIAPIALTTLLFFLWGFAYGLLDILNSQFKSIVNLGPWHSLGLHGAY FGGYVFGPLLVGQPVLKIWGFKSTFITGLCIYACGTLIFWPSAVLTSTPAFILSNFIV GFGLAVLETAANPFIALCGPLENSEIRLNISQGVQAVGSVLSPLLAKRVLFKDVRDVA SLVDVQWAYLGIALFDVLLAVAFYYLPIPEASDEDLEELANRRREDNMTKVIGIPVVW LTLGLGIWSQFFYVAGQEVLSTALQRFVIAARPDSSLGPFDFLTIGHSVFAVGRFLAA FSQWFLKPRWILMVSYIGMIVCSILCMKTSGTAAIVMVMLLYLFESGAFSIIFAISLR GTAQHTKTAAVLLTMAVSGGTFFPFAEYAAYLAHGASYSFCVLVAVFCAGAIFPIYLN LVPAVKKQVDPVPNEYLRRHRRRTRNPVETMHREKDNPALGGVLSRPRSLASNPDHLP DLLLPEEIHQNSLAHNLPRAKSSSGSSSRVNSTSSHHSGSKQRFTARIDDS PEX2_058350 MTDYVEKPDAMGKEFIDDELTPEERQQEIEARDMQSQQYLSNES AYDRIAYTQIPIHMKSPRMLVMTLGVFAAFSGMLSGLDQSVISGALPGIRKHFIASGE WTGLDDPKLATDVSLISSLMPLGAMAGALMMTPLNYYFGRRNSIIISCLWYTLGGGLC AGSRNVSMLFAGRFILGIGIGIEGGCVGIYISECVPPAVRGNLVSVYQLMIAFGEIIG YAAGGVFFEVKSGSWRWMLGSSLLFSTILLVGMCFLPESPRWLVSKGKYGRAWQVWKS LRDMSDPKSLDEYVLMEVTVKHDVERSADELWYQRYMEVCRQPRNRRALVYATLMIFF GQMTGINAIMYNMSNLMAKMNFNDRESVLMSMVGGGALFFGTIPAVFTMDRFGRRVWA QNILMFIVGLVLVGVGYLYTNSGIDYFNAHRATALGLFFSGLVLYMAFFGAYSCLTWV VPAESFDFNTRSQGMAICSAFLYLWSFIVTYNFEGMQKAMTYTGLTIGFFGGLAALGF FYQLFFMPETKDKTLEEIDELFLMPTSKLVALNTSNMIKTWRRVLGGKREPEQINTPN A PEX2_058360 MTNLSENVKPMLRLFFIVAYIYFLFMGHLTSRIISSMPWLRYQY YNIHRYVAIRATKPTIGDEHTAEPKKVQ PEX2_058370 MEPAGDEQPLIDNNPMLQSYYCSIESRIGYRLILGGPRHFGYYS RDTWLPFPLSHALRAMEDKLEALLDLGRGAYVLDARCGVCHVAIHLATKYGLKVQGID IIDHHIVKARRNIAHSGLPEGQVAIRKMDYHHLDGFAGRLTASTQWKPLSTLQSLRTR YAISFGSYVLEGALRCSNMITVHSRMPKRQRLIL PEX2_058380 MNSHPQFDPATMIGLAGASPGRPDDNFDIFGWYPHYQSCQSYFL DHAQHSVPVQALSTFLNIRLPFQRQPNPIVNSTSPSSSSSANHIPPPGTQPPGPPSIS LIPYIRRLVATGMDFPGVLHGFFGDDWGSGVGPIHEQERRNYLFAAKSGGWASVKKDY DIPPLETIPFLRPLQGALDAEIEAAERSWSEWLAMEDWMVGPRAPDIMHDSSSQNSRP RSARG PEX2_058390 MKQNLPSEPEFEQAYKELASTLENSTLFEKKPEYRKALQVVSVP ERIIQFRVVWEDDKGQVQINRGFRVQFNSALGPYKGGLRFHPTVNLSILKFLGFEQIF KNALTGLNMGGGKGGSDFDPKGKTDNEIRRFCVSFMTELCKHIGADTDVPAGDIGVTG REVGFMFGQYKKIRNQWEGVLTGKGGSWGGSLIRPEATGYGVVYYVEHMIKHASGGKD SFAGKRVAISGSGNVAQYAALKVIELGGSVVSLSDSQGALVINGEEGSFTVEEINAIA EIKVERKQISEIATQAAFSSKFKYIPGARPWTNITGRIDVALPSATQNEVSGDEAKAL IAAGCKFIAEGSNMGSTQEAIDVFEAHRDANPGAAAIWYAPGKAANAGGVAVSGLEMA QNSARVNWSREEVDSRLKKIMEDCFNNGLATAKEYVTPAEGVLPSLVAGSNIAGFTKV AEAMKEHGDWW PEX2_058400 MFARSPVKENELLDLLLESRASSSVTWDPLLPLYVDGLCKVGRV KSSSALASLLKHSSILDVSGSGESEGEGPGSPSKSKKQKPSTLMTDIKVIQDVMVFIS TGSIPKTVIEAADIYSATVDWILAVVSWHTRCMDPSQQTGGLMGSPDAVSLFESLGIL LAALSGTSKGLEVLSSDYNQELKAKLGEALSSYLPLCIDVSLPLRHRLEELQKVFNLY PGQPSKALHGAAIDGVNVNALQFESSVMDGPVVNTRAGLYVYINSMVVGRPLVDDTIL INYLTNRYQGHNDVLIEEIITAAFDVLSNGVYRNESGRTMLLFRSFLVNKLPAFFAAI SAASMVPISMEMCISHALSRLDPNAFPSFSQMFSMQGSSVLSDARQEFLFACASHKLI QESSIEQLLGENPMQTLSGGGPYLKDDLVSQINNNHERAEQLIGEIESMEGNAGAIVG AVVDVMHNLCHQKETMTLKNICNSLSRRPQTLDVMLLFRTTKQVLQPLCTLLDSWKWD EDQGENQPVYDEFGSILLLVLAFKYRYDLSPSDMGISNNDSFLLKLVDRGACSQKLSD LSEKQNKDLGAWIGALFIAEGISEETMSSCSPHEFYMLVTTLFDQSLGACESGKLDFD TLKGGFECKNTVTRSLPTGYPANRDTDLLEPFLLPSLVVALTWLGNHIWESETDPTIP IKTLHSLVKPSSISGEAQAIHQTVLNITGCPLEEQLKNVRTRNQSRTDIKPILDALEP YISFRRVGSCRRSELDTWTSHSAGGLIASIRTTLQALVLWSANPGISMAPHAYTHRQV LAGIRMLGATRVLGAIIDEVKQQTEAGSGHVALDIATTMVCAPMTESFAVDQNNYHPV DTSKEALPRCAILTLRDALSLQHENVPKISESDPLRAEVVVRLARRVNMLMAPPSQVS NIDVSNIIQNMHLGVEGQEDRMDLEPSAADVARNTVSEDDPDNINQMLDKAAADAAAA GMDGDIGVGQDMGLDSGAGMDAIDDVLNAADMAVGNPEFLDLDMEGMF PEX2_058410 MEQHLGLDDASLHSEYRTEDEDDNQNLDNSPVHKLNTHDFTSVE TLHAPQANIHEAKSTETLSVPNAETSLPPKKAAEWSMTPEVIRNAERDEAAGFKRREL GVTWQNLTVDVLAAEAAVNENMISQFNIPQLIKDFRRKPPLKSILSDSHGCVKPGEML LVLGRPGSGCTTLLKLLSNRRQGYHTVNGDVRFGNMSPKEADSYNGQIVMNTEEELFY PRLTVGQTMDFAARLKVPFHLPDGAQSIDEYTAETKEFLLKSMGITHTADTKVGNEFV RGVSGGERKRVSIIECLATRGSIYSWDNSTRGLDASTALEWAKALRAMTDVLGLSTIV TLYQAGNGIYNLFDKVLVLDEGKQIYYGPAAAAKPFMEDLGFVYTDGANVGDFLTGLT VPTERKIRPGCENTFPRSADAILAEYKKSSTYHRMISTYDYPDSELSRERTAAFKESV AWEKSSHLPKGSSLTTSFWAQLVACTRRQYQILWGEKSTFITKQVLSCAMALIAGSCF YDSPDTSEGLFTKGGAVFFSLLYNCIVAMSEVTESFKGRPVLIKHKDFAMYHPAAFCL AQIMADFPVLLFQCSIFSVVIYWMSGLKHTAAAFFTFWIILFTTILCITALFRFIGSA FSTFEAASKISGTAVKGIVMYAGYMIPKPQMKNWFLELYYTNPFAYAFQAALSNEFHD RHIPCVGKNLIPSGPGYENIGAENQACAGVGGALPGANYVTGDQYLGSLHYKHSQLWR NFGVVWGWWGFFAILTIVFTSFWKSGAGSGASLLIPREKLKKQHAAISDEEAQSNEKT TARETPDEPAQAGDENFTRNTSIFTWRNLTYTVQTPTGDRVLLDNIHGWVKPGMLGAL MGSSGAGKTTLLDVLAQRKTDGTIKGSIMVDGRELPVSFQRMAGYCEQLDVHEPFATV REALEFSALLRQSRNTSKEDKLKYVDTIIDLLELHDLADTLIGGVGNGLSVEQRKRVT IGVELVSKPSILIFLDEPTSGLDGQSAYNTVRFLRKLADIGQAVLVTIHQPSAQLFAQ FDTLLLLAKGGKTVYFGDIGENAASVKQYFGQYGAQCPTEANAAEFMIDVVTGGIEAV KDKDWHQIWLDSPEQTRMIAELDGMITDAAAKPPGTVDDGFEFSMPLWDQIKIVTQRM NVALFRNTNYINNKFSLHIISAALNGFSFWRPGPSVAALNLKMFTIFNFVFVAPGVIN QLQPLFIQRRDIYDTREKKSKMYSWVAFVTGLIVSEFPYLCICAVLYFACWYYPVWRL PHASNRSGATFFMMLIYELIYTGIGQFVAAYSPNPTFAALVNPLIISTLIIFCGVFVP YLQLNVFWRFWMYYLNPFNYVVSGMLTFGIWGAKVTCNEDEFAFFEPINGTTCVEYLS DYMSGAGSGINLINPDATSACKVCQYTDGSDFLKGLHIENYTTGWRDIGIAVIFAISG YALVFGLMKLRTKASKKAE PEX2_058420 MKPHIPPLKISKSNSNLSESTNDTKSIQNRFYSPWGSSEMTPPL TPHDTQDTNMEQLETPRPVFHNYLRAFYPFHPAGNVSPSTVTLPLDQGDIILVHSVHT NGWADGTLLDSGNRGWLPTNYCEAYDQPAMRPLLKALTDFWDIIRGGCGSSLKDFGNQ DLMRGPIAGVRFLLEKSECLTRESPLVRRFDGLRRIRKALLSDLSSLVKTAKKFQEVA NGVPSEDEVEAILDEMLLKAFKIVTRGVRFLDVWNEEVGLSRTIAEMDGPGESLGQYS LPPTPASENFNLGENEGPDRSESRMLESRMESRMESRQMSHSRMDMSRSSMRTEVVDN RPVSVATKRVSISHRMSVSGPSTAISAQNLASERLGTTYDAFLGVLGSFIGLHMQSRS STELVVTTRQAVQSCGQLLNVVELVCEHDTHRSVFLEQARESMCERLSELVHAARDVF RPAHLPDDDLVFMPDQGKRLVEAATDCVRAAGNCLAKARLVLEQIGDLELDPEESEQM GQGFSGVASPQDESETPVEQERKLSLRLPPPPLQIPSATYSPPTPALTDATTPSSFQS HLTNSPANVSALSSLPNSAILPTHLENISSFSSTDSYRESHPKSEFGESFGRGVTSNG SSFTYNSHARDSEMSGVSQTSTRATSPDIGGSLQGSFTVHSLKESMSYSTLAEENEET EANILEKTYAHELIYKEGSVMGGSLRALIEKLTAHQSTPDAMFVSTFYLTFRLFASPL EFAEALAERFEYIGDTPHAAGPVRLRVYNIFKGWLESHWRHDRDNTALDFIVNFASTR LIVDLPTAGKRLLELASKVSAVGGPLVPRLVSSMGKTNTSIAQYVHPDTPLPPAVLGK KEHNLLKQWKNGEASITILDFDPLELARQLTIKESRIFCSILPEELLDTEWTKKTGSL AVNVRAMSTLSTDLANLVSDSILYLEEPKKRAATIKHWVKIATKCLELNNYDSLMAII CSLNSSTISRLKKTWDIVSQKTKTALEQLRGIVDVSRNYAVLRQRLQNHVPPCLPFVG TYLTDLTFVDHGNQSLRTLCTDDSEMAVINFDKHMKTARIISELQRFQIPYRLTEVPE LQAWMQNEFVRVRSNGETIAQNFYRRSLVLEPKEPSRSGTTLQSQTSQSESVPSMLDN AKDKFDFLSWTTQSKAKSVTTH PEX2_058430 MCPKTPHGDCPQEETACHILPSITSKRVLITGCASGIGKATAEV FAAHGVHMVICDIQDDIGQSVASEISAQNPNSKIKYQNLDVTVGSECNAVVEAAVRFL GGLDSLVHAAGHIHQDAAETIAESELDHMLDVNIKGTIFMNQAVFPYLKTRGGTILNF GSDLASEPLPLLAHYAASKGAVQSFTRAVAREWGKYGIRVNAVLPAVWTPMIDGYREN LEAESVVGHDVFMNDRVCLGEKFGDVEIDLVPVLAFLVSDASRWITGQLVPVNGGLSL VR PEX2_058440 MPPPQSRIRALPSDVIAKIKSSTSIVHLTGVILELVKNSLDANA HTVFVTVDFKRGGCIVEDDGDGIPPVEFEAAGGLGKPHRMSPNLLIGMFRVKSRALTL QRPDELEREWDYLRNSLVSLLLANPQFSKLVFLDVERNKRMSIRLGTASIPESCIAHV QREFDLKRIGSILTQSGLITPQDLDNWHEISASVSGLIIQGAISLQPSPTRKIQFISL GKVPVLSHNSSNVLYNEVNRLFASSDFSNAGAMSGRSSVTHPASLVNQIDAPSNASTR SWAKPVNKWPMFYIRIDTNAIIQLDDDGSEHLPESAKSVQRIVDVLGAMVYEFLRQHN LRPRNGKQQTSSSDQTQIIRSKVSKAAGSSRGPLKQGRPVSSTEEAFSGHLKIPSFPR TQSVNSSQSFANWSRVKTAKDIKGHSPTHRPPRRSHAAPDIMQGESSLPILPKNRPTR RDDGTTLPNSPNQSVSDGDRNRTEDLPGDSPSDKMINWIDPHTKLAYMINPRTGQTMN SRKSLATQRSPTECVDTSSKHPTQTFWMENLLGAWDNPSFSRTETPIPNLGAESAGQQ NTTTSSHDCFKGIGSLDTAQVAKYRGKLRRRVLETAEVIAQVDKKFILAKVHTAPVIL NCVGPSNDVLLLIDQHAADERCRIELLFREMFLSAGQGENLESGHRVRTVQVGSLAFE VSSTEGDLFQKYTGLFSDWGIEYVTQGQTKSTVLITVHTLPILIAERCRLEPHVLTDL MRREIWSSEEDGRKPFQLKKTFETQDADQDLDLSDNDNVAHKETSTSYASRSWVQKMN GCPQGIVDLLNSRACRTSIMFNDPLNIEECQALVSRLARCAFPFQCAHGRPSMIPILD LRYLSETAVSLPLDLDTRASTYDYYDGMSLDFVEAFKTRYVT PEX2_058460 MATADGPARVHDRNARRRPFSNWVKRLANLKSSSDSTTNRWSNK RYTGTKSKKSDQENNPYPLSGTINRDTGTQTPTDSYTDDHYDAESRSRSDPSLCSGYE NPAPRTGAKSAAPTISTNGDAALSEAAYSKAGTLTTGTEGFSTHGGGEGSTFSSPAPS IRSMTTTLTTVHSAAPSTQLYNAQNNHNGHHHGSSTQSSSNQQIQFSHQFPSTSPATA VPSHLVPQSHAMTYSAATANNALTDNASLLTLASSSKRRRRNSLDTNASVRGLAPSSV FGGSRESLPLSVLSGNTTEASNTSVFNASGVLSRPSIVGLASAERISVYSASGAIPLA STNVERGGLYTTKPTAGGDTTSIRSGVHSHSRNDSTAASVAGGISSPLALAGRMSRRS SGWGEITGEEGNDGKPIEKNEDEAAAKDENAFPSEPLKA PEX2_058470 MTKVLEYEPFIDETITKFVDKLALRFVDGDNAGKVCPADEWIGF FAWDVTANYSFGQHYGFIDQEKDVSQIPWIDNILDKNPIKRIGPKHTLTGVLYTFKVV AEYQAQFAENKMSAGTVDHTLDKYVQLKETYPDMVDDNQIVKWLMLSILAGGDTSSAT IRAAVYYLAKSPTAMIKLAAELKAAGISTPAPWKEIRDLQYLDAVIREALRVNPGVAM ILERIVPEGGFTLPDGRYLPAGTKAGINPFVTNRDFGVFGDDADDFNPDRWLQDKDES PENFEVRLRRMKDTVDLSFGGGGRVCMGRYLAILEIKKLIASLYSSFDVSDSTVDPNH EWTYQNAWFVYQYDMPMVIRRR PEX2_058480 MANYNGRRMPNFSQYLDDLNAIPSPYDQAQQQQQQQTTFNDEDL ALFTNTEFFEFDKFTDFGGLPPFSPEDEKHEVVSDQSAQDLKFLDFLNAEGLNGMPDY QPDLSGSNVQVPMHNAHFSGLPSVPTGPVALNQAPIQPAPINTAPKVAPAPSVTMSPS TPITGTKRKNSANSTQESAEEAGRQMAEEDKRRRNTAASARFRVKKKQREAALEQTVK ETTDKNDILEARVSQLELENHWLRGLIMEKNGADEQSEQDISDMFKKFLASQKADGSS TSDLKRGVGTLV PEX2_058490 MLDFLKRALRKPQASVYGLDHAVLNIQLPPQSMWMNMGYWEYTT DFPTACQALLDQVLAAALSTEKASSVRILDVGCGCGDQSLHLTKIFNGSSSTSQSSSE SGTGTGCEAAPPPISSIPSDIRSSESGLRFRHSKTKPGSLSSLRLDSYIGITLEPAQA ALGSSRIHHMQRESEDFIQADIFCADAAVPNSWTGELKKSITAFEDASTKPNGNGTGN GAGNEDTSTWLLALDTIYHFRPSRLPLLTYAHNTLNASFMAFDLILSNSITWYEKILL RLVCWATNSPFGNFITEKEYVELLGTAGYDPAFIEIRDVSQYVFGGLAGFIERRIEEA RPFGIKLGKFRGAKLVFGWWAXIGQVSRGQVGFWVVG PEX2_058500 MADHLPEAEGSHLSSEKPQHSAELERHTTSDSIGSSTGSSISSV YDPQVHRLQSRNTEIDLERHRTGTSIALSRTETQRAQHALTVGESLRSRPSRAPLPAF GAGKPYPPPLPSREDYVVEFDGPDDPLYPLNWPIKKKCYISAILAFTSICSTFDSAVF SSSSSHVSSHFGVGLEVAILSSSLYIVGYASGPLIWAPLSELQGRRIPIIIAMFGFGV FNIAVAVSKDLQTLLISRFFCGIFGSCPLAVVAAVFSDIWDNRTRGVAIAMFSSTVFL GPLLAPFIGGFINMSYLGWRWTAYIPAIMGFAAFLMNIFFLKESYPPVVLVAKASELR RRTKNWGIHAKQEEIEVDLRELIVNNFSRPLRLLFNEPLILAVTIYLSFIYGLLYCFL TAYTLVFQGVYGMNAGVGGLPLFGMVAGLFIAAAYIIITSRAYNKKLDMNGGIPIPEW RLPPVIAGGALFAAGLFWFGWTGFNGTIHWIVPTLSGLFTGFGLLIIFIQLFNYLIDT YLMFAASAIAANTFCRSMVAASFPLFSRQMFNGMGIQWAATLLGCVAAVLVPIPIGFY FFGRRLRMKSKFAPFYEAVQEGHAAEEVEEQAEGTVHRQ PEX2_058510 MFPLSLSKSVQAPKSHERDADSHQRKVGSTENSLVIGEMQSNKE SGNSDGKKFIMYGKEIIFAGITVRMRRKTVPQEGDRGKVKHSVDDSLKATVHEIQQLL DRRVVVTVRVLLDWMSPTSRMSIEKALPLCGYMFENGPWKKALIKFGVDPRAEPEFRH YQIVTFEMNFNPIFKRGEHDWEKSGEVTFPYLLKGDDNVPHVFGGKKFVPDDNSWQIC DISDVLLQGIVATSDVRSAATLNEGFFWNGTMAKLEVIMRDKLVCIRDGGTPDDEDYA CLLSFPDNYQPPTGRDYFKYGLEFGQQYTQKQVYLRGRIQDKLSLDSASYNLTNRDGK GAKTERLDLPMRTFADGYYESLKRMYDYFGIPYGSLRFIYSLSTLPETATEQASPYYM HSSNNHQLPPLRPEGLSWTGWLIEVCYLAMCYYWFKACCFFVAPKTLESSGTEESLRH YVERIMLPSYYVKNYFLPVFASVATCSHDALMDFPALDLVGYGRRTFRKKHYTVLGGV QHVEKKLSEDLNYKLGMKVTAVENIGTKVQVSWTDTRDGQSSSRLFDHVVLAVTPDVV GAIFQPLQSAMKAVPTTTVQSVVHRDFSRILDSSKSLWAQVSLNPGESAPHPLHMCSD ATATETIHEHPSSALITTYPIAPIDPEKVLYTATFTRVLRSSTSRQIINQIFSQKTSG DDKSQLWRNGDGNVWLVGGWCWDGMVLLEGCLASATRVANALDVEVPWMKESSL PEX2_058520 MKDSKSQSLSPALLEERDYPNSYSQVGTLTEAQQELIDSIGNKQ LASRLHKHTLKIFTREERLEAIEFYRTHVHINPQTGEERNVSIASASEALHVSESTLE RWVNEETKITSMRHGNSRDDGERYASAPVSERFKSGNYPFLRLTPEQLSAKKYVQGKD FYKVSLNCS PEX2_058530 MARPETVRAPTTASLPNNLRIPSSTPSLTKTLGKLSRQALLDLV FTWLDDRNITSFPPYLQTDEENGPDGDEALPYPAGETIEEVRQVYEDLQDRKGAKREV LERILEGDWRHGITLRQLAMADLRYMDDHPASLRWTALELSRIDTKRKNSKELPPADW SGSVPRMQAATFVQGLQREISSLVKAHYHLAHSATLPLTFLRIFVVDSPYQSPRQAAE IFTDSSRIIYVAFPDSCPYVYTSIAASTGSKTAPSTSSVATDTRTLQRLVRDSIPKAL SRPQERFSLQTTSLAAKNLPTLLALRGPGRSMASNGVFSIFADAAIEGSPLDPRPSNT ISPEEHIQASHSGPIEGKENTNGSATKRRSSGIETDPVSPDSKKRRLAIHSRFGTLGS SLAPAPLDRLDIRLLDISGSNVEQDDDVDNSTFTPPAVSLTFSGTDVIGGIRKLAELG IVDPERMPSWMTGEEGVSVATVRQGQRLQKDI PEX2_058540 MLAAFSLKRILDTTTLASTGERKPIEGGCPICFHDFETNKKTTW CQSCGSNFHEACFKKWERTLNAYHDVVHCLYW PEX2_058550 MSRPRALSGADAPKEPHSVSLKVLRLARPSLSYQHPLPTSNTII SSKASLSYPSGDSDDQFILTPLLTLPPSFGSVYVGETFGCTLSANNEIHDDDNEKVLT SVRIVAEMQTPSSVAALELEPPNDSASTDGLKIGESLQKIVRFDLKEEGNHILAVSVS YTETKIGSDSQAASGRVRTFRKLYQFVAQPCLSVRTKASELPPLEVDNKSLGPYGKTR LLRFALEAQLENVGEGAVVVKQTKLNPKPPFQSKSLNWDMMNPDMSPAALPTLNPRDV LQVAFLVEQEEGQSEGLETLQKDLRRDGRATLGQLSIEWRGAMGDKGFLTTGNLMSRK RT PEX2_058560 MPSSSTSEGAPLADYFWIAGVDGTEILDTFQRLGEDYRANGAAS PGPALADTIQEDADAEEEYNPDGISRPSSMLFTGPGHRGSTQRLSTLSKTSEGTNGGS NSNRSSMTVKGASSPLRASALFSEDFDFDSALFKFANERESFLTDLSLSAGAITPNTR PRSRVRTQKIVSDDSPSPSASVRTSRRLSNYNSVIPVPQPLEISPTMHPLKRRFEPVL LDRYPTRGMPDEMKQRGTFPDYVPMFAFPNDVNIVSSDQRPRSTWHGFAMTTDNGSRL HAICVLIWIPLNQNAAEELEKRCEEWRKDNMTDEERELAASLGERLASERAKLSRLLA QLPTVQSGSESREQLEDEISAVEEKIGLMTDLLRPVRHGAASKIEGLTDGDTGFWIPR AYGILGRESTMTSFWKEWLKAIVVPMTESSVRHVPPPSPRMGVWQPLERYVMNLCTEA FCPISSKTQVEIAIRELRLFARKEAPNEIPGSRNTDLYALFRTLSVPNIIILFEYALT ESRIIFLSSHTSMLYLATRALVDLLFPLEWTGVLIPVLPARLIQALEAPCPYIVGIER RYEKVELPTDDFVLVDLDNNMIESTIQPTSLPRHQRRKLLSLLQLAAPQHGRYHIPTG PPAYAIETYPWDSFMSESKATFTSKAPATNLAKYVGLNSSAFGATSVMPGSYTPPIFN VFLHARNEAGPSRGYSSRGSERERPGTSSTMRASASPPSPRESSPTSGFFPPPPAPSS RNDSGMALQASLREKRSGHFDAASRRSSSFGMSRRPSAPFLGHASNLSVTTLNTDSGA GSTYAPSVYAQSTIAASTIVPQSTYQPSGSNEGTCWAEGHFFQVQPWDDKLTCAICDD LAEEHMYKCSACKLIVHNRCASMVCLPCDAAFHPDQIRAAFVRCFASLFYTYKKFLLP ASGDKKKSGMYYNFNMEAFMKSLPSEHAEYIAILQQTQGFNEFISDRERTNPKSKDPR MTLFDEIVLSKRNRGRTSIFSSRSTTDFLSDTSNHLWRTANATFFTPTSRSQQNLSVD YGRVPTRAPANLDTSLMAEPRMIHGAPRVSKTANTARRKPLPKLMNGLAISPPS PEX2_058570 MATPLLDDPPVSTALKAQDPPSANPDIAVPSNATTIPSPAPPAT GPKGQTAAENAAPTANGTVVIIYGRNQEHIVQLVAEILGKPWTTDTSLGTLTRTSDAV VVGILAHDLVRSLEGCERSSLVLVNTYCVEDGSAPEDSLTEGCDYEFLYSRSPFLRRD LSRFLSLILGQTRPHDDLRTKTRTNFISTTFPDVHAALPNLDILSVGSDAVEIRVDLL VEPVSEGWTTPPVPSLKYVGQQLMLLRQHTELPIIFTVRCTRENGKFPVDDPALFYKY LRRAIQWGVEYIDVELWLPEEIRRQLFEKKGHSMILSAFHDFSGTWKWTSQEAQRIFT ESAKYADIVKMIAMVHTIEENYELEYFRSTIKSRGSGPPLSAVNMGQMGQLSRALNTV FSPITHPLLPMIAAPGQLTAAEINEALHIMGQLPKRELYAIGSFRSTPHSMFMEKCLN ELGLPHNFTSVDRGPKGSIESVLLQPHFGGAYLNPPVPTTTGFIPAVSDAARAIGLVD TIAMTPSEATPGTSRFIGENAAWKGIRATLTREYVPSAYSSRAAIILASSQADASAAI FALRSLAVGTIYTVGFKASGPLAAGLEPFTSIQSVKRVEQPFVIVSALPPEKSLLVQP LLRHYGVNGRSSPPSTRGKVYLDLTSGPRKGDPLAVATGAGWTAYGVEDVSAWTTVET LRLLVGQNVPFDFVRMASGRPLF PEX2_058580 MHLRPDHAVRDLPTLHAFIKQHPLGVLTTSLPSENHPTLQCSHI PWVLDNETTLDPELVTEAKLADENTPAPLHPSMGVLRGHIARQNPQSKAMVESAPEYG TKTISIPGAFPITSSAEEPTISTGHTLHGEVLIVFTSPVDHYITPNFYTESKPATGRV APTWNYAAVQVYGRATIYHNVNDKETELFLRRQLGDLARLGEEGVMGFQDGSGLGSGS DLKHGGGGSGSGSGCGTGDGDPPRNQNQSSDLELNQGVKTAVTGASGHGDGTALPTPW KIADAPLEYIAALLKNIVGMRIEITRIEGRFKVSQERPVNDRGGVVEGLDRMGGRARD MAEFVRRGKVLPGQ PEX2_058590 MTSNYVLGPLLIPLNLTEVSPAEPFQVFYLHVKSLEYGVAYCYY YWPPLITPCP PEX2_058600 MSRPQVSIDRLTPRRATVEPRETMNCKSCRKRKIKCNRLRPSCE ACKVFQCPCLYDAVPKKRGPKTDVLEALLKRVDGLEKRLHDEKNPISPTSPDTPDDPP SFPTRRNTIDTSFSIYSSSDRSNLSTSLSQHVDPFSRPPGHQANLPQQPSPTNNGPVS DAILDIYFDRLHGKPFYILDDATTRQRHQLNQLPPSLAMAISALTVRYTTSPGQVEQS LRTGLDAALQARRMIDVDNPTIEGLQTLLLLSQAFYAYGLGKKAYMTFSNCVAMIVAL DLYREAPPKPNIAPAEREMRRRLFWSVYLMDRFINCGSRRPCLITDHSVVLRLPSWSP HAAGLNMEGELFHVGPNIQYSADSRRKSPSAASLLIDITRILGVTNKYLAAGGVKGDS HFPWHALSNLSKIRQELDIWAAGTQDVFASIDALFGHPESTTLLLSKLIYHLVHCLIY RPFLPIDLVELRGTGQHQSWQIEATNLCFSHSNAIAELVELGRNSPLIEWPAFVGYCV CTAGTVHVHGVHYKGREGEVFSSSAEFLTREMNQLAWLRNIWTGAQHQRELLQTIYTC HSELVRNLASSPMRFSPVFHLEDFLDRYPGLTLDGSHVRLIDAGDELAPSSANFVDRQ DHYYQRPIAAPSYQNASSYFSNSRPGPSSPLASIQTSDIDRRHSHSHSHSLSQKPSHT TSLSPTLQFHTIPSNQHQHSPSLPSIFSLPTGTDLSGAGTSENSHLSIPGFSPSAFGF SPPAFLTDAAMNIAPTPPPNPQYATFPFDTAHANLNGMAQSGPTALTPGAQSQTSATH TATGSESASEKDPFLSLLEQLAENENSQGGPSELEFFLTGTGAVEGDTDIPLEKGGAS PEX2_058610 MKLLYPTSLHLDTKSLEGFSVELHPYDVTLPIPEPLIDAEFLVT WSNKATNLRDAASRMKNLRWIQSLAAGPNDVLGAGFDASRIAITTGSGLHDETVAEHT LGLLLNAARRFYEMRDYQSRGEWPAHLGGAQPDRAPGTFRTLKGANITIWGFGNIAKS LAPVLTALGANVTGVARSTGVRHGFEVVAEDRLHEVLPKTDALVMILPGSASTNGALS AERLALLPNHAWVVNVGRGTSVDEDALLEALEKGSIGGAALDVFSAEPLPKESKLYAA PNLILSPHAAGGRPRGAEALIAYNLRRHLAGQELKNII PEX2_058620 MASAPDTESIPGYTIFREGDYESVAAAAMLPQGTPHPLDQLSIK EIPEAAKIIRDYANPKSIKFNCLTLREPRKAEYRAFRAGAVPAPARRAFAIVIVRETN KVAEVVANLDTGKVEEWKDVHDVMPTLTLEDLDVMERVARKDDRVIRACKDIGITDMS KVFFDAWAIGIDERWGYEHRLQQGLAYYRNSVFDNQYAHPLDFSVVADTDTEEVLSVD IRYVNGERTAAPLKEHNYLPEFIGDKYNHDRLKPIDITQPEGVSFKVRGNELTWANFK MHIGFNYREGIVISDVRTHDMYEDRERTLFNRISVVEMVVPYGCPEKPHHKKHAFDVG EYGTGLMTNSLKLGCDCKGAIHYMDGVMSTSKGEAAVIKNAICIHEEDNGLLYKHTDY RDGTVISARDRKLIVSQIITAANYEYGFYHTFTLDGTYKLEMKLTGMLNTYCMHPTET AAPFGTEVAPSINAHNHQHLFSLRIDPEIDGQNNSIIQNDAVSAEAAVGSPENPYGNG FYSKKTPLRTSLEGAADYCYETNRSWDIINPNRMNTIAKKPVAYKILNNNCPPMLAKP GSTVWKRAAFARKPLWVTPYKDYELFPAGDYVCQSDGSEGHPYNSTIADWAQRDENIE NTDIVCYLQFGLTHFPRTEDFPIMPAEPVSIMLRASNFFEKNPGLWVPPSAICVDTQS KNAFPSSCCAPSKSRM PEX2_058630 MADLSVQLTAPNGHTWTQPTGLFINNKWVKCSTGEKIASINPTT AKEITSIHAASAEDVDKAVKAARAALNNPCWRDLPGIDRGKLMNRLAQLIEDNRATLA TIETIDNGKPYSVSFNDDLTETAETIRYYGGYADKVFGQVIDTTPDKFAYTVREPVGV CGQIIPWNFPLAMAAWKLGPALACGNTVVLKPAEQTPLSILFLANLIVEAGFPPGVVN IVNGHGAVAGAALASHMDVDKIAFTGSTATAKQIMKMASVNLKNITLETGGKSPLIVF NDADLEQAVEWAHIGIMYNQGQICTATSRILVQDEIYDRFLEAFKAQVKNVSKVGDPF EESTFQGPQVTQAQYDRIMSYIDVGKSEKATLIAGGKPFTGVGDGKGFFVEPTIFTDV TPKMRIYQEEVFGPFVVIARFSEEKDAIEMANDSTYGLGSALFTTNLTRAHRVAKKIE AGMVWINSSNDSDWRIPFGGVKQSGIGRELGEAGLAAYSNIKAIHVNMKSKL PEX2_058640 MKRKMEEMPEDQPPNSLPKKWDTKTLMEVSEAPPTPAADTTGKA VTPVKSMATSRVTRPFYHVPTMTLNPSHKRKAPVDDILSATHKRVKEARILKRSAVTF PPPGLANSRHGPFDGIEGGNSILEEPEKLRVDNSMALVAVYEGILETLAQMNLDLQNL EDMMLDYGYISGYVSEDEHAEEEESEWSYAVES PEX2_058650 MCSWQGLRANILRDTIYLDGGELWYQKGYDDGCVEPQADNNLEA TIYYLNLTNSFNTKSDFMNLLSNMSVAGGAASIAPNYVEGTMFANDNEFYLYGGMHHN TDSSDPPPANQVLSYAAYQYGAYRSAWAPGWNQEYLTTNVTRYITNGAAASASRENLG FYFSGMRASDWGDFNINQLQSNQTADTLITLDMSSMSNGKWTNSTLPSYIPARSNAEL VWVPVSESGALVAIGGVVEPIQFFRNGKANSTRTEESKKISPTFMEKVSVFDVGSGTW YLQNTTGDIPPQLTEFCSVLASAADGSSHNIYIYGGYDGLNYNANPSDDVYILSLPSF KWVKAYNGTNTHSRSGHQCIKAYPNQMLAVGGQHVDSTHCLEGGIIVNFNLNTLSFED KYDPTNWSEYKVPDPVTKLIGGNSDGGATATAPESWTNSSLAGVFGKKYSKSIETYWP YNSTSGNTSTSASTQDHKGSSGFPGWAGGVIGAVLGLLVIAILAGLWFYRRRQRQRKS AEVESEAAGAEPKSRPPEWMYAGGLASPGPGPVSSSTGMETAETVRTTHTTGTQPSTA QQSITQPSITQASTVPDSLVSPATPGTVESGGDALYEMHDSSPVELPTPFNAAYFAHD GPPKPEPEHGGPKSDLRRGSQSPVSPQTPSETGSEYSYPAGHTRRPSSLSLTSPMSIE NVMSGRSSHFYESFDSLDTRRAGHRSEVSQLTDNLQKGRKGGNETIREDE PEX2_058660 MKDAVAWLSLLAIVFSLVTSSYSSIINRSPALSAEQEDGLAATV RVGVTLWLGDGETCTAETITDTSTQTGNSSPGATTITITEATTQTINGCLNAPTLSAV ANVGVGPLCHDHIEAAYHDYFKLRDDHLEFCDDLLDRTYHDYLKLCDHHLEQAYHDHF ELCDDQLKRACHDHFKLRNDLLDRTYHDYLKLCDDHLMLCDDHLELTYHDHFKRAYHD HFELCDDYLERAYHDHFELCHDYFKLRDDHLEFCDDLLDRTYHDYLKLCDDHLMLCDD HLELTYHDHFKRAYHDHFELCDDYVELACHDYLDRAYHNYLERVYHDHFKLRDNYFER TCLRNNHPNNINHYHSVDVITIPVSTTSATTAGGSTVTIPNTTITLPGTTLPGTTITT IITEPATTVTVSDGTSTFPGSTRTTTITGPGSTVTVGNSTTIIDTVTISGSQTTQTIP PSTTATVSGPDTTLTQSSGSTTTVSVSVCSSLISNPTYTPSAPLPNNYTWGCAPGYLC KPLHIGDRSECNVEAGLPDPGYICAPSDCIVAPPLDVHPSWQYNVSTNYYNLNPEDFG LNYSIFQFPEDPVAANSKRDMSLWDFAVAQKVKIVDITNIPTLCYNDCSDAAHEPQLL GKTPELCKSDSAFMENLDVCEECITNNAESGSNEYSSRMLPTFAQWLNFCSDLVTSTT AQSATSTKMETTATSTQVTTTTSTEASTGATITSTQASTTEAIITKATGTEPTSTEVT SSEATSSEATSAEATSTEATSTEATSTEVTSSEATSTEASSTEATNTENTSTESTTTE TTSNQSTEESTATTGTEETSSPVETTQPVTLIKTSASGSIVTTSVSASLVTTSFSGYV VTISTSGSLVTSSVPGSIATTSVSGSLITTSLSGSIKTVSSTLGAGAGSESTSDNASG SSSGSGRGSQTNSATTSTFSPAYNAAGSSNIPHIGLIGLLWAVFAPLL PEX2_058670 MAQQRLSDILANAGVDLAPYETLYKHFHTHPELSLQEKATSETI ASHLSQLGAYEIHTNIGGYGLVGILKNGTGKTILLRADMDALPVKELTGLPYASSVTM SDADGNEKPVMHACGHDMHITCLLAAAEVLARTQSAWSGTLIVLFQPNEERGGGAQAM VDDGLYSKIPMPDYVFGQHVMRMRAGSVGSRPGTIMAAADSMKITLYGRGGHGSQPHQ TVDPVLLAAHVVVRLQSIVSREVDPTDLAVVTVGSLQAGQTENIIADRAEIGVDFRTV KLETREKIISAIRRIVEAECIASGSPKPPVFTPTRRFPPTDNDKQVASQLAASFGDHF EDVDADTPRTNVSEDFSTLGTSQGLPCSFWFIGGIDPELWDKGQRNETSMDEIPGNHS ALFAPVIQPTMKTGVDALCLAALTFLKK PEX2_058680 MVHHIHIAVLDVDIPARKLYEARGLCSAHFRTILRETASRLNET LFSEKEQLEVKITPYDIRGGHYPDLYKLRGHAGEDQIPVQSPVDAVLITGGSPGVYEM DHSPWMQELEKFVKTVYNQYPQVRILGTCFGHQLISHALVRNVEDPVRDVWVEQCPLG REVGIYTVQLESAFVKSFPGALGDLPEGRLRIQMFHGDRVMAVEKGTAVTLTDSPPVS LPAPWINIGSTPICPIQGLYHPGRVLSVQGHYEMDAFGMTKMCLESAPVMGWKESKLA LFLEQVGDDRKECQDDSKAFASAVVSFLAGFEQ PEX2_058690 MSGIEVFSSQNETSQPAEHIQVSSSWCGPGPAAYDFRTDTITTP TLSMLKAIAQTTLMDDVYQEDSTTNDFEKFMANLTGKEDSLLVMSGTMGNQIALRSLL TQPPHAILCHYQSHILKSEAGGCSSLSQAHMQPCIPANGQYLTLEDIQRDVDTRDNIH IAPTRVISLENTLAGVITPLEEVRRISAFARANNIKMHLDGARIWEAVAAGAGSLVEY LDCFDTAQMCFSKGLAAPVGSIIVGPKSTLQHCRWVRKSIGGGIRQAGIISSAARVAV EENFGKGPNGEGGRLRETHKKAKCIEKMWVDRGGAISRPVDTNMVCLDLPSSGITVER AINVGKEEGVKLFGGRIVVHCQIVDDAVVRLENVFDRLLSSTEKREVIVNESESVYR PEX2_058700 MIYPRKHAVFDVVGTCVSFDVFLDTIEEVLGPRLRAHHVSAKIF GFAWMQSSELESLMLHMSKRSVPYKEVFKALFYRVLYMSGIEDPRSFATDEERDICQE AYSKLELRPECRAMMEKLRENGFTIWCLTTGDTERVGGYFKRAGFEMPAENLVSCHQF MKYESALESPLAMVKPSMGSYKPMLDKFGAEDQKWFVAAHMWDVSAAVKAGFRGAYCS IYEKESCIEIFDTKMDVMADSLLEMAEKMIEVS PEX2_058710 MSTIKNFLGNEDTPDIHEFTKDVKATMQDPEAPIKPEDEYVGDF EEISTVRQGLHQRHIQMIALAGTIGTGLFLSSGRAISRSGPLGAFLGYLVMGCVAGTV TLAVGEMGTLVPLNGGIVRYAEYFVDPALAFANGYNVVYSYLVSIPAEIVAAAVLVQF WSDLNSAIWVTIFGLLMICTALVFVRVYGELEFAFSMMKILLIIGVNIMALVITCGGG PDHKTIGFEYWRSPGPFVQYLGIGGALGRFLGVWTSMNNALYAYSGIETITVAAAETK SPRQAIPQAAKRVFIRILVFYVISIFMVGLVVPSNEPRLSASTGTASESPFVIAATLA GIKVVPSIINAVIITSAWSSGNSNMLGGTRVLVGLAMNGQAPKFFTRLNRFSVPWVAI SLYGLFMCLGYMSLSATASTVFNWLQDLVSITTLTNWMSILVTYLRFYYGCKKQGISR KSLPWATPLQPYISWASLFLLTILLITGGYSTFIKGHWDNESFVSSYINIPLFLILYF GYKFIRKTKIVPLEDIPIQPFIDIANRNPEPEPKPKKGLHKLNILWS PEX2_058720 MPKVFLVTGTSSGFGHDLIQEILNRGDIAIATARTPAILQFDNT TENNYMTLKLDVTDTESIKVAFAEAIKRFGRIDVVVNNAGYGLTGCFEEYTEEQIRMQ MEVNFFGLINVTRESMSTMRAQKPSGGVIQQVTSVGGQRGAACFSLYAASKWAVEGFT ESVSKEVKPEWGIKFTCVEPGGFRTDWAGRSMAFTERHPEYDHLDAKGSMMARNGTQP GDPRKAAKAFYDLAMMEDPPLRVLLGTDAYPAILARLEEEKRNFMKYEGISLSTDID PEX2_058730 MSPNDSSNTSRHPVENATVPFWHRDIHELHDHRTTEELPVSSDV VIIGAGYAGISTAYHLVKGEASDKKLSITILEARGVCSGATGRNGGHLRPDMYTPMTR LIDRAGVERALEVTEFEIAHVHAMKSLVEKEKIDCDFTLTRSIDVWTNEETARKATEM YDTLVSRNLEYMKDVFFVLGKDAEGISGVKGAKACASFTAATLWPYKMILHLTASILK TGLVNLQTNTPVTSVSRQPSGSYIITTPRGTTVARKVVYANNAYIGGLLPQYREAIVP CKGLCTHISVPEGTRAPLLNNSYIVREEDNVVSYLIPRADGSIVVGGANLLYHPVLSS WYDNVDDSCLIEQVKDHYDGYMQRHFNGWEDSGAQVDKIWTGVMGYSWDSQPHIGAVP GEDGQFVLAGFNGHGMPQAFLSALGVAKMVQNGIDFEDTGVPKLFQTTKERLDNASNG PLGGDILGIKR PEX2_058740 MHFDSTLPTELLITQNVTNTFHISGESSAGAGISVPPGDPSPAA SSGITARSSASKRNMLFQEQLGQHETTRFSAVFVENQDSFGAVMLDATNSDHHESGRE PDVMARSRVELAVRTLLNFPTARTCEMLMTGIHHIYDVWLSPTMIQQCLKQVWAEYGS ELGEPRTRESVLRVANDLFLTHKRPHSTPDCDTASNSDHASWINWFGGPHLRWEMIGI LFSWAGIAFRCKQEWDPVFNLPEQYGRNRNTAADKMRECAAACVRLCEGNFEITDTMV ICMKNSTRLQSIIISDESDRVRVDYGTVRSAFISAGLHRLSPSKEITPFSQHRASLAS SMYYHDKCHSLFNARPPMLSNHYCQCPLPLDLCEEDVYGGRERLTMAIGKLDSNGWNT NGRIYTTTWLRALTMLSPIREGILELTLSVNSNFTKSQVEDLQVQLGKIVASYPQHIQ YQGNSEWHPQSGSRLHERSAHEVYVITRIQLDVLQCQFLLQRLLVSRKFSGGQNLFNI AQETMSVILSLWLNRDQLQEFHHAFDWITVSYGMPCAGILCVELLRASNLVPPATQSE VPATTDSHDCVRFSRSEVVQTLVMFRALLDWIRPTDNNAQLSKKFKTVLQRIIDAVFD SLGSSCGMQTQGMPNEQQPQRHYGPQDQQSPGQNLPTATGREHDIDPEMNTFDDMDWL NTVDWTQNGWLEQSNPPFPY PEX2_058750 MDAKQDEQNQCQLSLPLGRIEPAVRRSTNRSPPYFWGEVKHEVA QEPSFPIHRGYAEARIIIENGRLELLEDLSNIAIQYITRVFECLDSSKEGSQKGFVEM HLSEDLQKWRREQHDSGRILPSKGYGLTPALEKVIRLFGAEKWPKPLTTTNALFGCGM INMLIGAYDAETLFSNYCTDMAFYYEHGYEKVFPEFEDAIKSAVSDYRALHTPAGAER RAAVEISMEYIRAKIALEEAHKSKLVNKVAKLNRREAQIIFSFESSLMGLAKEAMVRG FDPAAAMSDMVFGNPGTDVLDVGSDLNNSELLNSFLNTADMTSTGIVTEEALRRVYDA YAHTGCRMLCERWMEPAARMCSGLFTWHILNDRHRFLRRALLGYSKARKTAAEPREAD FDEAFDSELRTTGFSRPLQGACNGGDPCDQVDGHLCAHEESDGVLAELWLCLSTEPMQ YVSKGVVDPELEDYLSERLQLAMARAYSLGFVDEMTWLIAHAGHHAWQVNRLFEAAMY GSILDDGGLRGKLDRQDDL PEX2_058760 MTPRSLPLNGTNQTPMQEDSLRRRNNKGLQPKTIGNGHTSPPNS SPKPRKQGSLLGRCRDFAGKHTWTIPLGLVLAFLSLYAINPTESNPISHFIFLSYKEE SLNKADPNAPTQYGKGLWDIAFVSFYVIVLSFTREFIMQEILRPLAASRIKSRGKQAR FMEQMYTAMYFSVLGPAGLYVMRQTPVWYFNTRGMYELFPHRTHAAEFKFYYLFEAAY WAQQAIVMLLGMEKRRKDFMELVAHHIVTLALIALSYRFHFTYMGIAVYITHDISDFF LAVSKSLHYIAPDIMIPFYATSIGAWIYLRHVLNLRILYSLLTEFRTVGPYELNWETQ QYKCWISNIITFGLLAVLQALNLFWLYCLLRSAFKFLATGEKKDDRSEPDESEIEHDQ FKVVGEINGHASLNGSALPNGKFHAATNGAGVSIKANGVR PEX2_058770 MASNILQLPFRRSHTVSLSEAITQYISSKYDQRPDMFAEDLMII DRLRSEAVNVQEPHFSGISRLVTYAAQLKWLSGKFPIDVGVEFSWYPAFGFNASRPVS QNNLRFELANVIFNLAALYSQLAYATNRTTADGLKQACNYLCSAAGVLSHLRTDIIPE LRTSPPEDMDEMTLQSLELLLLGQGQECFWQKAVKDGLKDVSIAKLAAKVSDFYGDAG DLAVKSNAISTDWIHHMTAKHHHFAAAAQFRQALDCLEKRKYGEEVARLRDCLVCVGE GLKEQRWINRTVLGDLTGLKSRVAEDLKRAEKDNDMIYINPVPPKSELKTIERASMVL AKAPSQVTDAISMLGDNGPLGQPLFSKLVPYAVHIAASIYTDRRDRLVNDTLIGELES MTDKLRDLLSSLNLPGSLQALEKPLGLPPMLVSHAEEMRQQDGLNRLHRSIEDTARVK GNDLAVYNEGVELLSAEKAEDLAARHKYGTDRWTRGTSESAAPKLYKSLTEIEGYFTS AQGSDDLVQRKLRDSRSVFIVLTGTNRDLESFVPSSRRVVIPPEVERESNRLRGCLSE VTRMENRRRRRIQALKEKARADDIHPALLTETARLEREFPMQPIQASQFEDLFEEQLK LYDSDREMLAQERREQDHLSDQVREANRAFTGSHKGDASTKEREAALQDLENGYLKYK EIISNLDVGRKFYNDLAKIVARFRDDAKAFVHQRRMEASQLEADISNATAMASLHISQ PHLRQQPQESARTHRPPSAYSAAQPAQAPSQPLPPVHAVRPAETAPPLTAPQPVRAPV APPPVSTPSMPGGMPGIWAPEMGIRFGSAAAPPNGTPSTGAGPAPGQPTRAPQPGTWD PSKGLRFS PEX2_058780 MTEFPTIFPGYYISCTTHIHVTIRTNDLINSVYQLAPYNDHLST PNRTTSSQDSLYSSANGDGYSAVVSVSQLGDSLADSLVGYITIGVNRSATSVETNGGR SNIVGALPTVTPTPGARAAAYALDASEGYFVECDCYS PEX2_058790 MPDRRAWNMDEATRNRLLKKYKTQVASGASTICATLAVTPLENV KTRMQTHNFKNIWQCVRYLWRTEGPRGYVAGALPPLASVTVVRVVNFTVYNAFKDMIA DNVERVTGSNPLDHYKKPGSTPTVTGILTFTTAGLVAGLVSSPIACPFELAKNVVQTS VLVSNRAMAAPDAVRDQSLRHKPRLGTVQAIRQIYIRHGFWGLYTGFRLHALRDTVGS GLYFSVYETVKQVAAKELGQDKNPFGAPMIAGAICSTVPWFCTYPLDTRKTRAQSVLL GKTSEIGEASQAVAKSSMYKGLSIILIRTGVNNMILLSIFEYIRMRIDELPQ PEX2_058800 MGKKQGTPAYVLGVGMTKFIKPRGKVDYHELGYEAGIKAMLDAK INYDDVDQGVACYVYGDSTCGQRVFYQFGQTSIPIYNVNNNCSTGSTGLAMARTMVSH GAADCVLVVGFEKMNPGSLQSMYNDRENPTGLFGTMMAETRGVTNAPGAAQMFGNAGI EYKEKYGAKNEDFAEIARINHEHSKRNPYSQFQDEYTLEQIMKAPMIHEPLTKLQCCP TSDGGAAAVIVSQDFLDARPHLKEHAILIAGQCLATDTDTVYSKSSIDLMGFGMTRQA CRTAAAEAGVNVKDIKVCELHDCFSANEMITIDALELCEPGQAHEMVRRGDITYGGKM VINPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRLIDGTSAALQHNLGLGGAVVV TVYKRTDGKVATAVPSDVVGKINGLGYNPAVEAKGFTAEQAKSVVSKKHSSQYALSDT QERVLARF PEX2_058810 MGRKVQRSFTQLIFFLCILFFILYLNRPQSAKDKLFAWTKIRYK TTSSIIPEARGICPGLAETTKPALIVSHVSTDGDPSWLEPLRTQYHVCMYQVDAPADK TSKLLQVPANRGHEAMAYLTFLIDNYADIPSAGAVFVHGSRWAWHNDIPDYDNAALLR SLDVRAALKPAGYSNLRCDWSAGTCPSSVPAQGSLEMRLSSVVSPWSPRSASDIALPK ALGHIFGGDAEARVEEIRNAFHLRLGRNDAVRAQCCAQFVVSRDRIWQHGRDEYIALR QWLLDGSDDGVARNFQRGSMAAPGDDRVAGRIVSYLWHILFANYGDNGGIDLDQLNRD ACPSASECYCRLYGKCDLKCRGPGSCKGQYSVPKNYKLPEDWEKTHS PEX2_058820 MQTCSHNVQGPLVRIGPNEISFYSLDIYKRVHAAGSQFVKDPRV YSQFVQDGHPALFSITDPKEHAQRRRHMGVLFNRSKVPILTQMMVEEISRFNTLLGNL SQGSLDLIPTCRALEADIVSRFAFGNSIGAIESLKGGEELQIVKENDLKSSKMSLHTN FPSLMRMYEIIGEFTFRVTGWGGSSTSSKEFEDWASEQLKLAADSEKAPEVSFLKVMA GERISPESALSESKEMLGPGTDTTSATLAHILWALSLNQSLQDDLVSDLNAANWTTDM TELESIPRLTACVKEGIRWTGAASAMLPRIVPTGGSLLAGKQLPGGTMISSSPIWWLE RDGEESATLRSNYYIPFSKGPSTCIGNHFAYLELYLSVSQILKKYRIQQPEESTINVD VEATLPPRLEWVAAVPTGKLQVVVSERIS PEX2_058830 MADLKNPEDSLAQEVNISDENTLERLGYKQVLHRSYSLFENFST SFAALYFVGGVRVTFTTGIAAGGPLAYWTSYIVTCVFTFITAAVIAEACSASPSAGSI YLWAAEAGGKRFGRLFGFVVAWWSTTAWTTFCASNTQSAVNYMLSELAVFNVDFPTDV SSVKFRAVQWICTEVLLALAALMNLASARVFKYVFWFSTGAVFLDFILNVIWLPIGAH NTFGLRTANEAFMTTYNGTGAPAGWNWCLSYLATAGILIGFDASGHVAEETKNAAVNA AKGIFWSTVVSGVLGFSAVILFLFTSPPIDVLFSYDAPQPFVPLYAALLGEGGHIVMN VLSVVALWLNTAIAITAASRLVFAVARDGVLPLSGWVSRVSAEGQPRNAVLVVWGVSA VITCTILPSAVAFTSLVSAAGVPSAAAYGLISLGRLTLTSGEKLEAKWSLGRLSKPFQ FISVLWNGWVVAVLFSPYSFPVEASTLNYAPIIMGIVTIFALISWFFTPATAWVPRGR LSRPVEDTE PEX2_058840 MARFSLSFISIFLVLAVLTLSMPTKRDEQPASQPFSLQGTVSGL VHATKLMDGLDSKEKEDKKAATKEEAKKEEAKAYAKMDAKKAASDNPAPTLTTKLSSG NFVTPTATATHKPTSEPNALGSLPLIGGLLGGAGGGL PEX2_058850 MFTCYNPKFSNPKRARNMGKTKKATLTHAEVWDDSALVQSWDDA VEEYQHYWSIHAKGENVDDVLKEAEGTGITPAVGYGDGESAKATDDNATKPENEDVPM TIDDHTSESAPQPSQPVADASSAVSTPAMPMPHPIMANVQDESLKNLMMSWYYAGYYT GLHEGQQQASRDQSS PEX2_058860 MAPDKRVSKRKAAPVAADSPAKKTKKVEAKPAVATKEAAPKSIL KKNEKGTKAKTEKAAAPAKTNDEPTRQVKPRKRAADFLTDEEAEEPVAPVKADKKAEK KPAAKKSKKEEAEAAPAPKKAAAKKAAPKTKKPEPVVESEEEDAEDNVSPADESDAEN EGDDQTEALIKGFESSGDEDESDGEGYKEGEPIPKAPDTKKAERKLAKQLRKNGPPEE PGTVYIGRIPHGFYEHQMKAYFSQFGEITKLRLSRNRLTGRSKHYAFIEFSSTTVAKI VADTMDNYLMYGHIVKCKYVPKEQLHPEIWKGANRRFKVTPWNRIEKKRLEKGKTREQ WSKSIESEQKKRQAKVNKLKALGYELDLPQLKSVDDVPIQEAPKAVEATETTEAATEE PAKAVEAPAPVEAPAAIEAPAPTDDTPKKTKKGKKTETPKAAATESPAAKSPAAKSPA TKAKGKVTKKATKTKSKA PEX2_058870 MSPIELPPSTSEEADRRQSHDYPNMTKGNPIQEKGVSRYRIASM AARFRSA PEX2_058880 MLSLVLTVFFVHVAIYLVNTIGASTIDGLLWLLYLKLPTSTSRT ARKQQQLKRQVLEQKHEMNSTSSQDEFAKWAKARRRHDKTMEEYEALNKTLTAQKSSF DWIVKIARWLSTNGLKMFLQFWYSKTPVFPLPEDWFPYYVQWILSFPRAPLGSVSIHV WNNVCATTIALVAEVVGALLVQVVGQKEQKEAVPVGVEGKKAQ PEX2_058890 MSNPKDAFQELVRIFSSRDNQVLEIEIIPSSLGATFLQDGCSLG ITKKALVQAFTVARQLFFERLMPMSEDDLQTTFSADAQSRNTDSAITEIMLLFDCEHL TACNWRKRRLRAAMAHYPGIPNQTSAATDLLEAELTLMSSYQCSPLHRHTKSPTLWHH RLWVVVHLLQRRHWSPDDLLKLQQTELSVVLRAGELHPKNYYAFSYMRQVGMLLAATV EKTTGQSMWEARSAQSVVERVVAWCLANPRDISGWSFGLYVLSHVLEQQIRVDSLARV VKFAFNVGWEGESLWTFIDQASRQFGLESMIEDTIFLHCDQETRSRDSQMVQDSRQKK SWQTWLARARVYWAVDGQGQDT PEX2_058900 MTRGNQRDNDRAKALKKAGNSVRLIPPPPFLQKNKNTQTGSEFA KSKDDAAAIMREKQRKADEKKAAEAAAGGKKK PEX2_058910 MIIDHTCINVDLARYLKARIHRRKCILRYSVDEWNPCDSPDGNR PLQTFFLSLSLNISRRQLFLILLR PEX2_058920 MMTRLRESLAGPGYVILNVIRVLNIITFMDLIAACAVLLAKINM LNSFFFFEAVTHAVVALVSLFMIISELPVLQSYFDDHWPMFGQDSSFYSLGGIMMILG VATLGNLNTKAMTQETIGMTFWQIIVSAGVLAMIISVVNVLASYIFSDPETGVSARQV RVDGAVAPQKAMSRTSSHRTLHLSVKREETLPTYTRQNPVKRATKRLTGRFPLKISKP MNPTLVEENDAASSKYSRDSAEIRPPDLAHHPAMYSGHMV PEX2_058930 MATIAISWSTVKSLLIFFGPVLLPRLITAYRSLRVSIASRPPPR PLPAAPARALNILFGSIVFFLLLSLPFNPHAPEPNIFSQTRSRLNTPTDVIFNRLARL RPDNFLTEADNLLQSKFTSLGARKVYLTYGPDALVSCQYCSFDNLYTYLMYYLPFHVL LPHLGHLLLLGVATSAPIAGREASRWRTKFTIAGLVLAAIDIYIMATYDAIQGAPRSV RAGQTPPYGIYHHITLLRPLAFVICDVICSVVLWLSATNRFFFKPPSTVEQVDEAVSM AVQTLMGANTKLHAASVTRNAVVRDSTLKNRDDLYWRTMVSAENPTQGPGEGGEQILN NIWEEEEVARAMSRVMAGQGGIDLAQLGVNANDFVRNVTEGLE PEX2_058940 MADTVVPSQPLPELKGRNAYVSSPSGLRPAKETTFREWVVDNQI GISLTTLSMLLALHNLYPSLRPYTQPFFQLSYYNESSNSYVQGWDDVYFVISAAIAIT GIRAIAIEWLMQPLARACGLKRKQSVRIAEQGWQAMYYSFIWGVGLYLWKTSYYWGDF AAMWSQWPARPLSGLMKWYLLVELAFLVQQIFVVHVEERRKDHVQMLSHHIVTSVLLS SAYIYAMYNVSNVVLCLMDIVDFLLPAAKILKYSKFETACNVGFGLFMGTWFITRHLI YPMVCWSVYYDLPRELTYGCYTGSTSEFISAEAPNAFAYMLGPYFSLDNPICFNPTLK WMFLSLLLFIEGLSIVWFSMIARVAYGVVCGGNAEDTRSDEEDEYETEVGNQTASHVP AHLAAMDGVPTDPLETGNAPGLGRRRSNGTASVRARGRGRVPFDPSDRKALLGRIGCE KPT PEX2_058950 MRCHQVFRFVFFVSASFGNPVPSYTLKGALDVPEIFTKLFKTST SRAPFSTNIYQELFQLEAKISDIATGKIKPVPTIEEGLSVLASIPRTNNRTILQNAID IVSLGLVPTNIIDILNGITNHEINSVANNNTKDPSPRIHPTRSFWDAPYDIPEEALRS AIYIPPTFSYGKNNKIPVLLVPGTADPAGSTYYFNYAKLFKANPQTDPVWVNIPGNSL GDIQSNAEYVAYAINYISGLSQRPIGVLSWSQGSLDVQWALKYWPSTRAAVSDFMAVS GDFHGTVLATLCMLVTPVCSPAVQQQGYDTMFIRALRGEGGDSAFVPTTSVYSGNDFI IQPQSGGWASAALEDVRGVGVSNVQVQVACAGRAAGGSYSHSAMLVNPLAYALFVDAL VHDGPGRLERINLDAVCGESLAPGLDVDAFLGMEAVSNVIGVLDVLLYGYNGNEEPPL RGYVYH PEX2_058960 MDQEDTKERRDSGSHDITSPEKLVCQYHESVEVVRAGTLAALVE NLTRHDKLDGSFNRIFLTTYRHFTSGAELLELLIDRFDSSPPTLNAIQTAEWSTRIRP LIQLRVINVLRQWLEHFWSEPKGIDTDRNLRILQSFAKRAADATETSATQQLLTITQR RIAGFDCKRRSLSSISSPPKPILPRKLDKLQFLKIDATEIARQLTIMESHIFGKVQRD ELLNKNWQNKESSDAPELAPNIRALIRYSNQLSNWVGAMILAESDLKKRTQVIGHLIN VANICHQLQNYSAVVSILAGFESAPVYRLARTWAMVTERSCNTLRPLQAMICSAHNYQ AYRDTLRVAVAPCVPFLGLFLKDLTFIEDGNAAMTPEGLINFHKYTMLASTIHEIQRL KEAPYSLRPVPELQEYLATQLQSAVDLHDMWDKSCGLEPRGRDLGNRPRDLYTPTGGM FASMIVACMVLDD PEX2_058970 MGVRPLIRRARIVFRRISTEGNDNDPLAQNTARDAKGGPRSPTS SVALEEIPDGSAQHGVQDVEAVTMTWSRGTLIAVFINIWFLYFANAFQSTVIVTLNPY VTSSFKAHSLSAVPTALGDVFAAATYLPVAKMMDVWGRAEGFLLMVICLTVGLVLMAT CDSFEIYCAANVFYYTGFYGMEYAVDVMTADVSTLRNRAFAYAFTSSPYIITAFAGPK VANEFYYLVSWKWGFGCWAIVVPVVAAPLYIMLKYNLYKAEKEGYRIKRPSGRTVFES ICHWTVEFDIFGVFLFTAGLVLFELPFDLAHYAPDDWASGYIIAMLVVGFCMLFFFAI WERWLAPVPLFEWRLLTNRTIVGAVLLDATYQLSNYCWSYYFTSWLQVNNNLSITTSN YIVNIFDMVSGVLLLATGWLIRRIGRYKWTLYIAIPLYIFGQGLMIHFRQPNMNVGYQ VMCQIFLAIGGATFILVEQLAILAAVDHQHVATALAVLNVVGTIGDSAGLTISTVIWQ NTYLKALLRYLPESALPNLNNIYEDLVTQRNYPIGSPTRLAIQKAYAYAELRLLSVGC GIIVLAIPWTFLMKDINLKRKQQVRGTVF PEX2_058980 MSIRSDNSKRRSRVLPRSKLGCRTCKIRRVKCGEEKPSCVRCTS TGRKCDFEDTQSSSTISVVTNPLSLSPNTNTVWRERRAFAYYFECAASSIGGGLDVDF WRTVVPQVCRYEPAVWDAIITISALFERPQQCQDPVLQRHDNPVSLTKNHQDALGWYS RSVSAVRQHIEQGSVDAFVGLISCVLFICIEAIQGNAEGAMQLYRQGVQLIIALRPQI TSVAAGKASLLEDTIVPIFIRLGTFALAVGQTPVTALLRDTEHALTQQFDSLKSAREA IVLLAIEIPLFEAACTKHLLQDHAPHIPEEFNTRLISLVVKLRNWRTAFDMLMAVLCT KDILSLQQIGISALLLSFHEMLYVMLETCTSLSVMQFDAYLPNFQNIVEQSAIAPKAS VRSDGTQPPFTFDLNIGLPLWFTSLRCREPRTRRAALALLRQAPSVQGFFQCSMWATV GQIIMELEESRAMAINAAHHIPKFGILHSTEGLIPSSELATRSYSVPADVGPTIPTAL FIPEEVRIGPITAFRPMDGFPPGTTESDIAKWNRGPDQVFMRYSRNERGLTGDPCQIV YEYVPVDI PEX2_058990 MDSTRPERGINSRPSDSSAPGASSRPKVQQPKPQTLANRPGTSA ILVSTRQKGNPILTHIKLLPWEYADIPADYVVGTTTCAMFLSLKYHRLHPEYIYSRVK QLAGKYNLRLVLVMVDIQNHEDSLRELSKTSIINNLTLILCWSAPEAAHYLELFKSSE NAQPTAIRAQQAQSYKESLVEFVTVPRSINKSDAASLISTFGSLQNAINAQPEQISAV PGWGEKKVQQWCHAVREDFRVESTKRASAPAIQRRAQLPAVNVDDRHDQNMDEEDEEE AILREVLAESRKTAAAQAAQPTEPQARGQPSEEMSEGIAAALARLRDNTG PEX2_059000 MVELHKKRRLSDAESPEIKVEATTPAAEASAAQNKRTLFVRSLP TSATTESLAEHFSQSYIIKHAVVVSDKETKVSKGFGFVTFADVEDAESALKEFNGSKF DGKIISVDYAESRKREIDEKVGRSVPTAASRESKKQKEEERGQGLPPKLIVRNLPWSI KEPEDLNVLFRSFGKVKFVTLPKRNGKLSGFGFITMRGRKNAEKALQMINGKEIDGRQ LAVDWAVEKDVWETTKKEEVDEEEEQKEEKESDDVEMGDAEGALEEPSDDETSSDDDD DEDDDLDELDELDDDEADEEDDRNATTIFIRNLPFTATDQALYDHFKTHFGPLRYARV VLDYETERPRGTGFACFWKPEDANTCIREAPRGAEAMAPNKDKPKSNTAMKHSVLQDE NSDPSGRYTLEGRVLQVARAVSKGQAAKLEEEGVSRRMVRDTDKRRLYLLNEGTIPSN SPLYKKLSPSEVKMREDSYKQRETFIKKNPALHLSLTRLAIRNLPRHINSKDLKQLAR ESVVNFAKDVKAGARHPLSKEEQQRSRDTMKELEQLRKQKKMGIVRQAKVVFETREGT KVSEKTGGGRSRGYGFIEFFTHRHALMSLRWLNCHSMAVPPSAQDPDDRDKKKSLVVE FAIENAQVVKRRNELQAKSREPRPKRSDDAEGDGERGQKRKRSDTRGGKGKDGRDARG GRDARDGRDAKRGKTGNGKGPNDEKVNEDEDKAAKRNRIIGQKRMKRKSRKGN PEX2_059010 MVEQDESKRFTPKVPVELDPPKDDPISVEELAKCDGTDPSRPTL VAIKGVVFDVSRNSAYGASGSYRVFAGKDASRALASSSLKPEDCVPEWYDLPDKEKTV LDEWYTFFSKRYNIVGKVEGAKNT PEX2_059020 MSSSDMHPHPSSATEHLAHEDLDQRRTSTDSDVIHHLYFEDNDG FFPPTWIGKDSQQKPSTENSGNNLEGTRDTSTSAYKERT PEX2_059030 MSYSPNSGYGSLTPMTAAIQSAEPTRLSDPESKPTKMDKEMEVT EKDSSSANRAPSVDSGSMESTYDHTHRKLKPRHVQLIGIGGTIGTALYVQIGSGLRTS GPASLFIGFSLCITGGGLAEMVTYLPISSPFIRFAGRYVDEALGVAAGYNFFIFEAAL VPFEIVAVSLIIKYWTDAIPVAAMNVVIIVLYGVLNLFAVKWYGEAEFWLSLGKVFLS VGLILYTFIVMLGGNPLGDRFGFRYWNEPGAFNEYYKTGDTGKFLGVLAAVITASFTI AGPDYVSMAAGETVNPRKVLPKAFNGVFYRLTAFFILGVLCVGILVPYNDKTMADAFD NGKPGAAASPYVISMDRLKIPILPDIVNAVILTASFSAGNSYMYCASRSLYGLALEGK APKFFTKCTNNGVPIYCVGVVLIIGLLSFLQVSNGASVVLNWFVNLVTASQLINFSVV TFTFIRFKKALAAQGIARETLPYRSWFQPYIAYFACVCTTVMAFVGGYTVFLPGNWSI PTFLFSYTMIGVFPVIYFGWKFFHRTKFLKPEDVDLVTGVPEIEEYTRDFVVIPPKTV VHKWFQIIFE PEX2_059040 MQVSRTVYRAALLHLSVSPFVRASVPAGTTAWTSLNAATQAPNT RLYSILFPQCTTTFKASDCTRQTRTMCDSSSNSDSAAATSSTPATQNENTQTQESQEQ EPKKHLYLPASDPENPIANQQGDGSIKLDMSGGGTEVKLDHLGPMVVNVDGTLSQIGN WQQMTEIEKTNTLRVLGKRNQKRLEALRAKEKAEGGSE PEX2_059050 MKLQQWSIRVWTRLPRSFLYNSPRLSVRNLSVSSKPQCRPLDIL ENATLDTFQQRCFLPEQPAILPRSTFQDLPALKQWFKRAGPESTPGTSNPVASLNVEY LHKHGADAFVPLELTESTSTDTTSESNGGTTETLSFRQFHAPLTLFLDWMRTAETTPQ STRLYLAQCQLLDLPPVLREDFPTPELVARAGKGDVYDTNVWIGHPPTYTPLHRDPNP NLFVQLAGEKVVRLLAPVDGQAVFGAVRRQLGKSGSREAAAFRGEEMMQGMERALLDE MVWGTPVSADLDAGVGFEARLGAGDGLFIPKGWWHSIKGVGEGVTASVNWWFR PEX2_059060 MSSSFARIHKRHAYGKDAQTYYPVLIVGAGESGIAMGCRLKEVL GTDQFRIFDRQSGIGGTWWINRYPGAACDIPAVFYSFSFALKKDWSTMHPSGPELAQY MADVCERYQIVDKIQLNTDVKELRWVEDDEEWEVTLSHLVPGTGDLAQHERDQISAQD GHHKVYVKTEIVRAKVVVSGVGGLVEPKPWPKDIPGIEDFEGEIMHTARWNDQIDLED KNVIMVGSGCSAAQVVPELAKPEANVRSITQLMRTPPWVQPDTISPEYLPLYDKWAPG LMTHVPGLASFIRRVTFFMLEKSFYDMFTDTAWGRRARPRLEKKFLDHMRQLAPKEYH EILTPNYSLGCKRRIIDGTWYRSLNAPNVELTTQPLRRVHAKSVTLGPGRHYPPHKSE DAVEVREIPADVIIMANGFETNQWLHPLKVIGREGKDLEEVWAERGGAQAYQGIAMDS FPNFFILFGPNTATGHTSVIFATENAVDYSLKFIKPILNGQVSSYEVKEDAEREWTRQ VQDALQKSVFRRGACSSWYSTADGWNSTTYPFTQVHYWLRCKFPVWRHWTAKLTRKGR IVRGLRKALQGSLILGLITGFGFLRQNPQHKAQLVQSLLAGKEWLVSAAMASESPQLE MSPPQTEPRDQSIGASDSNKRKAEPGNGTQARTKRNRYISIACNECKRRKIKCNGQMP CQRCGHLNLEYSDEFRSMTDQITTLQDQVNSLFTNLNDLRAQRPNFDSPGFEHLSRDG SQSVYTPMQAGVAKPRARHPRFHGPTSSAFNFDVAKSSLQNMGITPAEDGIPDDLNTA HVSPAGSPPPNLGQLLPTTHPTKDPIWTIPREEAMRLCKVYEEEIGMMYPLVDIAKVT SQANLLYTFIEAATRTGFAQRGFPGSDGLHDESSIIFKLILATTLVVEGGGQSELGQR LYLDVKPFIESKLWEPHTIKTIQLFAIVATYHYHTDDDAMAYRLIGLAARMCLEMGLH RRDALLKSFPVEQQWSDITRLFWTVYSLDRRWSFGTGLPFVIQDEDIDPNLPDPDASL PYLRCMVLYNRISSKVWYSGLGSEGTTDIRRDGIGYLDYQILQWYKQIPDELKFHPIE SPKNGGNVSRGMRRLRVVLYLRMNQLRILIYRPVLHSPASIAEDRGHAQTVVEVAKDS IRVLTRLNQMSDIYRSQQITFNYFLVAALAVLFLAVSHAPVDFNRQVRDEFYMALDLV NGFSTKSYVSKRLWKTIKGLRKIGEKLGVVARPFGPDSSDPHSSAAVAMAGLAGHPIQ DLSMYGPMNGGNELGNSPLNGLQMSQELTNLFEAVGAFGNFMPNSSSDGIGGFVGPDG EIQNTGEGLSGVLGDEGEFARVIRDLF PEX2_059070 MSSSEGAPESWISSFCSLMGHEFFAEVSEDFIEDDFNLTGLQSQ VPMYKEALEMILDVEPEDDEEEEEEEEEEEDEDDALGGDQPAYRRAGDRRHARVASDL SVIESSAELLYGLIHQRYITSRPGIQQMLEKYEMQHFGTCPRVNCNGCKVLPVGRSDT PGHETVKLFCPGCMDIYTPPNSRFHSVDGAFFGTTFGCLFFMTFPDLDIGPRLDAALS MMSPRNASTQSRAGSLTRTPASRQAQSPTPENQPLEINGVRTPNLCPGLGKGKIYDPR IYGFKVSEVSRVGPRMKWLRTKPRNVVELDEAWNHEHGLSPQDARDDKDGDTEMNPEQ SQDAAIANRKKAPMRRRRPNLGALVSTDPMDVHGR PEX2_059080 MNRSPSYDGRSGSRGSHSNSSAFSPNANPNEDWTKISDLAERRR IQNRIAQRNYRKKLKRRLEDLERRAATSASPEQSHAEPVSPKPTPKTRTKQRSSKPTD VKPHSQSDRAGSYDYYSPEDRQTMFAQQCTRQLSASPPPVFSYPSMSAYDTYRQSAYS QSPIYHAAPSTYSEIAYQTEYGEPVPSLVPVLPSMQARKLAYDEDLISPFSMSYATMA GIDLCQQQAQAQAQAQSHSHHQPSALPVHFPR PEX2_059090 MKSLHQLARRQALTGLAMPRVLSSQNITASRTWQRAFSASTAAA SQLPGLDASKLTITKTTTPKEPMNSKDLVFGKYFTDHMLSVEWTATDGWHAPRIVPYQ NLSLDPATCVFHYAFECFEGMKAYKDSTGGIRLFRPNKNMERLNKSSARIALPTVDGD ALTKIIGELVKLDSRFIPDARGYSLYLRPTMIGTQKTLGVGPPGSALLYVIASPVGPY YPTGFKAISLEATDYAVRAWPGGVGDKKLGANYAPCILPQLEAASRGFQQNLWLFGEE EYVTEVGTMNLFIALKNKETGQKELITAPLDGTILEGVTRDSVLTLARERLGPKGWNI SERKIRMSEVAEASEEGRMIEVFGAGTAAIVSPVRNISYRGKMVDCGLKEDEEAGEIA LQMKNWIEGIQYGDEKNAWSVTI PEX2_059100 MFSSALKSFSSNISANYQISPNPTVYSGPWKIHDAKKKSTGTAA SVFIFDRKSLETRSSGFGRSSGPSSKKLQDDVIERLKREASNLARLRHPSILQVLEPV EETRNGGLMFATEHLTASLSGLLLEKDDQENTTRAGSRSSRYVVEEADGTRRRRDVEI DELEIQKGLLQVAKGLEFLHESAGLVHGNLNPEAIYINAKSDWKISGLGFAGPPDSSE TRSSLPPLAVSEVLYQEPRLPASVQLSLDYTSPDFAMDSNVSSAADLFSLGLVIIALY NSPHVSPLQTNGNLSSYKKLLSSPSSTPSQSNNFLSSKPIPKDLVSHVLSRLITRRPA QRMNAREFQQSQYFDNVLVSTIRFLESLPAKNPNEKSQFLRGLQRVLPEFPVSVLEKK LLGALMDELKDRELLPLILQNVFGILQRIPNGRRVFPEKIIPRLKEVFGTGSGKGAAE RDSKKDAGLMVVLDNMKLIADNCSGMEFKDDILPLIRLGLDSPTHSLVDASLKCLPVV LPVLDFSTVKNEVFPPIATTFSRTSSLAIKVRSLEAFSVLCGASTEVSDGLDDDLSGI QATKSKPAKSSILDKYTIQEKLVPSLKAIKTKEPSVMMASLKVFRQVGTVADTEFLAL EVLPVLWSFSLGPLLSLKQFNAFMALIKTLSARIEQEQTKKLQELSSSAGSTGFQNGG DEFSQSATGLSSPDADGGAGSFERLVLGKKSSPANGQSIDMWGSMELAKPAVPSVSPS FSWSSNNAGMTQQANALSQQSNLGFRSITPDQKLGSFPSLAPAPARQASPVTQVFPTM QPSSSTWGAPANTNVRSNTGPPVQSFGSMSTMTPSNHMSGSTAQAAPNYSSFSIPPPP AGNMLSNSTMRPPPMNTANRSTSFQGTAATPQQGTQKQGLDKYESLI PEX2_059110 MDESIKQHYLADSPPTVVRLEIKSHFDTLKDQNLRKYAHFMSRA AFEGTRVTLRQVSPESEPIYDLILALHHASNGDWNSLAQKTQVSPEDLRFFLEYAGQF LGNCGNYKGFGDSKFIPRLSASAFEALASATTETKAAFQKANTTGGGIYETSEQSMMH LGYPKGGHMTTYYPDSPTISQEEITAIGDLMEKKGLALENTRIRKTSSGDFELLIASG VSSPPVRDRDLGDVDTFELDGDLKGKTVRLVFGDHQEEMAKIAHSIKQAKLVAANDNQ KKMLDSYALSFGAGSIEAFKESQRIWVKDQKPVLETNLGFVETYRDPHGVRGEWEGFV ALVNLERTRAFGTLVDSAESMIPKLPWGTDFEKDKFLSPDFTSLEVLSFGCSGLPAGI NLPNYDDIRQNLGFKNVSLGNVLSAKAPNEAIPFIAESDQEVYRAFRDPAFEVQVGIH ELLGHGTGKLLQETAPGEYNFDIKNPPISPVTNKPVSTWYKPGQTWSSVFGSIASSYE ECRAECVAMVLGCDFGILKIFGFGDGKEDLAGEAGDVLFAAYLSMARAGLVALEFWDP KTQKWGQAHMQARYSILRTFLDAGDDFVKLSHSKEDLSDLEIRLDRSKILTHGRPAVE KYLQKLHVYKSTADVEAGKGLYDDITSVDSWWGTSVREVVLKNKVPRKVFVQANTILE GDQVSLKEYEPTLEGLIQSFAERNAIIETTVSRETPPSDPSTTACPPHPTDSLEEDDD YYTAPPPHSPASASTSSPAFPPPFSSLIFSSLPDTNRPYKVTEPGPACPPALAPPAPV EESLEPAPSSAVVADTKASFTEPKNEGSSDDGEPPPPYTEGYSPLESFTYVMAAAGGA SSIITQVQQTGGPPINTLGDIGGDEHVGLDLRGTRFTLSRDELLTLPEFVLLSLFPNG LLPDGHSTNGFHDGDVFPVDYDPVSLQYMLDFFRTVAQTIPSSLPSGSNSPDLELMDP MQGSARDMLQDRAGIIVLREDLDFYVIPPRADIGHDEMLEVKRAAGRSLLRQDGIFSG LRKSEEIGSTEQHLIEMLTAGGFDRADQWGHRAPEPNKAVICSLALAKLRTDIRGDLS NNNSVGMAQKLLLFWRKPARRCWWEGIELDDVEGVEGPVKVWIRRVWTLEMSVIGLR PEX2_059120 MRQYGNALLTPVNPPSQTGPTPRTTKRGTTAINYAEDGFDDEDF DENDGPRRPTGLRSLRREESATDKLVPYSEKLGKEVHAPVEVQGLFREWMIKRMLRPA CADQLQIQAQLPLTLVPIRIDVEVPAHQPLEPFPFPRTAVDPSINQTLPAYRRPEPLP PFRIKDTFLWNLHESLSTPEEFAIGFVRDLDLPNPQATTMTISNQIRQQLEEYAGVAL HPLFQSSDAGTVPSVLPQTEPSRDTPMTPAAVNVATPDSRPNVTPTVTVTKEALVNDS LLNPDDAYRCLITLNINLQNKLYTDKFEWSLLHPPGMAEEFARITCADLSLGGEWVSA IAHAIYEAVLKFKKEVCESGALVSGINGYGNEIDNLAANGVEAGWRYDPETICDGWQP QVETLSKEEIERREGDRERQIRRLRRETARFSSTTGITPEISRQNSGNYFEVDSETPL GRGERNKRKRRFRSLSPTGRSGTPGGRGTPDTGSGAGYGGGGGTLSDWERQSWRCKNC AVWGTAVWAVRDGPDGPRTLCHNCGLLYERDKVAPEWSKDLHRHDVPVGRYG PEX2_059130 MEFPKSHIHHPQASHAHTHTVILLHGRGSDGAEFAEELFSSSTS KGKPLPSCLPSYRWVFPTSRDRWSTTFEEEMCTWFDAYSLGNIHERQELQKEGIRESV LYILDILEEEARLLDGRFPQIYLGGMSQGMATALWTFFAATATGRIQGSLGGLLGFCG WFPFAQQLERLLSEPSLNPGSSQAQSLISGFFFDEIAGGTPRSDQPVDSSILSTPVFL SHGSDDQWVSVELGRQACQVLRKIMVRVDWQEFAGAEGDGHWVKEPEGFDHILQFLEG PEX2_059140 MAGNFTFPTEAESTFRVADLVNVTWDVIAPLVSLYETCGTNDRA LEEITTNNYSYVWIATRKDYVEAGCIFMLQPFTAQGESYGDNITSVPFGVSKRYTDDP PPVSYNFINASSSTSASPTKAISTSLATSSSAETTSYSAATSTPASSHGLSKASKLGI GLGVPLGVLLVAAALGAFILYRRKSRQKETQAVLPVQNQPNDELAPLPIIGYKEPHNT RLSQAETVASSVSKLSSDNQISEGKDKRLSELMSSEIVELA PEX2_059150 MNPHVLRFEGQPNDESIHYSTIPDSEEIDPSHSSRDETLSDDVD GIDMDDMFGPQLQHIFQTNVPVDRRIKSDLLQHQKEAIGFISQLFEAGIDAGYSYQHV FNGERCAKPQEARGGILADEMGLGKSLVTLAVIAGSLDEAERFAGRQEQSDVSQKKNL TQATLIVVPSTSHYIRNRTTKQFQAVVNLSSQHRWCLTGTPIQNSIEDLGALVAFLRV PILDRVAAFRKFISTPTSSGKKDRFHNLQTLLHAICIRRTRDVLNLPEPTTETRKLPM SSTEKTQYRDLLHECMTKIDMAMEAFTSLAAVICVVFSSWKKTLYIVAELLSSNGIRY SMIEGSLSLSKRLQELQRYQNQKETNVLLMTLGTGAVGLNLTTSSRIYLLEPQWNPSI EAQAIGRALRLGQVSNVTIIRYIMEGTVEEVE PEX2_059160 MANTYTPLFERSLEAFKKDLNKKERDNFKFSKLEDLEQCLTQLQ AKHRSQRRMQNLNRLKPFLEAMNQFGKVVEVFCNSSEIVPFLWGPVKFLLLIADTLEN AFSELLDTYEYIGENLPLLLQYQELFHTNPHMIKVLSLMYEDILKFHRIAIQYFQQRL WKQLWHATWKTQQSRFSNVISGMARHRALIESQASLSQIADSQESRRLVDDRHNTEVQ YESLRRSRTVFDWLRAPDVEGHQYNLTKTRADYPGTGRWLLDNQAFKDWFDPNYPSIP PLLWLNGIPGAGKTVLASLAIEEAQKLTPKPTVLFFYCKHGDPERNSFLALARSLLAQ ILEQDQNMLLYFYQECCDSKEAVLTSPVKVTKLLEFAFKNCKSAYIIIDGLDECERDQ RKGIVQWFRTLVESLPIIEPWRLRCLFTSQNDSLARKDFDEIASLTIGPDDIKNDLQG FCQREADQLRASLYISEDMADSISATVTQQAGGMFLFAKLIWLNLSAQTTIMGLEQEL DPNIFPSGINDAFLVDEGLVDPLKGDIRMATRCVNYLNFPLFVNTPLEHDIRRGDYGL MEYSVIHWVRHLEGAIAEANRRIERTKAEATRPRQSTPDELDRLNNYEALREEDYPAM MDSLAESLGVFIDLHWSPPNKYLEVSARNKKRLQVFQDATFYEQLEQIVVSTKKQLNS FGPLKQEGFALNLFNLVGNIRQVIERIVSKDPSSEKDMIQKYGDNLFRCPRFSCQFFF SGFPSNEKRDEHISRHNRAWRCSDENCTSFTFGFVSETSLKRHVKDAHLDASQSQGFP SNNDIELSLRQKTPKIHATPQRAPELQNEQPVQATPGIMAHTQETPSSDSEPEVRPTK RPKTQEKQDFKCPHCAKDFKRLFNLKSHLLTHTADRQFSCDICQKRFSRKNDCNRHKK IHSGEKDWVCEGCLVSFARADMLNNHHRAPIGQACLAKIQIKTQGPMP PEX2_059170 MSEAINNHRIKDDIKQAYDEIAEVYLNWTKPSHEIRLSYLDLML RSLDSAKENRQISILELGCGAGVPCTELLASREHISVTANDISETQIAMAKKRLPQSV NLIQGDMMELEFNRENFNAVMAMYSIFHLPRDEQTTILRRIFDWLKPGGQLLANFPET GFTSSSDKSWLGGTKGAMHWSGWGRDEMRRLLTEIGFEIQIDEVVVDCEEKNGASLSV PFHWILAKK PEX2_059180 MMGNKVWLITGASSGLGAALAHHVLSAGHKVVGAVRDVTKAQKS YPQFEQLGGTWAELDVTDPKTNEKVQSLARENNGFDVVVNNAGYSILGSIEDMSEDEI YKQINTNLYGPIRVLQGSLPFMREKKSGCIVNISSVAGMVGRPATGLYSASKLALEGL SESLAAELAEFGIRVLLIEPGSFRTGFLSAFVAPVAGLNPGYRETALSRTLKIYETVD GSQRGDPEKAAARILDAVENQGLCATTSSYLRVPLGSDCYGLLESKADALKENLNVMK GIAHSTDYPQ PEX2_059190 MASKPSEKSISAYGEARSTVEGKPLDAETIRKMNAWFRASMYLC LGMLYLRDNPLLTEPLKLEHLKARLLGHWGSDAGQSFTWMHMNRLIKKYDLDVLFVSG PGHGAPGIISQSYLEGVYSEVYPDKGEDTEGMKKFFKQFSFPGGIGSHATPETPGSIH EGGELGYALSHAFGSVFDNPDLITLTMVGDGESETGPLATSWHSTKFLNPINDGAVLP VLHLNGYKINNPTVLARISHDELTSLLVGYGWKPYFVEGSDFDTMHQAMAATLEQCVT EIKAYQKKARETKTPFRPRWPMIVLRTPKGWSAPREIDGHLLEGFWRAHQIPITDVRT NPPHLKLLETWMRSYKPEELFDKNGTLIQELKELAPTGNSRISANPAGNGGLLRRPLH IPDFREYGFKDIDPGLTIKGGMANMAKFLRDIVAQNMKTFRLFGPDETESNKLSEVYK AGKKVWMGDYFEEDKDGGNLSPDGRVMEILSEHTCEGWLEGYILSGRHGLLNSYEPFI HVIDSMVNQHCKWIEKCLEVEWRARVSSLNILITATVWRQDHNGFTHQDPGFLDVVAN KSPEVVRIYLPPDGNTLLSVMDHCLRSVNYVNVIVADKQEHIQFLDMDAAVAHCTKGL GIWDWASNDQGAEPDIVIASCGDVSTHEALAATALLREHLPQLKVRFVNVVDLFRLIS AIHHPHGMSDKRWKSIFTTDTPIIFNFHSYPWLIHRLTYKRPGQHNLHVRGYKEKGNI DTPFELAMRNETDRYSLAIAAIDLVQSLGNTAAGVRELLYNEQLAGKSEAFDNGVDPE YIRNWKWPFPKE PEX2_059200 MPPRAILPRAAKPDGKNSNGKRKKDGSNGHAEAPTPKKKTKREI PQLLDEELLAADLNVDYSKRRKRLRTQMPKKQQWAQVKDVITERENAPKGWNPEEPDL MSDDYESQIERCLERISENIMPHVYQHKMSEFMAKQTERDTLMATEPGLSWPVVQRLD DLKVTLTWLMAGNDVHKMVDTVKAIIAQYRSGELDWTPDFVTYWHAGVQLCLPRPFHW DEYRYIHDKCQGHEGFWVEGILGPAPGMSKSSMICQPDPRVNTTMVRLSLRIPQGPAV AVKTDDNGKNGKDGGNEKPPPRPPSFEFPFMDDTGSTHLSLFEDDINILRNLGAKDEH TYPLPRCLGVGVLWVADGRRVPSLFRELEVNMWSTDESNWMSPSWQAIPASINSGQST RAGHDRLSGSWLRHRFYTGTCPDQSMRLWVFNYNPGMPQGQRTLPTATSAQLTAPFRT GRLHPVTDFPHLDPSLATGQSLL PEX2_059210 MGEPKPTAVPLPNSEQFYLNSDQGEEYLIQVSWPLHWDSDSTSA RGSSPIIYIVDGNALFLTATETAWRRAPASHFAGGGIIVAIGYPLNRELYDMRRRTLD LSPPTETPISGHGGADVFLDFIQSSVRPAVKARFPQVSVSREALYGHSYGGLLALHAL FTRPRSFDCYMASSPSIWWNSEFILEEAKAFLKTETLGEKLPSLMVFWGSVEQNPRQW DNEPSEKYALRKRMAADFRMADNALNLCGMLQGCKQLHTLLANEFDGEEHTSVMPCST NRSLTMFFEDWPLHQS PEX2_059220 MAIMSRFHRIVGNKSAETEATSVDDPIALQVRADDKEAAHAAID DATGKEEARPDEDAQAGVQKIEAVTLAWGKGSMLMVLIFIWLLTLVNNLKTSVVYSLS AYATSSFAGHSLLTVIGIVASSMTGAVYIPMAKALDLWGRAEGILLMTGFCILGIVML AASHNLATYCAGQVFYSIGFGGLAYSWNVLATDVTNLRNRGLAFAFTSSPAIISAFAG SKAAEGFLANVNWRWGYGVWAIILPAFALPIYFMLAYNLRRAEREGILNRERKAWSFN FENIWWFIKEFDLMGVFLFAGGMVVFLLPFTLASMAPHGWQTGYIIAMIVIGLALLIS FGFYETYLAPVPFLNYKFLTDRTVLGACLLDMTYQISYYCYAVYLPSFLQVVYNLDVA TAGYVGNTFSVVAFVFLFFAGWLIRVTGRFKWILWVCVPLYIFGLGLMIHFRQPGGYI GYIVMCEIFFSAAGSIFILCVQLAVLASVDHQHVAAVLALLFVMGSIGGSIGSAICGA IWTNTFLKKLAVTLPESAMPNLSLIYSSLPQQLSYPVGSPERDAIVEAYGYAQPRMLA AGTAFMVLGFIWVGMMKNLNVKNMTQTKGNVF PEX2_059230 MKSFIAPMSLVSLALATMGDAATVRRDTEICEQYGTTKAGDYTI YNNLWNLAKDPNAKQCTGVDSSQGNTIAWHTKYSWGGEAKNEVKSFANTGLNFTPKVL STVSSIKSAWEWSYSTTDIVADVAYDLFLSSTPEGSEEYEIMVWLAALGGAGPISSTG KPIATVTISGSEWDVWVGPNGQMTVYSFVAKSTVKSYSGDLLDFFKYLIKDQGLDNSK YLKTVQAGTEPFIGTADFTVPSYTVAVV PEX2_059240 MLPRAAFVALFWASVQVAGHGGSSTSSSRNVASNLEEYCFYSIY TSLSAYTFEGSITVQSATSSSQSHGNSSSISHASSDSSSSETSETHSHGLSASSSTET PEPSSETHTHGSFDYSSTQSSNSISGTHAHGSSRRSASLAKRRHGGGSYGSYSTGPCN STVEVTSMYASAKAWCSEKEFEAVIPYWESLCAKNSMELMSMASIEHLLTDEYINSLP QIDPEQNNVTTTGTIDSPVLLSKRYYTRAYKSYVSHDYAMPKHKRYGWGIIGYWGAVL VLGMMSKAWTVFFSRWSVCGLCDPETRSSLQSKKGPLASLFHFLRTHIIMPASLAPAI PNHQQLWLSHALPKRVDTLIVLGFWIVSILLSCVGYDSFAGSLSVPSLYQQNWQYTSD RTGVLAYACLPALWLFSGRNNVFVQLTHFSVQSFTMFHRHIAWVCTILAVVHSINYSV LFAKYVGRYWIAWKEEYWYMGVVATILLCFMLVQSMTFFQRRWYETFLLLHIVFAIVV VVALFQHTSFNGREWVGYLWTPVGLWVFERLARVGRVVYCNLNAKFGNQFLGTATTVT YSEASDLVRIEMVPGAASLRPRPGQLYYIYQATLLKGWENHPFSLGAWAPSSGANNEK SAPAFRNAHKLIFYVRPYDGWTRRLRDQCRKAGGIINPKLLLEGAYGHSEPVYAYNTL LIIVGGTGIAVAVPYLLDHIARLKEGKTKTTKIQLVWSVRQKDMFNEVFNEELAEIMQ HNDIKITVFCTRLSKITSDSESDDVTLSKEISSGVAPVIKATGADSPTSKSGVRFTPG RPNIHDAVMSEVQEAQTSSANLAVLTCGPAQMADECRGTVYEAMKKGFRDIEYFEEAF GW PEX2_059250 MVNVFSVQVFFIVFRECLEAVIIVSVLLSFLKQCLGQPDQDQAV YKRLRKQVWMGSVIGVICCLCIGAIFIGLFYGLGHDAWADSEELWEGIFYLIATIMIT IMGLALLRINKTREKWRVKIAKALVENKEGKSRWMRSDWGRRYAMFILPFVTTMREGV EAVVFVGGVSLGYPATAFPLPVLTGIMAGLLAGWLIYRGGNVMSIQIFLIASTCILYL IAAGMFSKAIWSVQYYQFVVGVGSDVGETGSGAGSYNILQTVWHVNCCNAEIDNGWDI FHSILGWQNTGTYGSVIGYNVYWIFIMACIAWMLYEERTGRAPLATSFWSLMAHVPGF KNIANTKLETVNFNDDTAVRQIDSAMFHESVTVTETVQPNK PEX2_059260 MRISIALLGAFQFLSGFCQAVTHTFDWNVTWVMANPDGLAERKV IGINDQWPLPTVDVNKGDRVVVNMHNGLGDKTTSIHWHGMYQNGTNDMDGPSMVTQCP VGPGSSMTYNFTVNQNGTYWYHCHTDYCYPDGYRAPFIVHDEESYFYDDYDEEMVLTM TDWYHDMTEDIGPEFMSLYNPTGAEPIPNSFLFNNSVSQSYPVEAGKTYLLRLINIAT FVGQYFWIEDHQMRIVEIDGIYVDETEADLLYISAAQRYSVLVTMKNSTERNYGMAMV ADSSLLDLITPDLLLNQTNWLEYNPSAPHNEVTLPVEDSSDLYPFDDMTLVPHDRVEL YKNPKQTIELILVMDNLDNGMGYGLLNDHSYTKPKVPTLYTVMSAGDLATDATVYGEY TQSVVLEKYDVVEIILSNQDSGTHPFHLHGHAFQLLDRFPSYGENFYDYDAGTEFATF DPSNHTEFPAYPARRDTFVLPPGGYYVIRFLADNPGVWLFHCHIDWHMMQGLAMTFIE APRELQDSLVIPDGHIKVCEAAGVPYEGNAAANTENYLNLKGENKPPGFIPAGFTARG IVALVFSCICAIMGMVAISIYGMSGLKSPVRKPGSNCDDTSTEMEVTETSRTYRD PEX2_059270 MLTEEGFFYITNIGLSQDEIDLQFAIAKAFFELPEEERLRHRAP LEEGNYNGYRPLGTLSLFPGMQDTLEFYSIFKFIPQSERSHPDLIKQYSVEIERFSRF MHEDVSYKVLRILATMLELPEDQLVDGNRYEDECDSSIRYMLYHTRSQEENKRFDDMY FRGHTDKGTMTFLFQQPIAALQVQQAKDAKWEYLRIPAGSVAVNIADTLQFLTNGYLK SGFHRVIAPPKDQVHLDRLGLLYFVRPTDKLRWKALDSPFLRKEGYGKTTTENDQDIS GLDWWRAGVKVRKGASYIGSAASTI PEX2_059280 MMPPSDSLRHHLNKLAEVRANRNSSSISTSTSTPTSTSIPGTAP PPYTLSVTRPSGTTVMSVDQDDMDDPWVSPINIYIDNSITVTGDENTIMVSSLGAESP TDPTHQDAQESPRLSSIAAVIIAALNRANALHDDMGYPRPVNIRALAGIRVNGRNNNI CVGGEVHKSQNEIADAGAEESNGRKRRASSVRTLLRKRIFKKLHY PEX2_059290 MGDALCGPSNALQNFQKHTSVDRTLQQDRLTSRQSPVQGFRSQN PHEGVLDPEFAAFEGNLAGPALPNLQHPTHFGAPSHYAAVHHPTAHGAPNASWASDFQ NLQISGPSHPVAQLQRPNIAPATTHGGWHTEFMNQQRGPMSQAQQTPQMHGVYQPSFA PGHQMYGSPMNQMLPPQGMQAEQQHHAQQFDESAFEAAFDQARADMELQGVDVAQQDT QKHLQETNEAEISEPVIQEQIRLGSDLIPQSDKQDPETRVRDADELARTAGQLLDSVR NEQSQKFQQSNFLALMRRIRDREVEVEGDDFREVSTNP PEX2_059300 MATPQVISNSGHEEMIHDAGLDYYGRRLATCSSDKTIKIFEIEG ESHRLVETLKGHEGAVWCVAWAHPKFGTILASSSYDGKVLIWREQPQNATSPSSGSTW TKVFDFSLHTASVNMVSWAPHESGCVLACASSDGHVSVLEFRDNNWTHQTFHAHGMGV NSISWAPAAFAGSLISSNPGPGQQRRFVTGGSDNLVKIWEYNAESKSYNLTQTLEGHS DWVRDVAWSPSILSKSYIASAAQDKTVRIWTSDASNPGQWSSQTLEFDTVLWRVSWSL SGNILAVSGGDNKVSLWKENLKGEWEKVKDIEE PEX2_059310 MGTSIAELHKLLTPKLPESRRDTRPLELGKMESELQSQIPGLDQ IITEYSVGYLTHAANLYVDDASGESPLSEAAESVTELLVSASGDFSPQNYEAIGNLVT RFITTLSSSDGDSERRQMPFKAKKLEQTINVGAQRNMSSTLGLTGNTVDLESANARKM ESKVDKKKLEKAERKIRAKQDKKSMKNVTYEASRLLNQPDEAMSYEEFFMAVNPLQLG SDSQAKSKDIKLDNIDISISGLRILTDAAFTLAYGRRYGLVGQNGIGKSTLLRALSRR EIPIPTHVSILHVEQEITGDDTPALQAVLDADVWRKHLLSEQAKISKQLAAIEEERSS LADTSKDAARLDEEREGLDITLSDIHGKLSEMESDKAESRAASILAGLGFSQERQQYA TKTFSGGWRMRLSLARALFCEPDLLLLDEPSNMLDVPSITFLSNYLQGYPSTVLVVSH DRAFLNEVATDIIHQHSERLDYYRGANFESFYATKEERRKTAKREYEKQMGERAHLQA FIDKFRYNAAKSSEAQSRIKKLERMPVLEAPEAEYTVHFAFPGVEKLSPPIVQMSDVC FGYTKDKPLLRDVDLDVQLDSRIGIVGPNGAGKTTVLKLLTNQLEPTSGLISTNSRLR IGFFAQHHVDALDMTTSAVGFMTKTYPGKTDEEYRRHLGAFGITGTTGLQRMELLSGG QKSRVAFACLSLTNPHILVLDEPSNHLDIEGMDALSDALRVFEGGVVMVSHDVTMLQN VCTSLWVCDGGTVHKFDGTVNAYKKRITAQADAADDTSGLELEKPEDMTGADEQEQSG PHAPLLASSHASSDHDDNGHDESLASELEYSGGWFIWALTFSAGISGLLFGYDTGVIS STLVTIGSDLSGRTLTTLDKSLITSCTSLFALIASPFTGVLADKFGRRKVILGADLLF AVGALVQAFTSQVWGMILGRSIVGLAVGSASAVTPLYISELAPSHARGRLVTILSLFI TGGQVVAYIVGWLFSSTTGGWRWIVGIGAFPAFFQLAILALLPETPRWLVQAGFDARA KTVLTKIYQDCPGCDQVVEHVLRNINGEIVQEASELGQTKARGTRPQWLHDTIQRGQQ LLHGGNRRALTIAMMLQAVQQLCGFNSLMYFSATIFSSLSFSSPTLTSLSVAMTNFLF TLLAFVLIDRIGRRRILLYSIPVMVVALVVCAFSFSSMNDKWNSQPADRQENDDNASS LVPLAILLCLTVYTAAYALGLGNVPWQQSELFPLNVRSLGSGLATATNWGSNFIIGLT FLPMMEWISPSWTFALYALVCVVGWVGVWAIYPEMSGLGLEEVKGLLADGWGILQDIA CYLPSDRDILNLSLSCKETWSKVFESESAIWRYRFGKHYDIVPGRPSRELKIEYQIRA IVLSSPIQFKEEEDDRQYLWMEVMQTMLEESLKLSIAPGATSKTLERIHETLKGVNFL SEFQKGRTSSQLFYALQLGLSSFALDPTITEPCRRTDYDIKQVYSYAEKVGKAFIDHE NLDLAKLLSLRNFWQRHFLNASEFTYQESFSGLPAELRPRARKDIPNEVSKLSPSWLG YYSCMHPLSDLLKINERQTCADLETHSDGLDVMTLDLQPSSEKFWPKQCSKIIPLAGS PNTKRTYFDGKQRAYGGAYEVGNPVFGFTEEIAIPHGGVGGWTRICFTIVEGDEEEEE KSPSLIMDGEGWIHGYEAIIIPGGCMMLGRWMDMKEPEARGPFIFWDV PEX2_059320 MSFTELVNLELIVGLCALSTVGIAPAGLALGRAERTQKPGLFRR KTLAQDVSEPLSINTEQTAQVPRPKTAIASRSPAAEWLAAKEEESAQGTQGSDLRKAP LSFSGARRRRRGQTLTNPPLQIPEHESTDSSVETHIRRPSTGWLRRLSMASFQAESPT ASSPATPSFHGSDSPLLPHSPGQRRPNKLMKRPGSQHTTPKRPTSQHTASKRPSSQHI LDPHAFAMNPITGPSPMVLRRPATSHQRSESTILRTPLTPDFDTIFPKSPAEGPAIEE FELNKQTHWTRFFVPKAGKLAERLTRRFSTANTPKVQSARQVLSDQGGLPALVMANAI SPTTAAPAEDRSVPHTPIEFRNPFQTALSEPTPKQPVAPEQQADQSGHKQSSSVNDIE PKIVMANTVTAHGTPIMGLVRGGSLKRVKGRTFSVPPSELSGEEKNVAIPSPPEPEPR RNITDPSVFRAPYPISQPGWADSALERRSQVGPRSVSQDYNVGLGPRASSRQMASDAV ALSAWQQASRPGGQAYGSLRRRPKGFSISGSDPASTVIGSDDTRVFTSCDEYETDGAS DYWDSVRTRETNLSGLKGLRIETMFDKAGASLVNEEATTLESLLPRGSFAARSLCKDP QLFADPLLSSPPLVQTSMVKNPYVSDDDALSMIGALPGDDRDCFSSSLTHSLAKFSEQ PESHVGAAKDMSGSIVGELNSDIHKKTNIFDWSEQAHPSRETSDSETRPRTMHGKQEG VIDRNSRAVCRKAPSTVHLRSQSVPVASELPINESRQTSGKFGTWGLGSKGVSEDWDS DFDFDESEDTPIAENKPLTEPDINRQSMTVPKAILERQASLRGQFGQVQELTLLVEEL KRLRHQANVLGVVNGPSSELWAEAEQIVNLATIDDEEDRRSPPGSPQSLTFSFDESDD DGLNASSKHNSEISWDVAHGENAEPTLPLAHLAKDSPKSRSVLDILQAGRDQQKPASA LELELSSRAKKLPFDTSSLKNLVVRAGVVTRALKEVIRKADGVATSPQDFPQDPPFRR IFDKPSHDDIASFEAALADMS PEX2_059330 MSHAHHAGLVMRNHVRRDVIPPNRLSFLVPSTSSIATKLSTLVA RSESSEPTSGAKATSNLTTTVLPIVLGAGVPILCAVIILIVLHRRHVKKLLREDAMDK HKSLDFGMDTVGPATKRKGPNGMPPMSEPTHTKGLSLDVGPYLLPPGLQNSTDSLRSM SIDDGKYRPATASIRSYPRNSKFGGTDDGNSGLLQNAQRMSRSSPPLYSSPIEPHGRS PTSQHNDYLGQVPGVTHPPAAHQPGMAIGSPNANRTPSPEPLPPMASSAGLDFGLDNT YGNSYGNQHEERLDFPLPEHSQPRDLDHDQAPASHLPRISLPASDITSSDYGDDRKSQ HILPAVNIHGTEGVQQHDSPGHDNKHPELPEEPQNLDAAYDNRRDTRRMTLGLRPLPP EDPADNPEQRANRIRSFYKEYFDESKPGGQEPTYYENFGPEFYESGGNSAGFIYDPTT GDYYDASQGAAPFAEPITRRAMTPPPRAPPRFQGAARHMATNSAGHNGIPGPRAFSSA SGRMPGPPGPRGPKKAIPPPSPLHILPTPHMLTDDSLMSAMDFAPAYGIKDRVAGRPE TPTGGVRPYVPGLRAHTPLVSAFDELAVMPSPHALRKSGTFTNLDFVPPPRFKNEGGA GSDAGSIRSARSVVSANHQNNIRMGNYRVSRLPAEAVGTKDDMMTSLRPKWDMNNA PEX2_059340 MASPSKGAAVTAASIASSAAETVAQSSAAAETSSETSTTQPTTS STSTTTSSTAAPTTTSSEPTTTSTTTSSTSTSTSSTSTTQPTTSTTEPTSTSSTTTST SSTSSTSSTTTAPSTTSSAQEVTITSTQGTTVVVITSTQIAANTGATSVTSSSATDSA ALATSTSAASSGGLSPSGTIAVAVVVPVVSVAVIILALLFFWRKRKATKAAEEERRKE VEEYGFNPNNDPTLPGVGGVVIPKDNESSGYRGWGTTSAGRKASTNLSSGVGGLAMSE GSGPGYHHGPTPSDGTVQYSDGTRPDSGEIVEPIGVLGAVPVAANNRNGDIHRGPSNA SSAYSAANRSDASEESHMSAVHPSAGYYGENPYYGDINTHGAYGGEDYQPVIRDVQAR RNTRIENPGVYPRQGNAGIAQNF PEX2_059350 MLRLDRKFGKQIQRRQLDLPEYAASFVNYKALKKLIKQLSATPT IPAQRTAEEIARANADPQGALRANKEVFFFRLEREIEKVNTFYLQKESEFSLRLRTLV DKKRVTQSRATSNSKAPSNFAAMFEGFQQFDGDLNKLQQFVEINETAMSKILKKWDKT SKSRMKELYLHRAVEVQPCFNREVLRDLADRATTARLELEAWAEGENIQYDASRPSDR TAQLGSEEDEMDNQILQSASTGNLQTLREWLARLQQLPDARERATRTFLTAINGFSDE VLALLLESQLVDIHAEDDINERNCLHESAISGRLFVFHAGLQASVDFARADVYGRIPL HYACMHGRVDMVRHLLAAGPHTVDIMDHDNFTPLIHSIVKGQLACAEQVLCSNARVDP EPESPTMKGMDHRPLRGPDHIPLNLACQHGSLPIAKMLLERNAKLLPDAEGLYPQHMV ARASQSPELLLLLKEHGADLDQKDKLYQWTPLFHAASEGCVPCLRTLLECGVDAQVPD EKGLSSMYYAAWEGHLECMLLLWAQPTRNKSARGPLDILNGVRMQEPGLMGDPMSVVT SVSELEMADGIPDLELPPPIIPLRRYGHNFLDKKVFIQLLFDQGNVGSVAFDQAGRHP AARMTISSKLSDLIPRTVMLPIQEETRTISFHVDNLDTFAVDFEIFPTFGSKVIAKSV ALPDIFRAESSSTGSGCLPLFDPRLRTIGQLRFNFQVIKPYHGDPLEITHFATYWKAT SALDSDHNGLVTGSSLSGDYVQLFVQLTRDRVAVLYPQFMINHHGVDIPVSHLTYAQF QAIGAERGTNHPQILQFLETEATHDMPQTHRLLAASFLSLRDVLRQLPIELNVNISLL YPSSAEEKRLHMTSLADVNSFADVILTDVFDHARVARDKSPELMRSVVFTSYNQNICS ALNWKQPNYPVLLCNDLGQIRDLAGNVDSMPHVNSSGRASMSIKESARIAQSNNFMGL ICRSSLLNVVPALVETIKELGLVLVADTSDDVSQSDRFEELPATDTMGVAEWAYRMPD GVNGVMRANGILRFNDMIDM PEX2_059360 MSLRPTLLSKWISRPSEILKTPSFASYLHPSIRPSLARRSAIFS PVTQATRRSITTARFSMRTRPGPGSFQFKQRRFNSGSAGGGANGSGSGAGASEKASLS QRLKKLSREYGWVALGVYLGLSALDFPFCFAAVRLLGVERIGYYEHVVVGFVKDKLKA VWPQTKGSEAEDGQGQLAQAEERNQEEASKFFCVDF PEX2_059370 MSPRLGGLLQLSPVWGAPLITPRIPVRSFGIRSLNPPKPSRFNI GPGLPVLESTSTAALLRKANSLPLRTGAIGIKKGMTAIYDPESGKRIPCTVLQLDRVE VISHKTRERHGYYAVQVGAGWKHPSNVTKSLLGHFSANGLSPKRHIFEFRVKDEAGLI PVGQSIEAGWFQEGQFVDARSNTKGKGFAGVMKRHGFHGQDRSHGVSLTHRSMGSSGP GQGGGSRVYPGKKMAGNMGNNQNTVQNLKILKVDSENGIVVVSGAVSGPKGCVVRIQD AIKKPWPEVPQAESAAPATETATA PEX2_059380 MLFSRVFTRRAALARQSARPNIASRRTMIAAPGPNAGPLLERRA DRELPNPNPTRKWLLTLPIFIIATGAGMLGIFNYQKSSSSIVNSTLYALRTSPRAREI LGDEIYFAQQIPWISGEMNQLHGRINISFWVKGTKTQGKMRFRSIRPDRMSYFRTEEW SLETEDGTVVQLLDKDTDPFRKN PEX2_059390 MAAVRLRKAFRYPEESEGEREELDEEEQERVIELLQRQNDARNA QYSMIFTALPLLATTVFAPSVLSASSQAERVFSLFGLVSLLTTAYIMRCSPLQPDRKG KKPVTFHTERIARLHAAMIPGNSAVCLLLALVYFSTGSSYGIQPVLYLIPGVMLAVIL LAQKVMLSVDLASLKDLQYEYKGA PEX2_059400 MPAHRTRLAFEPLSPLLDIHRVVESTPNFEFAMDISYDSIEEFP LEDFERLVLFQVVLSGRPLVVRGFHHRLDKGIFSEKWLREKYAKKVEEVRDLVKKRSQ NFTMGHYLENLSLLTRQVTVHNYTHKDVQRLYLKDIDCPPEWRAYLEKLLPPSLFYLN EAPKAFEGPASGKTNLSEIPKSTQGEFIAPAGDLMSSLPPKMRAENLMCYIGHEGTYT PAHQEMCASLGHNIMVDASDGSLENGKPTEPGSSIWLMTETKDRRIVSEYWMSVLGHD IDIEDFFAPLHAWEIAPFKTWVVEQKPGDLILVPPLAAHQVWNRGTRTMKVAWNRTTV DTLELALREALPHARMVCRDEQYKNKAIVYYTLERYYKLLRQAKKVDHPVVAQLWDDF KRLFEMYKNILLSESFSQKNPEKNVEYHEFQSNVTCSFCRCNIFNRFLTCPGCANALG GDEDPYDVCMDCYVMGRSCACVANLKWMEQFPWKHLTERYETWRRLIISSNENNKDLK VQFPTFIVARGQVGKKSVAEICQEQLLRRPWNDPKKPKPVVNNTIEDADSESEGNSRS KKRRKLRQSLNSKSDDVHRCHVCLHFEVNWKLAPCSNCDQRYCYGSLFRAFEISPQEA MEKHHWLCPKCRKECSCGACQRDPSMKPYEPNCTILGHDTSKVADPRSIETLVDFRKS NLWWLKKFGDDVHGRIQKRQKEAEEAEMERQKTLEDQGFSFESSPFDQPAHYGNGNDD NPLGFDENDGGIPVDPSLMVQGSVASTGT PEX2_059410 MLSTSSRLFRARLSPYRQKVNLAGLYNGRLSTLAHNVYSSAQMK GSRSLASASSNPLRTTQVQVRHSSQLQSPATQTIETDSAEKNPATHYIPPKTGLIASL PSSWIPYAELVRLDKPTGTYYLFFPTLFSTLLAAPMAGVAPLPVLGTAALFFSGALIM RGAGCAINDLWDRNLDPHVERTKFRPIARGALSPKNAILFTGSQLVAGLGVLLSFPAQ CLWYGIPSMPIVVAYPLAKRVTNYPQAVLGLAFSWGAIMGFPALGVDLLANHDAMMAA GALYSSCIAWTVLYDMIYAHMDIKDDVKAGIKSIALRHEHNTKAILSGLAVTQVSLLA AAGVAAGCGPVFFVGSCGSAVLSLGLMIWKVQLKSVRNCWWWFKNGCLLTGGGISLGL LAEYATQYLGLYNTTEPERVVAESTQ PEX2_059420 MNSQISPTPFTAQQYANDKKIHVLLAAATIKLPNIAEALCRNKN ISVRLLVTEPAEKFLIGQSSEELVLDNLLQMDGVDAIYRDKDEWSPLWTRGGPVLHIE LRKWAHILLVAPMSANTMAKMVNGIADNLLLSVIRAWDTTGVVDMGFKTQKPMIFAAL DMDACMYRHPVTEKQLKVVRDQWGWSESNPEGWVTVLPPIEKSLARGDVDTGSMMDWR DIVTVIQNYVAGSMQKP PEX2_059430 MFSRAVASAFRPVSRPTFLRPVRSAIQFPSGPSIPSVLHARLLS NETRSAIDKAVSSAPVVLFMKGTPETPQCGFSRATIQILGLQGVDPKKFVAFNVLEDA ELRSGVKEYSEWPTIPQLYLEKEFIGGCDILMSMHQNGELAKLLETKGVLVAADE PEX2_059440 MDSTPLEEQVPLPGQWPVDPQEDVPISEDRLWVDGCFDFSHHGH AGAMLQARQLGKALYVGIHSDQAILENKGPTVMSLEERVAAVDACRWATQSVPHAPYV TYLPWVSHYGCKYVVHGDDITSDSDGNDCYRFVKAAGRFRVVKRTPGISTTDLVGRML LCTRNHFVKSVKGTLAGLEGYGSPEERKASAVDLLQRIKDYATDESGLQPGPQVWTWT GSGSAKMEHFVEEAGLFETLVDGKGPKPGQRVVYVDGGFDLFSSGHIAFLRKVTDLEE SEGRKRGWYEPDQVEKRLKDYGEDYAPAYIVAGIHDDDVINHWKGLNYPIMNIFERGL CVLQCRYIDAVIFSAPFTPSEPYLKAIPWGTPDAVYHGPTTFIPLTYDPYTAPKKMGI FREAEQHAFQHVNAGEIVGRILKSREAYEARQRAKLDKAIAEDLVKSQEAAEAAKGAQ PEX2_059450 MIPSTFAPGLSRRDTTKSNGGYEGAYGIPPPVVSGGGLLGPQSA GAIYQHIHEMAAKRISTLDYLRKAHEGRVYWFNTVHFSRADIARLPYFEARKLSRRAI NYLLLGLSLPTILDVNSTPSEYVRALNALLLEFEAFQQVHPPDGTSSSSLARARIPQM FKRATHAGTKTRRASSANEIGLPMQTSDPSDLKSTAGNLGPASSASVTSFPLTESSDL HPGEEYTYLLTPSLPFEPDFFETFATLCDVLIDTYSRLAALVSSPSVCTVALGETFSK ADARLRKIMVAGAVREFEDASRNSVKSEVAGVSRVVLGGLLG PEX2_059460 MKVFSSGCTFDYSWDEVSTANWRKYCPWNDKSTHVVGVDTLSRT VDPSTGILRTERLITCDQSVPQWVLSILGGTNTSHVYEVSYVDPVEKKVTMCSTNLTW SNVLSVRETVIYRQSSLNPATTTEFSQEAKITALCGGWQKIKNKVEEASVDRFRENAK IGREGFETVLEMSRRVFGEQRELEKQSLQS PEX2_059470 MVELRKRKAPAPLPVAEKKTKPAPKPKQDADATDNDIEKSPASK VPEVDDTIDLETFGGEFETNEGETTTLKKLLEESKSGVVFFTYPKASTPGCTKQACLF RDNHTHLTSTGLSIYGLSGDSPKANTTFKTRQNLPYPLLCDPKASLIAAIGFKKSPKG TLRGVFAVDKKGKVLLREAGGPDATVDAVQRIVANASSVEDTKEEGDEE PEX2_059480 MPVTQQIEREASGSKSQFAPGHKIPIQHLTKPGLQSDMGEPKPV STHIPTEDYGYQIYKAAGKLEGKRAIITGGDSGIGRAVAILFAMEGASSVIVYLPEEE SDAQETKRRVEQYGQQCHTLALDIRKKENCQKIINVTLEKMGRIDILVNNAAFQDMLS DISELDESQWEKTFDTNIHSFFYLSKYALQHMQKGSTIINCASVNPYIGRGDLLDYTS TKGAIVAFTRALSNQQLKKGIRVNCVCPGPIWTPLIPATMQTEAMEQFHTVPIGRPGQ PSEVATCFVFLASQDSSYISGQCLHPNGGMMVNG PEX2_059490 MAKGQSKSKSVPVNTAKPSEQMALSSALSSPWGFLRPTNDLHTT VVDSAKHILDSLAGSVVDAQTARRQLNKKRKRSDLESDSVTQLQLKNLYVDGFTSNQI WEQATRILESTGDEIERDITLISQHGGYMDSGDSEELEDISDPEGAQSDSDSHESMLE DLSEGSGAEDDLDEESEQEVDMGSDEDMEDIEDMDDDNSSVGSAEGEPEVFVEDRFGL NDGFFSIDDFNKQSEALERQDATGGPEQAEDSDEEDLDWHSNPLMAGNASTIRSDNKS KNSRPTEKEDESMDDSEEEGPTFGNADLHGDSDSDDEDAADMEDGEATAWVNTSDIKY ADFFAPPPRKASNKKHRALPKTQPDEPAVDESDVKRAMDDVRRDLFDDGDADSAEEEE NLEGSADPTAPRSTHEKQRARIADEIRRLEAANVAKKEWMYTGEARAVERPVNSLIEE DLDFERIGKPVPVNTNETTEDIEELIKRRILAMEFDEVIRRRPGAEGQQAGRKPRFEL DDTKPQQGLAEMYETEHLRANDPNFVDTKDRKLMREHAEITSLWNEVSSQLDTLCNWH YKPKVAQASINVVTDAPTIMMEEARPTAGSAAGGPVGLAPQEIYTPGDSGRVKGEVVL KTGASISKEEMTREQKAKNRRQNKQTQKKADAAKPTQEKPGKAAEKQQMISDLKKGGV KVIDKEGRMTNIDGGSVHEGAKNRGDNLKL PEX2_059500 MIEIPMPTLPGPDLSPPSSPDGLRVSESLAPPRELKAAVTTDIS FDGLLKEPLLLKEDLKDGCGGQLWPAGMALAKYLLSRHTTDLSDKTIVELGAGGGLVG LAVARGCHLEQPIYITDQEPMFSLMKSNIQLNNLGANATAAILNWGEPIPSQIPSKPD VILAADCVYFEPAFPLLITTLQDLLGPDTVCYFCYKRRRRADMRFMKMAKKAFEMEQV RDDPGAEAYNRENIFLYTIRAKRLDQKRDTK PEX2_059510 MWDRSKMTSATPDSNSSSSPRGHSQNERDSSNRKKRKGPRLAHR KSRTGCQRCRARRVKCDESRPVCRDCHRHGIPCVYDRPAEEGAFPPSTGIQSRPPEPS PSDPGNDAHMELRLLHHFTLFTSATMPGAHLKRIKDCWSIDVPRLAFSYKPLLHAIFA ISALHLSKANPDEADLPDIHCNFLEQALREHRLCIGGITTKTADAVCFTSILLQIDVF ATLQNRHVVSYEQVSEWMRLVRASVAVFDAALEITRHNTHPPNIQCIIDTFPMPLRIY SDLGSFPFLLSTVPDDEDDETALEAYRGAVAHINATWLAMEAKEHPQISCRRLMVFPL FVTAEFIDLLEKRRPRALVVLAYFLALSAPLRDIWWIGDAAHKYIMTLQPILPAYWER LISWPVEMTISHGTHQTQLAIQPPL PEX2_059520 MTTLHYLPPVKPSAIALGTIFTHTASLGILAPVFGDTYHRAQAA NSKEEFLKSKEAAGAAAAWGSSLVGSAVQTYGVAALINATGTLSYKGAAYLGTLIFFA SSAPGIVSQVFSEKRPLDTIAVGAVSRVFETVGLSLFLTWWGTRTHPFD PEX2_059530 MARGIVNAAKSASNVIAVNKKYTVQSTGVWERIRRLLAIDPERS TGVPLNAQFRFPTPGSVPPLAYDDPVTLPAGDIADNPYWKRDVRRSYPQLSTVRQADA VSLLTVGSQASPKDDVLKLGQAGEQQLIAVKEHGEERGLAALFEQDKKSIQGVLGANG LPPNPCNINAAPKPSQSKYELGTENGYPEKYTCRTFV PEX2_059540 MGHPDEINALEAQPNYNDNSGDFKETEQDEPVQLRRELKARHIS MIAIGGAIGTGLVVGTGSALTAGPGSLLIAYCFMGFVVWTVMCGLGEMAAWLPLSSGF TGYAGRFCDPALGFTLGWCYYLKYIILPPTQLTAAALVITYWPKTSAENVNPGVWIAI FMLAIIVINYFGVKIFGELEFWLSSFKVIVILGLILCSFIFALGGGPDHDRRGFRYWS EPGAFATKYTGGSLGKFLAFWSTMVQATFAFLGTELIGVTVGEAQNPRKTIPKAIKLT FWRILVFYILSVLFLGMLVPYNSPDLVFATKAKSSAAASPFVVAMKQVAALPHIINGC ILVFVFSAANSDLYIATRTLYGLAREKKAPKIFARTNRAGVPIYALALSSSFCLLAFM SVSSGSKAVFGYFTDMVSIFGLLTWISLLITHIFFVRARRAQGVDEATLAYKAPLGII GSCVALFFCVLVAFTRSFGVFVHKPETYGDFDYKTFITSYIGIPLYVMAFAGWKLWKR TEIVKPHNADIWTGKAEIDREEAEYTAMMAIEDQNLSGWNKVYRKSLAWLF PEX2_059550 MCGGGVATPSDALDMLQFTAEEIRAITTIAKPSKTDVTAHAYTV DAIRHAVYNDIQGIEHGNFIEETATYGKEKGVTFTPILATYQGITQAQFDQFLDEFGQ KKNLEVLASGLGALKILQKAGAIICFGSDFLGGLYPL PEX2_059560 MLPMARPAISGALCAARPRFVASLPRAQPFAALSTSSIKSATAL ERQPSAAAQQLTASGKARREVPLPSQEKKEGAMQYALTTLDQIANWARQSSLWPMTFG LACCAVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPD PRWVISMGSCANGGGYYHYSYSVVRGCDRVVPVDIYVPGCPPTSEALMYGIFQLQKKM RHTRITRMWYRR PEX2_059570 MAGPKIACIGVIGKADNPLHISLFPPYSDSTIEFSFLLNSCLDI FDIRCKQTSIDQDLGLLHAIDERLAAYGWLTTTGVKLLIIVDLFGQEEASSGKQAGAA INGLRDSDVKPAFRALQSAYIQLLQNPFYSPDDHIPIPGNTASSLSACQPISNKKFVA DVKRIGDLWAPGTSL PEX2_059580 MLSRLSHPRGHLRLRSIVHVTSQVLSTPSRPTNLNVLPFKRDLH TALFFPGHGVQRVGMANTWIESFPHTAAKVLDEMDCTLGFKLSSIISDGPNSKLNKTE NAQPAVMAISVLILRILEQEFGFDTKSRVDVTLGHSLGEFSALVAGGYLEFRDALKLV RGRAEMMAQCTRQLTERSGETYGMVALLCEPEHLEGLLRTVQEFIGLESPGIEIDRDN RVPSIQQVVVANINSKNQIVLSGSLDRIKILLVQMRQFGGHDPRAVRLKSDSPFHSPA MALAADYVRDSVERINITFPASIPCISNVSALPFRSKEDIKDLLSRQCTDTVRWWDSI RYLDQERGVRRWIGIGPGKVGRNLVGKEVGRVDTKGGGVWAVCDPREMSEIMVALEQT EVETEDYRPELSW PEX2_059590 MFVPKAGGRNTRRRQRTSSDDSVKPPKAKRQRSVLRRADESPSD TNLGRELAKLATPTAPNADITPTDQMNDLHLSNRVVKQGDGSGVNNEGTIVLSSTDFY TVDQLPTLPDQIRGLQSGNSNSIAFPRLGLRFVDTHPLNAEPLKCFFGAGHDHALALT RSHAIVWPYSTPASSPSPSETFTVSIPESCRDPKGAVPLGVLLSTATGDHPGLLVIIP STGKVIYWETVSSAASLGLSRQKQNGIQGSTPGMLSGEYATEILNGEPSGIIVTFSSG RVAHITLRDPQGKPSVLVNFLRSTAGTGGGGIFGGIKSVFAGGSWRKEVTAVRAGGSH QRGQRDVIIATSTGLVEIWDTHWNHGNTLKKRYDLKDEILAALPEYHAQPTHDTELKV VDFAFSALQSSDDAVQLADGSWRLFIVVRSPQWLETRALFVVQVHLSGNESRVMSTHP VDLRHIPASQLEISKPKIFVPKPEETAFILIGQSLVILSLTSVEESPSSQLLLDSNKL PLPFQDTIHLRSGTDHEILGSISEDQSNDSPCAACVLMLRNFGVIRVTVLSRPGTEQD VDEPQVTAKHKLEQAVFFGTMAKNPLDLSSEGDLNFPPSEMEQASLEICRELLQSETR FIPTTAISLEQNLRLRAKALDDLASLLSRQGNPLSRPAKWELLWAAEKIAAQRAMWKI QEASKIKNGDEETFLGHVIGSMHDKFKTPINPQHGETDPVRQWFLRDSYRMEHIIPWI KNAIKLGRGNNSNPARALSEKILAASELFLAVTETAFRYRDEHVALYGLTGDFLEDGV LSDGYEDLPEFWTSRGVGYSEAGHLLDLELDSCRSWKTPASAADTPDTHVLNQVAKNS YRHLRVIGQMHLERTRWLSAQGDPKLMDESVSIEQAHVEDRKWQLFKLAGIDHLADAI ELAESFRDMGALVELIIELQDQKANQHPRTESQNDVSTNQTDIDADQLIAKYFDKFGD LWADAYFSRQIAMGYPGTLFTMRKYQSAVTRFLRSNPAYSRLSWINDVIGEDDYDTAA ASLESLAIGSEKDLWSHRVEISLAKLGKLATQERMDSPVVASTLQEDVKRLEDYVEVD GIQDILYMHLEHILQGAIDRKAELELALSQYGEHIFEDRPSLHEILSDALSTLVDRQV VGADGLIDILTLMGPTQLADDGESELSRSEFHLALQVLDYGRYGQRDPSYLAALQRLI WRRCLIKDDWVARGKAAEGPNGSEYSSIADTALYRSLTACLEEPRNAGLHSVYKPLSP AEALMTDSDSDILVSRFRPEQKARVASDLQTENDILRECIEVGKLDFWFQNLRETAEV HISPPK PEX2_059600 MGTRDNLPRYFAKSGPTDADPRKTKKDGGGKGNWGRSGEEMQDT DYSFANARRRSNSSTHGLADFNTKFEAFEPEPVFEEEALGDADMAAVNDNIVTKVESA SSNDSEGDHDGRKY PEX2_059610 MAVGKNKRLSKGKKGIKKRTVDPFTRKDEYSVKAPSTFQTRDVG KTLVNRTSGLKNANDSLKGRIFEVSLADLQNDEDHAFRKVKLRVDEIQGKNCLTNFHG LDFTTDKLRSLVRKWQSLIEANITVKTTDDYLLRLFAIAFTKRRPNQIKKTTYARSSQ IRAIRKKMTEIIQREASTCTLSQLTHKLIPEVIGREIEKSTQGIYPLQNVHIRKVKLL KSPKFDLGALLALHGESATDDKGQKVEREFKETVLENV PEX2_059620 MGKRKKSSSKPQGPRKREPLATTFSCLFCNHENSVIVKLDKKLG LGDLSCKVCGQKFQTGINYLSAPVDVYSDWVDACDAVAKDTANQYDAPNPSQLGQRGI SKQGISDETGQGDGYDDDY PEX2_059630 MASKPESAPSPAQGVGPLYQPDGEKPTATVSKEVSYDNVHVLPQ TPQLIALLTMIRDKRTSRADFIFYSNRIIRLLVEEGLNHLPVVEQSITTPVGRSYLGV KFEGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCMPKLFYDKLPTDIAD RWVLLLDPMFATGGSATLAVETLIERGVPEHRILFLNLIASPSGVAEFAERFPKLRVV TSFIDQGLDEKKYIIPGLGDFGDRYYSM PEX2_059640 MDNSQPRNRRLVTYGSATKSQPPLNIDKPVRSPAARTTPKEMPL PSSSRLSRPFYTRLRRDKNEDEQPSGSEETTTNRGLAQPKPIAESNVYDFPSSGDEQM SMVQRKRRRYSPNGNKSVPATKRSVKPSETENGDRKVSPPMRKRVPVPDRNKVVSATR RSVKPSETESGDRDVSSQTGKRALNGRGSLAKQPEVIQQPSPVRSAPYSRAGRSVRSQ TKVSTLENEMGVSTEESPGDAESVRKSSPDISQGYATKANITPGRRRLIDSLGITEHP VETSPAFETSPESPAGSQPSPNPIPPDRASPSAAVNECPTESYVQGSPASVPSNLRGS RVTYARQRSFLDDLIMSEDLSAHDASSGPKHRSQSVQRQLNYEATSTARLIVPNEDTN EDGSVRSIHELRQAGGNARYRGAVESIFEDIEDPQNSLSGRCNAFLQLCGKLLDTGLK RRFVECNFDKRLVDCLSMDLQVVPTILAFCAYALGSSDGHMSYVLATSAWPKLLEASP ALLGMQDDITVVARAQTSGLSRPLQKTLQNTTPQIAAMLFPDPASSRLSPCTLALYCL KSTISTMQTKGENPSLSTSLLKQLVQVLVSESQRCVSLEKIHPESSQILCMGFSILEA STALAESLTKEDWDILDPLSGLHSLLCSESGTISQIQPLYIRLILNITNSNPSLCDRF ATPEMVGGLVHIVSANFGDLTEDALGQANNSLDSVILALGALINLTEQSGASRSIFLH SAGTSKPLLDQLLHLFITHVDSISTAHSVLEVHHNVAVGYLAVLLLTLSLDLETRSKI KSSLAPNGLTAVISTVDEFLQYHQKIEQEISTFPTQGQPASGFLSRLQELITRIRLAE Q PEX2_059650 MPSAPKQRKIAIVGSRSVGKSSLTVRFVEHHFVESYYPTIENTF SRIIKHNGQDYATEIVDTAGQDEYSILNSKHFIGIHGYIIVYSVTSRQSFDMVRVIRD KILNHLGADDVPLVIVGNKSDLKPDHRQVTLDDGRQLAEELNCAFTEASAFLDFNVAK AFDLMIGEVEKSQNPSQPTGNNKCALM PEX2_059660 MLDLADFVTERGGDLKKIKESQQKRFAPEETVDEVVALYEEARR ARYEVTQMGSQINGVQKAIGMKKKNKEDATELLAQKAELETKKKELEEAAVAKEVQRD RKIRTIGNYVHESVPVSNDEADNQLIKTWTPENAEMQKPGCLSHHEVLTRLDGYDPER GVKIVGHRGYCLTGYGLFLNLALVNYGLEFLFNKGYTPNQPPQFMLKDLMAKTAQLEQ FDEELYKVTESEDKSTDKYLIATSEQPLSALHDSEWLQDKDLPIKYAGYSTCYRKEAG SHGKDAWGIFRVHQFEKIEQFVLTKPEDSWQAFEDMISTSEEFYQSLKLPYNIVAIVS GALNNAASKKYDLEAWFPFQQEYKELVSCSNCTDYQSRALEIRYGVKKATDLKKTYVH ALNSTLCATERTLCCILENYQKEDGIEVPEVLRKYIPGAPEFLPYTKELPKDSTSTKA KGKAQKGADDATKKMQGLQV PEX2_059670 MASLTKSQKKNRKEKKRRVRRATEAAGNAPPPSDQEVNRLWTIA YEAIEKAIAATARNSAAATTTAGGDLGGPDRGGGQGALQGTTNQAVSEPLTGGPTDSP SPSGPAKVSLPLLSLSPLNQDILSEIQAVALCTEW PEX2_059680 MIGAHVGKEKTGRQHLQAHNLQNEGNDFSRDVTILFAASGDLRN VVKTISEIPQAFAQRIDVTLNDRDFHIVARNTIMLLFCLTALEITSISPGGFTNAESL IHLWYSASLPEDIITQLASRVKPLFTEVCGQISTKATGEIVERTWNFSHNRSLRLALK KEEWYRLEALCEVPSDLTQQKAFHIRTATTLAPERRDFRDRWYFKDATPSIRVLKQKF REDGLLLPFGHDRTGFDHPNPTFYLPPFVWPMDDKAEPLDGWPIREVVRVRTMAKEDL YGKLYIYLQRVFQKFLDHLARIEINFELLNMDAIQLPETLQENKYTRIEVSNITDAGY LGTRETLRLLSPLLQSPHDNTHATIISAYLNAVMEMVSGGDTEDQTPNIDLLTGFLPL DIFSLLRPESANSLKFWDARTTVLDRQKFFERYTRVFRFDRISADLQIAMKGLNTVVK AWPTKPILKPGQQGSQDEFNILLGSNFTCVERLVEWRRTK PEX2_059690 MWSLEDQGILELQDKLKILNPGASINIEYLRDKHVLVVTGQFDE EFDIEITSLLATYVEEHRNDDLLEMPRVRQLPISTYFDPNPLAGYGSDAKLEVETLVE EIMPTQPTSDEPIVKFWYFSQAGLGPITHDSDPLLSRISEMTETQITVQGARGLRISA QHMDNIEEAMDVLDSLEECLDLIENQNRGLIILNPKRDSATYRIMTYSQISATALWRI LIDRNASLRLPRLMTLVVCGFDELANEFRPLRKLRQPPQVALKEAGITRDWEDYHFPE IGPKNDPLLGEINRHLPPKSDTSQEKSDTQHPYLSTGKVKDVDKWISRGVAKRPLATD SHKKAPSEDNPTSPELVASKPPPESSVSAPRDQKQSGFKPNPAGVKGRAVMLPDGTIA TSSQSRQEKPTDTARGQKPSVFSRLSYRERIRNQQAAESSVSIPQQTGPVSIVRSAAD STGPNAASKQSATMSGETGPVASTYSTPNQAPKSIATPRLPVLSTHPGAPPKKLRETS HAADLAIPPTPKEEKTTNALHRPIRSISPRVNWAQPLNILEADSQVNTKPQGRSVDSV MGEIKLIIETAKTTRETRMDEVTSKPKISDQSPPSTPSTRLNLSKEDTEDLGPLIDLD CEVKSLNSGFQHIVAFDPLGTLPSSVPEQFTDVHSQSQHVEVAISTPTVDIFDMPNID GTTIQPQLSRSISPCHEPTQDPMTLRAPTPTSATRENAQPGLAPGALLPESEVADSHN ESDVLEDEQKPKQTPEFRSKADEVALEYLLKQIKENPAPEIPSIEEIALPLDATLAEP APRGLLCISRDNALRDSIQQRQHPDQMSEKVPFLHPDLIDISPQMLSPRSRCASAQQS DSKNEEQDAETKVDENLAVSDETETREFRETMFHQRPPDKAFQWVDEVTKVVRRAKIE VHGANTWQRKGTSESNAENKEKVETPIVNFWQRRTGFKPTEAQPRKVIDLPTKAVVKS SREKRQIHESTENLFRFLQPILDSVRSFPGTLSFQIQFGLMFMPSLPASTKEKEMSYR EVHQLFFSKNNLTPPPISLFERLTSSPADIDYLIDLEANQSRLFDQKYSHRGVKYEFW CRVGPNRTIVISVNEYGHAMIRYPEVSLGTVHLNFPSQVWDAAARVQGFIEYITGADR DLDEAARTMAKSIRIEPNNKHLRMLVRLPPASKIKIEKVFMERWSRHPYRSERSKDLF LQISETQELFAIPSILDPGILVYQNAPLGKMVKDGKQWWQASIGSSKVDGILKSNSFL EPGDCNESWCATDLLGADVMNVVPSTANQELSTLGAEVGYSGIGAMFQLAKIVVQKID AVGFWNRGPASIERRTNTSNDRSNAGTGTIKESSASLEKQLVPWAPPAGIKEKHKW PEX2_059700 MGGFKRVMKHLSPSKNLIPIAADPPIRAEAPQVNLPHVDTQLNM HQHNGFLDEPAPEGQNGNYNQASSSPSSHPNPSAPPADTNISDPPTSEWSAVGHAATG KSGRVIHNLQEEIARLTRECTLYKSRAEEYQRTNEAYKIQQQNMNERLRNLEQVNETN LNSIARKDRKLEDLRTELQHERSKRQDAEMNANQTNHTMREERENHNREQARSLEIAK HHETQCEVLASATKREKAEFAKRLNAIWAEFTTIANAQKTHIHSTERLEVLADQKNRE FDSLKETYEKLLSQHSAYKEMKDNDFRETIESAKSNNDMIAGVLAQVKETETEMKWAI RLNESRQSTD PEX2_059710 MHFSNSVIAVTASLVSLGLAADPLSFTSWPKDPLQPGKPITLTW IGADPDLPVTILLRHGSSGDLQDVKPITTSSKGGTFTWTPDNDVKSGDTYAFQITQKD QTNYTALLKSAGKPGADIPQAKDTNSETGTAATTAAATGTTTGTSTGTTTGTTTDATT GTTDTTSTPHTQTTGGTTEATQATQTTGTSTASKALISSAANPSGSPSSSAAASSTDS LKATGTEVVNGREASSTGSMQTGAASIPQYSVQLVMGIAGLLAYLV PEX2_059720 MPALVTDSSSKKRKSGKSASGPSSKRRAVAEDNFAEKLSTIQEL ENQIAESRKGYNNIATLLSMLNVEKSAEKPEMAVAVSLCRVFSRLIAGGNLTESSRAA ENEKIVVAWLKERCLDYQNALVAIMREADVSSQIAALTLSMRIVKERLAHIPGAENNV WSTGFFKDIFAAIIEANNAQDLQTEAITKFVKEYEDVRYYTFTQMADYASTKRSPEVL ETLIKILQTCDEVPGAEHKFENFYAKRDTKNKRLVSANSHRKQAQEAWLAILRNNLSQ SQRKNLLRMMVHHIEPWFNRPELLMDFLTDSYNVGGATSLLALSGLFYLIQEKNLDYP QFYAKLYSLLDSELLHSKHRSRFFRLLNTFLSSTHLPSTLVASFIKRLARLALNAPPS AIVVLVPFMYNFFKNHPTTTFMMHRSIRDKDELAQVEKEGMDDPFDPNETDPNMTNAI ESSLWEIETLQSHFHPNVAAIARIISEQFTKQSYSLEDFLDHTYAGMVTADLGEEERN LKKIPVVEYQIPKRIFTDRLLAEDGGVDSGPGCFMRDLWEF PEX2_059730 MASRLAKSAIGASRLRPALPARGVPAVTANLTSSRQASNVPAEE PAKKAQSILNAIPGNSLVTKTATLSAAAGLSIAAISNELYVMNEETVAAFCLLSVFTA VGKYGGPAYREWAEGQVQKHKDILNAARADHTNAVQQRIDNVSQMSGVVEVTKQLFAV SKETAQLESQAYELQQRTALATEAKQVLDSWVRYENQVKQRQQRELAESVIAKIQKEL ESPKVLQQILQQSVADVERIMASKAL PEX2_059740 MPSLRNILHKRDDLSTTQPPQNALATPTAPEIKLIRTDTHTQEI IIPPSDPNDPSPYLSSPVQEEPTSPRRSFQLFNRSPRPSSSSSQSSPHRERRLSNLLH LDARSRSNSRDSSANIPADLPQIDDDHGASKQEREALWEKRATVLVQQNPQFAHSGSS LPPQQGEGEASLGLGLGLDQARSRSSSQSRVGIDPKVDINIQEAIRLHEAGDLERSTQ MFGQLADPNGANNPLSQVLYGLALRHGWGCPVDPTRAVTYLSAAASNSAAVEAEALRA GVKKGGVAKGELVLAMFELANCFRNGWGIAKDPPAARQYYETAANLGDTDAMNEAGWC YLEGFGGKKDKFKAAKYYRLAEENGCPTLGNSWIWKDKYNPK PEX2_059750 MEDKPTARAISLLASPKPWWKTTHLIYLNTIIASLILFSSTFGY DISLMNSLQSLPQWQTFMDNPTGIKLGFINALQSIGSILFLPIQAWSANRFGRKPTIL AGYIFIVLGVALQAAAQNTNTFIYSRLLIGIASAWFQCAVILVTEIAYPSHRALVTAI YMCQYYVGSSLSAWISFGMRNAQSSWAWRVPVLMQIALPILALPGTLFMPESPRWLIS CGRVEEARSMLVRFHAGGDEGSQLVASEMEEISQALALERKNQLEARWIDCVRTPGNR YRLFLSVSLGVFAQWNGGGVVSYYLTLILDTIGITSTTDQTLINGFLQLWNLIMSVVG ACLVDRAGRRALFITSTVIMLISYIFITALSGSFTTTGTSAVGTAVIPFLFIYYAGYD IAFTPLLLAYPAEIWTTSLRAKGVAISTISNYIALVFNQLINPIAFERISWKYYFVFL VVLLVVLVVVWKTYPETRGRSLEDIALIFDGEEARVTANDAIVTAEKGHHRIIEHRS PEX2_059760 MGLVTGSLVKREKILATFGNPVKRWASENDTTLPVSDFLTDIEG YRSFSLLVSREPEAISDIIEEEEEEAEMKGEEKIQAGLEARKIKILRNTTVTHEVVLV PEX2_059770 MSSDISAQVDVGSLSLSGLKQVAGILSVLSADDVQPMAMLQLQD LGTLFSISGPVASKVPDYLLRCKSVRIERLGYLVGWRKGDSASLMAQSTGGQAVALLS VCLWSLYQESTGDILHTISSAILPQSARASSPGILERAAKILADKLQVVGFGTILAKQ VCRIHDAYENLKERVPCDILESLSQDWMAEFLIGVSRALREENAILRVRGCYGLGYIS ALMVTLFPDDCTVTIEKIVVHVGKNTSSITVDIVGPSCGTLPEVHFMETVESIADVLL NPGKAEYRYRDKFATDACFSWEGHIAASLRLELQHKGFLYSSEVVELVGGLDPEILAV SILGDSYRAKRHKRCEIAMGVQLPSTWPPLGKAMKLLFEVKSSELKSSPHWNITASSI AGMAVGATFKALFFNPHEQVTVKGIFSQEQSILGQFGEWTDPRNWSSDGVLEAIFPDL NRKGLDKTIAKSLGASTYVPSTYLTLRDDDICHYRSIEIFDGPIIFNGRYYGEVNNPT IEESNAGNLCELGEKANIVPSSEGNMEGLVVALTA PEX2_059780 MYIKPRASSPPPLLTQFQLESYYKLSYTIVSLVFLSPLLGYATA AIVNERAHCAIGRRGVAFISSLCHLIAYILNCVHPPYPVLVVSFIFAGLGNGLADSAW NAWIGNLDNANQLLGLLHGSYGVGAVISPLIASLLVADAGLPWYYFYYIMIGGAAIEA FVCVACFWDSTGASFQLAKNQQPNETSERAGLRSVLSTMPFARITWICSIFLLGYVGV EVALGGWVVTFMKEVRHAAPFSSSMTSTGFWLGITLGRVILGFVTPLIGEKIAISIYI SLEIAFCLILYLVPNFYAGAVAVSLQGFFLGPLFPAVVIVTTKLLPKHLHVSAIGFAA AFGGGGAAVLPFIVGILAQAKGVEVLMPFIIALSGAMLFLWNFLPGVPRDSSASANNN RGTL PEX2_059790 MSIGVQDSPRTMIYESQTLQHENQPSSSYQSSCQIANEKWNHPR SNIAKTLATFWSFLIMGANDSAYGVRSHLYIIYTVVGI PEX2_059800 MGHDIAKRAIVVSCKATGLSTTTISELSGLSTRTVNRIYERALA NGFDPNSRPWNISDDMLADAPRSGRPTKQTTDVQTQVLSKVQTDENGREKTCTDIAGE MSLEGHDISSTTVWRILKKAESQKKAPTKSPI PEX2_059810 MLRSSESVEAFFHGDVRSPQRLQQKFQGILRTVSAFARVDSVIN SPSWSAAEDAPPDSCHEKDVEDGFIMISNRAQARGTHNEADTIDNLIESSRQFKNMLM RHETQHETLDYTAEKDFTGSNLHAEEVLGVPGDRVRSGLQTLFAVLVQQLQCCNRGHF MRLKMNGFVDQLNMQSKLFFDAYLSSEYIRTPPRWVRSKCTIATDAIANAEDHDCGFI DKAETLNKDLSILLQETLEKSSGDDPQEQFRVEYPGSQTAKIQLDGPYPLKSAAAPTK SLSELLNCVSNHSKSSARIDTFDRDMMCLNLALSLLHLSTTGWRRASWRTDCNTGDGI FFLRDPATQTIVDITKPYLSYALNDEVESEDDDGLSCDPQLLDFAKLILEIHRQEIIP LRNEGSKLSKRKLLEDILKLINDVRKFRGGDQMFKLAVKACLDAAGKEAAQDKSDKYR LQRYIFYKIVRPLDKYAAFPEFSTSVLEDSSVQNSEESQESPFDWETGHDTTEDKLPK QWDDKLGHGTMVTRLLMRVSPEAELFIAKVSSDTEHCIPKNKLYCIAEAINWAVQKWD VDIITMSLALQEENPDIDQALNEALDPSYDGATRKIVFAAAGNNSGGNARRSWPARKN NVIAVHVTDGLGTGVNINPSSEGDLCFATLGCGIEHTLWTEDGDTNIYISGTSFATPI AAGIAANVLEYARHRVDNLTETRKERLYSGGCMKKVFEAMSSKRGDCHYVQPWTFWNE RFRGGWWRNTHFPIDNPDNIGEALKQIIAEY PEX2_059820 MGASSPEYHIVGKRVAVGRLLLGTIISNLDELFPINKGEELLID DKDLDHFHDRNSEISRDAALAGKAGIAAKALAFYPAGGEAGVDAERSSKDIYSIPDVY TWQFDPEERDYLDAMESEKVQKFLVINSYRPVYIITGLKLSPGMSVDLTRVKKTQGGL ELGVEVGTAVSIGPKLGFSKAINVGQRGEELTERIFAIRVRRLRYKKIGGVLGFGGSR KLINQQHNDGAELVGVHRPKQSQGQMLGHSYEVTEEDDTEDEIGEDRRIHEEEVTWVV PKS PEX2_059830 MHYKSDIAGIVSHCLQLFKDCLSEDLEDDILTILEDQQRCLWRW ANSLKVFAGPPVNLDAQLRQATSDNTREMVLLLLDVLKDNLIITNKPKNIETGELIDW KKKENAEEFMVGQESKKFVLREDDFKVPFFGIDGSLERLEKLATAILEVTEASVHRRV YAYQAKNQDKRLERDVYLYLLGEFPNLVPNHAHRKSMLFALGKAKSSDEDRSPQDFQA IFRSSWIPGLFRALSNSVLFRHYRIMYEQERRLKGPNHHISTKGSPRLAERTLDPGLR REHTRQAQPSLSPRAPTIQNYTPSKSTKALTIDESNFDGRIKTAKYHHLAGTPSDGAY SVLESGHDIYPNPPQLPEGATEGVCTLCRQSLPASNFEGNQWSAHIEQDIKPYVCISE NCEQIPSYFVKIQEWKVHMQTIHTPQWIRYIHNPLSWKCPEPECHSTSTIEFASEKEA QESLINHMKQEHFELDEDELHHLASVSIIPKPRAVDICPICGDDHKPKNPSQQSSDNF ESKNSTHRATPQSQVARKGKVAIFDVPGASGSEDDTDSVDNPTRRAQPRPLGSRGPQG NDHSRIETHIGRHLSNMAFHFSSRLIKIQGEHSNALQEPGADSTAQRGFQRVHTEQDK EQLVCIEANREQLGGEWEGDRRPTEDLEKTTLVLPQVEVKNKHKAIVRRLLEAESGDF GMKNINGLPLISWAALNGYEAVVKRLLDTGKVDPDVKDNLGRTPLWRAASGGHEAVVK RLLDTGKVDPDVTDNLRRTPLYLAVIGGHEAVVKRLLGTGKVNPDRKDNLGRTPLWRA ASGGHEAVVKRLLDTGKVDPDAKDNLGRTPLCLAVSGGHEAVVKRLLDTGKVDPHVKD DLGQTPLYLAVSGGHEAVVKRLLDTGKVDPHAKDDLGQTPLCLAASGGYEAVVKQLLD TGKVDPDAKDDLGRTPLCWAASRGHKAAFKRLLDTGKVDPDVKDDLGQTPLCWAASEG HEAIFKRLLDTEKVDPDVKDNLGRTPLYWAASGGYEAVVKLLLDTGKVDPDAKDNLGR TPLWRAASGGHEAVVKRLLGTSKVNPDIEDRFGRTPLLDSTQHGHHSIANLIKKEPRK KKKSLVY PEX2_059840 MEKTSDRFRMPLYQLVLSQDIITDDVLNHEWEGNGTAEDPYLVD WLHEDARDPHQMQDWLKWSITLLQAASFLSITFASSALSAANPQIQERFGTSTELVVA DTSLFVLAFAIGPAIWAPLSELWGRQIIYFITYGLTTLFAGATIASQNIATLLVLRFL AGAFGSSAISNAGGVVSDMYTARDRGLATIAFIGAPFLGPSLGPITCDFLAVSKGWEW VQGLTTIFNGVVFLVGVVFIPETYTPVLLQKRAAKLSKLTGAVYKSRLDVGNANRTAG QIFTKTMVRPWILLFLEPIVLLLSIYMAIIYGTMYMEFAAFPIVFEENLKWPQSTSGL SFLGMMIGQIFGCAYSILDDGRYKKLADNSTYGRPPPEARLIPAMVGAVTLPVGLFWF AWTNFPQIHWIVCEIGTVLFGFSHVSIFLSVVNYLVDGYTIYAASALAGNAVIRALFG AAFPLFTTPTYQSLGIHWASSISAFLAVACLPFPWIFYKYGPAIRRHCQYASESGRKL DEMTDRMRAQAEQRTAKQNPQNQTDSGPGSTASPDERNTGLAEDNMLA PEX2_059850 MSELSFESQESKTVSYCHDCPPQYDSCQPSQDVLTSVIENEHTR RILCQRLGNMATELLDLGEKKLTDETDYLMITEYFLEMDRLYTEGMQISKEMANVYKE RTVRNTELYTAMLRLTVSEFDRTYASSRGVPNRQVRHELAQDHKGHADCYDRDCGHNS QRSLGTKQMEGEARDCTCDSPCSDGRFFELSQKLEKINDKLGQSLQSHDMANVSKTTH DAPTKPPRSFWKRIVKH PEX2_059860 MKATIFILTFLSVLGTLANDLTKRADRGSETVSGISSRKQSILN AGGNTLDLAIAMLETETMTTDYTYGDGKTQDAANFGLFKQNWGMLRVCATRYGLAGQP ESSWNNGALLNNNVYADVASRWDCQEYYGVDKWFAGHRNGASGLANPNTADINNYKNA VYWIQEQIDSNSAYKSDDTRFWVDVTPI PEX2_059870 MQLLSLFGPLALMAAVVSAADRGSYTSPGIGARKKAILDAGGNT RDMAIAMLETNTMTTDYTYGDGKSGDSTNFGVFKQNWYMLRNSASEFLGQTVAQVSNG AILNSDLKKDIQARHDGENHFGYETWFSGHRNGESGVNNPGTADIQTYINGVSWIQQQ IESDDKYQSDDTRFWVDVVPI PEX2_059880 MGVPKAGMEFMMSLVSKYLRYYAGYADKISGELYPAEDGVYEIV TYEPLGVCASLASFNATFLYVALKLGPVLAAGNTCIFKASEKAPFGALALGRSVYEAG FPPGVINFVLGAVETGKLLASYMYIACINFTGSVNAGRKV PEX2_059890 MKFSNIVLAASAAAVAYAHPLGKDTVPTEQRANLEKRANGFTWF GVSESGAEFATSKIPGTAGQDYSWPKTSEVQILRDAGMNIFRVPFLMERLVQNGIAGS LDATYLAGLKSTVKFVTDSGAYVVIDPHNYGRYHGNIITSTSDFKTFWKTVAAEFRSN EKVIFDTNNEYHNIDQTLVLNLNQAAIDGIRAAGATSQYIFVEGNGYSGAWSWTTDND NLKALTDPHNKIVYEMHQYLDTDSSGTSESCVSATIGKERLQSATAWLKSNNKKGFLG EFAGGVNSNCEATVEDMLSYLSENSDVWTGAEWWAAGPIWGSYMYSLEPKSGPAYSTY LPILKKYFVSGTASTPSSSSSSSSSAPTKTTAKPNTAKPDTTGEASKHYGQCGGMYWT GSTACESGTTCSKQNPYYSQCL PEX2_059900 MDAALHDAVTQFIERHPESRRLHKLSLESLPGGNTRTLLHTAPF PVFMRKGEGSELWDEDGNKYFDLVGELSAGLFGHSHPTLQKVLTDTIQNVGLNLGATN IYEQRYASLLCERFKLERVRFTNSGTEANIHCLAAARKFTNRRKIIVFRGAYHGSVLS FGDSIAENNIDQADWILLQYNDSKGVQEAFSQNSDIAAVILEGIQGSGGFISASPEFL RTIREESEKAGALMILDEVMTSRLALGGLKETLEVKPDLITLGKYLGGGLPFGAFGGR QDIMDVFNPLTPGALVHSGTFQNNTLMLHSGYAGLSEVYTAKVIQSFNAQGDDLRQRL QRVFAGSKFCVTGQGSLMCVHVTKIGLPAEQITCRDAVAAVEATDLKQLFWLEMVNAG FWVQNRGTITLNIVMSTTALDAFVKAVEEFCERYRPLIVV PEX2_059910 MDDDMDFLDPTKLEMSTENAGGDFDDLFARATTSRPNGVSGPSK PYQEQNPLRQVSTLAADSPAESPDDSGRSSSSESPRNHLRQTSIASTNSAAHSENPLA STSYPSEEWMRPELSSVKEESPFNIDPSFPMDGGFSMDPDLEVSNKAMDAAFDFESAA SSPSPLKTDNGSLPRPQKRSKSQLWSPSSNHAGLESIDAVPSVHAPGSPFFAFGLNGQ SPYSTAMPQDMDRAAPQWGGHSPSSLLEESFGGINMNRQSPLHASLSPAMNFGSPASY QFPVNFASSPAQPQMNAHSLQPVLTVHPTSLKSRVETQIPIRLTLSPLPTGVKKLRLP SHTISKLKFLAPPATEPTRDTLQLYTSLVCTSAMQDREKIKRAFARTRGDHYQATSDD ERPLDGGDVKICSGCIQRERKRASRKKQRKPEEDELFQKDEEKRVIVFNTSEIKEWTE PAKNSSGGYGDRLSVPPGSMQVELPMRIACYCRHQNEKLGFQVIFTVKDHLDNVVAQA ITNSIMITDDHKTQAPSAPAPTGPSPSLADGTQVPGVGVFPSGQNSENGQNSLGAPQS PTDLQGLQQRFNSQYQLTPSSFAAPGSTNGGHVPQTSRSLSRGASPSDFQGPQSKRRK HSSSGRLPSELTMTRLEAPQSSASAMNNAAQLAAARGFASPSERPFVNPSAMSGQYGN GPPTPNQNNDNNPFFNPTPAQRQSLDTLAGGAVASTPNSTHPSRPGTPGGSNRNGFHD SNIPMGLGANSSSQVWPPLNNTPNRLPSVIHKLVPAEGSITGGTEVTLLGSGFYPGME VVFGDTLATTTTFWGDKCLNCLTPPALQPGLVSVVFKHEHPTFGQVQQSQPLIPKQQL FFRYVDDRELQMYRLALNILGQKLGSQADAFHTAQQIMGSDPNAFFNMQADMQGNSSG GQQRQVPGLENQGKLGDLDSKMLTYLEFIDLDDSPRPPRYNSRSTTGQSLLHFAASLG LTRFVAGLLARGANPDVQDNTGNAPMHLAALNGHAHIVHRLRLTGANPNARSVRGFTA ADLATTLPAHQAALLPSRNYRSRSVGSLTSRRRQSSSASLSSMWEVSSASGSLGHAVD DSEDLDDSEETTDDSDSEPLQFTSSRRSSMHQDVGPPIMDNSEQTVAPGDAQGFAPPA PLIAWRDQLALQINQFQQSVANAFPNLPNLPALPPMPPMPAMPAIPAMPVLQDYQGQG MMRRITNLVPHRPAARDGWWDYLKGTSAPNPNQPPSYDELFPHPDNTDESDMKKSSLI RAATEAAIDQHFEAQSSAAAAAASASASASASASLAQVEKDDMKDITIGRNVISREQQ KHLREQQARRMKGLGSDRNLYFIWIPLLILVICAWGRNYVPGIWQGVMDSFEFVKDRY TQPAVELGI PEX2_059920 MSISKIAGLLLSSAAMVAGHGYVSGAVVDGTYHGGFIVNTYNYM SEVPANIGWSEKATDLGFIDGSSYASSDIICHKEATPGALSAEVKAGGKVELQWTEWP ESHHGPVITYMANCNGDCSTVDKTTLKFFKIAEAGLIDDSNVPGKWATDELISNNNSA TVTIPSTIAAGNYVLRHEIIALHSAGELNGAQNYPQCLNLKVTGGGSDAPDGVLGTAL YKATDPGIEISIYTSLASYEIPGPALYTGATSGSSSTTAAATTAAATTAAATTATTAA STVSASPIQSSSRHLTRTRTARPSYSPTGTPTPSSAPTSAVSTSTATEEYTEAASTIT ETATATASASSAPQVTDEPDTQTASSPADVTITSTASGQSAQSSTPASGNDDTESTVT ATATATAAPTAAASSSSSSGSSSSSSSDYSTYLSSLSAEKLLETIRSTLKWLVSDKKV HARALAY PEX2_059930 MPNSADTNEAESSSTPNPGPSTEPTIFSIHESAQHRPDNIRRED TARRTEIYTDNPGHMSRLTDAERQRLEALAAESRGGAIFVTYDLVHRIDTLVADGTRR TDEALMQYLFQDDIWETVDTGDMNDTQTRGDGRNGNGNGSQGGERELKLSNGTGESHE DELKRQ PEX2_059940 MKVTHKGKITIDRWTLDETAGRTSVHPTQTMSITRSRTPNSNNH RISGSMHIKFEDCFLRDKRNSESDFVLSNQDLTEIAEAVWEWLADFELTIMFKKKPTI KNLSPLRSSDRRKIADQIIKDYQIPVTSNPTKTDSSAPALSLTSIRNALLPDNTQTAR FTTTAGPDLRELQGIVYVGTHPDGDERVLWFKLEHGPGADKRLYPTVYTLWYNPNLVP LLYTPEFVMGKLHGGADLMTPGLANEPPFPERAVKGAVVAVASLDRHTVPLFVGICEI DVSALGEVQGTKGHAVRGLHWEGDELWAWSSASLPGRPAPEYLEGWDEEKTEGVENQM EELRIEEDGATTASGDIEIPSNDPTASEEPVTEEEAPTTEEIDEAFVNAFVYALYKLK QDNPSATDHGLPLPITASALIANFTTPYLPVYTPQQAQHYNIKKTSWKNVKKFMKHLD KSKLVKTKERNGQETVILDVDFGDHRVERFVPYRLPSPRALENSKAAAPDGKKSAATD GSDPSVGQTITVQSLYRPSGKLVPTIFPALPASDTNNFYKYSEVSSHLDEYLESQNPP IISKENRRIITLNPFLANTIFNSSSTEDKGTLARGKATRDGLLKRLMDDKTLLSPYHV ILKTGQTIADVKPKAGTAPKVHVTLEKRTGSKTVTKVMTLEVFGIIPSLLAQELQKKC AGSTSVTQATGAPKGIMEVLVQGDQRKAIETALVRRGLKPQMIEVVDKTKKKK PEX2_059950 MSFKATLEETAAGWILDLESRSLDTVVSRWTDDIHYSLHPDTEG VFPMTKEQFRTYERAAAFFGLLKSFKITINEMYTDIEKRTVTMLCSSKGELEAGPYGT DYVFVLTMTEDGKMIEKLSEWPDFHKVQAILSKTV PEX2_059960 MAFSVPSNLPAHHAATLTVVLMTAADAIFNILKFPLPQENPGTK TKGPLFIWASEITAALRETGYEDITHGFDTIGDLSGEGSANTMAKYTAENTELVLVVM QQNQRFKMPLAVMNADVTLHPRGLPEPITIPARLDDHQNAWQVLQWAVQNCGAKFRMP AVEVFVGTAEESLEELTKLDDHKRGFGKLVLQHPLA PEX2_059970 MPNPIRDIQTIDNTHPYIIYQNVSVPLRIGGVIRCNLYLPRGVT EGGRYPVVATYGPYGKDVPYKDFKISSFQDLNPEHQTEHSSWETPTPSYWTRHGYAVL RADELGTGQSPGPLNQLSSTTFDAYQELIEWAAQQKWSTGKVGLLGVSYYALTQWQVA ARQPKGLACMIPWEGLSDYYRDGERHGGILSSNFLRIWYNRQVKTNQYGRPGREAEKR GPDTVDGDLTQAELLANEWNAPDDSEQPRFRDDERWASINYNLEDVKVPFLSVANLGG IGLHLRGNVEGFTHAGSKLKYLRFIVGRHDLPFYYTESVELQRSFLDAFLKSDDRVGW STGKLPAVDLVLRKGDVGVNNAEAELTFPRRSENEWPIARTKYTPIFLTPDNQMTFEK PSAELSKLSYRALGTLKSPELLTFTTPPFTTETEITGHIVTHLNVSVTRDAGCPPPSE IDLFLTLRHISATGKEIFYTGSSGEGVPVTRGWLRVSMRATKPDHPRHRAWLPYRGYY STDVLPVIPGDIYSVDVEIWPTNVVVEKGGQLVLEVSSGDTRNSSIFQHTHPLDRPAS KLQGTNHVHFGPNYVNYMSLPIIPSRD PEX2_059980 MMKALQLSKELEASLPTLSLINLPIPTATPGLALIKIQYSCINP SDRFNSVGGFPKTIFPRIPGRDYSGTVIDLKHESDAMRSKWIGKTVYGTGGAELGFKM DGPHAQYCLIPENMLIEKPDTISLVQAATVGVPFTTALRCLTRARTTPDDVVLVLGAG GAVGSSAVQVARAIGCKRILTATRNKRDNPDILLDGDIAAELERKIPSLTDNKGVNVV IDTIGNLDVMNAAIQALAVKGRYAWIAAPKGGGSTNISLDVFAAYRKEIELVGCNSGL ATTEDTAEEMQILTRFFEQNLIQARPESSMNVVNLDDAVEKGYKSAPSAKPTVLKMD PEX2_059990 MSDERAEAEFHEKSPNEPNGHHPDVEHSNSHQGDLARAITNQSA IEKPKSLLRESIFIGVVCAAQFMTQAGLAIAIVPIHIIGDSFHITNPGQLSWYAAAYS LTVGTFILIAGRLGDLYGHRLMFIGGFAWFGLWSLLGGFSVWSNQIFFDICRAFQGIG PAFLLPNALAILGRTYPPGPRKDMVFSIFGATAPGGFAVGGVFSALLAERAWWPWGYW IMGIVCIAFSVIGFLIIPKSPSPKILEQTPWWVKCDLLGGLLGIAALILINFAWNQGP SAGWSTVYVYVLLIVGFLCLALFLWIELHAICPLLPREIFSEDVAWVLGCIAAGWSSF GIVVFYYFQFMEVIKGEAPLLVLAKWAPSAVSGAIAAVTTGFILAHLRPSVIMLIAML AFTGGQILLATLPVHQTYWAQTFVLSILTPWGMDMSFPSGTLILSNNMPREHQGLAAS LVNTIVNYSISIGLGLAGTVESQVNRGGTDTLRGYRGASYMGIGLAGLGVGVAIMFVI RSLTRRDKTHSQNTADNSNMEEGL PEX2_060000 MESIFQRAQGHWQGQLEGYPFEAVITGVIALGSLFSNYLGQEKE LEIVLHAKNVLDDPVISRRPSQDLVVAWILRTIYSRAAGRPNVAWLQSATTLHLIEIT GIHYDGAPLASSAESTNTSTSDGPDIRARITSVAQSLHIMIAYDYGKSVIHLDSTLYH HIRPRQGDFTLQLAELTNKISTATTHLDPISNQTNLLQAMEQLMATPVDHDFLLLARA ELCFAIHRRLHIMGFGLTRQQTKLIVEAGATALPAARRLSAQLHPWWNVTNALFQFVC VCLSVGTTETLTQIQPTIETFELVIQHFNTHLTQEAFSTLVLLTGACQGEKQKQADLL RFAKGARSENDASFRGQGDLAMFDPQVASLFELYPESPFIDLQTIFNI PEX2_060010 MKPAWELHGGLSHRLRGKLESAAIFAIKVARSPQAHLDHAWAAS RAYFFSVIFISLLLSKAFHIYVHLKALTVLSLLAWGPTFFLLDILLILGTRSLARSYQ WRTTRDVAAVFITLFGLGVSSMISANISFYLHMGSEIHWRISSGFRKEKPTAATVLSA LSVAILLETSILVGAFYATPYLSWVTAAFLKVWGAVLSATYRYFRPNKQALPHPDIYE QIAIDDYELGDDTSDSVSLLDAPQDPPVQKSRALLKPAIVVSCTAILLFLSAVRPHDI AYSFLSASLPLAPFGGIRFESSQRTVASLPGDYSWLEGKTALDTFPTFDWLHTGDAAT AFPDWSPFHISHFNDTAPKDYKYEHYNPMKDPLHIPNLQNDILEPIRDMLHSGDVKIK HIILIKLESNRQDVFPFHFDSYIMEHIRKSWDGKIPDAVMEKLANLTPIAERLTGFET GFKNKDRPKPYGGLSAKKAYTSGTYTMKSITGTMCGVSPMAVQDNLEYFHDIYQPCLP HIFEALSQQSNITTDTEDWTSWPWHSKWMQSHYGTWDHQQDLTPTMGFKDITTKESIN DAGAIYIPEEPEDEQKYGHPDHTLKNYMRDAFAEAKKNNTRLFIGHLTHNTHTPWFKP GDYEDFFGNGAGWNDKLNRYLNTLKYQDDWLATILEILAEAGVADETLLVMAGDHGLS LPNDGGITANHDPHVGSFHVPLLFAHPKLPAVEIKSAVLSTQMLPSILDMLIETSNIN EQSAHILKDLLPLYEGQSLLRPLIPEQDKKQEWHFSTMNPGGTWVSMRAAAKPYRLVV PLISNAPWRFSNVVADPLEFAPEEDLDIATLFDVVQTRHGPEAAKWLSEAAHISQWWI AENHRRWKYSPDDPRP PEX2_060020 MPVYHIVLFRLKPGVTPAQIATWKETCHGMVGKIPGLLSLKSGP PLPISIPRAQGFDMGLVAVLETAEHIATYAVHPAHLEVHKMREELCDDTLAYDLEF PEX2_060030 MAEEQKTPVVNTEAEKDVQNVLAELKEEGATEQPAQDAEEARIV AEAAKLGEKSEKAEEKTGESQTEKRETRSFQRDGRGGRGPRQNNAKFDPSTQEVTDDP VQIRKQVEFYFSDSNLPMDKFLLSKVGGSANNAVPLELLHSFKRMRRFQPFSAIVEAL KTAENVELTENDTAVKRKVPLPESVNEHDSKAIKVFEDASMARSIYAKGFGFGEEEPT TQLDIEALFAPYGPTNAIRLRRTHNDKTFKGSIFVEFESEEKQKAFLALEKKPQWKDT DLEFKSKKEYCDEKVEEIKNGNVYPSNARNSGGRGGRGGRGGRGGRGGRGRGGGRGRG GRDGRDRNDRDGRDWRERRTEDQQNGFQAPREAQKDSRGVPVVQSTQKRSREDEGTNG GDHPSKKVDAKE PEX2_060040 MLAALFEWMLWLSAFIYCLIKVWMKADHWSIRVLAVVMIFLFTV IRLAFLPVMLVTLPLPPQVTVDLPHEMVHGFQVFAFWSFSILLIGPWLFCVYGLATNS LGRKKRIKRVLDDRTAPKTVVVMPVYKEDPSVLIKAINSVVDCDYPANCIHVFLSYDG GKVDEPYLRVLNHLGIPTTLESYPPSIDCIYKGARITVSRFKHGGKRHCQKHTFRLID KVYAEYLKRHDNLFVLFIDSDCILDRVCLQNFMYDMELKPGSNHNMLAMTGIITSTTE KNSLLTILQDMEYVHGQLFERSVESGCGAVTCLPGALTILRFSAFRKMAKYYFSDKAE QCEDLFDYGKCHLGEDRWLTHLFMIGATERYQIQLCMSAFCKTEAVQTFSTLLKQRRR WFLGFITNEVCMITDVRLWVRYPLLCLVRFMQNTIRTTALLFFIMIIALITTSKKVND LPVGFMGVSLGLNYLLMLYFGLRLGRYKAWLYPIMFIVNPFFNWIYMVYGIFTAGQRT WGGPRADAATADDHTTPGEAVERAKEQGDELNVNLDTFRDSLMRRRSVPVRPSDNVEG RFAPAKQLLDGFYVNTAGPGPALARMVNAMENPRNPQYQSPPLFQHSNDSVFSASSDC GSSSVAMPQNVETLLMSEEDQKKLYYARQGRASAGSVSLGGHNSENRFDDGVTLQPIV SASERDPLGLNHPPGAYHTARS PEX2_060050 MSVVGIDLGAQSTKIGVARNKGIDIIANEVSNRQTPSVVGFTPR SRNLGEAAKGAEISNLKNTVSSLKRLIGRSFNDPDVAIEQEYNTCTLVDVNGQAGVEV NYLGKKEKFTATQLVASYLSKIKDITSKELRAPVSDVTVSVPAWFTDVQRRAMLDAGD IAGLNILRLINDTTATALGWGITKLDLPAPEEKPRRVMFIDIGYSDYTASIVEFRKGE LNVKATAYDRHFGGRNFDKAITEHLAVEFKEKFKVDIHTNGKAWTRTLVAAEKLKKVL SANAQAPLSVESLMDDTDVRAMMKREELELMVKPLLDRLTVPLEQALSEAKLSVEDID QIEMVGGCTRVPSIKETISKFFGKGLSFTLNQDEAIARGCAFSCATLSPVFRVRDFAV HDIVNYPIDFTWEQSPEIPDEDTSLTVFSRGNVMPSTKILTFYRKQPFDLEARYSDVE AMPGKVNPWIGRFSVKGVQADANNDFMICKLKARLNLHGILNVESGYYVEDMEVEEPV EEEKKEGDMDTDSKEEQPKKTRKVKKQVRKGDLPIASATGGFDQATKEAWTERENAMY MEDKLVAETDEKKNELEASIYELRDKIDGVYSEFASEEEKEKLKSKLMDTEDWLYEDG EDATKSVYVSRMDDIRFIAGPIIQRYKEKVEAERDAVRKADEEAAAKRRAEIEAKKAE ENAKKTTEGDAEMKDAPAEGEAQAETEEKQ PEX2_060060 MEQDQDKRMILQLLLEDLDHLQNRQKGKQAAGKETDLEVAIACL REDIRSTQISIADEVLTISTSTAIAIDQKILASFQRQERVADQDRQFALALSNGTSTS NHISNPSVTSKITSPDDDDDDDDDDDAVSIVMGDLMGRMTLSDKAYNNGEGSSRVHPP RASSVMRECVSCFTKVNAIIFKGACGHGFCRDCTRQMFLGAIKDEELYPPRCCGNIIP PGVALRILNYEELRNFSERAIEWTAKDRLYCAEPTCSKFIPPFAIQHEHGTCPECHQQ THVPCRSLAHPRVDCPMDEPLYAVLEMADTEKWRRCFNCRTMVELHHGCNHMTCRCGR EFCYVCGLVWKTCECPRWHEERLEELANQAVDEEVPANVNIQVRQRAFDRIVEDLRQH EDNGVRSGTILYRSTFLCAPVAGCGPATVAGDTDCGSSLSLIFVPKLVSLKKRQRRRL KDS PEX2_060070 MDSPKELTVHHHGTAHIIQVSASATLQDLSSEIERVLNIPTDNQ KLLIAPKPGMQKAPFPPTLLDAILQTSSPKFKITLLGTPAKAIADLHEQSASEQRRQE SRAAALSAARRNKQTPSSSRSGGIHTISSSANNYTFHRLLPLSYLPNPDRSLDFLKRL RDDPGIRAAMTKHKFSVPLLTEMNPAEHTTSESRTLGLNRNKGEVIELRLRTDAYDGY RDYRTIRKTLCHELAHCVFGPHDRDFWDLTSQIEKEVERADWKSGGNQLSSQEFYNPG DWDNVQGGEEFDECGWTGGEFVLGGLQGDSTGSRGGNGASGGTLSRREIMARAAEERM KRESQAGKQDDAK PEX2_060080 MAADRLSSLLSHLKPGAASGLAAITQKNPDDVVITLAIRTPLTK ARKGGFKDTELDYMLYALLKETLAKSQIDPALIEDVCLGNVNEVKAAYMVRAAALAAG IPHTAGASTVNRFCSSGLKAVQDIANQIQLGAIDIGVAMGAELMSAASDRLEQPFNEE VLRNQEAADCMQPMGQTSENIGKDFDIPREQQDRYAAESFRRAEVAQNNGWLDDEIAP ITVKVKDPKTGEVKQVTLSRDDGIRPGTTFESLSKIRPAFPQFGDKSTGGNSSQVTDG AASVLLMRRSKAIELNQPILAKFCGATVAGVPPRVMGIGPTAAIPKLLSKFQLDKNDI DIYEINEAFASMAVYCIKNLGLDHAKVNPRGGAIAIGHPLGATGARQIATVLSEARRT KSKILVTSMCIGTGQGMAGLFVNEQL PEX2_060090 MADNDQDLSKILEMEATRSSAIAYEGHSRDDRNFAGHKLVVVSA EVMYSFNQTDIIDHEDLSGHRIRIWVRAGARGFRIARTTTGAGSVLRDGPAVPFDVGM EIMAPPTPVEVPGMPAAAIVAPRAASLETETRRAFATPTMSAIAAYWHRSCAGGDSYQ GTNCAHFLSDTFIRAGFTELNPPNPHINARCPTTARRPVRARDMWSWFQSKARVTSRT AQRNTGWWAVFQLDESYYGGHVAVLDSDAWVYYGTAWYATWDQYLYKW PEX2_060100 MENTRICVELLPPDNDSNLPPSNLVLPALGSGPLELAMDKSAYW RPGTQLHVLFISGSTFVKNKVKFFAQTWEKYANIDFVFDDSASAQIRISFVKGGGSWS YLGRHNLQIPSDRPTMNFGWFDDNSTDEELSRTTIHEFGHALGCIHEHMSPAASIPWD KEKVYKYFMGPPNSWTKEDVDRNLFNKYAVSAAYHTAFDSLSIMLYRISNDLTIGDFE TPNNTVLSPTDKVFIRSLYPEQTRNRARFSTQDFRPSSNPKLLNATQVNFEPEYAEPP TIAVGLTELDLSKEFNVRIRAYADRVTQSGFVIHADSWADTLLYSAGAAWFEVASTDT EFQLGNFDTLELHAWNEAKPQNTKRVVFERSFTEPPKVVVWLQGFDMDKQKCWKIGVH ASNISSEGFDVHIDTWGESQLYSGSASWIAYPADKTGIVSGVVDSSISQEQSLHGQYK GGRVDFPRGIFDRKHRVHLAIKSFNCDNKHDLRLKAVAENVSKDGFDWKVETWSNSVL NGAGISYLVI PEX2_060110 MLEKGADITAKDKYDWTPQQFAEINDHTEAAEHGSKALTRFLPE KGINKEAKSQGGRAPLHYVAEGGYELVAQLLLKVGADKEAKDSDSWTPLQYAAAKGTR QSRVFSNKPSRFF PEX2_060120 MATFVAHKIMGTALQITDSSAHDSKANQKVAIKKIGKPFDGSAR VKRTYRELINLTDIFISPAEDLYLVMDCFMTDLHDLIQLSSKPLEGKFIQFFTYQLLR GLKFIHSAGVIHRDLKPSNILIKENCDLKICDFGLAREQDHQMTGYVSTRYYRAPEIM LTWQRYSYAVDIWSTGCILAEMLLGKVLLPGTDNVHHFQLITEIFGKPPKGIMETVYS DVTMKFVNSLPETKPRSLGAILGDVDPEAIDLLEKMLDIDPKKRITAADALAHPYVST YSDSNDEPECKKQIDWSLLDSEMTTDEWKCKMYFEILNYHTGSYNWEDTDPKAHEGRF EDWLRTEITVEQITIDVSDNASIEKAAQCIIASKHGQLDTLAKELEILLQ PEX2_060130 MDDEGIIITVSVLVAAGIAVYESPQFKQWVTTSRRKIALALHNL GDEIQPQDIALRQDISMTEETGEIAEERRRIARAEIMRRGALLESQRKTSQPARPHNS FDTLVDDEGNLLKQNDHEYDVQPNHEFTANSTGVDLGTSEPLRRGAKQIEAESSNTLG RNQLHVDIPSSAPSSHPSESIIQFIPRSEAPEGDGLFDPFSPNSPLSLSGSSHTEDHQ QVYYAHPNAPSNRTYEHDHLAELDGFGHESIQSHNDISAAPSTTGSFSHVGGSEDGTS DGTLSDFDVRSLGGVATPAWSDVGSVISDEDAGHHQLL PEX2_060140 MSRQPSQAQRPLSLTEELEKLEQSITLTMQEIDHNFSQAHRIVT TSILPLVEQYTEHSRDVWEGSKFWKQFFEASANVSLSGYEEKNTDEETMQDPTATEEE LSADITQSTSLDDTMSHETPSSQRHETPRRDQADDLDLTDLAISSHSTPRASGHHHQA DDDMTASSMEYSSSYESLRRQVNESEPPFDLPDSSTLPSTPGRQLFFPRGVSATTPMS SPFLPPASQPSTQDRRYQKTSDPVLHQMLDKTYRVQATPLGKGFHNVGGGTNTRPKFN ITPKPAESTSKYGYDDSPISSPEPEAPQLHSEIFSSPIKGFNDTPGTNRKRRTSSNRM RGIPRPGMSVLTPAKKSAGQSAMWDSDDDLDYDDDEDLGPSPPKTMQFHIPQSRLMRT PAREASKRIVTDLLATAGAGDITDDFDDEQSPSVIRRMERLEDETF PEX2_060150 MKILTKEQEEAHYSSVVRGGTVGGLLGLAGGAAGVLLAARRYHT IRNLTIPMKAFLVTSSGTFTGIIAADHASREYENEQNAAYQWYESREERLRAEEIRGL SFTDRAAAFARREKYKIITATWIASMVGSFALVSRIPGLSGQQKLVQARVYAQGLTLG VLCASAAFEISDQRRGRGLLDSKKKADAAAKAKVEDVEEDQPIHQGGKPSEGDLWKDM VAAEEERLSSKHQSLYDHHEKQLAASAEAKEEKSEESEEEKDSKTEKKDSESKNKKKS S PEX2_060160 MSASPDRKAVSPAASPEPEVPEQVATPVAGDDNEGENFTEPTAE AKEVTEDIDDELHVSDDDEVDDDKLSDDESILSEVDEAQFDNFDPENVDLEDRPQLAI DEDNLKLIGRHKRKRTEDGESRPRRKEGRREKKSRRADEGGDEGSSARRRERKQREDK PDTDEETLDPETRRRRALDRAMDEAMKKPAKRRFRKQDGIDLESMADAEIEDMRKRMT HAAQMDAINRQEGKPAMHKLKLLPEVIMLLNRNQYTSALVDPEINLLEAVRFFLEPLD DGAMPAYNIQRDLLTAITKLPINKDALIASGIGKVVVFYTKSKRTERRIKEMAEKLLA EWTRPILQRSDDYSKRVYQEADYDPTKVVRSAPPTAEEIQAEARAREMLPPRLLNRAR VDRTNVSYTVVPRQTSVRETPFARPLGASGEDRFRKMQARQAAARKTSRR PEX2_060170 MSLFGGNQPQANTSMFGNTAPKPSLFGAPATATQPSTSTSTLFG GQTQQPATTATGATSAFGGSAFGASAAPQQQPGSMFGNLQKPQNTFGSTFGQPQQQQQ QQGSAFGSSLQKPQGSLFSGGPQQTQQPGGGLGGLGGLGANTQQQTNALGQQQSQFGQ NTMTTPQPQQQQPSLSSSLWSPGRAITGVHRTVPMQVAIIKDKWDPPSQSSPFRAYLY NNVGEEAAPFYQPGPEDDESKWEEALRKRPGPGYVPVLVKGFWDLGKRAQRQRDFLTM MQSRLHEINNCLTGLLSKHDLNLSVKIADCRRKHIILSKRCLSLAAKTQILRNRGYAM DEAEEQLKKKLVELERAVFDPSLNGRAEEIWARMLAIHEHSKRLQVEMDRAGVNVTQA EDDIDEQTLKTAKKVGHSRTSKLSLLEELTDHIFQILDDYHSQIQHLQKEMESIKKDF NEVEKAIGN PEX2_060180 MAQRDARFNQTVLVDTTPMPDHIPKVEEIGATSAPLMSAAYFIG DRCKAFNDDFMKCKAEANGRGEIDCLKEGRKVTRCAASVIKDINTHCLDQFKAHWECL ENNNHQLWECRRAEVQLNSCVFDKLGLKKTLPGAPENQVPVHLRPKQKYAQYPGPQW PEX2_060190 MKSTIFLILSLWRGVQHVQAAAVFAHFMVYNSENFTVYDWEINI AEAQRAHIDAFALNIAYNFPTNNRSLANAFTAANALNFQLLFSFDYVGNGAWPEGEVI GLLRKYGNNTAYYQHNGKPFVSTFEGSSNATDWATIKKYTDCFFVPGWSALGAKEALA VAPGVPDGLFSWAAWPEGPEPINTYIDSTYMQWLKDTGKLPYMMPVSPWFYTNLPGYG KNWIWNGDNLWYDRWQQVISLKPEFVEIISWNDYGESHYIGPLHEGAYATFEIGNASY NYAAGHPHDGWRDFLPFIIDVYKGARANVTTEGVTAWFRQAPGKACTNGGTMGNTETH GQKEFPPTDVLQDEVFFSALLKSAADVDVTVDIGGVVQDGKWKNKPHGPIGLYHGSVP FDGNTGEVVVKVSRRGETVAEMRGASISESCLDDIQNWNAWVGTNFANNTISRHPPPS PAPTAVSAATALLALGRLPFAIVLVALVASIVAATATPVQHEKLVLTVPISEISPSVY SAGTGDGEPRSLYSYSTSYGLYPINGYTCVTTMDVPVTPFISAGATAFAYDSSWNLDW SWKQQ PEX2_060200 MLLSAKRTIIAVFVLASCGLLFLTQRKREHTALTVPTSWSNQTI GALNGTKAHWTTEQENVRFAYVQYATDIDYLCNAMINFARLDRFAASFDRVLIYPKEW DEPGGIEHVALGNIHEHYSHLELRPVNVLTTSQGDSTWQKSLTKFQAFALTEYTRVLA FDSDSLVLNKMDHYFLSPMAPVAVPRAYWLNDKGASIKDQMLGSHVMLIEPNVNTYKR IIDEATASGDFDMEVLNHLFKDSAMILPHRRLALLTGEFRQSDHDRYMSENKDEEWNA MAEVSRAYLVHFSDWPLPKPWLYHTDAEWEAALPACPDNDTEHADRPRCADRVMWSGF YESYYRDKESVCSILT PEX2_060210 MASLLSTPTEILRLIFASLSHSDLAAVCLTHRDLRSPAEPFLYA HIQWTWSDSQHPPIAQLLRSIVQRPELATYVHDVVLNGDNFNKGVDDPGNESPKIPVT ELVVNELVELVARINVPYAEEWIQRLRARSMDAFTTLFISQLHNLKRLFLDKNYNRET RLRGMMLRSALCEESTNSHLSSFAYLEDVSADSACLHYDIRRKTQTRNTQDTLPLFYL PSVKRIRALVDNPATFAWTGKSPPNPRGLTTLDLTMLRERHLGQVLSVTPRLQELKWD WYYRRDLRDDSVTDIINLDQIATGLSHVKETLTDLTITAGSEGYKADLEVPEVQFSGS FKVFSGLNNLKRLQVPIPFLLGFSPSASKANHLAVALPTSLEWLTLTDGLCLNEQWMW QWETDYLLEAIRSWLQNWKRHTPNLRGFCLLGSVMKAQDWEHDMIQGLRDLGTQSGIE VQITDIKPKWSGHMLRDLQL PEX2_060220 MSNARGLVKEGVAKFNGPFRIITTLGSRVILPASYTEWLKGCLD LDHQALVHDEYFAAYPGMEGQSVVTDPRKILINVTKTKLNHNDTREIWKDGDDWHTVD WAKDGIRFVGRMSASIFVGPDLAQNPKWQELILTSTMNTFMGVRSLRAWPAFLRPVVH WFLPELRTCREQLRLARLMLQPIFDRRGSDKTEKSTNTIQWLEEVAAGRPYDAAAAQI AFAISAMHTTSELLKQALLDICVDPELVSAIRDEINKAVEESGWSAAGVFKMQLLDSA VKETLRLKPGSLVNLERKALRYVVLPNGMTISRGTNVAVDSSMMWDPAVYTNPSSYDA YRFLRQRQTGNTGAALASSTPEHIAFGIGKPVCPGRFFASNEVKIALAKILLTYDVRI PEGFTPKMVELGFEMICDPTAKVEIRKRPAL PEX2_060230 MAMSNSSSGVVSEAAHLPSRPSYIKLVLDQTLITGQVRDYSYPG SGTTEDPYMVNWLPDDPKNGFNLPPSVKWTIVLICAFSTLACSLSSTVFAGAIIQIED FFHVKDEIAILSLSLYVLGFALGPVLWGPLSEIYGRQSIYLVTMGASVLFEGVATASK PDQVAALIVLRFLVGSFSSAAISNSPGVVADIFAPAERGLAVMVYSMFPFLGPTLGPV CGNFLAAGAGWRWVNVLCTVFFALMFVLGLVFMSETYAPYILRRRATIMSKETGKIYV SKLDIGLPPKTLSITLSTAITRPLVMAVWEPISTVMAMYAAIIYGILYLIFAAFPIIF ISERHWSQGVSGLSYIGIMIGQILAVPFYIVLEVKYRKNIARPGVVPTPEMRLEPAFY GAVMLPVSLFWFAWTSFTSIHWAVGLVGTIFFGLGNVLVFISMTNYLIDTYSLFAATA AATNAMARALFGFAFPLFTTYMYNNLGTQWASSIPAFLSLAFTPLPFIFHRVGPKLRA NSKFANEAKRQLAKMQEVRQNVEKRFEEKQSGYNTMSSHTEAAVDPERVAGTIPTKSR VTAVDHSDSGSN PEX2_060240 MSDSVDRVFVHALNTVKRIPRTGTARPPAAERLALYGLYKQSME GDVEGVMDRPIGNTPEVHAECEKWDAWYAQRDMSRTEAKRRYISTLIDTMHEYASQTP EARELVSELKFVWDQIKFNASSSSASSPLNTVGVPPLSRSAYGSIGGRLAAQSEDYDR PDRRGDTSRRDRDSRLRVLSPVSQPEEEAYYRRGRGSIENDEDGGRHNEDEDEDDEEF EEARDSLYEERDQNDHDDDNDPDHASSNSNTNTNTHTHTDVSSHHRPKHRRNPASGIS IDSSGNGLPHRRDSHPNSQSEPPKDSRWRRRVEQALTKMTAEIAAVREQMEARSVAQR RRSGLFAWLKWMIWVAVRQILFDIAMLGMLLIWMRLRGDRRVEQRLKTGWAAVKAKLV KFKRLKIVDMPILP PEX2_060250 MRSSLDASADEALARMGYKSELPRNLSMLSILGLSFAIMAAPFG LSTTLYITLADGLSVTIIWGWVFVSLISIAIAASLAEICAVYPTAGGVYYWSAMLSTR RWAPLMSFIDGWLTLVGNWTVTLSIIFSGGQLILSAISIFDESFVANAWQTVLMFWAV MLFCALVNIFCSRYLDLINKVCIFWTAASVIIILVTLLTMADDRRDAEFVFTHYDAST SGWPNGWAFFVGLLQAAYTLTGYGMVAAMCEEVQNPHREVPKAIVLSVVAAGITGLLY LIPILFVLPNVQILREVASGQPIGLLFKTVTGSAGGGFGLLFLILGIMLFAGIGSLTA ASRCTYAFARDGAIPGFTLWRRVNTKLDVPVWAIVLSAVVDGLLGLIYFGSTAAFNSF TGVATICLSTSYGLPILISLIRGRQDVKGSSFSLGRFGFAINCVTIVWIVLSVALFCM PVTLPVTPESMNYASVVFAGFAGISIFWYFIYARKHFTGPPVSGDEARAATELMTGTP VDAEKPLETMKKLPSE PEX2_060260 MMATALDQSQRDLLGSEQIVESVSITPPQSANGKKEAPEGVPSE LSDLELDHQSAPAPDTTKIKQEEVEKKVEEIEEIEPDHYYGGGKVPVFKPTMDQFRDF QSFINKINKYGMQAGIVKVIPPKEWSESLPPLDEAVKKIRVKNPIMQEFHGSHGTYTQ ANIERQRSYNLPQWKGLCEESSHQPPARRGERRRNQERVNRAAPTPRPPSARPEGQKR RPGRPPKRANQVKVKEEPPADDAEKSRLEGPPTPVSPETNPVQPKTEDLSEGESLPTT KPKGRQPRSVTSRRKNNRGDAMDQVDEEAYTGFDYRIHDHEEYTAERCEELETNYWKS LMFNNPMYGADMPGSLFEDSTETWNVAKLPNLLDVLGQKVPGVNTAYLYMGMWKATFA WHLEDVDLYSINYIHFGAPKQWYSISQEDAPRFEQVMRSIWSSDAKNCDQFLRHKTYL VSPSLLKSQYGITVNRLVHYEGEFVITFPYGYHSGYNIGYNCAESVNFATEQWLDYAR IAKKCNCEADSVWIDVDEIERKLRGESTPEYYGEFESEFDGFEGASDLLTPPRSVPEK TSTRGRKRKNPSDGPSTKRPKLHPEGPRKLPCLLCPNNLDYEDLLPTEDGKGHAHRRC AAFIEETTILRDASGTEVVCDIDKIPKARLGLKCLFCREVRGACFQCNFGKCTRAYHA TCALLAGVQVEHGAIAVIADDGTQYSIPSVDLKCKFHRQKRPNGVLGESSDVDRRVIE SARRLVQGNLIQFQADKEINGAIVLENRPSERSLLLKVLPRGDVIEMPYRWMLVVRKS NFTPLALGIQPLPAHLARKPEQRKELESAVPAAGSAFGDSRSPYQWAEFETVDGTRNQ FAPLRTVNLQGEQMWYYLGMQSTESRAQYTHNPSVSIHNPRANFLDSVKSLGVGVSAR ASKISPHHFHYAATAPAPLYQRNLTQSQNQQNIYSSNVNAPHLQSRPPSSALQHLAPP PPFIPVAGAAAGAPAMPSAFRTLPQSARHAPYPSVVKSHAQQQHHLPSTNTFANVREL IARRRLAQITDHANVFAGYTIVSPELVVETLLGPMGSIPPANGLEKLELAMAQQRVQP RAADGTLLPPQTLNMRSEEVTRLLQMLRFSLVSHRERLDVIQKKESEGIKQETVDRGS VAAAKMPGKYAYLDQQRSLAPNVYQSPYNMPSGLSEYAKATYGLVPAADDPPKPSLAN DYFNNLPQEHQEKILKACGSFVQRAIERSATHSRQSSSSNLRLSAALAQQTENPTIDI TPVEDPPLLSGLDMPLHADSPCSSFGRSHLRFQSPNEFPIHGPDPHPDHHDLFGDQQL NTRFWQNGPWVGGDGNTPNDENRPFFGPHIFGPHERLKHDYASSDISLGKGPGSLHSV DMAGFGPDLNDDLGLSP PEX2_060270 MVSTPHEATSVPSQPAPSVTPANGTPVNAEIPVNINAPDPITID NFTDDTNSEFDDDLSDLTSLSSSVLEFEYENGRRYCSTRSGAYMMPNDEEEQDRMDLT HHIWLMLLGGELHNAPIKSSPQNILDLGTGTGIWAMDIAEKFPGAHVTGTDISPIQPS WVAPNIEFIVEDFETEWQYKPNHFDFIHARCLAGCVADWPSFIRQIYDHVKPGGYFES HESAVWARSDDGSLKEDSALMEWQQAVNFAGEKSGRELNIYHKLKDWMIAAGFEDVNL SIYALPFSPWPRDPHLKALGKYQAVQLQQAIDSYSLRLYTQVLGWSSDVATIHNAVVK RELRDKKLHAYVQTYSLTRPFPCPEYLF PEX2_060280 MENTREILAGAMSLASWKNLALLLAVINLKNLPFTWHFRVLYHF VGNLRLKPSAPLLPKGRLVVDSRGKPTHPMFVPCMVTSRTPLLETDYNLHKSNSTYFS DLDVSRTALVTRIYSPGVGNVSKELDQEFLAASKKEGKPAPRRKPVSIVLGSVYCTFK REIKPFELYEMHSKVISWDAKWMYILTCFMRPAPRSGGEKVVLAVAVSKYVVKKGRLT VRPERLLRASGFLPTPPPESEAKTALAETDSSVEASDVGTPASGEGITAMEGVDGSLV REVLKMNDDQIPSQETLDKQQKENAESWDVEEWTWERIEQERLRGLEVVDGYTNLDTK LFDEWVQ PEX2_060290 MSNVSADITLAGYLFKRLHQVGLHAVHGVPGNHNIAILREATNA GLEWVAHRSEFSAGIVADGYARVKGIAALVSSFENMSSLVSTAIAASHQDQLPVVLVI GTPQRKAQAQASKFHHLFFDAQPDLPRQPDDFQILADCFNKITITQEFLVDPGEATAQ IDTAIRGCIMQSAPVYIELPEDLVNSMVPTKGLIQRLDFNTPPHDIEMENTVVASIMN KIKHAQRPLIIVDAGAPSCGLVMEANQLVKKTRFPTAATQFSRGVVDETLKNFHGDVS DFDMYGSYFRSSDLILLLGPLNTIEWTVPAVFEGKIAQTVTFNRNYVVIGDQRYELFS RPVLQQILNSMGEKKLRDLAPYPDLPSVRDSIEMLPTQKRTAQLQHDIHSTFWRQMSL FFRPGDIILTETETARDGARSFVLPRDTSLINPGARKLPEHMLATTMGVALAQQGLAK ANYLLSNRRTILFEGAEGFKKAQNDFRAILDMKLNLTIFVINEGAPIVESLMHGMEYH QQDSGHWRYSESVSFFGAPNETFYPVFTTIARTWGDFDTIIHDKRIQSGTGLCVVEFM IKPEDPTQSPCLLTEIYGPPPSPFSLKKYHLKQD PEX2_060300 MDPKMAFHIKVYPSSDLPNQPWISSLTHMVNASYRVTTKIKFAT TKTRLSTDSTLSEELGVDGLTAVALVGDGKDQKIEVIGTASMKKWKDDGLWTPTTKDG HDVNLNEVGDAINGGIDQTLHQHACPGDYELAVVALLPDPRYRGKGIAGHLARACEQE LLRQHGVDGKDGSAPVRIMIRATKEDIGAYWLKQGFTVVGSRRCPKGHWDSLEEFTIW AMMRELST PEX2_060310 MEDQVKSRRQHDTPRVVDETNLNKDDFKQAQLAGGWIPGMDIKL DYTGVFEFGGSLGNLALMVGFPLLMWYMWIGATYYDGKLPLPQNGESWAVFGKHLCHL VYTGAFPHFRAWRIYWTFYIFEAACYIFMPGYTCHGKPLLHLKGKRLEYYCSAYSSLY FTIVVMVFLHYTRLFPIYTILDEFGPLMSVAILSGFLASFFAYFSAFARGAQHRVTGY PIYDFFMGAELNPRMFGILDFKMFYEVRIPWFMLLGLSCAAATRQYELYGYVSGEVLF LVMAHFLYANACAKAEHLITTTWDMYQEKLGFLLIFWNMAGVPMSYCHCVLYLDSRHP STYAWNKNALTLLFVSYLFVYWVWDTANSQKNTFRMMERGDTANRKTFPQLPWRHVNS PKTLETDKGDVIFVDGWYGLARKVHYSCDMFFALSWALITGFDSPFPWFYPIFFAVMI GHRAVRDINKCKSKYGTTWDEYEKQVPYLFIPVSSTAISLF PEX2_060320 MDGNASEERHRTGGRPGLDQRPTDPIDDPTPNNQAPATPQSFTP RSLLVGLIIGALITFSNTYFGLQTGWISTMAMPSALIGFSVFKVLSKHLSFPFTPVEN VLIQTVAGAVGTMPLGCGFVGVIPALEFLLKPGEDGPEGDGGEGEGGPLKLGFWKLVI WSLGVCLFGVVFAVPLRKEVIVREKLRFPSGTASALMLKVLHGSGSNEKVIAPESSRA GILSTEAEAAGQSQEDTGLLKDGDAEDQASKEQDWRSKMRILVGSFTVSGTLFSYFVP LVHAIPLFGAGMAKNWLWTLNPSPAYIGQGIIMGPSTCMHMLFGAVLGWGVLSPLAKT RGWAPGPVNNWDDGSKAWIVWISLAIMLADSLVSLGWLVLKPIVKHSPRWIARLRSTR AGQWLTLRLQSSQHSYINYSALESESHLLPHDNDDAHHALTSTSEEEEEEEDAPPSQL ISTRTVVILLPLTLLLNVVCMHFVFGEIISPFLSTLATLLAVLLSIMGVRALGETDLN PVSGISKLTQLLFSLATPASHFSRRTALVTNLLAGAVSESGALQAGDMMQDLKTGHLL GASPKAQFYGQIIGSLVGAVLSTAVYKLYVNVYEVPGEMFQTPTAYVWIFTARLVTGQ GLPNMAGQVSLIAGIVWAFLTALRIAAASPAFARNGKPPAWRAWIPGGIAVAVGIFNE PSFTLARAIGGIIAWWWARKHSESKTDQQVANGNATSMGSSIETELQDGQRSSETADQ ASEKADAASSTVVVLASGLILGEGIMSIVNLLLASGRVPHL PEX2_060330 MTRIWPKGSLINSQAEPEKDPASLDPAYLVKWDQDDVLNPQNWT PRYKWWVTFQLGMLAFAGSLGSSITTPADDTIAKYTGVSSEVAVLDVSLYILGFVFGP IIWAPLSEIWGRRVSILPAVFCLALFSIGTGVSTNAASVFVTRFFAGFFGSAPISNVT AALGDIWSKETRGTAISLYAVAVNGGPALGPVIGAALLLNPNMGWRWTAYIHAIWVFV IFTLTFFCLPEVYPLVLLKRKAQMLRKKTNDSRFYHPHEHLKLDVKSVLTKQLARPLI MLFTEPIVTCVAFYASFVYGVMYLTLEVFPIAFQQSRGWNHLVGSLPFLGLLIGVISE ANGKAVPEARLPPMAFGAVFIVIGLFWIYAASSTAAITFLRSLLAAGLPLAAKPMIRA LGIGPAVSIVGAVAAVLLPVPFLFMKYGPKLRELSKLAPEDS PEX2_060340 MKRFCRGLKKCLRHCRCGSLSDEDDSDGLELPVTEPAPEQGVLP KLVYPKHLVPKAPPPPKDAAKQTEAAKPTQAPKPASPKKETKPQEEATSDREGNSSDE EYPPLPPSRDDSHTGITPELSDVDINDNDASDVEMPDADPAEGLFPPSRNPSPIPRNN PTIELLSDAPVSESSSGDYLFFGSARPRAPEPAPKPQHQSGQRSQPFSESRKRAHIEN IADSPQEWSAASESLASSPPKRARASAASLQTEAQLPAGPQLSPPRLQPSTPPPERSD SASSDIDVEERLYPKPSESTLNTYREKGAQLAAWLEDPNEPNCYVAPATLTLHDMIHA QPPNRFQVGDSYHRNLGDNMDGGDPDSTGLTTEDNKYRYTSLRNPVTDPAVLAEHGFR RMDNSYAHLIGPGLIIGHSIFRYDNLQWNIIARALYVNDHPIETLRHIMFTCVINEET GPYVRRILYARFNREFEAAIQEPCLKVERGTREFEELLGTKLGKAAAILLLSSLPRGT RRISRAVVWNSYFRVELRFEIEPIPANPDDEPETAQAEAA PEX2_060350 MFGIPKFFGWRGRSLNLAISSLGSLDFLLFGYDQGVTGGLLDLP SFIKYFPDINPLAPEIAGDEFKSIRSQRSLNQGIAVASYNLGCFFGAVLTIFIGNPLG RRRTIFCGCMIMATGALLQCTAYSLPHFIVGRIVTGVGNGMNTSTVPTWQSESAKAHD RGKMVMIEGMLITGGITLSYWINYGMSFIGEKEVAWRFPLAFQIIFAIIIFCSILNLP ESPRWLVMQGRSDEALEILECLNEKSRDDPYIKNELIAIEETVKEMNKGTYKSLFKMS EYREFHRVALAYVNQMFQQISGINLITYYAPSLYAEIGLGNGNLPKLLAACNGTEYLM AAFIPIFIIEKVGRRPLMLIGAAGMSISMAVLAGTNYRLTVLKDSRAGIGQAVFLFVF NTFFAIGWLGMTWLYPAEIVPLRIRAPTNALATSANWIFNFMVVMITPVAFENIGYKT YVIFAVINAFMFPCVYFFFPETRYRSLEEMDAIFKKSTNVFDVVTISVKEPYRYDKHG ELKPEYLEEAMRHASADVHIAGAKFDSDESGIEVKA PEX2_060360 MHGHAHHNHARNAEELEERGNIEERGDVVIVYKTIAPDFEGEVG GYVTGVQQTTTTAAHAGVGAPVSASTRTKEQTTETKATDEATTTKAKEESTTTKETVA HTTHETKAETTETTETTKAETTDKKTTTTSESEAPITATSFVTSASSTSESSQSVNDL LAATSTGSASNSAASASSTVLGTTTSEGMTGGAKAGVAIGVIFGVGVIAGLIFFFMRK KKRSQDGYQQENEKTYGGEGLPEGIAASFPPPPPSKPQTPSTPPQLNVRPVTQFAPDL SGSGALNPANAGASGGLSPATVAVAGAAAGSAAVASRNLTGESPPPTPPKSAGSDSNP FKDPVNPFNPTTSPVTQTAPSVVASPTTPVTQTAPSVAGSATEPFGSTTAPSGSTVAA AAVGAAAVGVAAGAAPSSRASNDSERYHSAESRGHSPSGSTQSATVPADATAATAVAV GAGAAGAAGAMAAGPAPPNNVYRVQLDFNPSMEDELGLRAGALVRLVHEYDDGWALCM RLNNPQQGVAPRSCLSARPVMPRARPPPGAPGSRGPPIMGPDGRPMMGGPPGPRFYPQ DARPRSPGSSGVSGPPPSRPYPGPGSAVQFPPVPRSMSPGPGGMSGPRSMSPGPAGMP GPRSMSPGPRGMPGPRSMSPGPGGRPVPRSMSPGPRGMPGPRSMSPGPYGPPGMQRPV MPVNQRQRSNSASNVAPPMAAPAAPVVSSPLAALAPAPAAPQVSSPLAAPAAAPAAAP IPAPAPAPPQVSSPPAADVPAPATLPMPSPLAAPTPAPTSDLPALPTSPMTSPSGSIS RKPVPTQNA PEX2_060370 MSWKDNFGFTDRLRAIQSLTTAYQQASSSPASAFAEAMAQAKQL ETEAYDKATSKDDYDSICQKAIDKAELAGSKKLVTSSPQHVEDEYEEPETSTGEVIGQ YRSCFHHYAGIHSSIHKSKLEDGTVRAVKVTIPHMMTAPHDAHREARLLREASHPHII PLIETFNLDGGRFVLVFPFVRYDFEQLLRRDMVTAAQTRSILRDLFSALAHLHSMGII HRDIKPSNILMDSPNGPAYLADFGVSWKEGDAGSEPPTQKITDVGTTCYRPPEILFGF KEYGTSLDMWAAGCVMAEAIAVGHQQLFDSGPVGSDLSLIFSIFKLLGTPDEQRWPQV KVLPDWGKVEFHSFPTQSWEDILPGASSNGRDLVSHLLCYESSERLSVTEALAHPYFA RT PEX2_060380 MDLSRQEYPTLLATLHPGQATTVLSDRIRVINKINADIADYLQE RRRVEEAYAQGLRKLAHRPQLDNGAALGIFQIPWQRIISATETLAVSHETLASKIEED VERPLREFSNKNPEMKSMPGIQTSLAGLAKNVETAQKKVDKARAKGVKGADKLASEIA NAEEMHQQWDSRAPFVYEQLQAADETRLNHLRDVLTQLETHELDQVERGRQAAESCLN ILLNVETADEIKTFAARSAGPRVPVTQAIARSQTERAETPSAIEPLSERTQTAPVEHH FSTPSTEAPLPPPPRIQDDAASQLSDTSERHAVPQTQPVPEAQPRHTPLGGLRRLGTV MNRRKSIAMPSTGTFDRKAEKKRSPFAAFKRADSSRDLQIPESPPLTATDRPGTSFTD QSSLRNPSVSQDHTGLDTAATEPEVHPESPRNGAAPEGFTNNHVNQPHVDSEGFTQRP ATIDEITRAQNEAAGLDESGLNLTIRDQPIFEDESQAKRAMDDMANTLRMRAPQTGMR RNAGTIRGRRDVRNTMFIASPGNELPPSSAGSESQPPTSPIRNMTSPSIAPSTATDDH TISDTTSVRSGHAMHGPGASVHPDLYESGLNASVIETINAWFSEGNVTKSFVVGELAL ANNPTPGTTVDHTRIQLDNFQVLEKVAANPHFVQEVSKDAGDDKRGEYDIQLGSISRP MPTVAFKYQLHIDPANPSAYCPVIFKPVWNLEESQGSAIIYYTLNPSFIAHSAESIIL KNLVLTINLDTATEDPITKQPRESVAHAISAAMYPNTGATFRRKTSTVTWRIPELEVK APTTPSADSKFLVRFVTSTPGPRRGTVEAKFELRGAESASQLGISRAASAAEQKEADP FADEGRESPLSATSWLGVPTTRKLIGGKYVSS PEX2_060390 MIEVPLKTISPTEKLTCLPVNPPHKSLDDLIDDVQTAKGDFEDV DTEIRNAVERGI PEX2_060400 MNKFARKMDTNVLYYVASVLSPRIKSSLIGTQMNAPDVGMIISQ VREFLKKEYPYEPSMCSRPPAGYFRDYVEDSSKSIAVTADASFRH PEX2_060410 MQTRNGFSSVANVFSLPLMAETAQDYLSIFSAVGIERDSALPDV YWGSGDTV PEX2_060420 MSPRTRDGDENIPLHSAVKGGHIEVAKLLLECDSTASRAWNKRG EKALHLCSESGNEAMVQLLLDSNPMTTASGCGETALHRAAQNSHAKVCEILMRYDDSH KLGWQLCMIGIKAQIAQKDLCQHTPFVYAVKGGYADIVGLFLRGKWVSSEAKDGFKDI LFHEAVRAGQCDIVQVFLDYGAPIDLKGRDGKRALHLAADSRNLEMARLLLGNGASPK IKDSIGNTPRQRSWDSQVTMLIREYEDRAASAGGKIKKVAPVKAAVAAPPEYVA PEX2_060430 MWSLASRGASPRADGHTGKGSGQQIFSLRISTGYYRRLSNVMAE ALHPDSAELGIVRDGYPALAGWIGRDPDGETLVFRRFRKLSARNLLHLQSQLIQLEQE IDELDDEARRSPNLDARQASRRWETLTQLAADPTRPEMKRLEKVAELSATMKEYEEAL LRQSRIAELSGPSARVLSTYRDYLEGNAWKGPYLQSVPIISGRAKDMLKEADDLVALR RPADEDILSKLLQDHWAFQSRKTTDPLDRTTVYKGHYVTQIVAAISILVAAILLIVAI ISLYIANDQTTKLGLVVAYTFTFALSIALLTNARRVEIYGAAAAYAAVLVVFISGNIG TVPA PEX2_060440 MEANEHNPLRRPFVESLIAETESEPSTVYSNAQSAYSALSEKTT ALIRGRWKQIPELSIQIGTKDVIKERLTYEVRRMHAEERMRQKRQLAALRQELYERHG QDHLYRKPLSEPGFSFDLPISSKSPRSEYQNEETHYEERMRQKRKLAALRQELYERRE QYRKSLSEPGFSSDLPISSKPPRSEYQNKETHYEQELASKSALSNNHEVRIFEIPCQL ANHSTNALGDYGARKNFMREEYALRLGLPISRKSARKVTIGSGKEVTTVGTATVPFRF TGENEGHKLEFQLLPNCIHNVIIGKAFLKLTETFSNIANFCRRVKERVAKGISQFHLL YLGAFTPMFEGSINGQVQTALADSGSKVLLMDEAYARSIGLHIQTGHEHQTRLKFADN SVTDTVGMVYNVKWRFGHDGEFTSPYPLNFHILENAPANVVLSDTFLFDTRAFSEYHR YLIDDDDDCEDEDPLIHLLAIDIDKRKKRTEVNIASFSLADLRHLELVRRGEEADHIS TLSKANGTAAQIIENERCAEWDRTFTAVHTKDRPQSLQLLSVAAVSQIQSTTSSHSST SGLKGSRSNQTHKRGFLKFSTWLKRGILSTS PEX2_060450 MRFVAAIFPLLSWTVPGVLGVPPSTTTSTFTPNPTSTLAYLAHP PPTYQQPAVDLLAGLTELQRRVDQGLFANEYEFEVALNGLVSSAHDGHLNLNGGVLEN FIFAAPVDIVSVSLDGLELPKVYVAHDLLLNQSYPNLCTGPNENEPPSCWEPSPIVSI NGQDVVDYLTEFAITNSFGNLEPHSDWNMLMRSAALDNQGYLEVFAAASVYPGDTMAI TFENQTVTPPLPWISLYYTQLDTGPLETGGDFYNFFVLGWYPDSYDPYNTTDDTTATG TPSSSIVSLPISTPGPSDVPSPEDTAPYPPLVDIAMEPSSEFDGMSLRGYFLNQSSLA VLVIPSFTYYGESAESFSNAVKAFIQRSRRAGLRKVVIDVQQNAGGETLLAIETFKLF FPVDEPFAGSRRRAHPMADALGSTITDYWNNLDLVSDNYTWLSTDEWVVTDRIDAESG DNFTSWQEYFGPASTYHSDNFTKVEQFNLTSDTFDLEALGTVVDGWQTPQAAFAAEDI IILSDGLCSSACALFMEMMHHDAGVRTVVAGGRPSHGPMQSPAGTRGASGYSNDQLDN DIALAKEQDPSLGSRLPDRTLDYYISYASVNLRDQVRQDDTERTPLQFLYQPADCRIF YTPQTWYNFTNLWNYAAEATWQNPALCVLNSTSKFPRPAPPPPPSKQALSPSDGTVDG NHAASDSSVDIDDPFNDILGAVTGIRSPQGIPCTSEIDCKGGAATFYCREAKGCKQGK PQWFRQCLRECSSSMRPGNCNCRVQRKNSNVVDYERLYNIGYCLPRLDACTVKSYHAW P PEX2_060460 MASTTRLKLKREDYTVAWVCILHIELVAAMAMLDDSHQPLPTPK DQNSYNLGRVGEHNVVIVKATAPGKAEAATVATNLVRSFPEVRFSLVVGIGGGTRDAP NPYGGTDDIRLGDVVVSEPKGNYGGVFQYNLSREHDGGYYMGPHLNRPPPVLVSATGN LRGNHALGQGSMQTFIDEGIAKLQGVGNSHFRFPGREHDLLFRSDYKHPKGPTCDECD PGLTVTRSDRELLSVHYGLVASADVVMKSAHVRDRMRQDQNVICFQMEAAGLMNNSPC ITIRGISDYADSHKNDRWQPYAAITAAGYAKDLLRIIQPEEVVGTELAAIFVDLKQDI TQIKAANETTYRNKILDWITPIHFHKRQVDLWQDCVPTGKWLLDSEQFKYWVDGARQR LRCYGLTGTGKTHLCAIIVDHLQKMFPLRPVLYIYLDDNRQAEQTPEKLMGSLLRQLI QFDTSCEIPQLIQDHYGRYGIEQSPSTMIQREAFETLLERYKRTYLIVDGMGDCGKAV REVVRNYPMDLVERGQKISLLTTSLGYTERENMIECNLCKSRNPAIYFSCGCDNDGFD VCWGCRQKGLRCPKSHEGVVQYDDDVEVRAQPEELKEYCRHRLQSEISVPEALKELTD KRMNPAPAFIASSNARYISNKPDLLDTIARQIAQNASGNFLVARCCMEDFLATNIAVA TDQDLLDQIQVIPHDSLVQHVKRKLERVEYHQSTGRTKRLGPETLRFVYSARRCLTLK MLTHALALQSNTGQIRPSNLDKRVPILEACKGLISIDRAEEEESWVSFFHISISKVLA RLDHEMLNDAHTVMARSCLKYLKHPDALDHCEKTKDYPFLPYALEYWGDHVRMAYPKI DHPVQRDAFQFVHNTHYIKAWARMVKRTGYTILVLWIHEDAHGLHICAWFGLSGFIKR LIHEGDDVNIRDRRYSRTPLRYACVQGQFDVVRQLLDLGAEPEDATLVDAMLGSSDAD HPLPDKEEDNRFEIVRMLLQRERININARVGGHGKTALIRAAKRPYLLGVKALLRDKS IDVDVQDANGRTAIMQAIRASSLQNTMTCDSQDSCHEIVTLLLERNANPNIMDNAGQS ALTLAVRNGMANTVEALLRCSRIELNFPNKLLRLASAETHPKVISLLHDKLSKNPRYS LNSLDEAGCTPLHHACQSASSLARDTIEALLERGADPNIANKQRHTASMLLFALQDKN VANSLANRYPGLNKFAPSVAAEIIKDLPALVLAKHQRWDLIQDAITSKRATLKVRDLV DGRSILHEVVLENKTKLVDMILSARVLDPNCLDLYGRTPLHLSNSSDTAKVLVHHGCD IRRVDLSGDTALASALRRSVSDVADYLVDAGATATNNHHEIQHLLAMAAKIGASKAFE ALLNPQSGVPDQQGSLALRRGFHDWFWIRSTHFKHIRSPLFVWFILAACLITVTTGLL PEX2_060470 MDNSQNSRPASQLIAGFGSPSTPSSARSTMRRSTALRQSGRFTQ SQAQSHQPIHDTEKDELRVQLSTLKYELENIKQERELEALRHEKETRDLQLKADSDFR KAQTAESSSNRATHKSEELAKELKQIQDQTLNDKLAFERKIRGLQDENQNLQEEFDDT QARLSDQERQFKYQINELETVRASLQKTIEEFQTDIQNARTSQESTQEKLSQREAEVA ELEAENIRLKAEGNDAEALNVLKRELSEQVNHIRELESATRDQTTELRHLRKISKNVE VVEEQKRSVENQLQLMKGFEAELNTVQIQKQILEDERQSWVSLLQENDQPAEFDSPDA IVRAVVQGRIEVATLLDRLGTVDAQLLEKDEAIKSLESDKNTLQQELEKLRVASAASA GGPGVAEGRLRARLERQRALAVKEVEYLRAQLKTFDAEEATMNEDQSQFDGQKSEQIT QLQQLVDEYRSELHKVHEELSKQEAPKEEETRGVKRPLSPTNSEAESERIAVLSRKNR KLQEHLTKSDQATTLARRELDAAKSQIKSLKAKSRTRVLELRDNPTAQTEQIKMTTLA TLQSANKDLMSQLRGGHTDVKVVPVSTLESIKLEMQDMERTVADKEKRMRRLKEIWTA KSSEFREAVASLLGFKLDFLPNGRVRVTSMFHLSSAYRHGDCDAPSDSGPGSMGNGEE NSIVFDGENGTMKISGGPNSLFAMELKPLIKFWVEERKDIPCFLAAMTLDFYDKTTRA ARM PEX2_060480 MGKDKVEKKDRAEKKEKRAEKDGVHKVKKEKKEKKDKTALVDAV EKEIDSEAMEGLEQTGAVAVKGEGEVDAAVDRPVGALVPFANPLVEDKQAKKVLKSVK KVRMVDFHRIFGSPMSTDRMVAQLFFLISRTSMLTFPLLAAVNKSLKRGVKEVVKALR KSPIPAANAAIGVPSGVVVLAADISPMDVISHIPVLCEDHGIPYVFVTSRAELGASAA TKRPTSVVMVTPKAAKGKKEDDEEFTKVFEELAGLTQKELKKLTV PEX2_060490 MHITRSSIFTLALILGVTQSTTSHPIRISKWVKTEQYPDPDAGG IWKVFEKEGYDLLPDPPHVKYNGNTAWPTNQNDNPTEQDTPNDHYTTGTSELGKPTVS ILIPASPEIPEQIHTPYIQQTEQKQEHHSSEDKKSSINATQRQGQYNEILQYLRTKNA LNNEHKHTTPASFSHSSLGHSPSFFASRFSFTTLKSKAMAFPHYDPPGVFTTVIILLM VVWIAILTIGLLELGNYLWRRREGALAREGAQDGDVGLDETLKVPLRIVIAPLESTQP RAVGEHGYEFLESVSSDFESDSGSESDEDDYRIF PEX2_060500 MDIQGTPINSGNRGTKVTTDPRTQQRIEEPSGPITNDSLAAESI RQGGGFSGNRGAEEMGMSGNQSTITNTNTSASIKLPSTPFGAQRQDRHGEQKYPECVV GQGNFPGTHMDNSGYAGGSTAAKKEMGIKAGEYSAASGSGGDSQFNDVTEIKGGGLPS DDAKNVSFKSEIGSSQDPGRDAISQFQHSNAHSANESARTHQKGMDTHTVYEHLESDQ RA PEX2_060510 MTDATFHTTIQDLRRAESKLSQLHGGNPPANSNVSRMKSIIDQN TNKAAQIDKVKANLPLPAQPPVASDWNSLDQRITSIGSGRLEFPPDNSGLRGSAPVGH SASELGL PEX2_060520 MPRDPLIGLVGKSVSEPKFSYNRFAILINYALNRKFPVCAPRLF YTSISTLSQFVAQAVLTPDSFTTIDPQRAIGYLQIDCPCQRHNVSDRCQPNYGGCHEG RRSVPIELLDVAGLVPGAHQGRGLGNKFLDDLRHADALIHVVDVSGTTDAEGKATRGY DPSVDIEWLRSEIVRWVLGNLMERWGSIKRRHQALKANPIDTLQKQFAGYGSTHATVA RFMDKLALKEPLEDWSNETVELVVNAFIDEKFPTVFALNKIDHPDADKNISKIAKMQD PQSIVLCSAISEVFLRKLAKQEYIKYVEGSEFVDTREDLIEMGDPDGGGLKEMDEKLK TRVENMKDMVLYRFGSTGVVQCLSRAAELLGLVPVFPVRNVATFNSGTGTAVFRDCVL VNKNSTVGDVARKVMGDVPINYVEGVGGTRVSEDDIVGVGKNDILSFKVGR PEX2_060530 MSVSRQRWRVILPLQSVIHPPVPCAQLGFRDYSIFEDWKGSSAE DHTRKRSKRGDTEDIHSKEAASGLKERETNQGLADDTKSQGMTERGGTKYSKKAKEEH PKAPEPVIGMNDERSKKQS PEX2_060540 MKREYIPIESLPAWQRLNGIVFQGIAVHKIGSDQHGADKGSALI ATEAQTSSENDAKPKILLRIPPELILSLETVHNHAKTDRYLRDVLEAIGDFGRTARGA ILIFLLIQLSHSSPDIQSAHETIGISNPWTEYVKFLPPSFPLPTFYTAEERELLRGTS LAEALDAKVASLEREFEQLRQATEGIAWCQRSWWDEKTGALTIVDWKYVDAAYRSRML DLPGRGLAMVPCIDMANHVSGDGVKALYDEDSEDNAVLQLRWGKTIQPGEEVTITYGD EKPASEMIFSYGFLESGTTEAREIFLNLEIPEDDPLGLAKKMFCQNSSGIRISAVEGS DEVTWESGLAWIACVNEEDGLHFGIAQTIDGGRELETTWKGEKIQSTSHLRDLLAIDP LWDIFQLRAAVLLLERLETQLALLQETEEIISNMQEDKAALDSMFRPGIFTSIAHFRV LEGELLERAIEDLIKQRTELLASRTVAEYFNAQTGEPDEPEDFS PEX2_060550 MPGILPMKVIKVGGTGTTSRIAQACDRCRSKKIRCDGVRPCCSQ CANVGFECRTSDKLSRRAFPRGYTESLEERVRSLETEVKDLKDLLDEKDEKIDVLSRI HSFSPPQRAPSARSPSASATVKSSASDSSEGMFHVERSMTRPSQKSLNPFIGFSSTQG FADVFTNKLVSEGKSAAKVPTGALTALSTSVVQGTPNQAVKTPPRLVSDQLINIFFQE WAPLYPVVHRPTILKAYEQYLNNAETLQRNPHVMAQLNLIFGIAALSSMSRTNQDPTF FEENWSATLDSFSSETSVSSLQCFVLGQIYCMTKGDYRTLLRYRALGVDICHQLGMHE NQESSGNPLEDETRKKVFWSQYVLDRFCSALTGLPVLLREEDIETQYPVDVDDENVTE TGFLPTLPGESTRISSALALFGAARILNKALDDLFPSKSGYDVCVSKMRSVTGKLEEW LHTLPPHLRLEFSQDKPSTNVTSSRSPLLSLVYYFIRSLIHRPAVCYADENLRSPSVL ALSDSAKHIIQILELLDERRLCLSVCINRKELIFFSGLGLLWQMLDVKNDSKLAKDSQ KLLGTAMQYLQSESSAAAAEFGILSNTLVSLGSGRRASTGKQQPQEMVAPTQKPSKSP KKHLQALKSRLIACSTRDKQPPTQPSPQSSRRNTISGATPPLIPQSLRSPSWSSLPLA QHDQLPAPLYLNDKGHSPLDLASDPRLTASLGYDHQRSMSCSTPSDPTAGAITMADWE YVLSDMDRGYSNIFTGIYGGKECGEDPGPFASIAAEYNRKSNDSSLAHHPQSEMHGLS PEAWSASSGDFVHKQEHTARSVLSYSSESMGSTEESLAPYADAKVFPEDINTIDPFHT FAMHGEDEVDEFSLVNGWDRRLAV PEX2_060560 MGAWKEIRPRFLPAVITMFLLLQVLFLVNMCYLYATQFRSTTRY HNFNLLYVDYDGSIIGKSVTDAYQQLRGHGFPTLLSESPNEYAQPKDIQEAVCRGEYW GAIYTIKGGSADLASALENGSSPPTSLTYIWNGVRYPVFSQAAVYSNILKLIETTRST YYTNNGSSVAASTNFSNAATLKAFLDPIHAKEINIKGTEQGTRVFYNTVSMVMPIIQQ FFFMLALNGISTHFDSFSKLSWKANGLIRVIASILYTLTGSLLMTGYIWAFRESWGQQ GSQFVLTWVVMWLLMHINFLFLDITTAFIPIQFMPFIVLTWVILNVASTISPFELNPG FFRWGYALPSHEAYQVLIQIWSGGCNNRLYRALPIMFSWWIVGVPIAVYAMQVRCKAA VAAQEALERAGLDSQSEAKHTATNETVAPVSSSPREFGQDGESVEAVPLRHIA PEX2_060570 MFDKIFKKRSQDVYADPQAPGGSASTNEREKGPGNQVDTPIPLL TWRSFIMGILVSMGGFLFGYDTGQISGFLEMENFLERFGELQSDGTYHFTNVRSGLIV ALLSIGTLIGALVAAPIADRFGRKWCISWWSLMVCIGITIQISSPFGKWYQVAMGRWV AGLGVGAVSLLVPMYQAESGPRHIRGSLISTYQLFITLGIFVANCINFGTEARPDTGS WRIPMGITYIWAAILGVGMMFFPESPRYDYRHGKVDKAMNTLSKIYGIPQNHRALHIE FDEIKEKYDEEQRNGKVTWIQMFRAPTMSYRVAVGVALQALQQLTGANYFFYYGTTIF KGAGIHNSYVTQMILGGVNFGTTFLGLYLIEHWGRRRSLITGALWMFVCFMIFASVGH FSLDQEFPERTKTAGVVMVVFACLFILGFASTWGPMVWTIIAELYPSQYRAQAMSLAT ASNWLWNFLLAFFTPFITGAIDFRFGYVFAGCLFLAAGLVYFAVMEGQGRTLEEIDTM YRMKVKPWNSSKFQFPADASVIRGSFDKDAPRSSHVADPTTELHEDGSWAETSARA PEX2_060580 MDAEDKSTQSQTDVLIIGAGPSGLMAAYWMARCGVNARILDNRD TKVFLGHADGLRARTLELFDSMGFQHRVMQEGSISTEANIWVPGPQGKLIRQALIDIF RVDESPFHNTRLNQGRIERFILDSIREHSDLDVERSVIADSLEYDEELSNDPNAYPIT VKVRVLGEDASNLPCGQETDAESEAAGNEMNRNNLFPDDWADLSPRKRPQKTQVETIK AKYLIGCDGAHSWTRKQLNIPVEGSNTDHIWGVIDVIPLSDFPDIRRVSVVNNAAGTI LVIPRERGLVRFYVPVQTCEAGATDRFDRSKITPELIRERVQAIFAPFTFDFKECSWW TVYQVGQRIATQSTKDNRIFLAGDAVHTHSPKMGLGMNMSMQDGFNVGWKIALAVAGT LKPEILDTYALERHPLAEMLLDFDRHWSPMFTDRKPGVADTKASDMALVAGKFEDFAD GWKVFYPASSLVRKAEENSEFAFARHLIPGERVRPVKLRNHADGGTQWTTRVLESDGR FRILVLAGDIGNPVQKQRIEVLSQALTGQSGSISSPLSRYMEIPGRFKSPVDVLTIHA SSWKEVEFFDFPEILRPFDSVKGWAYDNIWCDDACLFDRYCDGTAYEKWGVDRALGAL VVIRPDQYIGWVGELGDVEELTRYLDGILVNKLLTDKIDPVL PEX2_060590 MGYTDLDQLAINTIRLLAVDATSKANSGHPGAPMGMAPVAHVLW NLMNFNPQNPEWANRDRFVLSNGHGCMLQYALLHLFGYKLTIDDLKNFRQLDSITPGH PEAHDTPGIEVTTGPLGQGFANAVGLAMAQAHSGGVYNKPGFELFNNYTYMFFGDGCA MEGIASEAASMAGHLKLGNLIAIYDDNHISIDGDTKCAFTEDVTKRFEAYGWHTEWVK DGDNDLAGIEAAIRKCQQVTDKPSMIKLTTTIGFGSKLAGTGGVHGNPLKADDAASVK KLFGFNPEETFAVPQQVYDKYHKHAAQGAAKEQEWNQLFEKYQAEYKTEGADLARRLS GKLPEGWEKKLPVYKPTDAAVASRKLSEACLEAIHDVLPELVSGSADLTGSNNTRWKN AVDFQPPDLGIGEWAGRYIRYGVREHAMAAVMNGLAAYGTIIPAGGTFLNFVSYAAGA LRLSALSRVRVIHVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSAA YYSAITSKHTPTVMALTRQNLPQLELSTLENAIKGGYVAVDTPNAAVTIISTGSEVSI AIEAATYLQEKHGVAARVVSIPCFEVFDAQSKEYRLQVLPDGIPILSVEACCTMGWER YSHEQFGLNRFGASGPYKEVYAKFEFTPEGISKRALATIDFYKGVPVRSPINRAFEQI L PEX2_060600 MATEYPHRIALLGLGTIGLSMLAMHLRRPDTSITVYDPRPDYES QIRSTLPSLLDSPDSKTLIDDLIRTSRLKLATTLTEAIQDATIVQEQSPEITASKQDL WKEVAGLASLDTHLWSSSSGIPASAQASACEAADRVAERLLVAHPFNPPHLMPLVEIV PGPETKTEEIDFVKRYFGDIPGPAGSSGDQSASQHYRPITLHKEIPGFVGNRLAFALL REACYLVGEGVVSARDLDSLVTASLGPRWAGSGVFESYHAGGGEGGIGAFLQKLAPTI QDVWGELGQIDIEGAQAWKDLVVKQTEDAYGPYTPGTRKKKEEMLKDVVEMQKKKWGE L PEX2_060610 MTDPKRQEDTELASADDSNQYESGGITSTPVDQNEELIDTREID LALTRKMALANAAINEVGMTPFHWKLFFLNGFGYGADTFGNPSKHITGISMASQVGLL VGAAVWGLSAGIVGRRLAFNSSFFICAVFVLIAGAMPNYVSFAAMVAIYSAADGGNYI LDTTNLIEFLPVSHLWLTTFLALWWAVSYTITGLLAWAFLGNYTCHSDATICTNRENM GWRYLHFTCGGLITVLAILRIFMIRMPQTPKWLITQNRDAEVFDILKNIVDSYKRPFS LTLQQLEEPGHVLNAEKSVWSSVRLQKHFSGLFATHRLAYSTYVINLNWFLVGIVSPL YTIYLPYYLASRGADTGSDDSTYITWRNYAINQVCGLIGPIIAGGLVETKYLGREEPS LLAPQLPQRCNSAIPRSKLRCKM PEX2_060620 MEGLKEVEAGIKIAIIGGGPAGLSAAIELARLPFVEWTLYEQKP SISEIGTGIALQRNTWRLLEKLGVSQHLKAGDFFRPKDGHDTQYSCGFVDEVDLIIGA DGIRSIIRTFAFPEYSVSYSGMTAYRAVVREADVRQINGLSKAIIFWYGTNGKWIYTS PLENGDWEITCRIREPDDNDRTSWGKEVNVAKFVESFHEYCEPIQQLLSLVTRVKRFD YFGGPRLQTVINQSSVALIGDASHPLSGAFGAGAAFAIEDAHVLAGALRWAASSSHSL TEALQLFDKVRSFHYGKLYETLDEIASAHQKTFRLSASTEEEIAGQIENVSLPKHAWM YYHEADQALQDAIAESRIIPPLRQKL PEX2_060630 MTLQSFKVIIIGGGPVGITAAHALHHAGIDFIVLEQRDDVFEDV GASLVVSPHNLRVFHQFGLLEKLSDIGYPFLHHNEGFNTKKPKFKRSYALSLLQKNHG SALLTFHRAQLIRTLYDGLPEVAKARYRTGKKLSDIVVSNNGVRVICADGTSFSGSVV IGADGAHSKTRLVMRRHALEADPSLTPIWDVESPYTSTYRCLWASIPRLSDPGDSYEM QGQDRSVVYLTGRENSWIFLYEKLSQASNKRVFYDDNDRQLYGATFSQWPLTETFTVS DVLHDPSCISGMANLEEGIVKNISWSGRIVLVGDACHKFTPNSGLGFNNGIQDVVSLC NQLHTQISSYIASPAGGEALDQIVLQAAFDKYQHERKPFLEADYTRSARSTRRSAWAT STDYLSGRYILSLRLVQKFLITHSICPGIKRGLVLSYIPSSEPLVGKMLWKHLMGKSK PEX2_060640 MKEVSKTQKRRWEKEDTLPRKRARQICEACKARKTKCVSVENGD CDYCQSLNLPCKFDLKNRKRPFYMVSEEAYDSLVKLLRRFIPEEELPELTVESIRCSL EQKNNDPASHVLPNGRNRDADPSDAVLVPNSRVDLPEHDLQQHDPQKLTMPNDDSLFQ EELGCMMLDSLGKYRYVGANSAIRWYHTARKVSENSMHSYPRVIVPLKTGLLPPTTPE NVASQHKGDQFLPVGDTLPKAITPTKLVELSQSKFGIVTKMSIWACLAPEGFMRVHLS VPEERDLAPMVDILREMLLREKIQDHPVIGDSKGPRLGFWDATFALYGPKEMMEYNLK QIREAFKPIKGHELTATAHYPKPGQKDVNAIDIPYDLQTRNPGLCAIKSIEYRGLDGG HISFSPVLPSDGKAALEFYYTAQKLCGLHMHLRHMTHINIIHFDRNSQKDKDTANALF VDLVRSAREAGYGEYRAHIEHMDLVAKQYEFGRGALMRLNERIKDTLDPNGILSPGKQ GIWAKQYRNKGKSQL PEX2_060650 MSDPLSIAGTAVGIISLGLQVCGEIVSFCQAWRGFNEDIQNISE KADAARMPLRTLRELIEDFHTTDPAIARDLENKARSIEQVIKRLKTATDRYATGTSNT ESFRLQLKKAAYPFKKEALREIASDLESVQAMLQTALQM PEX2_060660 MKYYHGRSILDSLNGFALFGNECSLGLRLLDLGYSFTAHAPFSL ADRQSILYLLSRTDESIQIPDIVRIIFRGSEHQLRDALKSGKASPSDIINGDSLIEYA FGWPKGIQILLEAGAARSPTCSDVSCIRYVEENDEEDTYHSVKLLIEAGCLFDWYDIS RCEQNGRSSKIKLLLINELAARRKRLWHLAQSCLPAGQLPKLISDDENTGTTTIFDIH TAEIHDRLVKQGVSIDPSLRLNFSEIWYKSVYHFPEFTAQTLEELYQIGFRGITQVTP EGFMPLVIPRPRYYSTGSEHNEKWKGMERIAWLVSKGADPYQELPGTSATVTHHLGLT IVDYCLDALPGRREVKLENQKHYRAWKRMVSEFGKEFFLLPLVRDRCVCACSPDGCTT MSVTLRHIIDYIPAYRIHEPEFWYRELIQFLLCWTRGDTGIGWKVIRFLTFDALGLKH SCCINTPTYPFDFSFRLKSREEEEVEEILDEQKLGLIELEKILDELKIKFDELGQPVI EFLEGYWHTRMIEFLSQRDPYDEDHVIESRRMGVALEPDECVVPDRVSLLIGSKIMYE IST PEX2_060670 MQPVAGPSKPSSTSQARRSPSAPGNPQITRKRVIYSCQTCRRRK VKCDKTLPVCGNCAKNSTECAYDAELQQDTTQTNRKRTNRTKRRRDTRHSEENADERL PTYAPSRQASSSHGQKTDLSAVEAHLAQLVSLVERLRKDNQAHGSTEMSTASLRPSVE TAPMDDGNLPRKSIAAQPDSPFSADCSSDDFPIPNGQTTDLVDPLETSNLGHMSLGDG GRSRYVGTTYWAYISHEMEELNQLLGNQNQSHNLAAGSDTPTDSISSKRRQRKGSISS QTTSQTTRSPFPLGFSGPIQKAVCIPSAYLSPNDKAVEPYMLEHVPTKWQSDILYKAF MSGVHSLSPIIHPPMILNLYNAFWDWYDCKSHTGEPCPSSSFIPLLYAIWFGGSVSIS MRTMETEFNTSSRAMLSTKYSEEVTSWLAKISFPHSVTLHGLAAYLITQTILSREEEP LASSLFVSLAVRVAQTLGLHRDPSHFNIDPWEAEFRRRLWWHIMHMDAVIAMSSGLPP LLTDTTYWDVHETSEVKDTLLGTAEAKHYEQLVAHGQRTADNPDNPTLCGGPSMVNVY YLNAKAKCIMAQSVRKILKIQLGTKPLTRGDMVELRSVLHDLQVKLNFIISRIPEATT TEKLTASSKRAPHSSAAELPIEGSSCCQEQYHSVAFCVAYQPFLRNPRSRIWPVARQS ALRHCYAFMEKFIRLATDPDFQPFQWGWPGNHQPMHAMMIILIDLYERPYSPEASMSR GFVDQILSLSGPDGGVVSGGDDIFTRRPLRNGGREAWGMIRRLRQKAWQKAGLNPQLP WMAQDWPTESEFANAPYFKSMSFATVAAPTTRAAATTALSRDRAFAPDLAFAHSSAAT IPTQQSLVDSSTVKFLDTAGQNIMTASEPSVPFMTDLSLNFDWGEWDNVFGQSLPVAD ELMELDQVTGLAFADLENGDM PEX2_060680 MTVLSNSNNHDEGKGTTNIEPDYLHPTHLEHYGPLAHVNTVESR LPAFAGELQPGLYRTPRLRKFANPAPLGLSGFALTTFVLGCINMGTRDITEPNMVVGV SYAYGGLVQLLAGMWEMAAGNTFGATALSSYGGFWIALGITFTPGFQIMSELQKADNG STDIFYDSYGLFLMGWFTFTFLLLTCTVKSTAVFFSLFLFVDVAFLLLGIGHLHRDSQ GKPNQSVLTAGGLFALIAAFLAWYSALAGIADDSNSFFIIPVFHFPWSEKGRASRREV SPVV PEX2_060690 MSSQDSKLDSDSIAHPLAPFTYENEVYQAGLKGQKPSITFNCLE WETLAKDQLSADSYGYVWGSAGTRETDDNNKKAFKKWGIVPSRLVKSDFPNLKTTLFG ETFEYPIAMAPVGVQRIFHPDGEKAAAKAAEKEHVTYILSTASATSIEDVAEANGNGS RWYQLYWPLNENNDITVSLLSRAKAAGYKVLVVTLDTYILGWRPSDLNNAYNPFIRAD DIGVALGFSDPVYRRKFQEKHGKPIEEDVQTAATEWAHTVFPGVSHGWEDLKFLKEHW DGPIVLKGIQTVADAKRAVEYGVQGIVVSNHGGRQQDGGIASLDVLPEIVDAVGANLE IIFDSGVRCGADIVKALALGAKMVLIGRPYIYGLALQGEHGVSHVLRSLLGDFNLNLH LSGISSVSPKHLNRSVLRRTDN PEX2_060700 MKFSEDCVPFIVPLFLVTPIFGQETLNATNVTGDNLVSIAQGGP NLCTSADITKMDVSGCTCPSTFPSSPTDLVCVTPSGQCPITCNPPPPPSPVIPRSELS QCYSGCVDANSECNGCYIWFSSLCRCIRAFQAGTPTTCIASPSIGAGPPQPNQSPSWV VLGGGDLITTTDLIPGILQLNSAADVDGGFRLGQDTLNNRGIRDTGTLAINSVSTRSE EQIHIHVCNNPGSTVRGILDKLHRDSFNTTSSVDLSALPKPSAAMSCRASHNRGEDIN IGRDIVNWLTQYAGTTTCAQYDVGAGVIVDSNGYAWACITTGHRAAENLFCTD PEX2_060710 MSELTHPTIQDGWFHEKSGMWPGQAMSLKVNQIIHHEKSKYQDV LVFESSDYGTVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPEKVLVIGGGDGGVLR EVVKHASVKEATLCDIDEAVIRVSKKYLPGMAIGFQHPNAHTHVGDGFEFLKNRQNEF DVIITDSSDPEGPAESLFQKPYFELLKSALREGGVITTQGSENQWLHLDMISELKKSC KEVFPVAEYAYTTIPTYPSGQIGFMVCSKDANANVREPLRTWTPEEELKLCRYYNQDI HRASFVLPNFARQKLGN PEX2_060720 MGGSVDPKNGHYIGNWGEFGCPTPQRIATYSLSPNRQRPMAGAG HAAIFNVFRRFRHQVLYVVPPFVAAYAAMNWAIERNEFLNSKPGRLLEGGNEE PEX2_060730 MAQVLQNVYRLAFPLAIGGMLVQNSLYDVKGGTRAVIFDRVSGV QEKVVNEGTHFLIPWLQRAIVYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVPKL PQIYQSYGTDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSNRIRTDLMKRAGQF NIALEDVSITHMTFGKEFTRAVEQKQIAQQDAERARFIVERAEQERQANVIRAEGEAE SAEIISKAVAKAGSGLIEIRRIEASKEIAATLSANPNVTYLPGGEGKDGSKSTSLLLG LRS PEX2_060740 MPHAPRQGNRRRSPGPRAKAQKPKQAPPANKQHANRKAEVEKAK AEAEQLEEEESSGLKLVSTIPLTLQQLLLDVFKTALLGNPVAESDSASQETNPAEPQS DENKDEPLDIKSLIQTIKGLLYQRDFDSAFTEAGEDLLRAYALRWSASRSLGYAGLLK GVLSWMKEEEENTRGRARSKNPCTRVVSIGGGAGAEIAALAAAWRDMGSQAQSLEERV ANVSLEDAESQKDKKTEVEDQGVSAPSLSVMAVDIGNWSDVVERLSRTITSADVPFSQ TTKYRPPLLSEKVATETFSVAFRRADVLTLPEEGLKEILLGPSASSPFTSILVPIMFT LNELFSTSMPKTTGFMLKMTEILPPGAVLLVVDSPGSYSTLKLGKGPDGEPQERNYPM KFLLDHTLLSVAKGKWECVYTQDSRWWRRDAVRLRYEVGEGAGLEDMRFQMHVYRRL PEX2_060750 MNDPQQPMSYNLDGARPRLSVRRARDPPKNSEGQIFCDHPECQS APPTFRRPCEWNKHMDKHDRPYKCYEPNCDKIQGFTYSGGLLRHQREVHKKNTDTKKA LMCPYTDCNRSTGNGFTRQENLREHLRRRHMHNDEAPAMVDMSWDRATELDGVEGVRA PSLPITGMKRRHDSPPVGDLRDLPETDEHGIDLHNEVKRLRREVQEKDRRLEELERIV SNIQQAIPQQSPAVPELQDLSQPAPQPAAAPPV PEX2_060760 MRHSVLLQWSRGICWVPRRAFSGTTRVQACHTEKKQCPKSIERK NQSSELPSCAQKPPPQPLSTLSLPFWTCRSTWRRAGVNTLRCLVGCTAGDFSALWILQ TYYAEMGMSSIMALSSGLPP PEX2_060770 MSYQPEDPSAGAEINEIALGRARPRRHDDEDEGSDTFEDDDMES IVGAPTNGHQKSQGNGEEEIELPAHACAYCGIHNPSSVVKCLSCNKWFCSARGNTSSS HIVNHLVRARHKEVQLHPGSSLGDTILECYNCGTKNVFLLGFIPAKSDTVVVLLCRQP CASMPSSKDMNWDTSRWQPLIEDRSFLPWLVGAPTDQEQLRARHLSPQLIAKLEEMWK DNSQATLEDLEKATAVDDEPAPVLLRYDDAFQYQNIFGPLVKIEADYDRKLKESQSQD GLIVRWDLGLNNKHLASFVLPKLELGDVKLAVGDEMRIKYNGELRPKWEGVGYVIKIP NNQSDEVTIELRAKGDHKSVPTECTHNFMADYVWKSTSFDRMQCAMKTFAVDEQSVSG YIFHRLLGHEVAAAPMKTQIPKKFSVPGLPDLNGSQINAVKSVLQRPLSLIQGPPGTG KTVTSATIIYHLAKINGGQVLVCAPSNVAVDQLCERIHRTGLKTVRVTAKSREDVESA VGFLSLHEQVRMNDSNIELVKLNQLKAELGELSSQDEKRLKQLTRSAEREILTNADVI CCTCVGAGDPRLSKGKFRTVLIDESTQSAEPECMIPLVLGCKQVVLVGDHQQLGPVIM NKKAAKAGLNQSLFERLVILGCSPIRLNVQYRMHPCLSEFPSNMFYEGSLQNGITIAD RVRRDVDFPWPIIDDPMMFWSNLGNEEISASGTSYLNRTEATNVEKIVTRFFKAGVQP RDIGIITPYEGQRSYIVSSMQATGTFKKEHYKEIEVASVDAFQGREKDYIILSCVRSN DHQGIGFLSDPRRLNVALTRARFGLVILGNPKVLSKHPLWNCLLQHFKERHCLVEGPL SNLQESLIQFSRPKQAYRGPQRFQMSFSQTNNAPNNAVNGRNGGHRNEYHDSGSVVGY IPDDVSSVHGSALGGVGLPSGYPPMFQNFGESWPAISGGRRANGGRPKGAPSVAGESV AATESDVTCSVTDGRSVDQGGVSLTGLSINDMSKQPSLSQSDRLKRYVESGGREPYRA GVPDNGSIFGGSSASIRVTRGAPGQNPHDDDDARSVSTAFASQVGGNYD PEX2_060780 MLRRQTRERRDYLYRRALLLRDASIAEKRSQLKASLASGKPLDP TIANDKKLREDFKYDESKDKEDAEMDIDDEYVLTSGIIDPRPLVTTSRSPSARLGAFA KEIRLLLPTSIRLNRGTLVLGDLVSSATSAALTDMILLHEHRGTPTALTISHLPHGPT ASFSLHNVVLRQDIPNAARGTVSESYPHLVFEGFKTKLGARVVQILKHLFPPRETGKV GNRVVSFVNKDDNIEVRHHVFVKTGYRDVELAEVGPRMTMRLFEIRGGTLEKGSSGDI EWALTQYTRTSKKKEYL PEX2_060790 MGKPFQKVHASIVGKFEHGVGEKIPQWIRANGGQFSRDVNARVT HLIATKEAFKSHAAPVETAKKLGTVKIVAYDWLEDSLLSNTRRPKPEGPYLLKNLMKP EKKVTPKSTKVVKETPKRRIADPFLGSKGKKKPVRRVYQDRKTNVIYSTTLFRPSKPP ATSREKYQLTLFESITEPHTYSTYAKFSRVGTSNVELLAGPRCKLELAVEKFKQFFKD QTAKEWDDRANGKMPPPKKDPDGNSLPVHEGWFYLEEKTTILGAFLREPQSTGCEEST GHIAYDGIKENDIEEKMANHQVEDGDEDEREGDVEGEGKDEDEGEDEGVDGSEADEAD DDDDNDKVDE PEX2_060800 MADLVDHSPHHATKAAKLASASNVILIDNYDSFTWNVYQYLVLE GATVTVYRNDEVTVEDLVAKKPTQLVISPGPGHPETDAGISNAAIQYFSGKIPIFGVC MGQQCMITSFGGKVDVTGEILHGKTSELKHDSKGVYQGLPASLEVTRYHSLAGTHSTI PDCLEVTSRVDLGDGSGKNIIMGVRHKEFAVEGVQFHPESILTQYGRKMFRNFLELTT GTWDNKQGAAAPAPVDKKLSILDKIYAHRKNAVEEQKKIPALRPEALQAAYDLNIAPP QLSFPERLRQSDYPLSLMAEIKRASPSKGIISADVCAPAQAREYAKAGASVISVLTEP EWFKGTIDDLRAVRQSLEGLTNRPAVLRKEFVFDEYQILEARLAGADTVLLIVKMLDI ELLTRLYHYSRSLGMEPLVEVNTPEEMKIAVDLGSEVIGVNNRDLTSFEVDLGTTSRL MDQVPESTIVCALSGILGPQDVEAYKKEGVKAILVGEALMRAPDTSAFVAQLLGGSNH NSAGASPSSPLVKICGTRTEEGALAAIQAGADLIGIIMVQGRSRLVLDDVALGISRVV KSTPRPAGTLQQSTNATSLEWFDHSTNILRHPSRALLVGVFMNQPLSYVISQQQKLGL DVVQLHGSEPLEWSSLIPVPVIRKFAPGDIGISRRAYHTLPLLDSGAGGSGELLEESG VKKVLDSDEGLRVILAGGLNPDNVVDTVKKLGQSGQKVVGLDVSSGVETNGAQDLEKI RAFVKSAKSIRQ PEX2_060810 MAPVPEAYFPSLDKCFSGDVQLLSWRRAFLYTIDPESYADDGGN IDVFFSHSESIRLLSDGLKPFPSPSAKSKSEFESKTAAIHVETNGQSSFDLKEIKADA LWLSKQAGIDEITALRIAVLEWQNRPATRLTLGFSSEEATSLQSAAGTENLRGSLAGP NFASLLNQTARSDGIQSFEKEDKRRLRLREVYLSEASHVVKTLRKLLALSLHDGISAD STAPASHDHKLALRKIGTTIFQDKSAGDGLDRFLQECISSIRSRLTSLEGDGGWLSAT ESSEEVENIWRTSLVEEIVHIVQLMFHQLQASVEFPTGDLLLSWLQLMADYSFLETIQ VPCQQPVEVLLPLQAFVSLTTLAFLKPSLAISSIQNETHMRSSPQPYFWCKEKISQIN EIFLTACGDLNTANPAAFSWGLILYTIQEIAEDKRNNRERDLSRDSAVSYNEQTHNAG LSRASEQSDYEELLDCARTPQSTAEDAIPILTSDALKESAFNTVIALASKTGSMSAVD DGLTTRWARLSLLDLIRVAIMFLDYSPEIVGSVLAILGDDDNELSRDSDSLGPASDPK SLFAKDQNFMDGIFRVARSRFPYETAPFLQLCRALVSGHSLNEEGLPAILEEVENMES FTQIVSPTFQGYATIREDENADFVSLLQPLPMFETLSQNRLFESEPSNAVIVSSSSEI PRLTVGQVISDSKPAVIMWEHRYSCLSFLGSWLEEWSETGGHSPGWTDDTATEIIALL TDLIENSETQRSGDASGKRILEMASDGLSKQGDIISVIFDIFERNLQTIGTRGDLGKS LDTTMACLRFIKALLKILPNRVWPFLGRSSLIGSDGKGGIMTAIVSAMEIPSGEYPFL LSCVDLVDAVIDDAASRAVLRKSPGSVSSKSSIASDWSAGIPSHVMRTIMLNFTRTMV EIFNSSGNWRFNLPEQRFKINSMLATSFERVLYYAFGINDSPKLESKVTGVFSTSAAY ILDMLRPRSTADLPFNPILRLIAEGLQTPPTLHLRYLTLFENQVKSTLRLCIKLVQAA QLAEQPGSLLEEQLFKASPVLVKLYALHDAYRLPVVSLLEILISSAASNPDNEPPSLV GHLGAESSCLFLDVLSQLDKPMCDRPLLLAVWQLLSTFVSKRQQWLAVFILTGSSPRQ TMKKEPISGGLSMRSVPFLKMALEKLSHIDQQEPQVALALLEFVSRAQENWPWATSHL SKHPQFFNSIINHVSKLKISSLPVMDQIHATRIAAVVADLCAVYLHSAKEVGDRSFIK TLIPLVSWYAKDAVEVSAYNSSLHANLKKNFEMRYSGCKIVDFKRTPLEVRNLGRDYY YDLSMGDKLLSYDFAWAGTKNRGFAEEFERANINLSLVEAQVSLLHSWKFFAIEHCAD FMPDTEVRKSMALVAQSCLNANISSGPPEAIFERIQQARVDFAQALLQRLVEVQARGA EVFQLLEITWKALRSRHLTYEDALINDDTEYFRSLLNVLFLSLQFHLDSPSRSAPEAI NKRAEVSSDLTVIVEVVKTIVAQGFKSLTTYLHETPEKCAPKDFAIIIAILQTCLQVK NADRLYEHIVYHIEEHDTARHATTLFSWADQLAVAGDPVYAELSVSILVKMSTLPMLA EHLAVEAVLMKLSTCRLTSILCQKKSFGPFDPVSRLYTIWTGGFLPLCLNMLYSVMRT APEVAAFLNQFESRLTRATEAFSSHTAVSSVPTSKWISLSMVSEAYSLALISFILDRF REAGASAGVDAQAIQDLKWDRVQVKEDIEELLARRAALRPRIVATNEKELEWSRQKPV DPTSGAENRLEEKLVTSLLLKSPTAPLSKTHKSYLEAARPETHYLTTHDLRLWSQRLA AGLRKSGLQPGDRILLFSGNDLFFPVVFLGVIMAGGIFTGANPTFVARELAYQLQDSG ATYLLCASSSLETGLEAIKQANLPPNCIFAYDNSIYDGVATPQKGCAHWSDLLASEKE GSAFIWDELDTPALSSRTLALNYSSGTTGRPKGVEISHKNYVANMLQYCHTASLHPDN EARVARSRWLCFLPMYHAMAQNIFIAAALYRATPVYIMPKFDFVKMLEYTQEYRITDF ILVPPVVVALAKHPAVGQYDLSSVESVGSGAAPLGREVCEEVEKLWPPGKINIKQGWG MTEATCSVTGWVPTEISTSASVGELNANCEAKIMFDGSEVKERNSRGELWVRAPNVMK GYWRNEKATKETKTADGWLLTGDIAFVDDKGKFHVVDRMKELIKVKGNQVAPAELEAL LLEHPAISDVAVIGVVNNNDERPRAYVVLRSGQSATANEIAHFLDNKVSAFKRITGGV VFLDAIPKNPSGKILRMKLREQAKEELKSVTAKL PEX2_060830 MSSLAPRNILQGAHWNYRILDRVKGDNTHISNVFKAEVIPRENP HNASKPPRWAFMKGVLPEDPTAMLNLERELHAYRLPGVASTQCFRKMYDVIDNNTIAL EWLDTTLAELKYHPNDIGTRPLIVSVLRAALSSCAVLEDSKYVNTDYKPANILLSGIG SDCITAKVGDLGLVVPVDHLYNAQPYAMRAPEVFLGQACTEPSQVWAVAAMLLCWIKP GVLGMWGSYHPLINVPWSMAKVKRLFPDWNIPTPDEVDGDCLKAAVKSAKTLGESTPE LQAILPFDEETKTMEIPDQLRDLLRSMLIPDPVERPSALSVLASRELQAFENIMGASF NV PEX2_060840 MAVTAFPSEGSPDLALLNVARLFTRLEHNLLSPGTDRRSFQQSE YQRMRVNKNVEYARSLLTQLERSLPQIKPLDRKHEAQAEIVRDRQLLKRIQTILEEED AKAEAKEDEDDETEDIDDEWKELFSKPVAEKAISPASRPKQQRKSTQPSESQREVKGK SETTAIPSATTPSPTPSSTSPPAPPTIRNRHTTHPAPPSSTETAAATGSNTNKLSETE NQLSTHRMEQEDLTSSLLTLASQLKSSSQSFQATLEGEKSALDRAVSGIDRTSTTMEA AGKRMGMLRKMTEGKGLWGRMMLYAWIFGLWVVAILIVYVGPKLRF PEX2_060850 MGCGMSTEDKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIYSNTVQSMRVILEAMESLELPLEDARHEY HVQTIFMQPAQIEGDSIPPEVGNAIGQLWRDTGVQECFKRSREYQLNDSAKYYFDSIE RIAQPDYLPTDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFVKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGADYAAACDYILNRFVSLNQAEQKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI PEX2_060860 MSHMGPRAFNHDNSTDAEAEYDRLRDLARQEASKRGQCFSRSKD AYSSGDGAGAKQLSEEGKAHGRKMEEYNRQASEFIFRENNASGRVEADTIDLHGQFVE EAEEILEERIKYAKSHGQSHLHVIVGKGNHSANHIQKIKPRVEEVCRELGLQYATEEN AGRIYVNLTGGEAVMPPSTGHQGGSSGYPGHQQGYQGHQGQQQGHQQQQHGQGQGQQQ PDELEEFAKKMLPKVLRKLEKACCVVM PEX2_060870 MNSNPFQPPSPVPPRTSSANATNGNGSPSHRSKLSVTDEEPTKT THRRNKSSVDGTKYKDGTWSSKNDEILMGPYDYVAEHPGKDIRRQLIHAFNAWLQVPP ASLAIITEVVTMLHTSSLLIDDVEDSSVLRRGIPVAHNIFGTAQTINSANYVYFLALQ EVQQLNNPTAIDIYVQEMLNLHRGQGMDLFWRDTLTCPTEDEYLEMVGNKTGGLFRLA VKLMQAESTTGKDCVALVNVMGLIFQICDDYLNLSNTVYTENKGFCEDLTEGKFSFPI IHSIRSNPSNRQLINILKQNTKDAEVKRYAVQYMKNTGSFAHTSRVVADLRDQAFALI EKIDAAPKINGAGDGQMVRAIVEKIVESTLSDSNAH PEX2_060880 MEALLAHSFDYLSSYEPSKIRKGLRQVEGLLAQICLSKPKPVSD RRSRSPDSQPVPKMLSELRDDPAFREFSKLQESFQWNIAMRLVSCLEHLLGRGSNGTN DMLIVCALDLIQGALLLHRGSRTLFAREIYMNLLLDLLDPINCPAVQSATLLTLVTAL LDCPSNTRTFEDLDGLLTVTSLFKQRATSREVKLKLVEFLYFYLMPEAMTPAPMSPPP GLQRSPSKLSSAPFSRSAHSAAANAASKTNRDIRTTDEKQALLGRYLNNVEDLVEDLK ETAPFGATVY PEX2_060890 MAQTIEELLGTKPSEHSQKTQYMDTVEAYDKWAEVYDTDGNFLQ RLDTIEMRSLLPQFIDRVSKRFQQSLPESETETTPPSLVDIGCGTGRNTIQLLSALNT ANKATSFSVIGLDASRGMLDVARTATREYAAKSATKTDIELGILDLLQPELLKTQLPS RLDGPGAVGVISTLVLEHIPLERFFAVAAGIMRSGAYLLVTNMHAEMGMRSQAGFTDE RGVKVRPTSYCHGIPDVLDAAREAGFEVEEVVGAGADGVVVRGVDEELGDVLGARAKK WVGVRVWFGVCFVKGG PEX2_060900 MPPKGADGPPEQSSASPFFTVPAPIKRLFDKFPLTTYPANEIPQ RLRPHDNVNQLYVFADASGVRHGRPSFNPQCLKWQAYLKFVGIDFEITSSNNHASPNG SLPFLLPSLPADSSNPIPSHKIQKWAIEQVHCEEEQQLNLRFEVYASLLDNRIRSAWL YMLYLDSENFDAVARRLYVNPTTSNSLVRAALGHQLQQAARDELLKTSRYIDAAALEG DAGSAFEALSTLLGEDEHFFGRPNPGLFDASVFAYTQLILDDTLGWKRNRLGQLLKEH PNLVQHRDRLLKFF PEX2_060910 MDANESTQSPPPSMVSTSSPAPGKVQKSGRRQSTMQAAPENIAR ALENMTDKVKLEEGTSNMVSEFSSQLGLDGSHISPTASTQNQKKPSPTETTRKDSQSP QVSSCCSQNQKPKTPSPTPAPTAAAAPAQNGGSCCGGSRPPTTNPVVYPEADQQQFQQ PIAWDDQSYMQFPMPQMSPWPNNSIPTQGDYMHSIMQQAHPQHPSLHNGYMGMLLPHS QPQMAQMGMSVGVTSPPSLAYSNPMNGLGITQPSMGPYMLNNLEPSCNAPLSGDSCHE CSCGEDCQCLGCAAHPFNTTTRKHVQEMGAMITSNGDDKNSEVINPYKSSPYQGGTPS TPFPYFMQSTPSMDHGFQPMPFDSYSDPNSTLPSGYSSPLSASHHINQQLMHPSEYYT LEYPVGLPSACSDMTGSCQCGSDCSCVGCLTHSGHNGLSLDVPIPEHPIANTADRQTQ PSRHAPHTNSATSQTSRIPVLDNVSVPCLSPRTLETSMI PEX2_060920 MPLIAERSRSSQEALLRLEKGTASPTTQIHEAAQIIGVDLKLCE SHPEVHRDVRIQTNATPKEEWVLRWLLKRLRSGKNYRVDGASFLLLRQLIDLISPKNL AAILKDQKFLSILCDTLEDLEADIFSGLGNSTLSSDSESSNTLSGSPTRDDRRGNQGK KRKRMTTENDADAMDVDVDEQRQNPAACFLAFIRALDCLHGFVTLAHRTLGTDGVANS HLKLALRGEPEAVAMLLGRAFRVAAVAAKQFSHEGKTTDLQHLLYVFPSAVELWESRS YREDDMDNKSSNEFFARHCFAHALRLQICLRSTKLDTDERATLLNAIERIIALHVLLP ARAAFFERGSSGIDYSQDEPDWSSVKPVTDTFRPIIREFAVAKENKASNEACMHPWRS VELLPELFDNAVRAVPRDVFRRQTHEAPWLETLFVAVAELAFSTAKEEDFATFSSKFI SVLEHLLQVALDRKVGLSLHTILAHANYTGLFELGLEKVQWNVTALFISLGVDIFLPN SGLRDSRKLLDALLDKVLLQWRESGSRKRRDYDIIKSKVVLPLLRGFAAARDLSSFME LWHNQLVIVEEARQDNSNLSLFSVWEDDELADAYSELMRTSLTETHIAAQMHTAASET RAENGKVAETPQSYAKLVIVEASFRGRVSTFPESEKTLTSLLETLTSTLSSKQALHWR WRLWRLVRNFLDNTLQSADNSMASAIISLVEVAAKTVHRNHKDLAKVQLAPLESWEAY RFLLAATKAHASDEQLGSFVKATKDVTDLITSISTKDAKKSMKAPWNGCTDTVDSQTT LGLAYFLALVRVPEVWALISSDDRSRLFRHILSLAAAQYHSSSLPLEAVSEEARFLQA WASVVCHEYMLNAPFIVSDLSLLLNEGIEQDSSNRKLLVESLQRVPAPLITRGLRTTI LNKLQNVLLEQDSSPDVTIGIITMMAKLAAMPKCDATLTSDWDPIWTAARAVPLEGTD LDLQIMKAFRSLHRAISAKLLVSSEDERNKMFKKLFTRASKQTAKMKQVDRDSMACYL LRLTLSELWVHRKQLQTAFSEKDLAACRQKVFDFVLADMKYVKDQCRKQKMEETITII KTIDALEDFEDLATNNVEVEKFLTKIDNYMEMSVDSEPSRLIRRRLLASKGPEESIAQ PVLQCAETLALQSLYAEDQQLFIRTTTERFRSMTVGKITQTICEVRGLGLTGENAGYR LLVLYLAVSSLPPVEDKESATAREISLLCTSLAEAIVQSTSIDQFCFASECLDLLLRS HTRSLTQCNIDSILSAIASASSKIGPQISPAYAPTVYTRLCRLMGVVLSLQRLKIGGR FHLVVNAMQRLLGCLFARSRKRGRSRFQPTLSQPFWLAPLDASHATYYTRLLTSLCDP TVSAVLRPQPGASREALTDQTKKPKRIAGQYLQYVVMEYAQCSLRGSLSPEVKAAILP GLYAALDVMSRESLRALNAALDVSGRAVFKSLYDDYVKFGKWNKG PEX2_060930 MAESSESGPIARATKPVSEALLNEKWDRAISSVLIKSSLGLSFG VVFSVLLFKRRAWPAWVGLGFGAGRAWEEADASFRRGDSPIRDALRR PEX2_060940 MGPNPGPKAQPAPIRGFGVKIDQYTNDVDLKDEANVKLWEAIGD HISLVTKFLAGGGPTDYGAGGKPLLFCGAEAGVHQPWDTSIVKDHEGKNVVTDRDPKT KMPTKYLNLGLAFMGVSRNPNANAFWMSKFDGYDLDYDDDTSLCAENPKDGRLRYAKV AKRPFIRYTKMRLPPLATAIPLAVVWASLASVINANKAANALLASISVSTVSGTAPGR PTELVSAQEAILAGDYTKRLHYQGRDVCPVGCSNAGIDTSSWFVYGSLNRLDRACDRP MLLDFALANPIDTQKSHVAISACTADYENFSSFVPSSDSATSCASKVAEQAEVTFPLL LKSSGASSSQHVADVTSALEQLQAFAILSNSGCNETIKYFYSGDVIVGVYAGSGLAGQ GVLSTVLEKLSTRIKDDGSVAESWSVEMCSNSSARYSLSVLVNTKGKFGAVQRGLQAS KNGTCFSTETEIAASDWETVTYLAASASSITSTANPNKLTSYRATACRTIQVVSGDSC ASLATQCGITAAQFTKYNSDPSLCSGLTPGKHVCCSPGTLPDFTPKPSADGYCYSNLV KSGDSCASLAAANDLTNAKIESFNKMTWGWNGCEKPFAKYKICLSTGYPPMPATIPNA VCGPQVNDTVKAPPETDLSTLNECPLNACCNIWGQCGTTGDFCTPSNSSTGAPGTAAP GKNGCISNCGTNIVTSSAPGKTYNVSYHDLGGRPPRPPFGEYNSKDSRIPANFSCERV VQLAMEMGHSTIVQLLIDAGADTSLPHPL PEX2_060950 MQSGGPDSEERTYFDSGDFALSAADRETDNGAIQTGRAHPHREN ISHPYASIPASSNVDEDANQDTYRKSASIEKSPLLHQTDIKDELTNKEGQNDHDSHAC PEX2_060960 MDRNPVRGLADQKSTPEIDFSLHTMEDGTQVSTLERVVKEVQAP ALTTPSDELFWSHEDPSKPNLQFLKQHLYREGRLTEDQALWIINAGSEILRSEPNLLE MDAPVTVCGDIHGQYYDLMKLFEVGGDPSKTRYLFLGDYVDRGYFSIECILYLWALKI WYPHSIWLLRGNHECSHLTEYFTFKLECNHKYTAVMNKQFLCVHGGLSPELHTLEDIM AIDRFREPPTHGLMCDLLWADPLEEFGQEKTGDFFIHNSVRGCSYSFSYSAACAFLEK NNLLSIIRAHEAQDAGYRMYRKTQTTGFPSVMTIFSAPNYLDRYNNKAAILRYENNVM NIRQFNSTPHPFWLSNFMDVFTWSLPFVGEKITDMLIAILNTCSKEELEEDNTPSTAT ASPASPSLPIDTDPTVEFGEIKQRAIRNKILAIGRLSRVFRVLREQSEGISELKAVTD GRLPPGTLLLGAEGIKQAIHNFEEARKVDLQNERLPPTQEEVAQRSEKVRRSALERAE QEAANDPELANVAHRISLPASSYRNHDITSREA PEX2_060970 MRWYFAGLLPLVCHVVIVNTSPLGLGIAHGGKEANLTNTLARRV RVGGDGGPTTKPEKITDLFELHDGQGGYLAKESTFNEW PEX2_060980 MRSLQIFAFALGLCSADAYLVTPPGTPAPGAASGCSEWVQASYG LTCDIIHRFYGMTDAEFEEWNPSVSQLGDGCNLISDLYYCVQVNYVPETPTWTPPATT TASAGNGVTTPTPTQAGMAKDCNKFYLVVSGDSCYNIATAQGISLDNLYAWNSAVGSS CKDLWPDYYICVGVISGTTTSPPTTTSATTTTTTVGNGVVTPTPIQTGMSTNCNKFHL VVKGDGCYDIAAAAGVALNDFYA PEX2_060990 MSQDTDLASSSFHGSYGLDLFPSDLESSQQTIESTPASELLHPM ISSSLERFGNDWIVYSEMSKEDFIFWWLQTIHGNELRERNKSFWDRSRSHSEACRKLN GTTPMARHLRGEKCQRLSNRIAGNSSLQELFARQARDYPAHEKRESFTKELWQQDILT AITGLRLPFLIIENPLFQRLLQRAQSSPLPLEFPSAKTIQCRLRDTVQERHQCVLKKL PAEAKMSIALDCWTSPFQQAFMAITGYFIDDKWKYRELLLGFEPLHGSHTGVNLGTVL FEVLQRYQLVERVLAVTTDNASNNQTLVGRVHEQLESLNISTTTPIIRVPCIAHVIQL SLKSLLGYMKLEPVNEATQREWSENQSQSLRASQRKGIISTLSKVQGLAVFINASPQR RDTFRSLQIKGSKLLPIQDVKTRWNSTFLMLRRAKRLQLVFNKYCSENQYAQFTLDRD EWRQIDYLLCLTQPFFQFTTILCQTKEVTIHIVFEIYHSLFGHLEKSIHQLQQKRVPW KQSMLFAILAGQEKLAAYYGSTTKAHGDLYAIGTILAPQHKLQFFSRDEWAENKFASR ERYRQSLKDYVRPYHERMSNSQAFSNSQASLDQGSQLATLIAQVGSNQKPLPRHNNEV ENYLESGTTSIDPCSFWKGHQHKFPMLASVARDVLSIPATGAGVERLFNSARDICHYR RGSLKPTTIRDLVMYMCATKFDLGEEELETFRQSFSREERETADEEKDVQHPQENLDP ISDDEEDPRIESREVEINAHVATGLNSGREQLDLLQGSSSEGEEVLGITRGSGDDDDG EHPLPRDHSLKRSSGRDVQVGGRGPLGPPTGHQKGVQTRPWTGLDMSIDGSIGLWRGL P PEX2_061000 MSGTNEPIAIIGTGCRFPGNASSPSRLWDLLHHPRDLSRKPPST RFSADGFYHPNPEHHTTSNVTQSYFLEEDHRLFDATFFNITPKEAEAIDPQQRLLLET VYEAMESAGLTLKGMEGSHTSVYVGLMCNDFHDIQFQDPDYLPQYIATGASRAIISNR VSYFFDFKGPSMTIDTACSSSLVAIHQAVQSLRSGESTAACAAGANLLLSPEYYQAES NLHMLSPSGRSQMWDENADGYARGEGIAAIFLKTLSRALADGDHIEGIIRETGVNSDG RTRGITMPSADAQLSLIRATYKKAGLDPLNPDQRCQYFEAHGTGTPAGDPLEASAISR AFFNEESHDGEKLLVGSIKTVIGHTEGAAGVAGVMKAMLSMQNGIVPPNQHLRSLNPN VKPWYSHLEIPTVPQIWPTTEAHTPRRASVNSFGFGGTNSHVILERYDPALHGKLQDK EHAMDGTISERPFSIPIVLSAHTEQSLFGMLQAYSEYLNSHDSVDLESLAWALLERRS LFPVRVAFSPGSQKDVIAQMNAAIQRGVDSGTRLKIHDIEAGPRILGVFTGQGAQWAT MGRALILHSQLFRQTILELESHLSELPHPPSWSLEKEIMAPSSSSRLQEAALSQPLCT AVQVATVNLLHAAGVSFHTIVGHSSGEIAAAYTSGYITANEAIRIAYYRGYFASLACG SDGQKGGMLAAGLSLEEAKEFCNLPPFKGRIGVAASNSASSVTLSGDLDAILAAKSAL DTDGKFARALKVDTAYHSTHMHPCSEPYLEALAAIQIKPKSSKPGCAWLSSVSSDNGR PRKEDLSGPYWRDNMVKPVLFYEAVQAAHERRGPFDICIEVGPHAALKGPATQTIKEA AGHAPPYQGALHRGKDDVVSFGSLLGFLWTHLPASAIDVNGFATAMGDDISRRRMFPK DLPSYQWEHNQVHWREGRLSTQYRNRPPFHELLGTRLPGDVDENPRWRNILRADEVHW LRDHRFQGQIIIPAAAYCMMAVGAAQSLSKNVPIEAIEITDLDFENAITVPDGPPGAE VLFNLHQTHTQDGSNKSVIQAEYTCFSGPADGSAPIKRIFTGKLSVFLDSSMGTGLPA KSKIRPILYPMNVDDFYDQMKSIGLNYSGSFRGIQAAQRRLYNSTIRIDPPEEGDGSF LIHPTTLDVCFQAIFAAYASPDDGSLQTSFLPRKIGRILFTIPECQASLAAKSSVSVD AVVTNVVTANTFQLPALCGDLHVFNEKTGQMQILIEDLSVSSFAVGSENDDRQLFLED RWELDIMSGLSARRSRVDISTTQAPTEACERVAHFYLRELVREGTLSRIVAEYENLVQ FARGVASQQPANDAISKIAWASDTEDEIANLVKQYPDSHDLRLSKAIGERLPSIVSGD SGWQDDQIAGIFDQALEYGSTLALAYREIGSVARQIAHKHPRMSILEVGAHAGRATKH ILRELNGTFSTYTVAHTTSPNYLAHVHDDLPSQVLLTSLDFNEDLEAQGFKGGSFDLV IAVGVTPMSLPIRQSLSSLRELLKPGGFSVLFEPTGNAINYPFTMSLIPQWWSLEDEH RSEAKTVSLVTWNQLLRQTRFSGVDAVVSDNSEPKMSVIVSQATDKTVQSLRKPLHSR KTIGTIPGKLLILGGKSLETCRLIGQLEMLLESYFHSILTVESLDKLKEKSLQDVIAV LSLNDLDAPFVKNVTKDSWRNLKLVFERVPSVLWILQGYREKNPYHAASLGLLRVIAA ETPQLHLQCLDVGNTDSQEFFLAECLLRLVIIQTKKLRNDPALLWSAEQELVLEQGSF LLPRVVPVAELNDRLNSAKRLLQRKVNTSLVEVVLHKATLGSGATRYTAVKGLKRSSF ISPEVNHRSLHLQESSLIAVRLADDASVFVALGSDLENGAQYLALILQNASLVNVPTS WTYSLEVPSASSISVEVALRYFAARRMVDLASSSGSTVFHGLDNYLACVVWDMAQAAG KRIFVATDGPHDDVLSPHIPQLYVHPRASKSMLRSSLPRDTNMLIDIAASEKCLQRLR AVLPHNCIVLSSDAVFNDNASQCPNTPEDVWKDLIASAGYIVTQDIPKVRPSELLQEA PVRSPLTTIDWSGDEKLSTLVQPLNSSKLFSSNRTYLLMGLTGELGKFLCRWMVKNGA HHIVISSRNPQRGTRWEAELRSLGTDLHIEPCDVTIKSDVVRLVQKLKDILPPVAGIV NGAMVMRDQPFADMDDETFRRVLQPKVDGSKNLDEVFGDSPLDFFIMTSSTAAVIGNP GQANYASANQFMVGLAAQRKARGVAGSVVDIGMVMGIGYIRRSEEKGTYQHFLGKQNL MAISEHDIRDIFSEGIFGGHPTMGDRSQIMTGLAKIDLSDTSKRPSWIANPRFSHHSF ESNLKRTLDTGSDASPKTKLRKADNVEEVSSILQDMFSAELAVTLQLPPDNVNKSISL LEMGADSLVAVEIRSWFLTEIGQDVPVLKLLGGGSFADLCDELAVDILAENVAARDQL ARDEPIPEPHENISTVDQALPNNSSEVGDDFKDKIPLGTSGSSDESSANGDTRAQQTP DTSDNESLSVGKAVMPPWLQIDSMSYGQSRIWFPSIYLDDKTTYNCTTSYRLKGPLDL DRLESALQRVTQRHESFRTSFHTDSFTGEAKQVILRKSKFRLRVLGSTNDKSDVKREF DNMHNHIYDLEHGDTFQAFLLVHAPDYHTIIFGYHHIIMDGVSWQLTLQDIELHYTSS TKPSSPVQYSLFAKLQRQAVTRGAYSKQLQFWKSQLHDLPESLPLFPFVKTGSRREMA NYATIDLVQPLDASLTNKIKAVSKKAKCTTLHFYLTAFQVMLHHFLGVDDQCIGLVDA NRNDPNFMQTIGFLLNFLPLRFSLANDPSFEYLAQQTRTSVYAALGNSDIPIDVILDD LHVTRLTTSPPLFQTIFNYRMGALKQSSIGDIALEWHDYKDTRTPYDIAVSVDEKDDG TGGFLSLGLLEYLFDRTGGELLMKSYVHILEQAAADPTMRLSDYTLFPETASEESILL GIGDDIETTWPDTLSKRINHLVETQPNSLALKDPVGRILTYREMGDRVNAIAAALDTL PAVQPGSRIGVCCQPSSDMVCALLAILRLGAVYVPLDSSMPVERLALICDEAQLSALV NDKETAGVAQKLEVGTKVDLTSLPSRIEKIISDRSEAGLNAFIMFTSGSTGKPKGVQL THANYMTQILAASERLGLQREIVLQQSSVGFDISLAQIFYCLANGGTLIITDTQKDPE ALATLIGREKVTFTLCVPSEYSILLRHGKEALASCTSWRIAYAGGEAFPLGLKEKFRD LHLDNLEVFNAYGPTEGAIAATIGAVNYRAINDERIPIGRRLNNYAAYVVDDDAKPVP VGFPGELLIGGPGISPGYWRKSDLTKQKFITDFISGSDKFSKGWKTLYRTGDKVRLLE DGSLVYQGRMEGDSQVKLRGVRIELEEIETALLNTASALLSDAAVVLRGETEKFLAAF VVFSDGHKPQNSAEYLRSLRSALSLPSFMKPAIIKDLTQLPITASGKLDRRALGSIPL GDIAGAEVSASLTATEQQLSEIWAMLLVDNGEKLRIEKTSDFFSVGGHSLLLLKMQAE IRDRLSSDLTLPELFQNNTLEGLSSRIDASGANHSAQIDWEAETALHSDILSTVGATY PPKDTLKPKTVLLTGATGFLGRALCKKLSASPGIAKIECLAVRNPKTAQKESNKIFFH AGDLRSPFLGLSEKKAKMIFESADLIIHNGADVSHMKSYQSLRRPNVESTKELVRLAA KHKIPFHFISTAGVAQLSGKESYPEVSVAAYPPPTNGIEGYVASKWASERFLERVAAE TGMPVWIHRPTSVTGDGTPSTDIVHTVLRYSRLLKSVPELPGWRGYFDFVHVDSVALA VVEAALANDSSMAEDPVYVHHCGETVIPIQQSRVFLETELGEPVSTTSISDWVAAASA EGMNPLVAAFMNMFVDGVAHSLVMPRLMKGDSGAGNV PEX2_061010 MHTQRAIIAEGPSRVRIDSDVEIPQPGDNEVLVKVHSVALNPVD WKTLAYSSTPGAVSGCDFSGTIAGPAGPKSQRVWNAGDRVCGWVMGGNPLRPSNGSFA EYVVAKADLLFKIPDGTSFETAATLGISASTGGLALFKTLGLSFAERKVTPSSPAVLV YGAATSSGIIAIQLLQHAGYRAIAVCSPQNFGLVNDLGAEVAFDYHSVTCGKDIRAYT HDDLGHALDCISSTSSMGICYDALRSQPGARYVSLDPFPDRVQRSRPEILADWILTFS LTGDEVGLAGVFHRDPTPADYSFGVSWYPKVDRMLEEGKLRPHQPTIMGGGLAGVADG LEKLKKGEVHASKLVYIVGE PEX2_061020 MTIETHNLGLNLLRLAPLVLSTASLMCGVDQANALRPFSKPPLA KTGGSVLPHWFSGFFDTTIYAVGLSYPLAFATALLNAGKYVGDLDDTTRYLYWAGAAF SAGHFLYGPGAMKIIARMCDKENPGVKNTQTTHEWLDMNFTRIITVDGPAWIMYFAAV LSAASFP PEX2_061030 MYQFFKDAFFNFELVRLLGSTSFGGCEIGEALEALPDIREQNPE SWYNAWSKAGLRAERLAHEAEQQGNRVARHKALMRASNYFRAAQFMLNSRPDKRILDT AERSVHNFKQGIHLREGPVYEIEIPYESIRLPGYLYLPPASKRLPGGKAPIVITTGGL DSTAEELYLVGGASGPDLGYAVLIFEGPGQGIVLRREAHSYMRPDWETVTGPVLDFLY TFATQHPDLGLDLTRVALHGATLGGYYALRGAADPRISACIAVDPFYSMWEVAITRMP APFINSWTSGWLSDGVFNGTLGLLSRFNFQLKWELNQVQWAMGMKTPADAMRRMQDFT LQTADGGEYLHQVKCPVMITGAAASIYATEDPSANKIFSRLKHLSESQKHLWVGKEIA EGGLQAKVGAFSLAQHRIFAFLDRQFGIHRSI PEX2_061040 MSNASDTSTQSVEKKQVLLAAVESTVTSTALPFIADELYAGKNY VWFVNSFFLTSACFQPLFGQTANFFGRRWLMIGSVALFVLGSGISGGAINSPIIIAGR SIQGIGGSGINVMIDMIVSDILLLRERGNFMGMIFAVFAVGTSLSLFIGGVIVQYSSW RWVFYLNLPISGTAIICLFFFLYVNYQKEPWQEKVKRIDYIGNALLMTSIVSILLALI WGGTTYAWSNWRIIVCLVLGLIGGLLTKWGRYKPIHIVATAVILLGLGLFTLFDTDSS PADYGAIWGIAIPAAIFNTEFARLSGRISDPAVSAQLAGGEAYSHVSSTFIKSLAPQV QREVVSVYTDTLKLVWYVSLAFAALGFIITFFEKEMTLRTELETEYGIKDEKEGKEKE TAAEV PEX2_061050 MAPQRLLKLTLAHHRNPAASEEECHRFITEVYIPKAVAIHQRHK LQGYSYHFSPTPVRNVLSTACERLQNGWTVDTHDATVEFYFRDIADLVTVSTDPDFIA LQAIEAPYISKEGVVAQLGWVETYIADQQVVNLVDGKSQYQSYEEASSIELTL PEX2_061060 MRNKRRVFLSIQHRNSLSVGENRQRLGYAAYHWGILICPKKSKA SSCYFFDVSDGVLLEDSPNRVNLNPEFNWLFREKQISVPTTSARLLGMVMIGKVPNEV TWEQIRGLLAAVQVPKNNAVPEQNCVSWAKAAVCKLQEKGLTAKHNLDLDLLMDRSLA FADERIRNPESTPISIDFID PEX2_061070 MADHSSPNYKDLYLKAEEGRKQAEEREKRAGEREKQEAEGRRQE AEGRRQEAEGRRQEVERRRQAEERNQQTSFTELLQHCHDLLSRQLRVETPSRSTTGKI PLPTGKDCPTRLEHWSELGQAPRLFSSLPELEGLGRRFARKPLSSEQDLEAYERFGVE EHVYDIIAELCKIPAACDEFGLGDGIQFSNHTNSLTRNETFEADTSQVSNTPYPRPDQ FCIHCVDGNTTTVLTTVEYKPPYKLPVATLRIGLRLMDLWKKMVRSNKIPTDQDAKLR YNAERLVCSAIVQEYHVMIQEGLEYSYLTNGIIRVLLCVPRDEPTTLYYFFCDPYNEV DLIGDTTYQLSKTSVARVLCLCLMAFRSPTCGQEWRNRLRPDLYTWETSFEHTRSQIP RNELQQIPHSDSTNPEFPSLGSGLSYELPSLSPLPSPSEGRRVPTRSQTSCAPSEIRP RSRSPNSSESDTNQISGHKRRISEVTPSPSARRSGRQQESGHDQEDHSRRRAAQFCTQ RCLLSLQTGKSLDGSCPNVDYHRRGQDDPTQHPISVEDLMLSLKGQLDENIDRCIPLG GCGSYGAPFKLTCTKYGYTVIGKGTTSGLWKEVSREAQVYQILRKAQGSAVPVFLGTI DLAKIYFLYGAGQIRHMLVMGWGGKCTATMELTQRLRREIHKSNKEIKALGIIHEDLR RDNVLWSEELGRALIIDFHRSTLRCRPAKQRPGTAKRRLCRVEAGDAKRLRVS PEX2_061080 MNAINGGSSYHNPSQMSLLDIFFPGLSMVSASAQQLFAGNLDSY TRLLCTVGMFVLFTRYAIRYVWELVRSYFTSTIHVSYYDEAYDMLVDWIAHQPFVRNA HSLIARVRSPQRTTIQSQEKKKPLTFSPWDGSFPFWYKGHLLILHCAVKDHREDIYIS SIGLYPNILKQLVEECRGNYLNNIDKKITVFEHREGDWKKTRLRPVRPVSTVIMDKDV QDDLLRDVKDFLNEDTQKWYADRGIPYQRGYLLYGPPGTGKSSFSLSLAGEFELDIYT LQLSGISDSKLMKLFSELPPHCIVLLEDVDAAGMGRRDDSDTDQENKSTSAVTLSGLL NVLDGVSSQEGRVLVMTTNHIEHLDEALIRPGRADKKVYFQLADRNISTQLFHTVFKQ TADHKESKHEFGDETIKRLANDFASKVPDQVFSPAEVLSFLLEQKNSPFGAVTSVENW VAKTKAGNQLKREGSWVQEGR PEX2_061090 MDVESSSQNSTEETLDDQTSIHSIETSSTKLTIIKDSVEIFEEQ LKNNWARQKIPKGMKKKFQQTRVAQKTATSRIFFDNGGFKAWFTYFLATEGKAHFKGR RNRSDTIAAFQQLPFADQANVARKVASKRPHPSVSNAIRNISENFQDAGVLEARLNEV RQHSSPAESLIGTIVSSRNMMSGIAERSQESGTSQHLVLEEASLLGIAEVFDQYMCSA IRKDAIQSEGSTCFKAAVTMNFPFHGLVDCLMSLAIYETKVQYLAMSLFNVHVESAGQ VRYVVLNEGAKLLPSPEMTLKGALDEAIVRTLGPEIYGAIQASRMRRKELEEGNNVTE CVSMMLTSRPNEGAIINLSLGLKGGAQIQKKLYT PEX2_061100 MASVYVEGGDTSVLDDACDHLRKAVAKVRRDTGAVVEVMVVGDF NRHDQLWGGDEVSLGRQGEADLIIDLMNEFTLSSLLKRDSMIKCAIHGTEYGSDHRAI ETVFDAPWSAPKHQERLLLKNAPWNEINARIASTLTATLSGGTVQQKTDRLMTAVSEA VHALTPKAKPLPHAKRWWTADLTQLRYIYTYWRNHARSERRAGRKVPHLEKTAQNNDN IWKAAKYLKSGEDAAFGKLPQLVRADGTTTTDYQEQAEELLSKFFPPLPDVINDKGTR PQREPVEAFCSERTATIQINGQVSEVQSLPQAGLPQGSPLSPILFLFFNTDLVQRQID SQGGAIAFVDDFTAWVTGLTAQSNREGIKAIINKALDWEKRSGATFEADKTAIIHFAP KAYKLDQGPFTIKGQTVEPKDYVKILGVLMDTKLKYKEHIARAASKGLEAAMELRRLR GLSPATARQLFTSTVAPVVDYASNVWIHAYKDKAIGPINRVQRVGAQAIVGTFLTVAT SIAEAEAYLTTIQHRF PEX2_061110 MSGRAETRTPEPPDRDEHEKSPPRLLRPRRATRPPNNYGQEQEI DIQQRNARSQRKNEAQGKPVAQREAVTSDVASTESDDLNAADLVKELGKLRRDIKRRD GLHREEMQKVQEELQKVKEEFSAALAEVRHELRTLTDRPPTPQPLSEPCSQNGHDEIL REIQSLRVAVSPADPTTGSPSYTDVARTPLTSYPSNIRTLSSSNTTPTTFTDMLYCTI DTSKIVNNENEKMSAGLIRAAVETEIQMMEKHTHWRCRAVTVDPKNTHRIRIACRDKA KHQLVKKVAEAKIGEGARVLRDELYPIKVDSVNRAAVLDKKDEI PEX2_061120 MLATTPSESHLGVIMAYTISYDGTNSGLQVGQNLGHITTQIHNE EFQQWQDNQSNRLLWIRGDPGKGKTMLLCGIIEELTRSIGDSANISFFFCQAADVRIN NNTAVLRGLIYSLVETQPSLLSHVQGQYDKAGKALFEDINAWNALLRIFTDILKDPTL QSTYLIIDALDECITGLPSLLDLITQVLTAYPQVKWIVSSRNWPDIEERLDNTQTALI SLEINEVCVSEAVNKFIQHKVHYLAKVKKYSDETRDTICLHLSSNSQGTFLWVALVCQ DLDRTSRRHALKKLEAFPPGLNALYSRMIDQVRNSEDAEPCKQILAVMCVVYRPIAFD ELASLVELPNGLSDDSEALLEIIAICGSFLTVREDTIVFVHQSAKEFLLRETQNGVFA RGIEAEHHMIFSRSLQVMFKTLRHDIFQLKIPGFPIEKVIPPSLNPLAAAKYAKKMNQ PFYIEFKTPPGSFDTTG PEX2_061130 MASASQMFFDELDRRFVPTGAQFDVMQWMKSLSYDTMGLMTFSR PYGYVQHGRDLHGIMDDVNRTNLIIGPEEHRKSAKSPSNANVDGPIADCDFLGYYHNA QERKDNVPLRFVSTWTFDNILGGADSTASMLRSVVTLTHWKRYGPSCEISNALPPGSL YLSRNGMSCRTSPSSTPALKSHSGLTLHFVDVYDVPAEGATICGHFYPGGTVVGMSPY ITNRYKPTWGVDADQWRPSRLLEGEPSHTRKLEASLLSKSPGLGLSGKVHGKPMWLGP RPPARPVPECSDIVVVADIPPVPPIRRPDAVRNEPQASASTSSHLRHRRRRINELPAI TLGHSVTCGCEDCRNRRFNRMIPMEEGRPELSEHGEILYLKLPGNGND PEX2_061140 MSKLKWILDKAAVENEPGLTNAQMMLTNSDLRPVDPERRQWRWI NFVAFWIADSLNINTWMISSSMIVDGLSWWQSWICVWVGYFVAAGFVCLVGRIGAVYH ISFPVTARASFGIWGSLWPVLNRVVMAIIWYGVQSYIGGQCVTLMIEAIWPSYRNLHN GLSASAGIDTKNFVSFFLFWLLSLPALWFPVHKVRHLFTAKAIYSPIAAIAFFAWAIS RAHGLGPIIHQSNTVHGSDLSWAMVKGIMSCIGNFAALIMNNPDFSRFAKTPKDAFWS QLLTIPVGFGVTSFIGIIVSSSSSVIFNDGYTWSPLDLLGKFLDGASSGQRFGIFIIA TGFALAQLGTNISANSISAGTDMTALLPRYMTIRRGSYICAAVGLAMCPWNLLSTSNN FTTYLSAYSLFLSAIAGVMICDYYIVRKGYLNVKSLYSASKTDCYYYTAGFSWRAYTA YICGIMINIVGFAGAVGCKVPIGAEYIYNINYFTGVLVSGGVYFALTWFFPVPETSAT WNEVNIDVEDISVAYGKDPNDLEGHGYADRRSFYGALGPDDRKGPSASDRKL PEX2_061150 MAYASTTSPTLDANTQSNLKLATSLPQPPSLHHVVTSLPSGPPP SSAPSFTSSPTSTPTSTFPAPGTPFTFTPAGTPLQSASEGLCGRMNGPFLPTPGPFEL GESMMAVPGNANPSSFSDPTTSTKAPGLMRRISRGAANKLTRRRQSTTQHDKRDRSTG PIIMRRRSDSKTSTQAVRDSALDSSNDDEAVEALDNLGAWGGSEASSLRSESRMNMAR ESAPIPVVAVAPKVDSAVQRGTVLTKVTKKRRKQVRFFLDLDAAKVFWDVSNPAKRFY IDDIKEIRVGADARNYREEHQIPEDLERRWFTIVIADSDRSKGRTVKTLHLIAPSDRI LDLWITTLEHISRYRIDLMSGLAGSSQSEAVLQAHWQREMSRLFPQGPPPVEEQCLDL AAVEKVCRSLHINCSKNMLRAQFTKADAACNGKLDFSEFKDFLLRLKERKDVKDIFKL HTAKSKEGMTREEFLTFLCDVQNEDVESDGVYWAALFDKYVRRASKSRTPSAEGSELP PPVSRMNLDAFAAFLSSSSNGVYASRAPQSKFDRPLNEYFISSSHNTYLLGRQVAGAS STEAYVSALQQGCRCVEIDCWDGADGRPIVSHGRTLTTSVLFADCITVINRYAFITCD FPLILSLEVHCNPEQQLAMVKIMKETFKERLVLEPLMTNCYVLPSPEELKGRILVKVK TCDETQFDPRHNTVGSVGTHGRKRSASTPFVRPTLPDMNNLTLPSLSSPPTMGPVDSI GPLISQDRRSLTATSMSSATEDSDGALVSVHTDKKKKRRQKSKITKPLSDLGVYTRGY KWQSFSSPESRRYNHIYSFAERSFESICQSHDNKVALESHNRKYLTRVYPSGFRLRSS NFDPNKFWRRGVQMAALNWQTYDIGMQMNQAMFAAGTDRTGYILKPESLRLPAVGDGN QKRKMERKLVRFSVDVVSAQQLPRPRTIGQDDNINPYVEIEMFSADDRGQSFVLGEGG MNASARNGMSGIGYPHRRRTKIEQSNGYSPVFNDKFKLSLETKYPDLVFVRWTVWSSM DGRSAGNNNSVQLATFTAKLTSLSQGYRYLPLYDASGDQYLFSTLFCKISKQEPVSVQ RLDLEELRAERMGILRQIGQTVFKRSSSAERERDQAHDRSDSPSLEDKDGSPSLTPTV STTTSNSSFMQ PEX2_061160 MSKILAIFGATGQQGSSVLNHVLNDPELSQQYSIRAITRDGSSE KAQQLKARGNVELVDGDVSDPTSLATALTGVHTVFAMTTPDLSADAFQVELNNAKRIA DVAVQQGVNYLIWSTLPAIRDISKGKYSAVIPFDAKAAAEGYIRTLPIKSAFVSLGSF MENLQAQTFLAPTQASDGTYVLARHTSPQAQFPLVDAVGDTGKFVGAILAEPEKYQGK RLHAATKLYTVEEITALLSKSCGKTIVYKQISTEEFKAGLPYFQDVFAEGFSFMDEYG YFGPGSEALVAEAAASARGKLSTFEEYLERNPFQLV PEX2_061170 MDDSKPVDQIFTKALPKIELHAHLSGSISRQCLHEIWKRKKAQN PALDVGDPLVLMPPGKVDYTLETFFSTFSKLTYQLCNDLESLVYATNTVLEDFLGDGV VHLELRTIPRASPGITREQYINSVLDTIEQFRSRTKRMSVFLILAIDRGSMTAAEADE IVNLAIENKSRGVVGVDICGNPTKGDVSIYKEPFAKAKANGLGITLHFAETEASASAS ELSTLLSFQPDRLGHVIHVPDEIKEIARRKLSLELCISCNVHAKMINGGFLDHNFGYW RHEECPIALCTDDVGFFCSPVSNEYLLAAQHFGLSRTDLLDMCIKSADAIFASEYYRG RIQGMLEVFATRFDSA PEX2_061180 MRLNVLSRQLNMAMANGALPQTLKSITATKISELSKQRVLFDRR KTEILAVANAAPDRRTHAQALLDGISRLKGYKEDSLDKDDLDLDADSSDKESDDILTS RGVGRLQPEDHKNIRRFLLQSRHDPSISQAALQGRIDQLEKELRYLEIKHEHSAFYSN LVTEWLSELDGSINSTASETKSTDSNPDFETVGRAEMHEQRATWESLVFTAATHVDED AIRTYLNDLFTHSKLSQQALKDLRTSIESFGKDLASKGEWLDVDELKWVSGALLKSDI LSDEKTAILKEFMRNNEVAQEVADVLNMRIASLESWTWTGDAEGIPVEMRRQLNGKYR VFMDEDLLDALLLQYIGTMWAVKLRKVFETFLGSNAWKTLREDIPENHKEMRKHFLGR GANNDSGRINELRRETYADEYFMSQLPTSTAEGAREYDDAESGEKTVLDTKHSLLHLL ITESIIHKHQRGDFTAVRSDFEWFGPSMPHATMLTVLKFFGVSDLWIKFFVVFLEAPL KFSQDGTDASVQIRKRGLPMSHTLGDCFGESVLFCMDYAVNQATEGAFLYRLHDDFWF WGAENTCVKAWQAMEQFTKVMGLKLNQKKTGTVQMGLNKAPKQSALPTGDIRWGFLVL DAEQERFIIDQAKVDEHIEELSRQLSSCKSVFAWVQAWNSYFGRFFTNNFANPAICFG RDHIDMAISTLSRIERTLFNKALDDKNPSDQISGVTDHLRTVIADRFDIHDLPDGFFY YPIELGGLGLLNPFIRLLAMRENIKQTPRKLLHKASLRDEKEYETAKERFAKNGADIG SQFGSKLSGKPKPFMSLEEYTRYPETFSSSLVDVYTTLTEVPSEISVARTSSFESEQN SLDKKSSAGSISPYWGQMTPYWRWVAELYHEDMVRTYGSLAAVNRESMPLGVVKTLRE GKFRWQG PEX2_061190 MTSVQAYYEQADDVPNWLDAISGDNGSIDPRTLEVHQVVTTHHN THHPDHPSRSEQHQSPPSSRRSSTDLQSVGPLKLEHQPPVARLLGKSSLRRLYDRISQ HDPRRTFTEFRIEEDLISLATTATDSEYENCFLPFCGALAPQSGESSVTTSTSPSPSP SPPTSHEPQWLPLVVAQVVSSKARKACRVLKKKFSW PEX2_061200 MDPFSAEGELLNIHSAFHSGQYQNVIDFETAALSPENQLPAQVL RLRAQIALGQFKESLAEPSIEEDSPDLSAVRALALQSSGNTDAALQLAQELAENYPEN NTVQVLAATVLQAQDHSEDALALLSKHQGNLEAVSLIVQIHLQQNRTDLALKEVQAAK RWGQDSLLVNVAESWVGLRVGGESYQSAFYVYEELASAPGTSAPLSIVGQAVAEIHLG RLPEAEAALSTALEKYPTDVELIANSIVLNVLAGKQTEDLESRLQQVQPAHPLLTDIQ EKSEFFDAAAAKFSAKVSS PEX2_061210 MSSNQDVPQLGFWEKADIPFLHLSLYASMVYAAITGVFRGKDSP KRYDHHILAAVIRKMVDRRSDRQTHNTENGQYIDLVLSHRYLLLPTSVTYEAAMKKHG LQPETVALPHNTEGYWIGNKNAKNVIVYYHGGGFAMPAIPAYFDFWLEILQALNETGH DLAVFFPRYTLTPHARYPTQLRQAVEALRYILNETGRSPANVIIGGDSAGGNLAAATL LHLSHPHAEIDPLVLSAPLAGVFTYAPWVNFSTEWPSMKDNLWKDLLTPAVLTRWSNS YRGDSPADNWNEPFNAPAEWWSGAKTERILVLAGGNEILLSPIEEFVKKIKSVFEEIT FVVGDDEVHDAPFYTMTKEETQTRSGLRQWLAARL PEX2_061220 MQVPLLRLQCGVNSYDWGKVGQESAAAKYAATTAAPDFSIEADK PYAELWMGTHPSLPSKDVETQRTLLDMVQDNQALLSTDISERFGGKLPFLFKVLSIRK ALSIQAHPNKKLAEQLHARDPKNYPDDNHKPEMTIAITPFEGVCGFRPLAEITHFLQA VEPLRTLVGDQAASEFEQLVKGNENSEDSVIIQKNKEALRSLFTTLMESSSEKVETAC KALISAADNSPDTFATLAGEVETNPPNPAELAALAKRLDGQFPNDIGLFIFFFLNFVK LQPGEGMFLKADDIHAYISGDIIECMASSDNVVRAGFTPKFKDVDTLTQMLTYSYAPI EEQKIQATDYPYVILNATAYSSASSVMLYDPPIDEFSVIKTDLNRTGAKATFDPIEGP SIFICTRGKGRITVGNKTEEVQEGYVFFVGATAECVIQNTGSGEGDDDVFTTYKAFCE LTPTGEEK PEX2_061230 MSRNRPRPRDNGFGNNEELELWTKICQDIRKSKEKFDQQNLLSV QIKTLVDKIAHDGNQPTMAEHDQLDSWLRLSQKLSEDERSIMQDEPCDVIKNLELLTA LRKASEAEAPPNRSASFNKSRKKRNEVEGSVTDSPSASGADKTARSKGTVPRSASVSS STQAREGGRQDNIMVKIEEGPSEGTKGTVAERSGLLVVGAQVVFKHNKNKQGVEGEGI QCIIKAVNGDGPKKRYDVQDPEPNENGEQGAVYKTTAASLIPIPQLSAHLSTYPAGKQ VLARYPDTTTFYRAEVMGARKDVYRLKFEGEEDDKEMEVDRRFVLDILGK PEX2_061240 MTDLPAHASPKLAPRTLLSRFDGQSDDFSQGDIAAGEQTPALPD SLLSPAFTPPATPGGTLKLDLSPTAVLHQTQAADIHHKTQGAKGPKLLEQLPAVECIV RARIPTTNGAEMFLHLYHNDLDGKEHLAIVFGNNIRSRSLDSVRPGESEMDRMIRGAY VGKLHPGRVSSRYDDDLAGSASTPKQVEPPLVRIHSECYTGETAWSARCDCGEQLDEA ARLMSFPVEDLASDAPPEVQSLSSQSTGGVIVYLRQEGRGIGLGEKLKAYNLQDLGSD TVEANLLLRHPADARSYGLATAILEDLGCGVDAIPEGIRLLTNNPDKVRAIEGPNQEV LVKERVPMIPLAWRTGGQKGIKSSEIEGYLQTKISKMGHMIQ PEX2_061250 MATRTTNANEHVAPPPGLPECSQPTPLGRPEDSPTPSCAASIDA EGLLDNGTKSGRKRKLNSMSSRGVANLTPDQLAKKRANDRQAQRAIRERTKGHIEALE QQVRDLSSQKPYLDLQEALRQNETVRSENAELRQGLKAAMDILQPLIAKPELSDASPS LAIPTPIPPPSQTSPLPDTDHLTPIPGDKFYAESLASLDTPSPTHSAPTFGSRRNSTN GCPPSTSLRVAWDSQRHNITHGLDLGSEERLGFNFLLGSSHSVPKLDLLHANSPENIP CAHLNPSAPIYPHPLTTTSEPGPPAFAAPIQNLPATCPLDNILLDFLHSRQREAAQGI PRQKLAGPPYPSVSSLLNPERSVYSHPVSKVFTDILRTFPDLSTLPVQVAVLYTMFLL MRWQIYPTQENYERLPEWLTPRPTQILHPHPAWMDYVPWPAMRDRIITNYQNYPFENW FIPFTTGMRVNWPYEDTDCLLSAGDSDELVINPVFERHMRNLSNWSLGTSFAETYPCL ADTARIKSTTQHNTPGGPDPP PEX2_061260 MPQIGWYGLGSMGLAMASNLQRHLATKKALNLIYSNRTMSRGSP LPALGAIPEPNFEKLVSQCEIIFTMVSNDAVLQSLLSTAMGSGQSLKDKIFVDCSTVH PDTVRSAVAQLKIKDASYIAAPVFGGNPIAVDGKLVFAIGGPKSATEAVKPLIQDVMG RRVIDCGEDATKSSLLKIAGNIVTVNMMEAVGEAQVFAEKTGLGTGPMEELISEAFGP VAGGYSKRLTTGAYAPPLDSRPGFGVSLAIKDARHAMSMAQEQGVELPGLEIARANME AAREYGGECLDSSAMYGTLRQKAGLEFWNEKSRKE PEX2_061270 MAEMPIVLDGGTGFLKVGYAAQNFPEHQFPSIVGRPILRTEEQG GDIVVKDIMCGDEAAAARSMLQISYPMENGIVKKWDDMQHLWNYTFYEKMKIDPTDRK ILLTEPPMNPLKNREQMAEVMLEGYGFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVT HIIPVYESTVLNHHIRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKLAY VSYDLELDKKLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVDQPGMAE MLFNCIQGADVDVRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLGGDPERLN KFKVRIEDPPRRRHMVFLGGAVLANLIADKDDMWVSKQEWQEQGARALDKLGPR PEX2_061280 MNTFDSSAPPLTGPSTSGGAKNNHSSPRSPTDSALSSSFGSTRS HSSSSSRNHVRSQNQVQTSSQSTEFTPINPPGPAGRNYQSTEQTAASQLKDLQLNNAN RDNGGQPANQDSPSEPQQSWYSQFADRYGSLELENKGSVARDHLALERTFLAWMRTSL AFASIGIAVTQLFRLNSASTNTHANFFDPASGSPSGILPPHLASSGYDSTTFHVSSTS SRLRSVGKPLGSTFIGVSILILLVGFHRYFQSQYWIIRGKFPASRGSVTLTAFAAASL IIATLAVILAVSPGAVET PEX2_061290 MASQASLPSPTGKVDLSKKLSELRSNTRRANPNSRDLTPTTPPL PSPPDLSAHQYRQPIRRIISPKDHELFLSSPTYKLVLAFVFGLTDSVRGHSITDLQKK PQSESISKVLSVITQIRSLLAKNPPIDQGGSRFGNPAFRALCDDVSAQSASWHTNILG LKDTGATEEASTYLINCLGSRERIDYGSGHELNFMMWLLCLYQLGLLPASDFPAVVIK VYVEYMHLMREIQSAYYLEPAGSHGVWGLDDYHFLPFLFGASQLVGHPYITPLGIHNT ATLDEEGDNYLYLDQVRWVESVKTVKGLRWHSPMLDDISGSKNWTKVESGMKKMFVKE VLGKLPIMQHFLFGSLLPAAEGMTDPSEGGAEHAGHDHAHGHDHPHESADWFGDCCGI KVPSTVAAGAEMRKRMGGSNLRPIPFD PEX2_061300 MKASSILTLAGLLSSVNAHGYLTIPSSRTRLGFEAGIDTCPECS ILEPVSPWPDLEKPQVGRSGPCGYNARVSVDYNQPSAHWGNSVVATYTANQIVDVQWC VDANGDHGGMFTYGLCQNQTLVDLFLDPNYLPTNAEKQAAEDCFLEGELKCTDVSGQT CGYNPDCTSDQPCWRNDWFTCNAFNADSRRACQGVDNAPLNSCKTTIAGGYTVTKKIK IPDYDSAHTLLRFRWNSFQTGQVYLHCADIAVGGTGGGSTSTASATTLTTSKTGTATT STATTTACATPATSVSVTFSELVTTSYGQNIFVTGSISQLGSWSTSSAIALSAGSYTS SNPLWTASISLPAGTTFEYKFFKKGSDGSITWESDPNRSYTVPTGCSGTIGTANTTWR PEX2_061310 MFSRRSFERFCALVLSLAATGTLVEAGPCDIYASGNTPCIAAHS TTRALYNAYTGALYQVKRGSDGTTTDISPLSAGGVANAAAQDTFCSSTTCLITIIYDQ SGRGNHLKQAPPGGFKGPEANGYDNLASAVGAPVTLNGKKAYGVFMSPGTGYSNNAAS GTATGDEAEGMYAVLDGTHYNGACCFDYGNAEVSSTDTGNGHMEAIYYGDNTGWGSGA GSGPWLMADLENGLFSGLSSGNNAGDPSLSYRFFNAIVKGGPNLWALRGGNAASGSLS TYYSGARPSASGYNPMSKEGAIILGIGGDNSNGAQGTFYEGVMTSGYPTDATENSVQA NIVAAKYATASLTSGTALTVGSSISLRATTSGYTTRYIAHTGATINTQVVSSSSATAL KQQASWTVRAGLANSACFSFESVDTPGSFIRHADFALVLAANDGSKLLYEDATFCPQT SLNGQGSSIRSWSYPTRYFRHYENTLYIASNGGVHTFDSATSFNDDVSWVVSTGFA PEX2_061320 MATQSRVPATAESGTFTRLGKLPNTYTSDTSLQRMLGWYLPAET LKSVEPHLAQFGEEAVSPQVLGWNIDAETNLPYVKKYNVWGQRYGYDKLVTAEGWKQL GKWGAKNGVVSLGYDHTYGDHRRTVQYAAVYLFVPSSSMYRCPMSMSDGAAFVLSQRL KDLPSDHILHTVFRKLTSRGEDYWTSGQWMTERAGGSDVQNTETWATYAPLPQSSKTS EVIAEGDYLINGFKFFSSATDANVAFLLAKTDSGKLSTFIAPLRKTVIGADGKPEEVS NGVRIHRLKNKLGTKELPTAELELKGMRAHLVGEIDQGIQTIAPLLNTTRIQTLLGTL ATWRRAISITKNFAKSRTTVGEPLWLIPMHLRLLADVEVKHRGAINLAFFTIAVMGLI ENPSSSARLPHMPKDLAEAKVVFRVLTATCKGVVSKMSMVGVQECQEAMGGVGYIDEP DEPEFNISRLLRAAAVYPIWEGTTNVLASELVRFLMKRDTLSVLSGWLNRVLSLIRTP ALAAALKHALAAFLSRVTTSRPQAELLADARRIMFTFAWILSGALMTLDAERDGDEVA MEIARRWILLGEGGVGEFVYRDIVKPYQAFDSTSESDEHTRLDCKIAWGVELPKVVFG HRSLSESAKL PEX2_061330 MVTNPDHKVNLSLEALADLQVPSDLCISPDATRIAYKLRPFSKK DENATSSIWIAEVGKEKSTRQFTSGLCNDELPQWSPDGTSLAFKSDRGHPGKGSTVYV MSVNGGEAYPITPVDDEKPITAFEWSPDGAYIAFTSADEKTTEQVRKEEEQDDATVWG ENLEHHRLKVAHIATRQVQTIVSGDKHVHDFSWSPDSKQIIYIEHKDPDVNSAGFYGA KICIVSLFGIKSSVVTKFPGPIYQVAWGNSGIYFIAGVNPKHWATSLSLYQLDYQNGS YTEQESEESCCDSIQKNQSSLAYHVQNNLHDEILSIDGGNHTLIHRGEYDIASFDVSR TAKNTVIAITKGDGSNPEEVFSVTESEGIVKLSDHNSSIAALEISKTFSISATASDGY SLDGVIYVPSKYKAEDGPLPTVLLPHGGPYWRVNIGFSVCHCLEVPPLVSAGYAVLCP NYRGGSGRGEKHAAYSRGGMGKFDYTDCIDILRNCIDKGLVDSSRVAIGGWPNGGFLS YLAVTRDDFQFRAAVCGAGIVDWDVMTMTSDAYWLDIDLTGGAPWDVDVNAVPDGTDL KSSKKWLRDTTGRWGSPLWHMRNVKTPVLIVHGENDVRVPLSQAIAFYRACIHNDLAV DMVTYPREGHFITERKHVIDMWKRMRRFYDMHLQ PEX2_061340 MAGDEYSIGGGKLKLKGSKVSGGRIEKKKKKSTKKKEGEVTQPK PESTPDTERPENAKDEGVRSEEDREKEWDAPGKTEAEKRAEEIRRKRLHERLQREGVK THKERVEELNKYLSRLSEHHDMPKIGPG PEX2_061350 MPPRKPRCNFKECKEAAQRIVGDCSFCTGHFCSKHRMLEAHSCT GLEDCKKESHARNADKLNSERTVVVKGV PEX2_061360 MPSLNADANIGGNYREIITIQAGQCGNNVGSQFWQQLCQEHGIS ADGNLEEHATDGAAGDRKDVFFYQSDDTRYIPRAILLDLEPRVLNAIQTGPYKNIYNP ENFFVGQQGIGAGNNWGTGYAAGEGVQEEIFDMIDREADGSDSLEGFMLLHSIAGGTG SGLGSFILERMNDRFPKKLIQTYSVFPDTQSDVVVNPYNSLLAMRRLTQDADSVVVLD NGALSRIVADRLHVQEPSFHQTNQLVSTVMSASTTTLRYPGYMHNDLAGIIASLIPTP RSHFLLTSYTPFTGANIEQARTVRKTTVLDVMRRLLQPKNRMVSVNPSKSSCYISILN IIQGEADPTDVHKSLLRIRERRLASFIPWGPASIQVALTKKSPYIEETGHRVSGLMLA NHTSVATLFKRIVKHYDMLRKRNAFLDSYKKEAPFADGLGEFDEARAVVMDLIGEYEA AEREDYLDPDAGKANELGV PEX2_061370 MSPALEPRYDANEIIQLDPRKGERDYSILIINPNTSTHMTEALK PILASMNYQDVHFEYFTAPESTVEIDGVEIEPIASINNADESCKSALNCWPVREFIGH YDAFLVACYSAHPFVGKLREDITANEEASSTRNKYVTGIFEASITAALSLVSGFTLQG PINPAEKLHKYQEKGSFGIVTTGSAWKEELTNAVQGALGYDYEDGSSMHFAGVETTGL TAVELHTTEPEEVRRRIIDATRNLLRNSESRVKVVCLGCAGMAGMEEAVREGCTQEYG HPEGQRVRIVDGVVAGAGNLITALKAGF PEX2_061380 MPLIAQNPQPRVILGLMTFGPNEAKGARITSLDEYNKCLDYFQQ QGFNEVDTARVYIGGEQEAFTAKANWKERGLTLATKWYPAEPGFHKPAVVREKLELSL KELQTDTVDIFYLHAADRATPFAETLEAVNQLHKEGKFVQLGLSNFTAFEVAEISVLC AERGWVRPTIYQAMYNAITRNIETELVPACHRYGLDIVIYNPLAGGLFSGKYKSKDIP AEGRYSDKSAAGLNYRTRYFRDATFEALSIIEPVVEKHGLTLLETALRWVRHHSALRI DNGGRDGVLVGVSSFAQLETNLADLQKGPLPEEVVQALDQAWLVAKANSPNYWHLDLK YTYDTQKAVFGPKE PEX2_061390 MYPVNGCAAAIEHVEIGSMEPRVTSAPDAVHDSDSDDEMVVNRP PLHRPTDGRSQQPLLKDDRHRRSNSRSSLGNGDAEVRPMLHETRRPTIRSKSPERDAA KATRKKYLVASGFLLLSLISFVVQTETASYIQNELHWKKPYCMLYMTHGSWSLLWLVQ LGILRLQKRKLTWDAFWRRHVSFLRTTAQMVESQEVHLSTRASNRSPVRYMLKTTAFV TTALTIAGGSWYVAVNMTTPSDLTAIYNCSAFFAYAFSIPLLKEKLRVDKVFSVAVAT IGVMVVAYGDGPNKKVSKGGTHENGAQNRLLGNIVIGVGSILYGLYEVLYKRFACPPE GTSPGRGTIFANTFGSLIGVFTLLVLWIPLPFLHWTGWETFEWPTGEAAWMLLISVGA NATFSGSFLVLISLTSPVLSSVAALLTIFLVALVDWFRTGNSLSMASIIGGVLITVAF FMLSYSTYREMNEERKKHLVNDELESDSDA PEX2_061400 MGEDLQTDFPSARKPRNLEVKFKQLGRGSLNPRQAERNEGEGGK VGRREVEERRSQREQQIQEGNLDGNLDWGCILITIHGITRCHPTGANQSMGSIIGGWG PEX2_061410 MASISLPPQSQAGFPPHFDTSNQSDSPDRRQHMHTPLPNPPFVF PARDPDSPNNQSETPERWERAALPAFSFNPGSEQQSPHLSAPSLSNPRAGGHRRRPSE FVGTDHLVTPETIGPGHKRASSMTNQHLPPPGPGVGRVPGRRNHAHRRSAAISNVDLN AITKALGPNSGMASAPSTPADPYHKSASDIPSRPLSQPAVSLGRPTPPASPQFPPVPP VPSIPAAIQAEIALNDQASNVERPVSAVSHGNSDSLPTIKLEQEVEKPTFSDRVTTRP DYNPKTRPKTADASLVMDFMQMDDDSGSSIKRSHSAAGHSRSRKSKSTPHLDSTLSPD DIQSTDNYRPSFSDDGSDTSGDEATENLSEKCPIKSKKKKQKRVRSWAGHILTRTKSK GKGKRHAKADTKEEPPVPRALAPRPPALTRTNSGTGSVLDVDFDNDDVVVIRTPTNPT MPPSALQPIQNDHQTDSGCSLSAQSLETSWKPRSFYEQGIGSHDNVLSSPIIDLDAAL GPFNTPDMRPLTQGAPNNNFSVATQRMYSGGRRGEFVGPEMRYHRRTESAPALQPFDR TALGAFRLGPTPAVETPDVFDEEEEDAFLAASQPPKGERLPVNAPPIDNAVFSSSEDD VKSLHSNATSGTSDTLTRAPTGSTSSQNAGLGIRQGDKHLNQEQMEQRSALEQMHNAS NPFASKPRTPVEILKTEEPAHKVIGPPSPEISPRFLAIDKRPATSPIELLPNIPPFAL QPGVSPSDSSFPSPDAPRSIAASSMTDRNFSSHSYHHLPSAEYPYASVDDVPSLTSSA STMTNTLNRFSAASFFPRGRLSADRAASFSAAGTRRTSQANASKRSSLASLSKLVGGP HSERSKLNQEEKPPSNASDKTKKKGRRLSRMMHFWKPKDKEKSSNQAAPANEQPQS PEX2_061420 MSNGNSLREVPMSHNEQSHYVPAQEYGATPRYPTSGGSLGAAYY GLSGTGNDDTVIVDQKSLSRDSHDFAEGDFVCPGFFSRVDLGGFTRRFNPSQWNYDMR RQAQSILPFLSLGPSSCLRDADYIRSQGFTLLLAIRSRHSALARLVSGEKAAAEVGIM ADTVDVLDNQELISAFPRAIRRINDHLAGVDEGPDKMAFDGQQQKRKVLVFCESGNER SASVIIAYIMVMLNIQSVEATHMIQQRRFCVSIEDNMKYILSSFESILSAKRDVERAR GITVRGAASLAPPPPPQMLTKKRSFQDHRNDDLALEEGEMDMDMDEGEDPFYARKPNA PFQDRVV PEX2_061430 MSGMRLRDSIRAPLRYGEDEDETPSRTSLRPGYDDSLDDPLELG ESGRPRPQKRRKPNTVPFNPDLPPAAFPSLSRPHPSRASTNSAQNHSVGDNQQNAQTS EVVLPLRNVGSMPTVPSPPSGIQRVPMDQLDNYVASNNMDNPMYARNVNMARMTRVDT PPPGSEDMVTSPDSDDDPLPDATQVLLDAIPNPKWGDLHKAMQVEIVENTMKYHSWRR VCDLLGLGPDEREQLMQCISIRNKQIERENKRLEQMRHKQRKVLMRIDNSDLKHFKPP PQLVLKRIARETNRNLLLTKYTDLLMCQAHDVLKARQYLHQHGLPRRYAGDWGDSLVV LRESEEDSHEPDIFEWKDNLRFSPSPNEIETPLNPFMDPISSAKSAFIQSIGMNGTMN PIDLVRRNTDPGPMMDWGKYYERKPDSSWDTTKPRLGGLVRVNVGPHNAAQIKQCEEA GVRPEGSSSQIQVPTMPESPPSDFPQETPSKPPRNGSLTEPVFRKPARPFSRLLTGNR SSVGFNPSESHLKYQRSMQQARLEKIDAEAEVMRRQYNYQPTLRVNGVGVGISPTHGN LQTFPLTKLVEKDLPSNFFARAYRGMTAMNVDRLMDEFVCYEPIAMNEQSEPEQPEDE QPDEQPSSTDISSITEISMDDVMLVPTDGCSSSE PEX2_061440 MSAEDTSTPTDSRAGTMAPESVVPSSPLTDPTEAEDSKSSHDKE DDDSKANLTDSEDVEGMDSKAKALMHLLKTSSWSDIFSHLQVFVAIMSEKMKKQQEDA RLAAIKHREQNAATQKKTKSPPEPARRATRTRANQDATEENKPTNEKTAGSTRGRPKR GAAANGSSISSYFKKADVEVTEDNPSVQQALEQAADDYESNPTALGEQELVATQQPKL VSGGKMRTYQLEGLEWLKTLWMNGLCGILADEMGLGKTVQAISMIAFLKENNISGPFL IAAPLSTVSNWVDEFARWTPEIKTVLYHGSKDERAALRRKHMKMKDQGDMDFPVVCTS YEICMNDRKFLGQYQWRYIVVDEGHRLKNMNCKLIKELLTYNSANRLLITGTPLQNNI SELWSLLHFLLPEVFNDLNSFEGWFDFSSVLDNKGQAGLVEKRKRNLVTSMHAILKPF LLRRLKTDVETSLPKKREYILYAPLTPEQKDLYREIINGTGRQYLEGKALERLESKSG SSTRSQSMKRKRNGTDDATPVKSARSSGLSTPASNGNNSNRRRRRSTRQSYNDLSDGE FDEHLRKLELGIEEEEKKVEPSDTELEDMQRAENLKLAKKEIGQKKMQNPVLQARLAC NSPHNFYWPWMDESSSVDETLVSASGKMLLLDRLVSCLLEKGHKILIFSQFKTQLDII EEWITTLRSWECCRIDGAIAQSDRQAQIKNFNTKKSHKLFLLSTRAGGQGINLTAADT VIIFDSDWNPQQDLQAQDRAHRIGQTRPVIIYRLATKGTVEQTLLEKADSKRRLERLV IQKGKFRSLLDPSINSQDVDELRKVLGENEFERFEVGSDPTSILSNEDLDILTDRSEE AYLRAEKGLDTKGAAFVAVETKRDPGESILS PEX2_061450 MSPLLESVTLGATIPLRNRICMGSMTHNRCTDNGKPTVATKSHY AERARDGTGLIVAEGTFISPHGAEWPHAPVMFDKSHSEAWKVVTDAFHQDGGKILFQP WHPGRIQNENMPMLKEYNYPVLAPSKIKAKGGKFRTLDGTPGHTENITEIENVKDIVD QYRTSCVLAKEAGFDGIELLSQGGYLLHNFLCSHSNARSDEYGGSVENRCRFPLEVLD AIISVWGPRAVGIKFCPSDDYNDTMVSYEELTETYTYYIQELIKRNLGFINISRRGCD LGRNQDDYFKSNPRPEDKVLPPNYEPLKQFGKMIKYPGSNTMLMVNHEYTFEEAEYLI MTGQIDLVQFGRPFIYNPDLITRLVSGIPLASNQRGGMVNYGPYHDPNENYNDWPRAI PEX2_061460 MSHLKFYAYEGAGTQKQRDFCGWDPVTGEFEREINAQIDLAFSN VERCLKDAGGKGWSQVYRVNSYHVPINNEALAAMVRNFKKYMPDHQPIWTCVGVPRLG EDDMRVEIEVVAHDPEGAKVAASS PEX2_061470 MASEKDQKQSLDVEKINSRDVEGTMTVESISFKEQFKAMKTHKY AILAALACSTTPILIGYDLTLIGSIIANSKFVQQFGVYDEGLQAWTLPAGHQLVWTIV QYVSAIVSAIGSGSLNDIFGRRICFLITVGLTVIGTLVELFSPNWKVWVVAKLFMGAA MGSMQANTQTYVSEITPTAIRGLTLSLFQFWIILGQLIASCVLEGTSRVDSPWSWKGA VVSQFGPAFFCLAVFIPFVPESPYFLVAKGRLEDARAAISKLRAGQNIDCEDELREIQ ETLAHEQQANETSASFIECFQGSNLRRTLLACLPLVMQIFIGYPLCGNYLSYFLTLSG MSNAFLITVISVVCSLVASLFAFSLIERVGRRKQLLGGTYGMLVCLLIISLLGFLGVG ETWNYRALAAFCIIWAVFYYASVGAVGWVIVGEISSSRLRAKTTSIAALSSSLVNMVW SIAIPYLVNKDNANLGPKSGLLFLGFGIFLCGVCFFCIPETKGKSFSELDALFEAHTP AIKF PEX2_061480 MSDDTQDLLSSLSLEEKLRLIAGESQWRTASIERLGIPSLKMSD GPSGARGEIFGEGVPAAFLPSGVSLGATWDREILFEIAQLLGDECKSKSASVLLAPTI CIHRHPLGGRNFESFSEDPYLTGKLATAYVRGLQSRGVGATPKHFVGKSNDQETKRFK YNAHIAPRALREVYLLPFQMVVRDADPWCMMTAYNKVNGHYCDASKELLTDIARGEWG WDGVFTSDWGGTTSTIASINNGLDLEMPGPPTKRSRAALQQPLRDGLIDLNRVDESAG RILRLLKKAGRFLDPRDDPELCEDTPEKRDLLCRAASSEIVMLKNEANALPLKPSEDL KKIAILGPNAQRVVAGGGGSSYIKAPYWTSVHDSIKDAFSHTTAEIVSATGAKVNRYL PVCVVVQNPDTGKAGAAIDWFNGQDFANAPVAKTHTDDLYYMSFGTVPPELNSAAANW SFRIRATLRPRTSGRHAISLASIGPAELYLDGVRIAEQSGAYEEKGSLFFTYGSEEKV VPIDMIAGQNYDIRIDYRSHDRQIEPELCTLLDPMEDQFQGIRLGFEECDTMDRPAEA AQLASECDAAIIMVGRDKEWETEGQDILAFELPGEQVRLIREVAAVCKRTIVCVQAGT PVKMDDWMDDVQGVLYTWYQGQELGNAAASVLCGRVNPSGRLPVTFPRRLEDCPAFSS FPGEENETYYSEGLFVGHRWWDLLSIDPLFPLGFGLSYNDFEVAPGSISTNCLVEGPT ITLTAHLRNNGGSDIPGRETVIAWFSQCSPRRLTRPKKQICGFAKSRPLLPNQKEEVE IEIDFHAFGMYDTKQGVWVVDAEAEFEVLIGTTALNAVPAWKLKATQEIRWIR PEX2_061490 MSHLQYFSYKGVGEKNRQNFKYSQAVRIGDRIECAGQGGWNRET GEFYREINQQIDQAFANVEHNLKDAGGEGWNQVFRVNSYHVPINDEALAAMVRNFEKY MPGHQPIWTCVGVPRLGEDDMRVEIEVVAHVPN PEX2_061500 MAVFFKTPEYLKKTGYANVTDPEDGVFQYTKDYKGDLFAYYNDN PREGASFNHVMGGVMADQATWLDIIPPESFLNGVDPSQPLVVDVGGNIGHDIEKFRQV YPDTAAQLYLEDRPAVIELSKCPDPVNKIPHDFFQEQPIKGSRIYYMHCVLHDWSDEP ANRILNALQSALKPGYSKLLIHDHVVPDTMAHPHATSYDLNMMVLVAGQERTETEWRT LLQSAGYNVVQVWRSPLAAQAIVEAELA PEX2_061510 MIRRTPGMTILEFVGKAIRERSGETMDSKDGASANPEKKNLLAR SLEIQKTNSNLPPWASTAWTFSNVIAGSDSVGTLMGTVMRAKCEPNNLLAYPDTLEKL RQELEVAKLSCPYPKWNEVRDLPYLDACVQEGARIHPPFALLFERVVPTGGITVLGNY LPEGTLVGGNPYVVNRHEPIFGLYSLRFAYARPVSPKAASMNAYPNMCAHLSLNVVSG YLLTKSYGGLIEHKHLPMEVRQTSKLSINPFIFAIVAFSRFSLLPSWLFKILYSISSR ISFSKAVGKCMENLNKFAQRLVQAAVNEGPEIRQDSYQARLLDAGISPEEVQLQCQAI LFAGSDSTAVKLATILFHLVQNQDRLRCLHKELQAEAHHKTSADLLQLPYLRAVVKEG LRLGMANPTRMTRVVPEQGLHVGDFFLPPGTIVGITPYLTHDDPEVFPEPRSFRPETW LKVGMDMGLKRPMMDRSLLMFGAGLRGCIGKNLA PEX2_061520 MAEPVPPTELGRLRVLSSTAGVRVSPLQLGAMSIGEAWAGMMGS MNKESAFKLLDAFYEAGGNFIDTANVYQNEQSEQWIGEWVEARQNRDSLVLATKFTMD YRSHAIGKGPQAANFAGNSRHSIHVGVRDSLKKLRTDFIDIYYVHYWDFTTSIKEVMD ALQILVEQGKVLYLGASDTPAWIVAAANTYAIDHGKTPFSIYQGRWNLLNRDFERDII PMARQFGMALAPWDVLGGGKFQSKAELERRKAAGEGLRAFAGRPPHQTEEEIKVSEAL AKVASEHGIDSVTSVALAYVMSKAGNVFPLIGGRKVEHLKDNIQALSLKLTQEQIDHL ESVKPFNPGFPHNFIPADPNVTGSSFLIGRTNAMKFPNAQKPTSL PEX2_061530 MCRYVARNTNIIIAQVDFRLAPEYPVPTQVNDCFDAYKWCYRNA AKLGGDINRFFSMGSSLGGSAAVGVALKLIDENLSQMVSGIVILCPALLHPEFVPNDY KPMFKAYEENWTGAPLQDGQSMMIFYGHNGGTNQQTNPYAFPCLHPGISRLPPVYQAI CEADPVRDDSTVFKYQLDKFGIQNMFHIYPGMPHYFWLFPQLHMSEKFHQNVVEGVKW VLSQVEVTNV PEX2_061540 MDAPIIAVVTGSNRGIGCAICAALVQQFSGPLILYAASRAGTSF DLTGLTIPPTAKIHPARLSLTDQATITALSTMVGKEHMGCDILINNAGIYYFQENITA VQRQETLDVNYRGTLNVDHRILFSFLPPTNHRQVCQAFLPIMRKNGRIVNLSSQSGQL KYFDPSLQKRFLYPDLTLTELDALVNEYSHLADQHTATASGWPPLAYFTSKAALNAAT RILAHENPHLLINCCCPGWVGTSLGAQAGQPPKSIEEGARIPLRLAVGDIGKISGRYW ANDSVASTGDGKVRNF PEX2_061550 MAEVSTSSGPESLQDAEKLTQSDSSLDSQEKRQITGFKWFLFLF STLTAIFVYSLDNTIVANIAPKIVNDFNGVEDLPWLSVGFMIGGMAMILPFGKIYTLF DAKWVFIVSTVVFMAASALCGGAPTMDAEIIGRVFAGAGGNGMYFGLLALISMNTSSQ ERPKWLSLSGLVWGLGTVLGPVVGGAFELYTWRWAFYINLLFGAILLPTYLFVIPSND PLPGTSRWQKLATFDWVGTVLSIGAFTTLVMGINFGGTLYLWNSGQTIALFVVSGILW IIFGLQQGFNIATSTDRRILPIHLLTQKEPVLLFISCAAVGAVSYVSVDYVPIYFQFT QGDNAIQSAARLLPFIFLLITTIPLSGVMMSHVGYYKPWYVGGSIIALIPAVLMSTIV HVDTPSGVIYGLEIVLGLGAGAYTQAAFGVIQAVVVPAEAPNGLTLMLLAQLSGMTLG LSISGAIFVNLASNDLFALLPEYPQSQVRQIVSGTSGQLLSSLSGKLRDQALVIIVSA WHNIFICVYTAAAASLICSVFMSHKKCNVSAAAGGA PEX2_061560 MVTGSCLCGNIQYELHGDPINTAMCFCDNCRKSTGSIGMANGWY SKEARADTMRTYKDHATDSGATIERSFCPACGSPLIAENQTKFPGAVIVTYGTMELQS GRYWKPELEYFCKRKVEWFGTPVDTKKFYEL PEX2_061570 MPYPDRKYIYDVFRNFCNPPEGTEKFFDYVDDNVHWQVTGQHRF SGTWTTKNNYYNATWANINLLLAEPGYKLEVPGGESGVIVGQDGWSVIEMKTVDTKTK SGVPYNQHYSWHCRWNEGGKIVEAKAFLDADLLEKVFGGEQLKLGLATA PEX2_061580 MYLKPRVFWEPELPGFEGPYSPSYCFLVSHGDRHIVFDRGLRID WEEAFPPKIVQLVKATTTILSCNRDVVSVLDEDSSGLNIHSSDIEAVIWSHNHFDHTG DPS PEX2_061590 MATKKIEQWEIERYWEIFASLSNGQKHLNSSQAASVLRNSRLRD DQLEKVWDIADVDGDGELDFEEFCVAMRLVFDLVNGELQDVPNVLPDWLVPESKSHLV HATRALSSGQERFERIEDEDDSLGLKDGFDWYMKPEDKNKYTEIYDANRNARGQVEFQ QLQPLYESLDVPDTDVRSAWNLVNPSASTAINKDATLAFLHILNFRHEGFRIPRTIPA SLRASFEHNQIDYQVDKARPAQRYGADGDTETRTGRKAKFGDTYLGRLGVGGKGSYQP KGTDFSDTIQDEEWEKVRLRRELAELEKKLESATKASDSRRDGPRNDGRPNWVLIKKE ALSLLEYKERELRELREGTGRAKDGQDLERLREDVKAVGEQVDGLKSHMAQRHDVLSD LRSQIEDEKARR PEX2_061600 MRLFLIPISTKRVLVYARPLNKDLAKELSILDRITTKAAETWAK WEEADKGWKMHLVRWGNRVQQRIPYEEWALKSIPSFKTQLRINGDHGKTKVDVLFPGN AVRLDRIQQVVRTIATERQELHRKRMMWSLIAAPITAPFGLIPVVPNIPFFYVAYRGW SHWRALNGSRHLEFLVEKNLLNPVSLPELEQLYAKRASQTLEGTTTDTSYSEVAEDIE QSEDRILLKMSDAKKLATIFEAPELVLEAERAIIQVNEQLEAAARAKEDMKDEKKDS PEX2_061610 MDSPRDSAPGRIFGERLAEKIPPIPESSPLPGPLSVDTESAVRN KTSDPALARTDGQTTEEIAHSQRFALRSLPAWIRSVDEPEEDEATATDRLLPSQPNGA FVAQHNHSPSSSSKPQPNHATTNSLFDDASPPVNRESRWVTFSRTIQYPREPGREEQH VTSEWLNENHGDYLQPWRGKLLEGDSPEYPLHSGGRRDIWIKRFRKTLLRSPIVPLIL RMTVWCFSLSALALGGSIQHMSNEGHHPQGPSALMAIIVDAVALVYLLYITFDEYTSK PLGLRSPSAKARLLLLDIFFIVFDSANLSLAFASLSEVTGSCTEAEVNQTIDPRNDVA LLAWLMTFSISVLRLVERVTQ PEX2_061620 MTPLSNTQVTTRQIPEWHHIPNTSIQCKPLMIYHGAFNATSTEL EEHLEAVGEVIPQWVYSMYRQTHFHSTTQEVLGVISGSARLCFGGEENPERFEPTVQR GDLIIVPAGVGHRLLEDLHGNQEEFQMAGAYPHGKQWDMCYGKPEEKAKVQRIKDVAW FRQDPLYGVDGPALHV PEX2_061630 MAGSKCSFPSRDEVEHFLSDLPPSMNRYTYEGKEQFDQILKIEY DRFERSLHHLDPNAPEISEYFVIAIDPSSFEKEFLLPDPIAGLRLFYHAALHILILRM TTPEHAQAAMALNSEVLETLQPMGLFRALQGFGGVNIDVGNGSIKQPDWGWGPIRRSR GDPQRPKVVVEVAVSETATNLRNNARLWVDPVRGRANMAITVKVNRKKPQITIDTYEW DSVSQYPIVIPFHHIFGGAPEIPKETDVQLGRQHLVNFATSPAVFAEV PEX2_061640 MSLAMPPVPHKDDLDSTWSFLEIGIESVMLNLDSGIDMKTYMGL YTAVHNFCTSQKAVASGQGLQGQRGAHLLGEELYKLLGEYLSAHLNKVYKQSQSHTEE GLLGFYIREWYRYTTAAKYVNHLFRYLNRHWVKREIDEGKKNVYDVYTLHLVKWKGDF FEKVHDKVMDAVLNLIEKQRNGETIEQSQIKSIVDSFVSLGLDENDSSKSTLDVYRQY FQLPFIRATKTYYENESRQFVAENSVVEYMKKAETRLDEEKQRVGLYLHPDVTKSLTD TCLSVLVTAHSTLLRDEFQVLLDNERQEDLARMYGLLSRIKEGLDPLRTTFENHVRRA GLAAVEKVASEGETLEPKLYVDALLQVHTRYQSLVDEAFNGEAEFVRSLDNACREFVN RNRICKTSSSKSPELLAKYTDSLLKKGSKSAEESELEDMLVQIMTVFKYIEDKDVFQK FYSKNLAKRLVHVSSVSDDAETSMISKLKEACGFEYTNKLQRMFQDMQISKDLNNNYK VWQDKVLEDDDRKRMADAHFQVLGTGFWPLNAPTTPFLAPPEIVKTAERFQTFYFDKH SGRKLTWLWQLCKGEIKANYIKNAKVPYTFQVSTYQMGILLLFNEADTLSYDEIEKAT TLSTEILDPNLSILLKAKVLIASPEGAKPEPSTSFTLNYNFKSKKVKVNLNIQIKSEQ KVEADDTHKTIEEDRKLLLQSAIVRIMKSRKKMKHVQLVQEVIQQVKSRFPPKIPDIK KNIEALMEKDYIERMDGDEISYIA PEX2_061650 MAVHHKQDPIVLVVDFHHARGPEIEHCIADEGTDPATENDWSLL PFMALSDGAHLSTEEFSYFTLCRKGASTTPETSLFGISCSRQLDSSLLINRSADVTRS TVQKAVVVVTDTPQRVGQLREKLSVVTSAWFAQRDFSDVDILKKFREGLVIALLNDGP KDQNLGLSLREMIHEFKFQTLVLFKALLLQPKMLFFGTRCERLCMIQFSLISLIPGLI NNLQDCADPSFDTYSQTVEKPTSLKTSDRSSLLAYMGLPLQIFGKGSMFGPYTPLQLL DLLADDGTKSYVVGSTNSLLLQQKDRYSDILINLDEDSIVINSPSLRSALVLSAADRR WIDLLTQIVNDTWDEEHPSQPKTLGFMGSEEFIRLQFEEYLLALLSSMKYHEELYPSD VGESGHRSRTQLQNLNIEGDPAIDFNTEFLAQWKTTSNYALFSRLTSDALLFSITEPR HPNAGGLTMEDVQRRLAQQVADLHLDERVREGREALNRHISTGQKKVSAAFTSFWSDI ETMREAQRKRNEEKTASQSQRTSIDKETPTSPTPSSQDPADASWFAGRQRPSVDLTQA QASVTVASQKAGSYLSSWGSWASEKRREWQDKRVTSPTPNATPNADLTSPSTPVLSVA TETAELDRGRRRSIQHNSEGNDSTEGGLTRSTSRRKRWSNILLRRDSGEFQRYDDVST SEPIDTPFPKSPLSQGSPAYADDAEHKQTQDVLQDKKTARQDTVDADGFSAVALTPNE GLGLSLKTEEAVSDSQKEPTPSSGVQVDTQPENLVAALPVKEEVHPVQNKDSSGTN PEX2_061660 MAEFVRAQIFGTTFEITSRYTELQPVGMGAFGLVCSAKDQLTAQ PVAVKKIMKPFSTPVLSKRTYRELKLLKHLRHENIISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILINENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVIQTICSENTLRFVKSLPQRERQPLANKFKNADADAVDLL ERMLVFNPKQRIQAPEALAHEYLAPYHDPTDEPVAGEKFDWSFNDADLPVDTWKIMMY SEILDFHNIEQGNEAGQALVQGAVQGNATGQAFA PEX2_061670 MGSCFSSESAGDAEQKKRSQQIDRKLEEDSRRLRRECKILLLGS GESGKSTIVKQMKIIHQNGYTVEELALYRLTVYKNLLDCAKALIGAYHYLQLEPSSQK VQDYISFLEEYNVDPDPNTALDSKIGDAITYLWNDPCTSTVLEHQNEFYLMDSAPYFF EEAKRITAPDYVPDVSDVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKKWIHC FENVTSIIFCVALSEYDQVLLEESNQNRMMESLVLFDSVVNSRWFMRTSIILFLNKVD LFRLKLPRSPLSNYFPDYSGGNDVHRAAKYLLWRFNQVNRAHLNLYPHLTQATDTSNI RLVFAAVKETILQNALKDSGIL PEX2_061680 MSTIPDAVVLTEPIQPTEPRRERRSSVGAPIADLQGPVGPGFSR PKHKRTFTGLGPAEIKNVEASIPEPLREAWRKHSASEFNTKEEFEKDLVRHIETTLAR SLYNCDELAAYSGTALAFRDRLIIEWNKTQQRQTFTDQKRVYYLSLEFLMGHGLSDLG FRVEDVINQEHDAALGNGGLGRLAACFLDSMATLNYPAWGYGLRYRYGIFKQEIVNGY QVEIPDYWLDNNPWEFPRHEITVDIQFYGNVKKCQDESGKISHLWEDGEIVQAIAYDV PIPGYGTKTTNNLRLWSSKASTGEFDFQKFNAGEYESAVADQQRAETISAVLYPNDNL ERGKELRLKQQYFWCAASLFDIVRRFKKTKRAWGEFPDQVAIQLNDTHPTLAIVEFQR ILIDQEGLEWDEAWSIVTKTFGYTNHTVLPEALEKWSVPLMQNLLPRHLQIIYEINLF FLQSVEKRFPNDRDILSRVSIIEESHPKMVRMAYLAIIGSHKVNGVAELHSDLLRTTL FKDFVKIYGPDHFTNVTNGITPRRWLHQANPRLSALIAEKLGSYDFLKDLTLLDKIEA FVDDKAFREEWAVIKRENKLRLARHIKATTGFDVNPNALFDVQVKRIHEYKRQQLNIF GVIHRYLSIKAMSAEEKKKVVPRVSIFGGKAAPGYWMAKTIIHLINKVADVVNKDPEI GDLLKVIFIADYNVSKAEIICPASDISEHISTAGTEGSGTSNMKFVLNGGLIIGTCDG ANIEITREIGEQNIFLFGNLAEDVEDLRHRHFYGNFKLDPQLERVFTAIKDNVFGDKA DFSALTSSIEEHGDYYLVSDDFNSYITTHEMVDEAFQNQEEWLAKSITSVARMGFFSM DRVTNEYADSIWNVEPLDVTE PEX2_061690 MAISQATPQKRKIIIFSDFDGTIFLQDTGHVLVDNLGCGAAYRN KLEEQFKTGERTFREISDDMWGSLSIPFGDGFDIMEKNLELDPGFREFHQYCVREGFP FNVISAGLKPVLQRTLDLFLGEKEASTIQIVANDLKAPGGIPWKPIWRDNTDSGHDKA ESVNQGRTKAQAECEPGEIPLIIFIGDGVSDLAAAREADVLFARRGLRLEEYCLEHNI AYTPFDSFADVKREVEAISLEDQKKTGGVGKPVRYNPRANMWRRISSKEAVPTLMATA TPSRDEKMALWPGYFSEPKQPHEPTIQE PEX2_061700 MAAQSKLLPPERSIKQILSRLTTLYLRNRTHISRAVYLALFAAL AKRIHNAISEQKAASQQVDLRRRPGTSSLGDEEQPRKKRVEINREFFKNLLRLLKIVI PGWRSKELRLLVSHSVFLVLRTVLSLYVAELDGRLVSNLVRGKGKDFLLGLFWWMIVA VPATFTNSMLSYHQCKLALSYRKRLTDYIHEKYLSNMTFYAISALDDRIKNPDQLVTV DVSRFSDSLAELYSNLAKPILDMAIYNYSLSKNVGGEGLFIMSLLVQLSANVMRMLTP PFGKYVADEARLEGEFRFLHSRLIDYSEEVALYHGHEAEKDTLDKGYFTLIKHVNRIL RRRLYHGFMEDFVIKYFWGAMGLVLCSVPVFFKIPGQATRSMGDHTESFVTNRRMLLS SSDAFGRLMFSYKEISELAGYTSRVSSLLEVMDDLLAGRFEKKLVSSASTEENAAVLS GRGKVIESDAIEFTDVPIVSPNGDVLVRKLSFTVNPGDHLLIVGPNGCGKSSLFRILG GLWPVYGGTLKKPPFQDIFYIPQRPYLSRGTLRQQVIYPDGVREMRAKGVTDADLYEV LSVVEIESVVDRPGGWDAEEEWRDVLSGGLQQRIAMARLFYHRPKFAILDECTSSVTL EIEKVMYETAKKLGTTLMTVSHRRSLWKYHQKILQFDGQGGYIFTSLDWERRLKLEDE KEELDLQLRAVPELQRRVAELTA PEX2_061710 MEGVQTVDHLFSLRSWVVGDPESGQLLTLSSDHLSRTKSVSASD KPGTEAEPATSQSKSHRRSRSNSNPARPTPAPSTSEPKQAPQNDAAPVQAKPPALEKN EEQKPSTPSPASRKTDPKPIGRRNSWISSLSSKFSSGSTPPSQPSLKASPASPKTTSP LETHNPFGAAYSPRDKEEEKKDDSNPFTSSSPKGPSFIHNALRKFSSNSGGLPKLNPN GSICPRRVMNIDQSRHRCKIADLNQAKLNRVAFCVDVEIAGISHRDDDEEAPPTNQLR QPPPESNSHKLRKADLKLKEQDEAGALKHPQATLAEKDSASPAAAPAPVPGPVTGDAR AQSSSPPTNTTSKPSTDPSPSGEGTDPTRKQERKKRSEAERKERKERKRRQAVANGSV PLQLDPENDEEYSRGPSPASGVPRSKTQSHPTTDPVRIYRRCCQLRETPVLKRVVDEI SKPSSTLAESPGTVAVLDLSNFPMTSQDLTTFSDWLAVVPVRKLILENCALTDTSVRA ILSALLSTKTVEQMRYRRRRSRRPESPDSNDHERYGVVEKLSLKDNTKIGREGWRHIS LFVHLSKSLKAIDLSGIPFPQGQVPIDSPGTTGQAQSTMADVSIIFASALAERFAGDH LEELLMSECYPSVNAVKQICQATTKMGLRRLGFANNGLTREGLEHVVEYLKAGQCEGL DLGGNAIKDDLDIVTDAIEPETPLYALSLADCSLNPSVIFPLLQSLAQIHNLRFIDFS HNRELFASQPSALGAFRRFLPKMTSLKRFHLADVDLSPDHVIGLAEVLPECPSLCHLN ILENEQIVDLASTTDPVAQEEACAVYASMMAAVRVSRTIIAVDIDVPVAENNEVVKAL GSQIVAYSLRNLEGGAIAEELSDSTPPLEDVPIPEILQHIVGHAGVSEEPYDDEDEAA PDEDYVIGGTGVVKALGVCLGNLDQHGLDVLGEQSLPASGTTTPRRRKSRGVPTKRPR DMSKNLLESARNIRVRIQSALIREDRAGNDANYRRLQFLDVTLQRMIQRFEDEYPETR VIPPLSPAVPVPDTISQHSGDDDGTGSIGASGNLNSSQLDETGGDEEDAEHYAVRLSR TSSMTSLHSRAMTSQEGHIHRIGQNLRRDFLKPSLVPGDGDEDFEYGGDDSHIVALRQ KLDLLHHEQSLSQFDDFDADMAYNHLGTTVDELYAAQQQDAEGFERFKQSQIAAQINS GIRPRDNLNPRTGCDEPESKSSP PEX2_061720 MSTLKKMRELVGMGPSRESYRSRVDSALAPLASVSSQHNTLVDC LLETCDSDQSSLDETAIDSDSSVIDDTVLDLSAPATIEGDTSPSPSKAFTRGHRRRST HVTRRDLEKFQSEVLGVENHASWFDEDNGTGTPQSFTPDDPQLEELNRAFADADVSLN TNTTSMATNGTGSGNFSGYVENNTGAMNIPNIPPRQTPSPSPSHSSVTTQINGGGMGA MGATIPMNAGHQMDLHHLYEMVVELSDVLKNNRDVTKNIVANAEEIVKNGIVDSSGPN GQQGDNLTARIAELERALAKEKLVSADHKHHREENMKMIREFETAVGIMVEQIRNYCQ NNNMHYLAQKKHYNNLLQAERDAHLESRLDRDYWHAQTMKCAEMIRTAYRLKCEEDDV PTRVISGLQNEVRAYRFALGMEAEKPEEEYGWEYLKNIPGLE PEX2_061730 MDSTRTISELKSAFIWSQVRIFSESLDLPEDWRNYAAETTEGDL SDKVIEDVLHKVNAAAKQHNRVVYSSQAIHHVAQQIANLYWSSVSQEAQSQDAFARGI EKTTDLSREMNITKMPVNLESEEASEEDHARYRQLRERLASLDSQRQQRQRRLDQLHH LRQLLEPFNDPKRDIQPNLITKDGELVQELEKMRMLVARVGGRIAQQKRSSGALETYD YSLPGSDQRLEALLNMP PEX2_061740 MAEIKRPVGLLFDIGGVCVVSPFQAILDYEIAQNIPPGWVNFSI SRTAPNGSWHKLERGDIKMDADFFAGFNADLRDPQLWKQFHHQLQKKQGTSGSTIPPL PTVDAEWLFWEMMRVSRTPDRYMYPALKKLRESGQFLMGALSNTVIFPDGHEYNDASD VKKQFDFFISSAHTGLRKPDPKIYQVALQEMDTLAKTRGLAGSNPDDVVFFDDIGENL KGAKNAGMRTVKVTLGKTQDAVKELEKLTGLQLLDEDKARL PEX2_061750 MPFTKTVKSNAYFSRFQTKYRRRREGKTDYYARKRLITQAKNKY NAPKYRMVVRFTNRDIITQIVYSEVTGDKVLASAYAHELPKYGIDQGLTNWAAAYATG LLLARRVLKKLNLDEQFKGVEEATGEHTLTEAIETDDGERRPFKAFLDVGLVRTSTGN RVFAAMKGASDGGIFIPHSDRRFPGYDIESEELDAETLKNYIHGSHVSEYMENLADED EERYKSQFVKYIENEIDAGDLEEIYADAHKAIREDPFKADEDAGPKKTKEEWKAESKK YKVNRLTHAERKARVEEKIRKLAA PEX2_061760 MRRYQQHTHASWHRAYADKFDCPWCQTPHRAETPQNQNHNRKQS AKGSDNGRASRANATSTPVGRRIAPKSSGIPIEDNAAHTPIEPQTPDSALDANHEETP ELESDSNYGRYNMGRTAMHDGLSTADTLRPPLPEEWTKETEDREHSTAEWTPPAVEDW RSGLDTTGEVQISGDKTIDSPELNVREVEES PEX2_061770 MSRLPETTVIHDLVSPTPSIEGTEGSDTLDWNPFRSQSSPSAAA SVFLLEICVEYKYLYRRLSGNVQFWMAVSDASGWAPEEAEPFLQATMAKYRVADHTIR PVDPDGYRIEALSWQMANHIAQIRTIAQIGRSRYQAPTPVPEDSMSGQEIEEAILERV RLAAMRCDFDQAKWLLLHIHDKQKADTYMKELESNIILHDQREKCFEMESAKAESDGE WGKARSWLLLLANKVRSGRLLVALERRKAIQDKYNRGLQEETVLAQFVDRDLAKACSL VSKMKGKRPKAFVALFKCNEALTKNDFNGAMSTLSNPQLASAHISLKPMVDLAEALYN DDQDEATSLSLLLKMDAERFQKLFLNSSAGDSAERCDGQVGALSLLLTQPVNMSTHLN NYYEKQSKADALRGDWNEAQKSLLKIPKKTVSGPLLRKLEAELSAFYEKDMELEKQAK EAEMNGDWEKARSFLSRIESDFGKFLLAALAYSEARLSHKDEHLEMLVQLQEDYPDPR LEQSDPDDFRKVFEMMAEDMRDAYDRACQAQGIHIAEESPSSENMQARLQYCPDTGPP SSSLPAIPRDPCLVIPSKQAIREYQWDRPELPSLSFDQQLVLLQICLVHKGRYTNSQG NINFWHRVADQFWEVSGWHWKRIRMFVQKKLRCRAASDRGPQMGPLLDELQSHIHAVG HPRHRSSVTHSSPQPSALQSPVGSLPGQGSANQSFSPTKLNGRINSDSMFHGRLAGLS SRPSELQSSVEGLPVRSAAKRTLSQTEFDVPISSDDDLPSHPPSQPRHTGPASSSVHT HAQNLLTGTTVQSLIAKGNEAKEQHDFDKAKSLLLQIPDKTIFGPLLEELDEARESQI QTNKDFERQAKKAELEGDWETAKSLLSRVVGEDGSFLLLALEYIRTRRTWKQFEAQEY LEQLKKEYPHPIFKQVELDHDYENAKITGNPRRIGYHQGLLRAYKRKSGSVWDEPDDF RKAFQLIASDMRHARDKVWYAHPARAGRTELQSQPQPEFYSGFNSHAGLESEAEVRSQ SQPRYQPQQIDIPEVLRILERARTQAQAQPRSQRPNIDQELALLDRAREEIFNGRDRI KAILLQGSSEESKEALKAVENNTSRLLADFEESATSLMVQAGDESDEWDEWDEWTPES QRILLLLMEAESRANEEKFNSLMRQIPRNSTEARNFRKEFAESKAKKDRYLQRCKATL DAGGRLEEVEEE PEX2_061780 MSLIRSHSQWPGGIPSFIRRHEEPVAPENFDAASRAWRHFVRDQ WVGGDAADQQKRALIERWATADQRFRDSYQSRALEDEPSFFEGPELTEKFFTGNDVQK FCMVYFCTTELTREKEALLAKCILGLFPWEEGWEFLADEMIMFLPLEDNQGDILETFK FHQSVARPNFLDMHMALDGTVLFRDCYAKLVIDDRTLETGLGLWVEFATNGIYKKAYR AQIMMEESAHFYREIGPGNQVPIEQALNYIESQVIYQDRDEDADPREILEDEPVDMRR PLVEIYRGGEVDLVDDYAPGFREAEEQGNGLAIGYDLEQILANDGNPLTIKDHGSDH PEX2_061790 MKIFSLFSTLSAVLSCTAVVSAQSDPDPIGTIYDGHVPADLYGS SYPYPWPVKLFNFSNQRQNLTMAFMDLPAKKQKKNKKTAVLLHGGNFCGVTWSDTARH LSASGYRVILPDDIGFCKSSKPQGYSYNVQQQALNIHSLLTALGIDQVTVIGHSMGGM IAARYGLMYPTNVQQLVLVDPLGLEDWVAKGVPYLSIDATYESQVVQNFTTLKGYEQA SYFHGASWKPEYDTWVHMLADIYASDYGSAYSYVMALVTDALLSQPIIYQLPLLQTRT YLMVGENDITALGKAWSSPAVAAKLGHYSELGPAAAALIPNSTFHMFPGLGHAPFLQD PKAFYKVLFSWLD PEX2_061800 MPQNTPGPSRAFEIQVPCIVFLVTTPTFVGIRLWSRAKSKSGLG WDDWTILASCSFAVIVMAFMLASCTYGFGQHIANITTPNRLMTMKFFFVSQAFYKLTM NTTKMSILMLYLRIFIQRWFRITCYVLLVIITSYMVGAFFASVFQCTPVARAWNKTIP GSCIDITTNWYANAGFSIATDVIILTLPMYPLYKSKIILKRKIALMGVFALGAFVVVT SILRMQTLDFSSTSPDPTYDIASSVWTMLEENVAITCACLPMMWMPLARLFPSFFSLD NGTDSYGTSASRSSDLKATSRPRSNWTHLKAYPDTRARISMNQTSDPPNRPSEDSTGR ILPSSRGSEAQAGTTDSALTNHGMAQINCLAQHFVSNSTKFMTVFSSDLSRARITAEE VCRAQVSPSGETPLQPILTQNLREKDYGSMEGRFWKTSPPLPRPRDWVMPESKASMRK RAEKFCDEHLLPLLICDPHDQQNVAIVAHGIILQELWSYFTEIFNPADTKGAPGICDT DMSTVHNKPIWSNTGYMTIRITPNATERTMLPTAMPGFAFVILSIDDKRHLANLHRTR GGVGTAAHDTKQRKIDQFFR PEX2_061810 MAQSQPRRFAQIVHLKPSAVAAYKECHANVWPEILQKIKECNII DYSIYFDNDRTLFATFKYVGTDIEADMENMRSLPKMREWWAMTDEMQESPIPGAIGSA EGPGWWKPLEEVFHTE PEX2_061820 MASTTPELPTFDFSPSTLLDIAMDLVNQVQTATNDLTNRISPQN ATFENALRPLADIDNEVKGKVQYLALFQAVSPSSEMRKASSAAVNMVDKAYWGVFRMP SLFDLVDAVHKDQAEEDPKGEDQKLLKRFHSMFMENGLELQGDKRDRFIGISNRLIEL RVAFMENLSSDPGYVWKDQHELEGIAAETLQELPMHPSTSQRGIKLKKPNITMVLTRC HIAETRKDVFLQSQNIFPDNLEIFNEAVTLRDESARLLGFSSYAAQKSRHKMITSPRN VDQLLDELYNHLQPLAQKELETLQHLKGGDGEGYNSSTSLSLWDFDYYHDRLLREKYN TNHELIAEYFPAEITIQQMLKTFETIFSLSIAPVEVAKEQVWHSDVRVYSVRDDKSAF LGFLYLDIYPREGKYNHAANFNIYPVRV PEX2_061830 MATSFVKRLFVSPEINPSTRKAKSIPVLNPFDQYGRVFFFSWLG FMVAFLSWYAFPPLLSVTIKKDLNMTQDDVANSNIVALLATLLVRFVAGPLCDRYGPR LVFVGLLLCGAIPTAMAGLVTGPKGLIALRFFIGILGGTFVPCQVWCTGFFDKSIVGT ANSLAGGWGNAGGGITYFLMPAIYDSLVHSRGLPSHKAWRVAYVVPFIIITVIALGML LLCEDTPTGKWSERHLWVKESNETTMTTDGNIVDINSANFTSGMTTPHNAATIDIEKK GAQSPQVQDAETSTMGHQMDLFKQETVVSPTRREALNVALSLSTMAVAIPYACSFGSE LAVNSILGSYYTETFPHMDQTKSGQWAAMFGLLNVIFRPAGGLFGDLVYRHTNNVWSK KLLVTFLGVAMGAFQLAIGLSNPSTEAAMFGLIAGLAFFLEASNGANFALVPHVHPFA NGIVSGIVGGFGNLGGIVFAIIFRYNGSSYGRSLWIIGAISMAANMAVCWIRPVPKSE TLS PEX2_061840 MPVLDGLRYGEPVDGSPSANHVQRQKIVVVGLGMVAISLIEKII KQDTERKYDIVVIGEESHVAYNRVGLSTFFEHRKIEDLYLNPTEWYDSFKERAFDHHL NTRVTAINPTEKTVQTSTGTTINYDILVLATGSDATLPTHTPGYDAKGVFVYRTISDL ERLIDFAAQHKGETAVTVGGGLLGLEAAKAMTDLEDFGNVKLIDRNKWVLARQLDGDA GSLVSRKIQELGLDVLHQKRVKIVHTDEGNNVVGITFEDGERIDCCCICFAIGVQPRD ELGRDIGIQCGERGGFVIDESLQTSIPDIYAVGECASWENQTFGIIAPGIEMADVLAF NLTNPDKELRSFTRPDLSTKLKLLGVDVASFGDFFADRDGPKFLPGRRPSTVPGLGGD ISRDEPPVKALTYKDPFSGVYKKYLFTMDGKFLLGGMMIGDTKDYLKLNQMVKLQKEL DVPPSQFILGAQNGGEENADDLDDSTQICSCHNVTKGDVVENVKNGTCTTIAQVKSCT KAGTGCGGCMPLVQSIFNKTMLDMGQEVSNNLCSHIPYSRADLYNVVAIKQLKSFVDI MKTVGKNPESLGCELCKPAIASILSSLFNPHIMDKGVNDLQETNDKFLANIQRNGTFS VVPRIPGGEITADKLIAIGSVAKKYGLYCKITGAQRIDMFGAKKQDLLNIWTELVDAG MESGHAYAKALRAIKSCVGTTWCRFGVGDSVGMAIRLEERYKSIRAPHKFKGAVSGCV RECAEAQNKDFGLIATEVGFNIFVGGNGGAKPRHAELLVTDVPAEMVMPIIDRYLIFY IRTADKLQRTARWIENLPGGIKYLKEVIVDDKLGICADMEKQMHELVDSYFCEWTETV KDPKRRKVFQQFANTDETVDTVEVVQERSQRRPAYWPKDTAEREDFKGHKWSSVSWQP VVRADHFSDEPPQVSSANVKRGDTQLAVFKIKGKFYATQQMCPHKRAFVLSDGIVGDD DAGKYWVSCPYHKRNFELNGEQAGRCSNDESMNIATFPVEERDDGWVYIKLPPIEELD SILGTEKWKVRKGESEDPFHKVDKKFKGMKGKKITDGFLTRAPAVQPAKSIDW PEX2_061850 MASITTQTITESETISFKNGQITVEDIIPSPAEPDIPLPPPSKN PVDILDVDKGTPDNHVPRDPRLIRLTGVHPFNVEPPLTALYKEGFLTSPELFYVRNHG PVPQVRDEDIPDWEISIEGLVEKPLVLNFREVLQKYDQITAPITLVCAGNRRKEQNTV RKSKGFSWGAAGLSTALFTGPMMADILRSAKPLRRAKYVCMEGADKLPNGYYGTSVKL NWAMDPNRGIMLAHKMNGEDLRPDHGRPLRAVVPGQIGGRSVKWLKKLILTDAPSDNW YHINDNRVLPTMVTPEMSAQNRKWWTDERYAIYELSVNSVAVYPQHEEEIDFATSGPT YTVKGYAYAGGGRRITRVEISLDRGKSWRLSEIEYAEDKYRDFDGDLFGGKVDMWWRE ASFCWSFWSLEVPVADLETSDAILVRAVDEALSTMPRDMYWSVLGMMNNPWFRVAITK HGNTLKFEHPTHPAKAGGWMERVKKTGGDLLNGNWGERVEGEEPVEPEPVKEINMKKD GVNRQINLQELKANSTSEKPWFVVNGEVYDGTGFLEGHPGGAISITSSAGLDVSEEFL AIHSETAKVMMPDYHIGTLDKASLEALKGDSAAGSDEPREVFLQSRTWTKATLTEKRD VSWDTRIFVFDLEHEKQTLGLPIGQHLMIKVQDPSNNEAVLRSYTPMSDTNMIGKMEL LIKVYFPTESIPGGEMTMALDKLPLGSEIDCKGPTGRFEYLGNGRVSISGKERQLRSF KMICGGTGITPVFQVLRAVMQDTQDPTTCVVLDGNRQEEDILCRSDLDAYVETDSRKC TVVHTLTKGSETWTGRRGRISEELLAEYAAPEEQSMVLVCGPGPMEQSARKILLAQGW AESDLHFF PEX2_061860 MDCDHELDPFSSESLWRLSKFSIEALQPLGSLPWNTTLSDDATS CFEVYPQPADNIDPVWKLDLFPTGLEKPESSTDSIINPSIDGESDTTCDSLREISGDD NDIWALDLLQEDPAQLPPQKSWERYQHRSFQEPVSVYFSESGAKGFDAALSKQNAAKR YGVPNRMVRTDVFIRSLLCLGLGWSSAFFHYNPHTNQFERHLKDIRVSGISSLALENV IESVVQCGTNMQRMRMFAQDPPAKCKELSALFTLSSTVAVILFNLEQQISDHSKNVAS LLQIKALFHRCGDLIGVLAGIVGAAQKAASDAQAMSIITERAAFFAQKFDWIENLVHE IVIRVTRPWFKFIETWIGLRPEDTALKASMASGKTFVRLDTHGAVKFKTGPPRIDHIY QADHMPSFIPTDQARSIFESGRSLQLLKRSHPQHPIARRDVLLQTGGLHLHCATTWAD IEKIQTKAQEYESSLRAEIKRYHKGDSGLQDSPSNVGTQGVDQTVDTKVTTAAFELFD IDDEKKASGPVKDHRALSKDDFKQLLDKARGFEIGSTNEGTNLGPELTSGLHLSLAPI LSSQALLIDYSCLHLLFKEHRIRHHLNMQWRFQLLGEGSFVARLSNSLFDPEMESGER KAGKVRSGVDTGLRLGSRDTWPPASSELRLVLIGLLGDCYFGSKNAEDDEKTHLQKDN QLPGGLSFGIRELTDEEIEACKNPNAVEALDFLRLQYTPPEVLESLITTRSLNKYDRL FKHLLRLIRMVSVVKGLIRDSTARGSLSGDTHNVYQRFRVDAQHFVLAVSDYCFHIGI GSIWQRFQDTLTKIEHCLNRGDIDGTIEAAHSVPRLRDYHEDMLDQMLFALFLSKRHA QAAKLLESIFGTVLGFSLLSRADGIGMRNESEGAVLHLYQSFRKQTSAFVNYLRSLES GKATSKSMARSGGFFSSRTDPTSVFEHLRVRLDMKNYY PEX2_061870 MPPRKKTKRAHSPTPQDDTMVESSANTPSSDSADKSDTDYDLIT DPWTDEQETALLKAIIKWKPVGIHKHFRMLAISDYLKSQGYAPSTAEHMRIPGIWKKL GSLYNLEALDEREDSVITDANEDDEGSSEMYCTFELPYDEYGDMMFERRLAMEESLSP VTSRASRAGGSRRGSTVADTDEPRSSPAPSRGRISRPSTRGTRSTRLQVEIGPGSQGK VSDEGGDSAEDTGANDEDDEEGEEVEEGDSDEDEGEGEKGGSRSTRAQTTRSKAKDNR PSTGTATRRTARRR PEX2_061880 MIAARNFSVARQCMRPSRVAPRFAAPIAQVRFYASPTQEAVAKF KGQKGPDGKYTVTLIEGDGIGPEISQSIKDIFEAAKAPIKWESVDVTPILKDGKTAIP DDAIASVRRNYVALKGPLATPVGKGHVSLNLTLRRTFNLFANLRPCRSIAGYKTPYDN VDTVLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQYAQSINKKKVRV VHKATIMKMSDGLFLNTAREIAKEFPDIEFDAELLDNSCLRIVTDPTPYNDKVLVMPN LYGDILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTAMLLSSI MMLQHMGLHDHANRIQKAIFDTLAEGKTLTGDLGGKAKTHEYAGAIIKRL PEX2_061890 MADLDVASHYNLPSEFPEEWPASLDVADEPEEQQVQRTDSRPRK SRYIALERSTSDWRSNFGSRRGKEGRDNAREDEPDPLGTSDSVLRILKQRGVPLEKDG KSQYLLSSTSFSPALFLSQAHHSASIESLMGGLDNLSHSIDQKSASLKVLVEANFERF VRAKATIDSVYTEMRNQGVEKQPSLSPRRSGHFRSYSGQQRSISPAPVVAKKTALVKE SEFGMKGIRGPLVEASVKAEEVWGPALGGREREKVLKSVVETMEKHREVYEIGSTLSK SIQQRDYDAVFEQYTKARTLANRAKNIAEQTSSSRRQLNDSETHTILAMGRMWVDVDQ QIHDFKRDLWRRLADAPTTSTTSTALGPVEEHMELIGALLELGVEDNPIWTWLQSRYE FLKTKITGFCDRCKVEIEILRRRLAGGERPTPQATASYLRLAPRDGAVEIPGRLDTDQ VIELWECVQTFLTRLLSSQSGLLGEVLDFWEVAQSFIDGNRQRLLPAGFEGESRKHHL LSDDNIQELEKGIAVLVNIIRENVVALFTEPPTEDISLLFSPIPASPSTPESRGITPT ESRFKLDPKNLPYPPPKLGEHWEDFAFWPPFSNSLSGVHYLSKFLITVGTAASEMAAL RPIGGSGKTYDLLKTLVSIARERCARVACAAWSKDAEICKMLEDWTRDSEKRDLTKMP GFFVAFESAILGGMQKILYISEAMAKPGSVDVVTQPPAKLLQMVRSQFVSSVYKALSG LVENAERLTTVDEENEWIIARPAMTSQATDAALSVLSADSVDSWNRNVRILLTLSNLK ALQADHVPQLISNFETSFSVKLTEEAKTVRDVLSQIEDRLFQSYITPTTALLNKTITT GIASPDWEPSVDRPEQVRPYVYNAMLTMVLVHTEISTTIPSTVSPGASRAASSSPSSL LATVLTHLLSQISSSLLDAFRTRSSFSLAALMQATLDTEFIAQTLSAYITEEASNVQS QIYVELDCRTTNEARTKLKAELGEMRVILKRLRDRTKGEFACFKKPRSGGGNSKPSNT AAA PEX2_061900 MAPKRTHDGDAALASKGEPVIKKRKGFSVGPANLPDGTYRRKTQ KIKNDLIHKAKVKKAYAKIKAEELAIAPKKSVYDTAEGDEVETGKDKEAAVEDTTTLE LHPDRIAMLNEPEAEPEPAPRPERRPRGEGKQRERRPKPSAFSREMEFAEKRRKAEEA RQKDREAKQKEREALLRAKRPDQFGKRRLGRESNALLSRVQRMVGQN PEX2_061910 MPLFRDPDRRRHYLWSPDHGNREQPTTGSSQPQLNDLNRREIDA PEMQTNSMHSTTLSPPKSWANDREELIQRIKESSPWRLQHMSPDRHNNDLFSSQISPE QSPTAAREQENNSINQEHHINATEELGSPADIQRPRSALHSGDFREGATDPHDSHAPG SPFTGPSSASPFGHLSSSPTTPWFGAPNLPPDLRKTSISNEYRQSDDIVNARARAPSV GSFSSSYVLKAPTSPLVYQANNTDLDFSSRVDHADVSEPMERTNRRRTLPPETFRNLQ FSLPSNPIPNLGSPSPPGRQQDVFTNQTSLPRRSLTSTYSLQLAPSPGGQIPPSRVRR PSFVSDLSSNPHAPMVGSYEESILRGRMSMNPSKPLDFTAQIGVLGKGKCKSHLKCPP HVTVPFPVVFYSYPTSGCGRSISDDNPSPYVGQIDLENSLPKENPNPTRRRRRHLSPI GPREDTPATDAANDTRTSAAESRRRREKKNRRSESPKCPPGGSYRIPQQGQLQIIIKN PHKTAVKLFLVPYDLGDMEPGTKTFIRQRSYSAGPIIDMPLSARKNFGTDRPEASLNP TEDPQDKPTLRYLIHLNICCPARGRFYLHSTIRVVFANRVPDGKEKLLNEIQNPEPRY SPYKPSRESSISSTTGANMRLGIDQTSHRRSVGQGVIPHLHPHVSSPSEFPSQDVLGP TNVTGGLENTPPSHRLSRYNELTRDNEDYGRHPSGSEASESLLVKRLRVLDVHRPEST VDERHPRKLGFYDRPSPTHNHRPR PEX2_061920 MASGGHMHNFTTLIKRLEAATSRLEDMAMSYDDSSAPKSLTGSP SSVSAIPPPPKPSPPPPPPAPVAAPVPPQIQDFDALIEGDVQNFVNLGEKIGGLVAEQ SKAVLRAFQAERTYLFVVTKAKKPDTQPPELMTDLHKASDSINNIRESNRASPLFNHL SAVAEGIVALAWFFESKPAEFVTDMIGGIEYYGNKVLKEYKDKDKAHVEYIKAYYQNF KALAVYLKKHFPQGLTWNNESGIDALEALKRAKAGPARCAPPSPPPPPAVPALNVPGG GAPPPPPPPPPPGIPPAPAPAAPAADMGAVFDQLNQGEGITSSLRKVDKSQMTHKNPN LRAGSIVPESEGSSALRGKSPAPSKKPKPENMRARKPPRKELEGSKWLIENFDAPGEI IEIPAQQNQSILITRCNKTIIKVSNKANAIAIDNCVGLSLIVDSLVSSLDIIKCPKFA VQIDGTVPTLLMDQVDGATVYLGPQSLNTEIFTSKSSAININLPPPEGTDEDTKECPL PEQFKSYIKNGVLVSEIVEHAG PEX2_061930 MVAQVQPIEVEFCTLGMFIIDDIDFGGSRPGVKNILGGAASFAV VGARLVSGSKYARSVSWIVDVGSDFPAETLDVIKSWNTDCVFREDPSRLTTRAWNGYH PDEKRDFKYLTPKLRLEPEMLSDSQVWSKTFHMVCSASRWKAPSAAHASKRPIFVWEP VPDLCTPEEQDKFFAANKVVDVVSPNHMELGMMFEQPGWTEKSQVGQQLVQKITDSGI GPDGNGMLVIRAGKDGSYAYSKSGKIWLPAYHQPDASGATPVIDPTGAGNSFLGALAQ GMVTEGREPFQAIDSVLSNSETWNKALESWGNYQHYPMALICATVAAGFVVEQIGVPQ IDVDGNGKELWNQTEFTERVRLYTQRLLRTLEESPQRHLLVN PEX2_061940 MGGGGKIPYPKEVWSPSGGWYAQPANWRANTAIMGAFVIGVAAV AFSISADREYRDKMPEPGRFFPSR PEX2_061950 MKRLQLQRWSSSVLSPRARTVNRLHQHIYGQRYQSAALPVQTRD DQTTAIFEESAPLDEQLAQIVKDSHTRHSQLQYPSPPVEAARQSAKLAALHARLYLPS RLPIETLARTLVDASADPNPNFNNASLATLGHDLLSYYATEHLICTYPRLPLTVIFAA MYAYAGPKTLAAMAREWGVDLAALPGGEVDPGLLQFQRVEPGTELPSGPTHPTARPYE AQKNWRKTVTSKIFYDDEFGDPLKGIKQSAAGVTTEQASAEFVRAVMGAIYLHAGRAA AKRFFEQHFLSRHLNISELFNFQAPARDLTRLCARESFERPVAKVISETGRKSRHPVF VVGIFSGQDKLGEGAGASLMEGRERAAVAALKGWYLYSPLSVRVPSAMEEPSAVPWKP VHVDLGEVIV PEX2_061960 MTSSGPAPHPSAIPKDNKEQEPQTSSSKEEKQTNLAFNSTAPTP QPKLRLHVEDLCHPASSAFLALIPDVATTLDRALGNIIKFIYTSPPNSESPRRPSFTP SIPPTRSVTVFLRDYSGVAYTTGTELDDAHKEIHVSLPYIQSCTSGPSAKDDPLHELV GVLTHELVHCYQHTAPLNESSNGDIPRPPGGLIEGIADFVRLKAGLEPPHWKRPLSAA ERPPKWDMGYQHTAYFLAWLEDVRIGRGAVGMLNDRLLRVGYVGEGEGENVGKHGIGF WKGLFGVGVGELWEEYGQYLDDPTKANVGRSSGNWEDEILNPE PEX2_061970 MEAKAAELLAAFKNPNLSVDSKIAYLSSVKSDIKQKNVPEGAIR TIFETLRLAIASQHYSVLGAGFSTLGHLLKRLAIQDQEQWIVQQAQSLYPILLERLND PKERIRAQAASIFTELWPFAGNEVEYHVLEVALVGKNHRAKEMSMLWLANMTKHHGLL FRQYVPSLVSCLEDADSAVRDTAKLVVIDLFRNGPARAKSDLQKQMAARGVRKSIANA VLSGIGLGSVEPDAASSMRPISRAERSISVMSSRSHVMEQTDDEMEPVKNRPASRAHR ERPIASSAPTEPPIVNRPRTPAPTPAPQQPLPDDDGLEPFDVASARDIDDLVRDMLPW FEGKESEDNWSKREKNVILFRRLTRGNAPHEFSQTYLNAVKTLLDGILKVLNSLRTTM SSNGSLLIQDVARICGPRIDSMVEIIMQNLLKLCSALKKIAAQNGNATVEVVILNVSF SIRLLQHVSFATQDKNVGVRLFATGWLKALIIRQAHHKSAVEHGGGLDLIEKAITKGL GDANPGVREATRSTFWTFYGVWPERANVIADTLDPKSRNLLEKDSSNPNPPSKGAPAL PAKSPAKSRSALQEAIAARKKAQMPSRSESAQPTFAEAKPPAPAPKSTRSVPTGAPLS SLSSAPMRPAMKPRRPEISRPATADPYARRPESRAQSSSTQSTQYTRQATTSPRAVRS KPSTPTSKAPLTATRTRPHESTQTATTKGRPKKLDLSKSKSHNDLMAASRARSDSNES LANLPSARTPHQGNFLSASEDQHSPTSVVLESPPLNASQPLLYSAPDGPHAESVPIEE PEPMVLEEPVVAAPPAYTPEPDLVVSPAPVSPAPMSPARTHPDPNFGSGPVSTVKTQP ESMVIYEDPTTPTADRHETPAYAASVGKFTPSKSSPNHPDQPEYGEVETQPISEDISA PVVAPVRLPTPDLAPRQEADLNFEVRTPSPTRRAPLQPSPSPTRGRFQPAASNIMDIE VVLPQEPHVGTNGADGNNENATPYLGKTVDFPAVPRSAAKPSALEEVTANEPTPRSPE ARQRSFESIPPSLSQSTLSQSSMSEESTRRTRKWVDRHRSPSPRSKDPANAKEMIHKG LARIVSRTMEPSGYRKLQGLIQYHGDEMVSQSQDYNALLEALFVELEATPSNRKDHDV KTQVLATIRSMLLRTRENFHPYDTRAMAAIIRARRHYESTSHFVTCLEEVVDKLVFLT LPQTAIIGVLQGLDLGADAENDETYRSTIMGLSTIQQSLSRPGVDIDDELLARIGAVV MHQLGHPRPGVRKNATELCTFLNITFGSERVQKVTQPPREGSLNLLTYFMARRTQ PEX2_061980 MTVTIMDPKFKFSNDGSGLTFQEILKEGEDKTFSNLHNFIAKTI GSSKSLGAAYAPTRVDRVTFFNSRPPTFDSHINNINHNHQSLADEGGPVAAKLFIIES IDVAHIRWLLETLASDDPSDRHSILSFINDYFYDEPTSNFDNVQLQIPATPSSQARQQ HQIFDFIKLREFDRPFELSYKDIQAASRAGGMWRSNKSYGSLNPIPRVETGGRKTVFP PIAVARTRVAAWFDSDAEKMTWRTGVILMDREPYFEGLPAQLKASYHVVAGHTYQGYT SIQSLLLDCMKRNSSMAGGSGVPVPLAVLQDLYGIVGYEGMRVNAYTTRDLNSIDWAL EGGGNTDNQVTYRSEMRKLLAMRRRLNWSRGQVEAVLASCRYKAKLGWDKMPHGSERE AVVVEDIAGDLTRDFKQIEATMATTSDRIEQSIHHIMGDATITEAERTNAQSNILLAI AAVGTFFMPISTAAAIFSMSGDWAVGEVGFRKFWAVCIPMSIFLTGLLVAIMRWRRIR EWMGMSMRRRGLLV PEX2_061990 MKFGKSDNDQKQPQRFPIEAAEAFEPEEDILRSSQRTGSPPRNL LCHYPEAAPETSLNQFRFQLHRENGVQPGLVGYLEKYVGATHLVWSMCALLADSGIDP DWKDAFEVWRPQWRQFATSFTIQGNTSRTLDVPPSPVRIMWIQAPNSLDTYTLYAVNH KEKRRDQDALEDLWRWMLDVPPEAIINGVYIIKLGLEPWFPVRWGIIATGMISSWFVQ DLVLDWPESKVEHVVQAIGTSNIEKGQEFAKEYCPKQSPKVYSSYEEVYRDADVDIVY IGTPHGFHYRDCMEAISAGKNVLCEKSFTLNAKQSREIFNAAREKNVYVAEAMWLRHR PLFIELQRLLHEEKIIGDVFRVFSDFASGVDIASLPSTSRYRNLALGAGSLLDIGVYS LTWARMALGGSVPDQAEMPRILASQSHEEGVEVTTSAVLQYSSTGKQGIVTSTTKALG APGEVFAVIHGTDGYVEIEGGTPSAPESFTVWTKQEGNPDRAFFLREMCQGKKFEFPT LGRGFVHEAERTALDILEGRKESRIMPWAETLYMMDIMDEIRRQGNTIYPGE PEX2_062000 MTSLRESDASTSLLHVSQEEHLINREEAPIPLDTLRLSKQPSIG DQNAYQLVSECDTPDCEAAADDYSKRSSPRPRPPTKKKWITGLLLCALGVALALLAHV ILTVKLAAKAASGGYGWGSSSAVIYEGTCHQANQIATGLHILVNIIVLTLTATSSYCN QVLAAPSRARIDVAHAQRVWVSIGSSSFTNVWYAPLWRKTLWLLILGTSLPVQMIYNS FIYVSINANDFGVVAAPMAFGNNHTDLSDFDIPSDFHHIVGMNASSLHNDLILGKLEK LSNATCMKAYSGAYQTARSTVVLLTPDLSSNLTVWSCQVGLGDGGGLSTGTAYKGSEL SCAMDEYRGNYTVGPPVSNMEYLKVHDCFSRPITPTCELGCSLPIGLVVMGCIALKLI CMLITALERRSEILLTVGDALKSFLTCPDPYTSNNCLMSRVNKGQPKALLAFNDYPWV SIFSRAVPFRLGQRPTPQPKKICTVRQRWSAALPRRLFVFLLFFKVALLAMAGLALGD NTSIFGIGQAADLNSEGMSSWEQKMTSLYHTQFYPLGYMGFIATVLAVNVPQAVITII YAVYNNALTRMLLVAEYNDFGVERKPLRVSFPTGEQRSTYYLSIPYRYSVPFVVLSTL AHWLASEALSFVQIIPRDAQGNLDRSRALRGMGASSVGLRVMVIPWLIVIVAFLILMF RRFKSAAMPIAMNCSAAISAACHPPPDDIDAAENPVMWGQADIEIANLRTFLGPGVAE IETKCRHTTFTSKDVVEPSPEFLYY PEX2_062010 MEGPNLGSLKYTCNSVSCLGNYSTIYAGLIIDAVLDSAILRAKL TKLVGLWPILGGDLIQDTKPWSFTCGSTVDYATRDIDHSLATYLPIQHKQHSNEPTMM RSPEISAVDEKFIFDVPPNLANNFRLRVTLLRDATLLCFGIAHHICDGNDCCEVVKAF CDLLSDKPIPLFALPPDAGDVRMSDLMKVKNECTETESSLHYQTHAENYAIGIFKLAM TVWRVLLTILAVKLGFLEDLTTKFISIPGTWVEELRIKSQMELKYCSPEVQLTRNDVI AAWYLKSVYSPQPTATSPNLVDYLGIINFRRFLEPPRAGTYYIRCSVGALRCKFTVQQ LKEQSVAEIARDIRLTTLQYTSTGSVHQSLRFSEDHTAKTLTLPLRGTGNIGFAVVSH WTTFDFASLDFSAASRSGQKAPVIFVNPMIVNTWNLTIAPVAVVTKNGSGGYWIRATN TPTGWEQSSQSNSMESLFPAQ PEX2_062020 MCNSTEDGGRLSTDYDNDEFEGLPPDSGQSNLEEPFPALPASTS DEDKERSGDDITSAIHDDKLEIVKKLLQEDHDLIEKEFDYTFNEDGPEESWTSRGMTP LAFAARLDRFEISKLLLEEGADVFAKTKSNGTNTFWLAVLHEHLELADILLDRGTKSL LELRSDFGDTALIRFAFLGELKLVAYLLGKEADITAMEDESGDTALHVAAIEGHFEVV QMLWNARPAEIDILELRNKSGQTPLLAAAQCNQSEITKFLLDEGAKYDVQDNDGNTPL HYAAMTNDLELAQRLPKNEERSSESPDQLNADNKSKYSTILNRQNKNQDTPIVLAASS EQTSVFDFLLKSGANLNVRIKNGDTVLHRAAQTGNLDIVKKLLPAPAENPCPLKVQGN DPSTHQKGNGTTDFATSLGNFDLIKNHLGSTGNEQLQHVKNMLGQTPMMLAAQEEMFE VVEYLLGKNETFTSKGMNLEKAMLSSAENGYIRLIQGLWEVSDLREIQDSSGRTPLLI ASDKGHAKIVEYLCQNGAKLGVKDDAGYTPILNAVWTDSVAMVKCLLNHGADQAATGT LGMNALHQACRTKGRSEILRILLADSPERLRKALSTKDMDGNTPLCDALGMAESAVED SKIFQLLGSKVYFPLNPAQDRVHNLPEGERERVGTWLKNWIQKRRAERMQRKEREERE RRPENEQSRGYPKPPRSQPDQQKQPDQNYNPNQKEENERIKSIIYWAILNNDEELMKL AMTEGGYPTLENDERRKSVTWLHVAALGNCPDIVSGIRDWETLIQAKTERDITPLHIA ARMGHDSVLRKLLWNLSGLDQGKPLKDLAADNMVNVLHAIIHETKDDDNLISLIVAKQ EEDNIASRDTKHRDKRHAFKNPESSEQSESYRKSSEEEKSAHEKGLVSELWETVIAII QAKSQIFLGPPYSLDVEFIIKSMVSMHAIMEKRHFSIITSLMIAGHTDKKGDKLEAST SNILEWTTRYEFSLAFCWLLSSGDYFGEDHIKSCENAIKPSVSGNKKHNGRLIIEALL QNPPPIRTRKGDTKAPEFLYSIPYYETRMGTVIEISRTERQIYHNLNLKRSEIFEFVY DGPETPMKNDDTYTCKAIIEKLRSAQLEIETNQAQGDSEIKKDAKPKGRERAGIQSSQ EKVRWLHIPVNDLRYVQELMVQVSRERGRDNNFYRSLIEFCQGSMLELAAGDGNHYMM PHFQSARNSTTEKNERSKGDLNMPYLHWGVKPDREQEKSSSQFILNQNSSEEEKIKQS IGFKNYLHGSLTLDEYYYAALGNIDERDDDQVVGRYFAKQLELKQEPEPKDENKSPPA RPILLIVIIELRKENGNSVPKAMRVAEVIRDTATNLFDAKEIEFLRSGKKSPLDIFRE SIQEIVPQRGNKTPEGISKINWIPPSEAWRALGNLEESISSPIQPIKSNLPPWARFKM GLKFLKDGAKNHEENPYENIVQETSLLVLVNDILDELNILQTLVRDQQHVCSLWKGPR QKTQVEGDYFSVDERDAVIVEMIRDAQSLQDAINRLLDLKQKQATIMEAQATRRQSDS VMVFTVVTVVFLPASFLASLFALDIAEFPHVNGGVVFQGRWIFPIIFSISHYIPTFGV AFAFALVFLPLAFKANLFKNIFKLWRYKREPGSDEESGGPAKGSSYEAPSTGEQTHRS SGGLSARGLFFRSPQNLGEEAGVTDGGNPHIIQLPKTPCDIPSDPKSIAQQWLSALEV QLSCSENLNIKELFHAESWWRDMLALDWDMRTVHSAAEIQSFLNKQQNGAQLSKFQLQ DTGKFQPRLEQVVDGLSWVSSMFFFETAVGTGTGMLRLTPAEDGVWRAYAMYTSLQEL GSAQEPLGKRRAEGTTESMPGGLPGGTWIERRNRQKEFLDEEPTTLVVGAGQAGLNMG ARLQSLGVSCLIVDKSERVGDNWRNRYRTLVTHDPAEFTHMAYLPFPQNWPQFTPKDK LGDWFEAYASIMELNIWMQTSIVSAEYDEAGGQWTVVLGRGDGSQRTLRPRHLVWCTG HSGEAKVPSFSGQESFQGNVYHGSQHRDASESDVRGKKVIVVGTGNSGHDIAQNYYEN GADVTLLQRSGTYVITADKGVFMMHSGMHEDGGPPTEECDIACESLPWPVQFALSVHM TKKIADAEKETLDGLRRAGFELDFGLDGAGIARAYFTRGGGYYIDVGCSQLIIDGKIK VNHNPGGINGFGKRELLLANGDSLPADIVVLATGYDNMRTTVRKVLGDKVADRCSDVW DLDGEGEVQAMWRPSGHPGFWYFGGNLALCRIYSKFLALQIKAVESGLSKGVRQ PEX2_062030 MENSAKGDPRGDVVARGIVSEELARVMYERFTGGSKNFLPLFDP IRDTFDSVRSRSLFCFTVIIYLASRAVTDLRGDTHMQRVLQDEAQRLAEDSFFERPTK LETVQGMILLAAYSEKTWFSIALILRTALDSGLEKSLDTLLSQENVPRSSLSASMAER ELVWKTRTWLITFILELDVASGTGRKSRIAEVDVVKLRKFLDYPLSLPCDMRTVCIIE LHQLRGNYRVTIDNTSTVGDIVSTELPAIMTRLQNWWTTWDEIHESRLSFLTLDKPTI WINSDFDKLEDNGFHIGAFQRSSLKLMLHYARIFVFCASLARIQKLQPTHTDSGSEML DQNVMNLWQSLVTTIMDQLGFLINEPSYRCQLPWAPTYPALTIAFVTTFALRIARWRP NLINQSLLLERAERICGFLKQPPYPDIHRTVSIFVNYARALIASQLPQSNHSTDVPIM SDQNEGPTFEGPDNDNPMINRPPPSGVGPLDDLRYRTGPTIQADRDSNMVSMPSSDPS AVARAPVSRLSGTMEAPNWTVSNSIADSFGLFEEGQNDIFDFLPMMPSMPQ PEX2_062040 MASENKQSLTAQIAAILSSDKDERYDKRSLASTENNQKSNGDYH IVELSQDELLVEFQENSPKNPPNWAFKKKFYNAVVGLFIVLNSGISSALPSNAVPAIM QDFHESGGQQKVLPTAVFLIGYVVGPLLFSPLSETIGRKPVLLWSFTVFVLATLGCAL APNWSSLLVFRTICGLAGAAPQTVVGGIYADLFFDLRSRGRAMAMYMSACSFGPILGP IISGCSVKYGWRWTFRIDLILTGITWLALLFTSETFGPALLKLQAAKLRKDSNSNRYF SRQELNLDSRFTPMEIITRPITMLFFEPIITSTSIYIALAYSLVFFYFQAYPIIFGGV YNFTVEQTSLTYIPIGVGAASSGFVALYYDMIYEKAKKLNKVWTSSPEYHRLPMSCIA GPCLTISMFWLAWTAKPTMHWAAPVMSGFIFGFGFQTIFISLLTYVTDAYKIYSASAL AASVIVRSIAGALFPLAAEPLYKSFGVSWATSLIGFISLACIPIPFALMHWGSWIRER SPFCQRLILEEKLRASGSSTPAQV PEX2_062050 MYSHLEAEGTAITIVHCEAQKLPVVDRILEPQTIGHFMQFAFGE PLPNGSQPNIERLSEEESSFLQHDQNFPPDSDGEPIMTSVMARIGSERDFTRLCMVGK NIQSLKSRLWEGIIPLSDQIWQEKGLDRPEHFDLACQHLTAVIAVFQYLNEPTVRLYL RDTFNYIYEHWTALDAVLNNLRTEQGKKHVSVAGLWTRYMTAHFEMMTERAHRWVIVH AHTLRAPLLRALLEYRPPGDSGPLGQPDALQWKITDSLHTLTEIIATADFTIMIPMDG YKGYFAAPPRDGDGPAALHAANLQTRGKAYHERLRLLTREAIPRYSAGPWRDSIPSSG ETYHGTAQSQIQGQNKLRKEVRGAPLEPVPREPWIAACVSKMESGKKEGKQEKYGLAV YRLTYGQTESEWTEFVRKVEAHVSDWGKGHTGSSAIKEHLKLRWLDGKELGISEGDID ASKEHFQNIKDGNDDWSEVEDSAFLVVDSASFASYMTKSYSPATSKLIPGDFTGFLLA VDPDFDPEKGITRSDESPGYTGQMRILGSLVWSDLYSLLSAQTSYFEDHWPLASEHPN MVYVGPTIPLQRLMWQEHNLMRWNLLRAVIDHVKLNPGTPVPQPAQAEATSGTTSPTA DVSTAAPPTPSVPSSTPAAEQNLTNNASIPSSTPPAEQDPANDPLRTLMLTEFQRYLR HRGHPRRAAMVDELLRIQPDEEPDAGRLRQLVNDEDQRQEQRRRDGLDEDEEASGEYR PECPLQ PEX2_062060 MPAPDEEPDTLLLLLEAMKNQPIHRLEGILQDIVKHSAEGRKIA SKWLLAAKDDVRSEPVVPTSTIAPVISALSVEDESISMPMANVPMTSRFEYCIYCLED FEVTENSETSCKYHLEPDMVDEEYFKDEIAAGIDVDNDEYREAWPHKFFYSCCGMDLT EGMCQVGWHKAADPDDRPRKRTGRCEF PEX2_062070 MNHPRRYNVERSCLRCHEHKIKCDKGSPCSKCMRQKVTCQYPGP SRAKRQNPKKSTTDIAVRLEQLEQLIAGMIRERPTGSDSENQDQSASLSMSTGRSDYG PPSTHSAAADRPTQQGFLDKDGRYINEPLLSRVLEKEQELKSAIGSPIGATSPRRPVL RADGLFANPLSVQIDPQELYPSRWEGVFLWQTFLGRVEPLVKVLHVPTAQSLIFSAIS RPESVRADVRALLFAICFAATTTLLSDDIQNEVRHANLRRYQQGLELSLYHSDFLDAP TLTSLQAMVIYQTCFRFSNSGRSGWTLHGVTIRAAQSIGLQRDGKNFKLSQLECELRR RTWGHIQSADMRVAEDHGLSVPDNDYGDTELPLNIDDQNLSHTSIIPAVSQDRWTELT FTLIVVEINKVRPVLLRSLAGASDPERLIAEFKGSIEEKYLRHSDPDIPIQRFGFLLG RLLLTKTEVCIRQKQLQSQGPAACSLDHNLVQKTLAQACYGLEIGVEMHSNEILRGFR WMMMTHTQYHLFTFILWALCVYPTGPYVERAWSVINTQFELIDDSSWPDPGPKWPMIV QLRNKARRIRQAHDSVEQSQQAVHDNRPVCVDGIGTGYSRPEAGFDIDRWDPSFVDFS DWNSLAQSLSLLN PEX2_062080 MSRLPTPAMSGGFIIKDKPDGDAFTLPPAALSTASRRSSRSDPS YIPASPTSPELSGRRTSHTARSGTSIKRPLEDFDLPPPPTRTRKIIQMKPKSPSKTPK TRENGKGSQSSEDAMPNPTSKSKRKQPSATSAAGRKIARKTAHSLIERRRRSKMNEEF GTLKDMIPACTGQEMHKLAILQASIDYVNYLEKCIRDMKTGGSTHTPAAPPSPTSPEF VAETGEPMQRDNSSTYSYSTSASPELYAAPTEFPDTSPSFSPRTQVPSGNIPHDASSI LPSPALGPIWASSEKMHEFQGVDHEASAALLMLTQDRRGTADSISESFPGSTTLAQSV EETPAVPEIQRRKGMSVRDLLIS PEX2_062090 MGKKIIIDTDPGIDDVLALLLALSATPEEVEVILISLTFGNIEV ESCLRNAVSMFHILEREMQWRRENGRPEGFGSLKASRPILAVGAEDPLEDQKMLADYF HGTDGLGGIHATHPHLTANKAWVHLFAKELEGEGIKPVEDCSGPEDHAFTPSKLPAYK EILRALRDNEPDSVTLVAVGPLTNLALAAAEDPETFLRVKEVVVMGGAINEPGNVTPT GEFNAYADAVAAARIFALTSPNPHTTVPPTKSDKLPPYPQNLSRQLTLRLFPLDITLR HNLSRGQFREAITPLLAAGSPLAEWVDAFMGHTFRTLERLHPGHVGDDAQLSLHDPVC VWYALTSEDPKWVYSANSPEDIRIDTLGQWTRGMCVVDRRNRHRIEGEEESSNDHGLW LSGRAGNRIWRMDGSPGEENFGDVIIERLFK PEX2_062100 MHHNTEPTASASAKDTEVEQPVAPDLTPIAIAARGREITGLRIF QRTIAEDDITRTRLEELLLAMNTDQPTGGVDMTYGNGDAQHLRYWESKSTDAPIILFV HGGSWRSGTYLDSIGSTKVAHLTSQGYAFATVNYTLIPSVTVEEQVQEVADSLGYLVK NAARLGFDPQRIILMGHSSGAHVVTLLGTDKRYLEKAGINIHTVRAVISLDGSNYNAL AEIADSPGPVAENTTIGLGTDPKRLQDVSPTYHARAPNAGAFLLLQVQRQGDIRQAVE LSAALEAAGTDVALHVFEGESFEGHMQMLLRLGDTTYPATLVMDKWLKVHVPVTISSA PEX2_062110 MNPYISWAILLVVAGGLGWYYTNGTTPKANVIRAAVEKTETTVA PKKSKRKSKPAPEPVAAKKSEVKTVVSPPTTEDEKPDEEIDRKEMARRMAGLKTNAPA QPAPAKPAPTKSQKKNKKKAAQLETSDTRASSTTGAEADDDLSPAASPAVNATVPSAG YISDMLEAPAPGASVLRVTGNVESQPKKQKVQAFKEVETKKQRQQRLKNEARKQQVQE AEVERKKLLEKQLHTARESERREAARSTAPAANAWQAKENAAPVKTNGVNGGSRPAPV VPATSASQGLLDTFESPAAPTPTKWAQNLPSEEEQMRLLGAANGDDEWTTVSKKQPKK KGGRSDESVSDTSASENQSTPVAPAPIEPRVTVTPTYLPDILRSREKGHPLDSDWAA PEX2_062120 MAQIRGTAGYNLGHQNPFGGPGSADATSDPSPLDAIREQTSKIE DWLDTLADPVKPYLPAIGRFLIVVTFIEDSLRIITQWNDQLLYLRDFRKSKTIPMLLD PDPRETGGVPWGITHTFLIVNVIAMAVCSTLVIARKRTEFAVAGLLGVVITQGLGYGL IFDLNFFLRNLSVVGGLLMVLSDSWVRKKFVPAGLPQLDEKDRKMYVQFAGRVLLIFL FVGFVFSGEWSFWRILVSLFGLVACVMVIVGFKAKWSAIILVVLLSLFNVFVNNFWTL HAHHPQKDFAKYDFFQILSIVGGLVLLVNMGPGQLSMDEKKKVY PEX2_062130 MWLYRGAQSAVFYYATCTPCAENFDRRKRRKDASRVQREKEKER RNNEVITDQPRPFAQPTPFSTNVGWREEISLGPGPPARRGGHRNIQRTDSWNTDQSSQ LKKDKSGGLMHPLGEKWKSMRYQREDEPLWGQQEVRGSSIGFSGRGRADPNETSKYYI PRVPPVNDLHPPIVSGPSSRADTRWMLQPPPSARVMAGKADVNSVTSPTCEAFQGFGT ISRAPKSVARENDTGRISEETGDGALDDTTQNHQTQRPSLTRLRIESDAPGLESHSRT DSMFSSTNSADSLEWKYPDTPRSRPQSKATDDVDKFYRPQISKTLSTMHKDNKKVHML HLEINDDNRDDISLGQLQPIRPWRWSMDI PEX2_062140 MSLHFYVNKKVLILTVDGRTLIGDLLSTDQTTNLVLANTIERII RTPDDDEPSTEIEHGLYLIRGDNVVVCGEIDEKMDGDIDWSKVKGEVIRDTKNA PEX2_062150 MPPPPPPPPPPPPGGLGGPPPPPPPGGLPGRPPKGQAKDRGALL SDIHKGARLKKAVTNDRSAPVIGGSGGVSAAPPVAGAPPVPGARPPPSGLAPPVPSGP AANRLRSNSEGVSGTDSAAIATPPQLGGIFAGGMPKLRSRGGVDTGANRDSPYISDSP AAPAPKPPTAPRPPGARPPPRPSSTDLPPAPPVNPLVAGLKKPPPRPASRSSSTVSAP ATKAPEAPPPRAPPLPPAPGRFPPPPTSRKPSGPPPPPPSAPATRAPPPPPAASRSTP PPPPSVAPPSIAAQAARSALGHSSPSAPPPPPPSAAPGAPPPPPPTSLPAAPPSEPPS RPSPVSSRPVSHEPFAALDPSAYTLSNGGLPAPSSRGPATQGHGPVRIEDPRFKFQSD GLLPKPRQFIGGDRRYRAGRGSSVPLDLSALRG PEX2_062160 MKRVALTANKGSRPQGPICQLCQFSTSTPRRGLPIARLPAVRAS RAAASNLRLQPLKRTDSLVLGSPLLISRRYASTASTRISPEAAIKEIAQDASALHQSN TVASNEVVVELLQRCQRVAESLVSRARDQSEGSLNGEGSAISSLLDLEEKQTAAKSKG KPKSSQYPDPRLADSVSQIAIELLKDEKVFISPEALASCTETLTMLQRAEHFPEIFHL YAYKPIPEQGSSPVKLLKANPKSINSAVPAGLANMALGVAIAQKNLSLVLAIIDNTFC APAFHRAKIFKKAGVPIAGLAAAPAACYALASWAATFQNTMDPNVATGIAFAATLAYV GGTSSMGILAITTANDQMERVVWIPGIPLRQRWLREEERAAMDRVAVAWGFKDPYMRG EEVGEEWESLREFIGMRGMILDKTELMEGME PEX2_062170 MNIILSHKAASPHPQAEKFTALDNFFSQAFSAEPYHKNFNFFWK TVAIMSKITVAGVRQNVENLLNYSLNEKKRNFNETVELQIGLKNYDPQRDKRFSGTIK LPTVPRPNMAICILGDQHDLDRAKHHSIDAMSVDDLKKLNKNKKLIKKLARKYDAFLA SEGLIKQIPRLLGPGLSKAGKFPTPVSHAEDMAVKVTDVKSTIKFQLKKVLCLGVAIG NVEMEKEALVANLMLAINYLVSLLKKGWQNVGSLVIKASMSPPHRVY PEX2_062180 MSHTTDSRDSTAPQDYYSSLDDEGSLVEKFKNIDDKTQFYTAMR RLADPLTQNFVLDFGNEEAWCASDLGTNELELLLSKPRDRCFGTRWINIWAPEEQKES IRAITRYYGVSERLQGMMCTEPVHPEPETTPTTLPTKRTPQSPHVVPSFQHEVDDAEN ALKHLAVESDDYRKSASASFKNLTFAQVTNQIWHFSSVDHGPRYTCIGYNTLFVIPTL SQPNGKDLPDGKRLWTWLIQCDDGTIISIQENPFPGRKGVPITEAKPVLDIVRRNIRF IFAGVSRQHFAMSESESLITIRVRHFSDLGPDQANIKQEDGPSLLFYYIFDDWVSSYG LIAKREHKYGVALEKLRSQMLDRPVVDLVNELHWLGRRLAVLKRLYQSYELIMRRLLQ RQRMLRDEARSSHPAAIQYGATFGDMEFVDMRQSSVVSNSGYHNPNEKSVGVQLSSTA VARFERLVDRINLYCLSEIENCLNEKESLTFLNFNLIALKDSQAVEKLTRITILLAKA TILFLPVSLMSAYFSTELVGVKNGYTKTQYWVSFTVIFIASILLLTVFGYASDTVEGS EGFLATDNMGITFSRLFDRLWGRKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIG FNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRVVEAREELQ RMLNEDELRDALLLVFANKQDLPNAMSPAEITQQLGLQSLTRRAWFIQSTCATTGDGL YEGLEWLADALRKTNRD PEX2_062190 MYLPGEKISRQALHRYTRHRWLFNEEKELSKRYVEFDLQQLLQV AVSVCEGAQFCTRITKCAEGLHNKAFILTMDNGCEVLAKLPNPNAGPARFTVASEIAT RKLLSDVLNVPVPRVLAWSFDAASSPVGAEYIIEEKAPGVRLGSVWNQWPRNSKLQLI IQVIDIQNTLTSVAFDMHGCIYFKDDLRSLGEEPKESKILSTTTSIPDMFAIGPLTTG ELWNGVRSGMNLDRGPWKDPSDYTRALGHNEIAHIKSHAVPRMNYYQSLKTEENPEDG LALLTKYMKVASYLIPRSPNGAASNNVLMHPDLHLDNIFIDPETLKITGIVDWQSACV APLFYHADVPRMCTHHGPLQEGWVIPERPEDFDSLSAEEQRKIDNDLESQILHKYYEA QVYKRSPRHWSVLQNKRIPIIQKPVWLVTGVWENRNLVFLRESLISLFAHWEELLPDI PCPISFTNEDVELHSKEEENIRGVGKLLTVFRDESVLPVDGMVEPEDYDIAQKNSRKF KDIFIGLAKDDEEKELFTKLWPYQEPADT PEX2_062200 MESTLPLAQGTIFARSLRGVETCFTVAMEEDSYESNIGHEDFEP DTLFSEITTLENELSDVFKTGLTPRQEAAFENHVLHSLAWMVYGSNMIEKAGSSSDIT LKLCLALFRGEEIPEEIEEQDQEYSSIKESLIRQNLPVDTSAVLRSRQEVVQHAKAAA FMIDQLCIRDQDLNEQIILKAHQILTYKVDAETTPWMEYSGIYRSDEVSAGLHAFPHP CLVPYKMKSMFHELKCDLKEATKNGTIDPIALASKYTHIFVNIHPFIDGNGRMCRLIL NAMLLKFGVFIACIGVDEDDRSTYGEIAINGGALEYLYEDAEEDEKPKLHKELASYVL AHVKKSTIGLISAMVNEV PEX2_062210 MTVQARRHSAAYKSPSPYNSRETDIEKQPTAGRHAYHHHLSDDP DSDDESLDDNNVAGSYPPPVVAGGSLRRPQASVGFRVPQRIMRWLCFALFASLVLFVL TLFRFTISTSVKQVAVDLPKVGTPKPPLWESFPFLNRYEGGISSLVPRSENVPEYPGD GSDDLGLRTEQSDEQNEQGQQQDANKVTKRDLAPNMLSSVFNPYPDYQSPEYIEKHGE KRECFLNAENSLRVPPIQSYPGVPKGFPDPGLGSNAMLGIRDDMCFDRFGRLGPYGLG YGVKKGGIGAGMDGHREGAERVWEDIPPVDFRHVDWAAAQHRCHAANRHRFNELPEPR LNRFVSMPVGVPKVNTLPPAEDGRQEPPKVGSERLPRTAVVIRTWHDFHYTSEDIMYM RSVISELSLMSGGEYTIHFLVHVKDTNLQIWSDDETYQRVLDDALPEEFRGMGTLWSE PQMALMYPGLEETMTRGLPIHGVYRSTYMPMQYFAFQHPEYDYFWNWEMDARYTGHWY HLFDKVGEWAKKQPRKGLWERNARFYVPSVHGSWEDFRQMVRVQTDSGTNSANNMWSA TNGAAGNTRSAMHQQGDKSIWGPERPDERDIFEVEGEGIPPTSMDKDQYQWGVGEDAD LVVFNPLYDPEGTTWLLRDDVTGYNKDKGMPPRRTAIITASRISRKLLTTMHRECTLK HHSMFSEMWPATTALHHGFKAVFVPHAVYLDRRWPTRHLESVFNAGRNGASGGARTSV FGDREHNFRGTTWFYSAGFSPNIWRRWLGLRVDNNGGEQQELAGEGRMCLPPMLLHPV KEVNIVIDSGEKAEDR PEX2_062220 MSPSKTPTPTSTPDPDPDPDPLDPISIWRAWQTFTIIYTIQLNR QIHRRWLLEQQCMQDKPLHKRFRPLIFLEPVPTLQKPDTNPTPTKHAANNPFDPSTMN KIRAKAALLRSRVEKGKEIASEIERRMVQYPPIRYPTHFCHTCVQDGEMVEVLLTKCG HRVCRTCLEFGVNGEGVYECSICFVPTGFVARSQVGLERDYSAEDSSILKGHKGDGDG EWELSEVLFADY PEX2_062230 MPPKKVAIIGGGPSGLVTAKTLLHNFPRGTFSPIIFDGQDKVGG LWSSHHHSPNQANAPPVTLDPRMRTNLSRFTVAFSDLDWESVIPNADVPVFPQARQVG EYLACYAERYIPTHVLRLGCSVVKTVRKAEDGGDPRWNVQWVQKSAAESQPGYESLDD LVSSENFDLIVIASGYFAQQYIPDIPGLKQFQGKIIHSSSLHYEREQLLLNKNDSNGQ IVVIGGSMSGVEAASAVALRQSSSRLSTNRFPHTQETKVHHIHSRPFWTLPTYLPHQS PDGSPSFLPLDLAMYDLGRRPPGPIDYALGPIPEEKAVKTNSYFHSLLGSEYEKYAHM DSTHSAEESRTQPPWVAIGNDYAEFVRCGAIQTSMGRVTCVHSDPDTKQASVQYEGPD GKTKTIENVATIVMATGFTPYKSLSLLPEEVLTTLEYFKTDPFSPLILDKGGTVRSEI PDLGFVGFYRGPYWGVMEMQARLLGKLWSEKETGALCETEDQKQSLRSLRLAHPDLAR GQFPMGDYVGLMESFAKDLDISRSALDPGDSRSGPAIPARYLYGDAPTPGTESEAERT LDALRDALVPGHEVAQKAAASAIFRALHGTWKSSEQTADTTGRKTSSGTLAFYPRYPT SPAYDREYVCVETVDSTGREQPTRGNVRFIMRLAEVGFGVATSRIEIWSSGLADTLLA DQLIQGWELTPFCQERKDGTDIPGEYVISAKSQSVDSSSRVEYLYTFHFKGVSIFSWE CVEVDNLGGKTELTSYSYTRD PEX2_062240 MSRTPSPQKKHVQSVTSDYPWRSDSPFGPPQVTRSVPSGIKRIR NTLSKLSPSAFAEKELLRKKNQRKSPLTKRNVDTFVTEQECNEAYKPNLHSPQIQVTE WLQRVS PEX2_062250 MDPEKIYDETVEDVKSADSVPGIDPAAEKRLLRKLDIHVVPILM FLFLLAFLDRINIGNARIQGLEKDLGMKGHDYNIALFIFFIPYILFEVPSNLLLKKIS PSWWLSGIMFCWGVVTTCQGVVKSFGGLVACRFLLGCIYLIAMYYKRHELQWRFNVFF SASIMAGAISGLLAYGIAQMDGVGGYSGWRWIFILEGLLTVVTGIAAKFLIVDWPEMS TFLNEEERALLLRRLSEDRGEAQMNRFDKPAIKRTFSDVKIYLGFTASAIMYFGIVNT GYATSFFTPTILRQLGWTSVRAQVMSIPIYVVATVIALATAFVSDRLRHRFAFTLAGC TIATIGYVILICQESVPVGARYFALFAITGGGYMTQPILLGWVSNNMAGHYKQSIASA MQIGFGNCGGLVASNVFFDSEAPTYATGFGVSLGMVWICGLACMMFFGYLYRENRLRE HGKRDNRYHWPREELENAGDDHPSFRFTY PEX2_062260 MSAVQTITVPATPDIQYHPEYEKYKERTRRRKETETLQTTLPAG FPQKLVSSLVWEGKDVEKRDDWVYQLSNDQLDELDAALKSFRALNLSLGHINQSTFPL PTLRPVLRDLSKEIHTGRGFVVLRGLRIDDYSREENIIIYTGLSSHIGNIRGRQQYAR LENNASPVISHIKDLTTTANKNLIGAPSNTADKQVFHTDAGDIVSLLCLNRAAEGGES YLSSSWHVYNILAKERPDLIHTLSQDWPLDGFNNPASPYTIRPLLYHQPASATTPERV LIQYARRYFTGFLAQPRSKDIPPITEAQAEALDALHFLAEEHSAALDFQKGDVQYVNN LSIFHARNGFRDAPGQERHLLRLWLRDPENAWETPKELHDRWDIVYKDVLPDEQVFPL EPTIRKSV PEX2_062270 MPATRGRVMNGPYGGLGGGYYDEVHGDHAVKRVDAWGRSYNGYD VLNGFQFTWDDNHQGNLIGHKNDNIYQGFEFKDGEKINRMTVYAGDGEGYVNGFEFDT NKNRHYSIGGKEGKVNHVDHLGTGDLIGAEGRDSIHGSGDVVDNMDIYFKT PEX2_062280 MLSTTQLYKKAEFKAKWEMAFSPHLNHVTTETKLISACGEWLQK DDDSEHPFFAGLLFWKSDTQANSPQLLREANVHNVADHLERLVEDATEVISVYTSQLN HLSCDFFGLRPYDPGPDSVQFQTEHQLFKTPVKPKYNVDKSKDINGKDESHLESMMQA RAAERIAVAPAGVWYPMGVISQYYLPPQPYSSFKANIEMISFFVQTGNERLATSFANL RKNLLGLSDCPQLVWGKNQSNKEENDNILLFINLKKSKQSEEETEAQIERYIQKFLNE NGGIIQNLSHRRIVGPERLDILRNMEITTFDVPANDWLSFGYAYSNYMRHGWVPGKQA ETAQFGSMFHCKKEGAREEWYSDFAKRAQTEYDLLGHIVDWLRTLSTRISVQYVAFEL EDYWMTADKLEKERLEKEASRIAAEKLEKERRAQAVVPPSIFDVPWANKPKMPRPS PEX2_062290 MSETCDSSSYSESSLEKGTHPSDRDDSATNPTTKDASPAITSTP KKQGTKAWLSLTAGFMGMFASFGWVNCVAIFKAEYEMNQLRDYSSSQVGWISSVLFFF MLGVSPVAGRLYNGYGPRLPIIIGSFFHVFGLMMTRLSTEYYQFILSQSVCSGIGTSL IITAAMTAPTTYFHDRRALAGGVAIAGSSLGGVNFPIHGEPPAMLNWIFLDHACVRIS NDDDDEFIDPI PEX2_062300 MEMHVPPVLVPSAVASPGVVVAAAVKGEWTNTVRRNTIQAVGWE LVRNVFESDIIHRCHRLNKECRVPRGRRKTQPKTLSRSVQLERKMDSLMSLLTSSGDR TDLLTLVRGQPEVQGMGQTEVEIEREDEETLASEEESLHAFRTQRLQFLPLIHIPATI TAGDLKRQSPFLWRCISAVEIFELGLNKPAPPDLSMTVSNSNAIPHPAKRLSIELTDL VQNRAVLGSNEPLQWTPHMKQCLDILADSEESPGDGVLVQLTRTRLLVDQIFQGPWSE GLYGLNSAQTLAAFHLKALQSRLETIKAEIPFQLADNKPILFHLYDTELSLYEVALVK PLADEEFSPQRLDHLYACLHVVKQFFDLFFTIPPAGYTSLALPYLTQVSHCLVTLFRL STLDYPGWDKSTVKSTADILVIAEQIATRMGQVADAVGMRSEGAYGDPFSKLGMMMQK LRSEWAVRLPECPEVVADQSSGEPFWSSIEMGDLDCFMNWSEMGWVMEGAVAGGFIQ PEX2_062310 MSEDSQPRLLKSDPTPWDHDLNVENSNQSDNQSDEFEPEWVEGI TLVMVISGITLVVFLMLLDMSIVSTAIPKITTQFDSLGDVAWYGTNSSKMLIIGRAVA GIGSSGMMNGALNILAGAVPIEKRPAMIGIIMGVGQLGLVGGPLVGGAFTTYSTWRWC FYMNFPVGALVGVLLLFTRVPDQKPKQPAREILRSVALQKFGFIGFVLFAPASIMLLL ALQYGGNEYSWDSATVIGLICGSVATFVVFALWERHMGIEAMIPGHLVCDKIVLSSSL LSMMIFGFTMTLSYYLPIYFQSVRDKSALLVMAVVSGVLTSRLGYYLPWGVLGAILNS VGNGLLSTLLPTTSVPNWAGYESLVGFGRGAISQVPMIAIQNAVTGDDVSTAMAMMTC AQTFGGAIFLVVGEVIFAQTLKKKIPEYAPSVNAEAVIAAGATGFRQVVSAQNLPGVL VAYAKSIDQVFYLNVALSYAQFVFVWGVGWRSVKKGKEEEKEMVSEQA PEX2_062320 MSTPPKSYRAVPGLLRVRLAPINTHTTYTTHDTRHTTHDTRHTT HDTRHTTHDTRHTTHDTRHTTHDTRHTTHDTRHTTHDTRHTTHDTRHTTHDTRHTTHD TRHTTHDTRHTTHDTRHTTHDTRHTTHDTRHTTHDTRHTTHDTRHTTHDTRHTTHDTR HTTHDTRHTTHDTSFSTYLCPLVQANNSTPRDITKARMNHHAAPPGVR PEX2_062330 MLVLVAGVTGNLGQKLVYSLISRGHKVRGIGRNPSKMVPEAYSL LESFIESPLFYDVAALDRACTGVDAVICAYGMHPRLQLDGQLLLLHAAERASRNLELG KHESYDAYVSFRHQVEMTSDIKPIYVFNGIFAETLFSFADHGHFGPDQPNLWDPKEER MKIWGTGHAVWYWTTEQDAAEFTAEILQRDDAVEGGFWNVCSGANSLIEIAQIYEQSQ GRKVTLDIMGSVEDLCAKALSAREQGYKSHFERYIGWFYQLHAIDGTWTFPKLDNDKL KAKTTSLEEFWANHPEI PEX2_062340 MRSSPADQIRAILNGGTLGFPPAVDNKTNNGNFQAAINAHTVAD VPILLGTNADEGTNIFGNDTRSQALARAAYPHDATEKELKSRIITDYLYTCTTSAIAN VLSDTDYKVWQYYFNASFPNTQPFLGAGAWHTSEISLVFGTYPRNYMTTPQQIELSKF MQHSWASFAKDPERGPGWIMIGSGKEDLQVIGANGTSWGQSSNKESVEDMQMCLDNLV PSQSRVPF PEX2_062350 MPNHRLEIFVGLFLLHPKVECRAAFSNHQASSFKYEEAKRQAEA DLASQPGDPDVNATQSTEGCLFLDVITPNKAFQNRDSHHLAPVLVWIHGGAYITGNKI DGSPGDPTRLILNSQKDESNGIVYVAINYRLGGLGWLAGREFEAAGGVPNLGLHDQRL ALEWVQQNINLFGGDRNNITVAGGSAGLPE PEX2_062360 MFALLLLLGFVNQSLAQSNSTALEGWQLDDNTRSSWDIFWTCVS TILACTWTALHISVPTRVETQAEYLWLKAAAWVGTILAPEFMAGTAAEELWQARSAVA RCNAAFRIVNSERNESDSSEVPKIPKTEELDGRWNSIQGFCLGMNGVLLQTKDDWTYP VHSGNVVALIGARIIKPSHLRARDIQDRAKADSFAKGFTLLQAFWVTCNVIARGAYGL PTTALEIATVAYVSCAAATYIIWWNKPKDMVTPITIYLPYDRDSESMPPQVRDILDQE VGNWVHSDAIARDSGSPIWQIIVASFHFQLTLLSIICAPWSWNRKWEELKEKLEVRIQ NATAKSSNARNGGHQDEETPQETNEASHTNHGSYINQELCNEPKSEPVIINGDDQGEK IPHGVIAASESQQPKNEPKVDEKLAVMETSQGKEPLVRSSETSRNDNKDLETEPASKP QKMRNSIEDDEKLTITEWTNIAHFYMFGGLAFCGIHVAAYVLLYPSMFLILDKADNEN TQMELHVPNTAGANSMASFLAICTLHNLAPILKIPLGVLLPPTYVR PEX2_062370 MSIPEPRTTTAANGTSKNAVSQGNVSQVPGSSRSSVDRFARAPA SEGPYFAGARMPERSAHLTGLSSQLNAMEEILKTGS PEX2_062380 MALYDQTVDFLSGVFSNKQTHLPLLAVTGASFTLGLLAGSVFPR NEPLQTVLDSPRSTVLPSISESENRQLPLPSDALPGARDVASPYGSIRVYEWGPEDGP KVLLVHGITTPCISLGGVAHALADRGCRVMLFDLFGRGYSDCPADLPQDDRLFSTQIF LALTSSPISWTGAESGKFCLTGYSLGGGIAAAFASYFPHLLSSLVLLAPSGLLRDSQI SFQSRLLYSKGLMPENVLSFLVSRRLRAGPLVSPKPKNKKLNAADVLTEELPSQSAAA TQILSREYPQINIPSTVAWQVNNHRGFVHAFMSSMRYGPILQERQWNRWARLGEYLTA QNGTSSSENKRPADNKVHILCGNNDLIIVKSELVPDATTALGGNVVFKFYEAGHEFPS TKYEEVASYIFELL PEX2_062390 MGREQIIPSSDPRKNALDFVTDNGQHTLRVEKFIEAGRPSGGDS HNSYGTHYTTPSGQESYAPAIKGVSGHVVETGQSFTVRDDYDFDNLSDSGKGYHVNAQ LGKATVAFTSGQNSAQAYYDRTNMTGERLYFDGEQNAASWYTRGHSC PEX2_062400 MSDTQTATVAAGCFWGVEHLYRKNFGNGKGLLDAKVGYCGGAAS SPSYRAVCSGSTGHAEALRIVFDPSIVTYRQLLEFFYRMHDPTTENRQGPDVGTQYRS AIFTHGEEQQKIAEDITEKVSKEWYKTPLSTKVLPAGQWWDAEEYHQLYLQNNPAGYE CPAHFIRPFPPLSD PEX2_062410 MAASDGASSISVAVRVRPFTIREAAQITRCDEGPLFLGDGSLAG APTPKLNQKGIRSIVKVIDDRCLVFDPPEDNPVQKFSRSVVPNGKRVKDQTFAFDRIF DQNASQGEVYESTTRSLLDNVLDGYNATVFAYGATGCGKTHTITGTAQQPGIIFLTMQ ELFERIDERASEKSTEISLSYLEIYNETIRDLLVPSGSSGKGGLMLREDSNQSVSVAG LSSHHPQNVGEVMDMIMQGNERRTMSPTAANATSSRSHAVLQINIAQKDRNADVNEPH TMATFSIIDLAGSERASATLNRGERLFEGANINKSLLSLGSCINALCDPRKRNHIPYR NSKLTRLLKFALGGNCKTVMIVCVSPSSQHFDETQNTLRYANRAKNIQTKVTRNVFNV NRHVKDFLVKIDEQMNLINELKAQAKDYEKAAFAKFHKQGEKKDAVLREGVARIRNAY EHTLPERQEKTGNMLKLRQIGRRIGILSSWIAAFDNVCAARENEEGLSNLYAVRKSAQ GILLELEGSRHHYNQKLSKSTWDRPVNSAVENAAKQLQEFDITDNSDYANLNREADLL RSNAEREALTAVIEQDKAGEAAAVQLLLQAQFEMMNSIEDIMQLNADEAIKKGRTILT KMLEDCADAATNLVKPDGTMPSVPNFSSARPASPTRTKKRFSLVSLPPVSTANPPVTL TPSAPASPTKGSPRRRKATAGRKSVSFSPKKAQLKIPKRSVRWKDDEEDGTLTEFQKT PKKVESAEEASFEESWLPPRSGSPIPRNIPRVISPSGSISPTPDEPADSAGPSLNVQK NNSRFKAGFLTKRNGSSPLAPPPTSSLPLSRRDSSPLRDIEGSSFMNRTLTDRPSRIA VRSPSGNFSSSPVSENKSNWKSDKEEAIKINSAMRRMSSGRIASGQFSAPSSNALRVH RRRSPTSNAYGASPADNHMFTASQARRMVKSEREHDAKPRVLSPHTLPVMKHTGRRTT LGGDGRPRTISLSSRDAIRLSAMAAPPTDHNSQAW PEX2_062420 MPPKQSKTDSRAVTILFKKHKTTVLLMLQPHESLKNTKSRLLDA LKSREVTAINGDIVPDDSVEIEFGEPVDRADLEKGWKRLQVDASQNESVTIMEAGLQN GHSIAFRFKKSTESQNGGLDMDLDGEDPGWDVIIPSYEEEPEKELGQL PEX2_062430 MEANQPPSTDSSQHNSIVLKIVHWDKLFESDSPPRLGIEFGKRL PYATMSAFSTGLALGYYHGSKKAGLVFRAENAHRFPTTSTGWFQYHKTKNYIGVVGGV KDGMKMGFKLGAGALAFCLFEETVDYARHDERDFLSTVTAGLSFSGIYSLLARHDVYT AARTAKLGLKLSLTYGLLQDALETLKGNRPGYVDFFLGNRRSKVKKEGSI PEX2_062440 MRRHGRSSGGPTKAVSPLSDTVSLIRSFDSVMNPNRPARPSPLA SSHIKALPLELVDRLRSFPLFQATPESFLIEVGQHLRPQLHAPNDYILTEGDEAKAIY WLVRGAVSVTSRDGESIYAELQPGAFFGEIGLLMDRPRTATIIARTRCMLVVLTKDDF RNILPRFPEVERAIREEAEERLMILEKKKKETSAPALDLPSPARRGSKRLRESFSKDL AVIEDDGDLSAKSVYKKRKSPSPGRRDGSSALANGLVNVRLLLKELPLFSGLPADILH YLGLNAQPRSFPPFTDIIQQNSQGRELYFIVRGEVEVLTEKAETTHPANRNSPNGHIE RPGIEVKARLKQGQYFGEVVSLDLAPRRTATVRSVTSVECLMLSGDVLAEFWEKCPSD VREQVERTAQARLQAAADGDIVMSDEADSQPSIGNLALDDKVKIASSRRRSMPLLTLT ETELDGPHQSSHVEDQDQDVLRPSDPDPYLSIGLDKVRMRSRRGSLAPLSPEEVSGEQ QRSSPTEPRSASSSTLALPETASPFKSYQTERPRIDGSNGVLPDSVLLSIFQHLELHH LLRIRAVSSHWSELLTRSADVIHDLDLSVYSRRITDDVLVKIICPFVGNRARSVNINN CFHMTDEGFMALAATCAPNTTVWKMKSVWDVTASAILDMSSKATNMQEVDLSNCRKVG DTLLARIIGWVVPADQKMGEKQSSIKPTIQTAECMVYGCPKLKKLTLSYCKHVTDRSM HHIASHAAGRIEEMDLTRCTTITDQGFKYWGNAQFTNLRKLCLADCTYLTDNAIVHLT NAAKNLEELDLTFCCALSDTATEVLALQCSHLKYLNMAFCGSAISDPSLRSIGLHLLS LQHLSVRGCVRVTGVGVEAVAEGCHQLQVFDVSQCKNLGPWLEDGGAAHYQPRVEFRT VAANKKLPR PEX2_062450 MPPNSQSASSALPDEDDNATVSSANMESEDDKSSPDVPWVSRLS DSFALKCSISSKPVRRDPFGTLPWYALDVVLFNLPDLPTLHQLTLASPDVRDYLDDKI GIMPKLVEHIIERREYETKYINEEECDYSQEDADRGLNEDTRIFFRTLVYLWWKEDSV AKGVPSDDNPLPEDFNNPLVYNINITVEGFYEPEKVGIIRLPASTPKHILRHLLSLAS RLRRDVHAFFHETMALCASTKMLELKNKKAHWPNNGPRPRGIPHPTCGTRYPLSWMEE QRLMLAFLKPYIFSVLRRVVCEKRLLRPLSCLPDPLPERMYPDTLKNLEQNSLADFWS PYANYGWMRTESMEQMETVLGWLEEGKGSHAIRRKRATKFTTCCPTFNPWTTTQFKRS RSDLQQISLPGPFWAQQCEVVPQSDVQAAGFRGEFQRFGVSFWDMERMEYLGFATKRM HLGRYPEQLELAFRWSSMLLAYNKKAPHWARTKKDQPKKGLKFRSKTQAIPLSKKV PEX2_062460 MKQDASLEPFSETEPMDEELDQNEHEFDTVMRGQPLPFRDDSDD GSYEGNNRGRSRIRSNELQESQPEPIYYVVDRGLDLPPGVERPNLFEGHANSWRNYNA DDIGAYNAMITHRTRDLAAHLYNAHVIRRRARDTARKNPGVIDKAPLRVNKRWAAWPV HASTVPRSSEIIQRRADAPDIFQMEPDPRPSAELEECITAFILKTSKETFQARELDFE EIADNPRDKLDDGDELMDDELGKKEEEDEEVPVDTRILRPIALLDDEKSLRQLRPLAR NVISQVDSLLYGLHCATKGRKFEEDSGDEQWSDSDEEDHETLESPNRRSRSRSRGRRS ARQESQERGLSRRSNSARMSIGPDREDGNLPEVSQTRAQSRDSSAGQDINQHAKDRFK LRDWSEVIGLASMMGLPTPAVLRASKRCADLFGEDMEFRRMPEGRVKKRSKTGAEEEY AYTDSESEIDSAPLSPQPILPPNPRTSRSRLIIPELEPRPTAKKTPKAKAVLSKSKAK IPKSKPKTTSRRSSKIHKTPRIVPPSSSPSPAPEELPEPVPEPTVQDTEEAVEQEPKT AKPAAGKGAHRKVDIVCPFRACTRHTNGFSRKWNLHQHMKTTHGIHVAPGNEASTEPD DPVIIIE PEX2_062470 MRLSIATVVGFAIAPALASCPYARDAGTEADNNANLHAHLPREN IISKSTPTVASPTPSTAAGKKGLLLMNRIAPGASELYIANADGTNERPLLTDPGYEYH AEFSPDGEWIGFTSERNGDGNSDIWRVRPDGSDLQPIVTSPAAEDSVVISPNGTLVAY VSTANNYIANIWVKDLETGAEWNITDTPANLPDENMMHGHFRPAWSPDGNWLAFSSDR NTKWDGHGNLTYLGLAGWEHTQELGIYIIRPDGSDLRRVANRTCHCLGSPKWSPDGNR LIFYEMTRNNTWDAHRPETVANANSAIISVGINGDDRRIEVGGAGVKTFPQYVTNSTI GYHLKGGDKEGLYLTNGTYFNTTIRSPSWSPDGKYVVYEKVDWSIRPLFKELYSWDND WEYRFTDVFPQLSSDDRVALTEKQLGNSSIATFDLDDRHVSLLYKPNDTSLLDTDLIQ DGLGGAYSPSWSPDGEWIVFGVGGWFEARDWRGGWILRSTANGSNTEVLTTSSLWVNG TKNLNTGFPSFSHDGKKVVYRVWGADTAKYGDETDIGLRIIDIETRQITQLTSGWDNL PSFSPDGEFIIFTRKVSPTNYEVCTIRPDGTDLRILTSSGANDAHAVWRQDGKILWSS GMYGFQYECALYDHTFQPYGQIMIMDSDGSNKRALTNSIWEDSMPLFLPNDWF PEX2_062480 MSFRLHLVRHAEGTHNPGHNTSILDPPLTEKGIEQCLKLCQDFP FKDSVGLVVTSPLRRTLQTARLGFQQTIDEKYYAQGSGVQNGACLLLEPDVQAHSARP CDTGSEISILRSEFYDLPWEILDLDPIFPAKEGLYASDSESLKLRGARIQRRLEHKFK ELKDSGRPDIVVVTHGGFLSSVIGQEKTEVGQAKWKTFMVTFDEDSKIIVESTKGE PEX2_062490 MLFKGTDLALQKLSMVLVASFVFVVILLRPQINEHILPLRLWKD GHDSTSRPIPYNPYPDYNSAAWKRKWRGSYQQCVGPDGALLDPKNEDMAMKGYRWKQS EFPTPIFGSYEAWHLDRDLCVDLSSRYGTYGYEVKTRDGVASRISRNWEGVNWAELQR ACLGSNRERYNKSNPEPKKSTLHKQQTQQVEYRHKKHRHRKSRLPQFHLRKAVILRST IDMKYTTNDIHNIRAMVMEHSLLSGAEYEVILLIDAKDEILPEPMDNVAMDSFKEEYL PKELRGLAVFFNTKLLEDWYPTIDVHEAMYQYFQPVQIFSLLYQQYDFIWQFEMDARY TGHLYHLLEQATAFAKQQPRKHLWERNSYFYIPKVHGTWDEFNKMVDQSMVDRPTVWG PVLAKGLNVSKEAPFPAPTPTAEIDRSRWGVGEEPDVITWLPQFNPASTGWPMRDVIY EFIEGQSTPRRASPVAMSRLLARVLRLMHTDLVDNGLALGSEMSPTSWALYYGLKSVQ IPQPVYHAQEWDLEELNRRANSGEPGAISVRSDSIWTWNMHHDILKNMTYMFDSEYSG RLYRAWLGDGNVEETSDDAALNAIVDEILAVKDIDDKLFVDTTTVHPNTTKETDEKLK NRNASFVAAPVFGATPAAESGTVLMAMAGPSPAIDKLAPFGKGVIARDVMIVSDQPEK ATLLKTLGNFLVAGTMEIVGEAQVLAEKSDLGTGMLEKLLELNFGSLMHSSSRRMTQG VYLPEEGQSPWSNLNLGIKDVQHGISCAQNVGARLKVAEVALENMSRAKDFSDAHGGR PLDSSSGYGVIRQDSGLDFENAFVKERDTRKENGSS PEX2_062500 MGKIKKKGTSGAAKNYITRTQAVRKLQISLPDFRRLCIFKGIYP REPRSKKKASKTSTPNTTFYYTKDIQYLLHEPLLNKFRDQKSLAKKIARSLGRGEVSD ASRLEKNNAPRLTLDHVIKERYPTFIDALRDLDDALSLLFLFATLPSTDHVPSKTVAL CQRLCHEFQHYLIMTNSLRRSFLSIKGIYYQATIQGQDIMWLVPYRFVQRVNGDVDYR IMATFVEFYTTLLGFVNFRLYSSIGLRYPPKFDTRSDENGAELAAFTLEGRSVGEVPK ALEASKAQSNGNSNKEVSKELQAKVDKVIKKAGLEQSTDEEMVDTTDEATDAIDRFEP AAPEADTLPQPAMSGNEAGALFAPFVFYISREAPKAPLEFILRSFGCKRVGWASVLGD GAFTHDESDPRITHQIVDRPQLPQESLPAIPAADENAVQKVQPGSRIPGRTYVQPQWV WDCINEGKLLRADLYAPGATLPPHLSPWVKASRGAYDPRASLADQEEEDEAEIAAEAS DSDEEMEDEPAADATPATAEESDEESVDGGMDVAGTDDDESEESEEEDEDFGGFDEEA ASESEDDDEAARTQHQKELEAEAAGLPFTANAAADEAAKKKSSKSKKVAAKKRSEDEE LERQKMMMSRKKRKLLEKMMYSNKKTADESAKLRSKRRKLEKGEKAEKK PEX2_062510 MAVDRRKIAVFGAAFVLRLLLTCLFPSLPDLLTGRVEVSTPVNS FKRLQEGLFLYTRNVSPYDGGVFHQAPLLLPLFALLPDVKSSPLPTAIFYFIVDLLNA HALVTISASGQSVKSRLHSAVRKHVRWDGVSVAAWFLFNPLTIATCLARSTSVFTTSG ILFAVSNAVGGNSINAMLALGFASYLSLYPALLFIPLVLLCYDRRLEGPSPPNIGSFI VQHATLLLASVAGLLGLSSLITGTFWEFISATYGFHLLVPDLTPNVGLWWYFFIEMFD SFREFFLGVFWLHLASYVGGLTARFRSQPLFVITSLLGVFAIFKPYPSISDASLFFAL LPLYRHLFPLMRYTFFAGSAILYSSLLGPAFYHLWIYAGSGNANFFYAITLVWSLGLS ILLSDTVFAALRDEWEQERPDQVGTEVRQV PEX2_062520 MPSVKEGRVTKLKAKIPRTTMRRVSNKIPLVEQTNALSIGDFIA QYVTPPTQSGESAKIDAIDQPLPSQNQQQHDMTPTPRVDVYSAATISAADLEACLELI EQTSSEAYMASPAGWSRTKKRKEMKLPDMKYLILRDTPDSSDSNGQVPGEKQIDIADK NAPSPPSKESDESISSSGAASLNVLGFLSFMVTYEDGKEVVYCYEIHLSPKARGRGVG NLLMSQMEGIGRAVGLEKSMLTVFKSNEIARRFYDRLGYEVDEYSPQPRMLRNGTVKD VDYLILSKMLK PEX2_062530 MSPSTMKQWVVEDKEHDFNGLVYKDAAVPKVGENEVLVKLHAAS LNYRDLTIPKGLYPFALSLPVVPGSDGAGEVVEVGSKVIEFKKGDQVATLFNQGHQYG EIDTYAAGTGLGGVIDGTLREYGVFNEQGLVKAPTNLDAREASTLPCAALTSWNALYG LKPLKPGQIVLVQGTGGVSIFGLQFAKAAGATVIATTSSAEKAEKLKQLGADHVINYK TEPNWGEAARKLTPDGAGVDHVIEVGGSGTLQQSFKCIKFEGIISVIGFLGGVDPKTQ PTVLETLSHICTVRGVYVGSKALMRDMIRAIEANDIHPVVDKKVFSLDQTREAYDYMW AQKHFGKLTIKIN PEX2_062540 MQVNNRDISTLPIQGPGQSARQPQAPFVQPAQMAMFARVTSYPP LGQLTCLQPALEILRSEEDALRFTVTIESSSSFPAQSWEAQIWHNITSPEWGSLPLKR CDMGFAALMTGNDSDYHYHRYVFSEEISLPAAGGHAQFTVRFRTGPDTEWQWANQTHP VSDGEIIYSARHSDFEKAVSTDVAGRAPKKQLEKYIDNLQDDLQIESRLSEAPGSVLW ALSGDIDGARNGSSTIKRLALGTPSSVVRYFSLVRVSSAWLGPRHGKNNFRITEDAML CSFLREDGVNLVLLAVSGVNDVLTMFQSGAKGEVVISAKSDDSEASKFHVLVSAAENF EVAMSALIYEARKVVRPFADGSHLDLEDSVPLSPPGDDMVLVEKDPSAQWLSEWFDGL AYCTWNGLGQDLTEEKILHALDSLKANGINIVNLIIDDGWQANDNEGESQFKQGWKHF EAHSKGFPKGLKHTVGAIHRAHPNIEHIAVWHALLGYWGGISPDGDLAQRFKTKQVRI KDPAANGPIVENLPDGTILAIDPDDIKRFYDEFYSYLTSVGIDSVKTDAQFFLDLLED PADRRKFMTSYQDAWSIASLQHFSTRSISCGSMIPQIIFHSQIPTNKPTLPLRNSDDF FPNVVASHPWHVFCNAHNALLTRYLNVLPDWDMFQTSHPYASFHAAARCVSGGPIYIT DEPGKHDLTLLDQMTAPTVKGTTVILRPSVIGRTIDMYHDYSEGHVLRVGSYTGWAKT GSGILGLFNIQSAEASSIVSLMDFSGIHEDSEGQYIVRAHSSGKISHRMSPTRDSLVS VVLEPKGWEILTAYPTRSFTLKGSHGDNVSRTHVAVLGLLGKMTGAAAVVTSDISVVE NGRLRVDISLKALGTLGIYFSDLQNKTIAKNFMVTILGLPIPQNTVWKQGGENAHVLA IDVLAAWKSMKLDSGWSNEAFVQVFSASLGQAPYRSLLAPRRIPCGARSFAATTAIPL RGDSNRPVLSASQSGTCFQQQRWITQKYIQRMKDGEKEWAGFAKEIKAGNRKNFAQHL EERGLIHDVVGERDLLHKVLTEKRAGIYVGIDPTAPSMHVGHMLPFMVLAWGYVWGLP VTFLLGGATSRVGDPSGRLKGRDAVHSSIRKANMASMHMQLKKLGSSIEQYGRRHGHE KNPMWKRALTNNNTWWNSMPFLEVLRDLGAFMRLGPMLGRDTVKTRLNQGDGMSFAEF SYPILQAWDWWTLFQKGTQIQVGGADQYGNILFGMEAVKSISRNTADEQIRNPLESEL DRPIGFTTPLLTTASGEKLGKSAGNAVWLDKDLTSTFELYQYFVRTPDDVVEQYLKLF TFIPLPEIATIMKEQNKDPSKRVAQHKLALEFVELVHGKAEADAVALQHRQLFRPRSS TAEPTPLLKSSPPQAGHPQSPTAGFQTPQSGNPYAPQTNWANMGDIKVTLPESLVFNQ PFNKILWSAGLVSSKSEGHRVIVNNGAKVGSRPGDSGPMSDQLSFTPIRPWDAEKTKE FVLNGNLLMLKLGKWKLKAVHIVTDEEYRAQGLTAPGWETEEIEPTEPTEPTKSD PEX2_062550 MAKPSASSPSAASPSPALKRATPNTQNMRNQKSILGFFQKSSPA TPSNNRTREPASSPAQRASEKRSESAVKPTPKEQKRSFSSFAQDLSPVPSSDLPVPEE EQENGSALKTTKEAQQDSTMSPSRRGKNKVSYKESDSEGEDDDEVIFRPNRKDRVSGR ATKRRRTEPESEDEFNEAGDGGYSDDEMDDFVVADDSDEEIAASSNKRKRPSTQSARK PTKSSSVPAPPPPVDDIDLDLPEGEGSAGTAKKWAFDPENTEPRKERAAVASSKTASS ARKEKAYTKEPEQRYPWLANLKDIDGNPKGHPDYDPRTVYIPPLAWSKFSPFEKQYWE IKQKFWDTVVFFKKGKFYELYENDATIGHQLFDLKLTDRVNMRMVGVPEMSLDHWANQ FVAKGFKIARVDQSESALGKEMREREGKKPTKEDKIIKRELACVLTAGTLVEGSMLQD DMSTYCVAIKEAIVDDHPAFGIAFVDTATGQFFLSEFVDDVDMTKFETFVAQTRPREL LLEKSCVSQKAMRILKNNTGPTTIWNLMKPGKEFWESDITIRELEASEYFVSVDDDNL TAWPETLRQAREKELLMCAFGALVQYLRMLKIERDLITIGNFTWYDPIKKATSLVLDG QTLINMEIFANSFDGGSEGTLFQLLNRCITPFGKRMFKQWVCHPLIDSKKINARLDAV DSLNADPSARDQFSSQLTKMPDLERLISRIHAGICKAQDFVRVLEGFEQIDYIMGLLK ESDTGSGESIIGQLTAAMPDLASLLGYWKTAFDRPKAKENGILVPESGVEEDFDNSQE IIEQLHRDLDTVLKKARRDLGSSAICYRDNGKEIYQLEVPIKVKNIPKDWNQMSATKQ VKRYYFPELRSLIRKLQEAQETHSQIVKEVAGRFHARFDEHYNTWLAAVKIVSQLDCL ISLAKASVAIGHPSCRPVFVEDERSVLEFEELRHPCLLSSVEDFIPNDIQLGGNHASI DLLTGANAAGKSTVLRMTCVAVIMAQIGCYVPCQSARLTPVDRIMSRLGANDNIFAAQ STFFVELSETKKILSEATPRSLVILDELGRGTSSYDGVAVAQAVLHHIATHIGALGFF ATHYHSLAAEFENHPEIAPKRMAIHVDDVERRVAFLYKLEKGVAEGSFGMHCASMCGI PSKVIECAENAAKQWEHTSRLKESLERRKGGGYVGLGWWSDVAWALRESVTEEDSNAG DVSDRGLDVLMKAIEAL PEX2_062560 MFYYPGVRSPAWMGLPGADVKYLLLGNFCYPIQEIDCDKLARLT GVTPKRAQHAFRIARENLLKLCAIDPQFMSIASQHKYRSDLEEISAEQAEKHSKHKKS KLLLNESDEESIEGYSESEIDDSRSNGHYQKAKRKEYTKRKGKGKITTLRNESENSEV EYDELSQASFKPASKSQRRSTKQKPAKQKRHTRYSTSHGVRKERDSLFHTDIKSSSTR AGRHNIQHNRGLKRDRNPYKYQDGSTERSSEESSSAEMNASSSRPSHGRAKGKRLAEP SGLADTLDNGSDMEKEVERRPARTYAKSTVAKTTSQTGNRKKLHKPNGLAESLDKDLV RGAMDGLTLDGDAPKTNGHAARTTKENFDEGDDSISEKNEEAHSDHD PEX2_062570 MLPTDAMSTTPPPKKKSGNLGDFTVGEMKLIMASVLCISGRLDT DKLGKLSNMKKKSAASRFPAIKRKLEKMFEDQLDALDDDQDASTAKEKSPAKSRAKKS AGKFVEEQLEAKPEIKTEAKSESTEDAMKVEIQSGDNNNSPVKVESDSDVEIKPEPTY PEX2_062580 MSSQKQEKTFDLVKVSGLTPTQVEHLLLALLCMEKRKSVDWNKL GELCNVTPTSARTVFGKGRRKLEEWKEKRTAAKEAKQAEEVEDDTEDANEADEDGYAE NAEN PEX2_062590 MSSPAAPKTSLITGMSATETKLLALAHVCLKNDKIDYDKLALNA GIKSSSAQTLFRNAKRKLDKLYGDDNADGSGNGGQSDMSPEDTPSKRGKSNAKAPRTP KTPKTPKATKTPKTPKGGKAAIKSEENEDQVSIKLEFDPTATESATNLAEGVSRETAV AEPIRELTAEPVIESLAESFAGPTATAEDIKVKTEKADENDEAALDMAVKQHLPESPL PDEEDDAYEQDEE PEX2_062600 MRLQILLHPQLRTTLPECAQRNLRRSVWVRFKSQIPRPSQKHLS PLPKSKETIPATRSPVTRTLTPPKTTTRTGPPERILVYYGGTGRAIFLGTLRITTVLL FGAACLIVAPACSVADDYPWYSTPAVIAAGALPMLFVSYTAAPYVNFIHLALPAFARK SREAAVQYAKDLPPTAVLYLTSMRFNTIPRQTTVRIGDLVPFKDALRPVTFQNLNPSP QRWWQGRAPTQFYTGTHSKPGRQTSAFYPELWNGIYQQIQKAKSQPR PEX2_062610 MSPAYRMPPGIVLLWVGLVMLLASVQAYEEISDKTLTELLRPNN DFDIHNGALLSPILRTRVPGTPGSTAVLNHFADFFRTTLPKWTIEIQNSTAKTPLSKG KEVPFRNFIATRDPPWASVGDVGRLTLVAHYDSKIEPEGFIGGIDSAAPCAMILHAMR SIDAALEKKWSALQEQGLHTYLEEERGLQVIFLDGEEAFQDWTATDSLYGARALATHW DDQVYPAMSEFKGPLSSISLFVLLDLLGSKSPTIQSYYETTHWAYQSLGTLEKRFKTL KQFKSTSADPWFVDTEKDGHKLTPMGGIQDDHLPFLAKGVEILHLIDFATFKGFPPVW HTIDDDGEHLDLDTVEDWSMLVTAFVSEWMELEGYFDHPSAPSTRSDDESAEWEALGM DRKTDL PEX2_062620 MFTPIHTTLGALLLFHGSSGLLVHNGAVFGISSLLSGCAFNPSR DNVPIIAGLVSSIVPVYLLAPSLIPEYPTPPHSWASAVTTLGVGFLLGWGTKNGRGCT SGHMLCGLSRLSPRSLIATAIFFTTALLTANFVEGGSNIPSCGTTPCYTPVYPSGSEL GFMAGAALLAAITNFITVPRKVRRSEESRVVYSYVAGLEFGLGLLISGMADPAKVLRF FAFVTDPSRFDPSLALVILFGIGPSLFTFLAKKPGQAAEKGKPVSKPTLAEKWRLPTA TVSDIDWRFVAGAATFGLAWGLRGVCPGPAILRTVLQPTWGLITMGGYMLGNLI PEX2_062630 MGNTSSVAGRECFMAAVGGNPTMATFRGDLLYEFRALPSYNLAI PVHPSVITYPNTTTQVAEIVRCAVDTNYRVQAYSGGHSYGNYGLGGADGHVVVDLKAF QNFSMDPDTHIATVGAGTNLGDLQDRLLRAGGRAMSHGSCPQVGVGGHFTIGGLGLMS RQWGTALDHVLEAEVVLANSSIVTASDTQNQDIFWAIKGAAASFGIVTEFKVRTQEIP KGATQYTYTFSQGDVLDKVKLFQAWQSIIAKPNITRNYSTELTVFRDGIVITGSFFGT REEFEEFELENSLPIRNKGNVAYITNWLAVVAHAAENYLVSIGGALLTSFYSKSVSFT VDELFTERGLVALFTYLDSAPKGSENWWVIFDLEGGATNDVPMNATAYVHRDAVMWMQ SYAVVGFEPPAFIARRFLDRLHQVVIENRPPGPLRSYPGYVDPYLENGQMAYWGSNLE RLQSIKTLIDPKDVFHNPQSVAVNPITNSHN PEX2_062640 MKRTCSPETSPEHEPRKEIPLDDRPILSSLNAPISPPRRRSSIK WVKGGDGGDATPLNASQLNTSSSLAAIEAGQVEVTDHLNTISAKLKECVRPLPKQSLP LLPIKEWMELYRRNEHSEGRHFVIHQHDHPIAGPHYDLRLQFSETSSVSWSVMYGMPG DPNSQRLNRNATETRIHCLWNHLIETASHKTGSLIIWDTGEYEILPYQMDPSGPVTDD SRSDSHVSLEEPISDSAKLREAFQNRKIRLRLHGTRLPKDYTIILRMDKTTNFARPIR EGPKRRRRHQSGARVPQPPSTSDSGSPPPALGKKKLRVDPTPQRSQSGSRTSPARDAH ADDTYTADLEIQRNNAYPGSSNTIGSIHQRRWYLSLDRQSSGFERTRNTRGLTEIDRK WTRKPVGQGFEPFYVHGPDYERSVVTARRGRDVLDDEAVEEFVPRRGWRPVLH PEX2_062650 MAYLATSSYLALSLVALNSIPAIRSIAHRISRARDYEPIQLAKE VYRDEDGEATDESLRAFSDKWQRIAIALFSVAGFSVTLALAVLATLKLTITNSTLLVW LQFGVWTLLAIQAVAFFTEPRPTSRYVLGHFAFWGSIIAIAVPSIELGILVFSQLGLH HGRVWIGLQLGQIASAALRAVFCVLIPRRPDVYYEDKLVERELSVSLLSRFTFSWANG LLNYAVKHKTMDLEDIPKLTASKRANYLREKFEIARKNRKLWLAIVFAHVGPLIAQTI LSLAICFLSFGPQVALFQILQTLELRGTPDWNVGASYIWVLALGGLMFLASTVEAWLF WVVYSKLGVPIFAELSAVIFAKAMRRKDVKYTKKSKTPDGSDSSSKGAAEADDDEDAL KKSRQSIINHVAVDARRVSDFASFNYIIPQAIFRIIIGATFLVQILGWQSAFAGLSVS LLVTPLNIYAAKKYSNAQDRLMKFRDQKLAIVTEVLQGIRQIKFSALEEQWQNRVQEA RETELGALWASFLADIGLLAIWVLGPVGLSAVSLTAYAILHGTLSPSVAFTAMAIFSS LEASLAIIPELMSMGLEAKVSSDRIDKFLATSENVVNTVPAEYIAFENASVAWPAEEE DIKDSEDRFILRDMTLKFPTKSLSVVSGRTGSGKSLLLSSILGECDVLAGTIKVPVPL PVTDRFDHLATKANWIIDSAIAYVAQMPWIENATIKANVLFGLPDDAERYQKVISACA LAKDFDMLPDGDMTDIGANGVNLSGGQRWRISFARALYSRAGILIMDDIFSALDAHTG RHVFENALTGELGQNRTRILVTHHVALCLPRTDYSVLLENGRIKYAGTIDDLRESHHL EDILREEQAAEQADLAAAGEDGEFLNDEETTLQKVISNTSHHKPSTATNGQAANGNGT AAAQTPTPKKFVEDEKREIGSVQLAIYIAFLNKGGSVLFWLATFAVYLSFSSLLVGRS WWINIWTRSASNTQAHPQQYNVLLQHAMRPTAPAPQDDDLFMYLGVYVVLSVIACIMG TIRYYCILSASVRASRNLFNALIYTILRAPLRWLDTVPLGRILNRFTADFHAIDSRIG YDIGFFVGKILEVMAIMVAGMLVNWTVILLGLVLLIICLQLAISYLAGARQIKRLEST AKSPIFEQFGSSLAGLITIRAFSKPDTYVEIMYGKINRHAQAWWTLWLFNRWLSFRMS IVGAIFSTVTAGLVVYLPGISASLAGFALSFALQYNSAITMALRQYANIELNMNATER VIEYSNIEMENQGGADAPAAWPTEGHLEVHDLVVGYAPDLPPVLNGLNFSVEKNQRVG VVGRTGAGKSSLTLALFRFLEARSGQIFIDGIDISTIKLHDLRSRLAIIPQDPVLFSG TVRSNLDPFDEYSDTELYDALARVHLISEADDDELTLTSRTATPRQPSETGATTPPPA QKTNSNVFTSLSATISEGGLNLSQGQRQLLCLARAIVARPKIMVLDEATSAVDMETDA LIQTSIRAEFGRNATTLLVIAHRLSTIADFDRILVMDAGKAAEFGTPKDLMGIEGGVF KNLVENSGEKEVLEKMIFA PEX2_062660 MPGEILHYLYKHCPQASVHIASSKVALCEKINHNHSSAPQLSGI RSIEAEWYDGEYDRPIRPSSTASYPAQLNDIVYRSHNLESLKLVSIGRWRPLHFSRIR YSDIPPHFERRELEARKNQRGLITLKEGNVLPQVKNIHFDSMRFGPLQSTLWATQLQW QTIKYLSLIAVDWIHLLPKITLPGCFHALENLQMSIPNSHSGYERDCPAYTERVEQFH SFLKELPPLKMFIGYGFPQKTLEVLAECHAKSLQHLRFRYGLGRAKCSENKCTPVSIH NLINLADQLPNLLSLGVNLSLTPDEDLVSIHAIILLLIEAFRHPSR PEX2_062670 MPIAAVQLRSLRLRSSYVEISNLLRGRSVAWPRQCYSPVQTPRQ FHARACLRQEEPPKQLPAFLEAYKRAVDFPPATHDFETFFAQAEPNTEVVLHGYLGNR ADLSKKLSFVRLSDPTLQHSLQVVAFAKNDAFEQLKTVNVNSPVAVRGIVQKKKTKPS EAENTPAQDQWELALEEIHPLNDFPKEIIMTPETVFPPEQRYLQLRSDAELREALRFR ARAHNLCKKELEQCRPPFVEIETPLLFKSTPEGAREFIVPTRREGMAYALPQSPQQYK QILMASGLPRYYQFARCFRDEDLRADRQPEFTQLDMEMSFATGDDVMRTVEGVIRRLW SSLMKDPAPEGPFRKVPYQEVMAKYGSDKPDTRYGMEIIRLDHVLPVDLVGKISDLTN PIVEAFKLEGNNNDPAETHKFITEFLESPAGAPFNNNPDGGPGVFIYNGKQPLCGLQP FGFEAAEQVEELLDPDHGDLIVLQARPRAPFSGGSTPVGDLRRALYAASVSEGFKPAA TGFDFLWVVDFPLFSPSSDSEPGQGGAAGLSSTHHPFTAPKTSADVDLLLTDPTKVVA DHYDLVVNGVELGGGSRRIHDAAVQEFIFRDILKMPAERLTDFSHLLDALRSGCPPHA GLALGFDRLVAVMLGKESVRDVIAFPKTGKGGDDAMVGAPSPMTEEALKTYHLKLRK PEX2_062680 MKSTMILALASIAIAAPTRTIENRQLSGLGTSSGLGALESLFPG LSGSSSSSDSSSSLSSGLDALKGLIPGLSSSSSGTSSLAGNGLSSLTGLSNISNHPGI RNPSTKGQFLGSGSSTENGVTQNAGCKEYTLIFARGTTELGNMGSVIGPPLATQLNSL TGDKVTVQGVDYPADAAGNALMGASGGPAMVKLVQQALSQCPKTKVLLGGYSQGAMVV HNAASSLAAGQITAAVLFGDPFKTQSVGKVASDKVKEFCHVGDPVCLNGADVMAHITY GTDAETAAKFLIQAAGASTSS PEX2_062690 MIPLRPSILRRPREALVCSQCLFGPRSVRPEAIRGFLSSSKRRT GIADDQSPSVFHKSYFSANRFYDGSNSKGGLLSSLASSNAKSKSTASSSPGDPSLSQV NSSATASSDAIATEATPEELPHRRRKRLKEESNPTGQPEQSLPLDASAQLSNFSSTLP TTSIRRKLAAYFALTKPRLSFLVVLTTTSAYGMYPISSLLALDPAMTPLPTLSTSTLT FIYLTVGTFLSCCSANTLNMMFEPKYDALMSRTRNRPIVRGLVSRRGALFFAIGTAVT GLSLLYIGTNPTTTALSAANIFLYAFVYTPLKRIHVINTWVGAVVGGIPPLMGWIAAA GQTATIGHDTWRDMLFSEESIGGWLLGAILFAWQFPHFNALSHLIRDEYKAAGYRMLC WVNPACNARVALRYSILMFPITIGLWWVNVVGHGFLVGSTFANGWLVREAYRFYKHQG ANGTARGLFWASIWQLPVLLVGGLVTKKGLWDGVWRNIFGQADDEDEEYLYYEDEEEF EGEKAKLQDAASSRAPSTRTSTLSTA PEX2_062700 MDIIQNSVITPLQPYLAPIVSAIPEPVHDAVVSLIGKSCHGALL VDLDVTKDPACTSLAISKALGIAIVGASAVVKIPQILKLINSRSSAGVSFVSYALETA SLLITLSYGVRNQFPFSTYGETALIAVQDIAIGVLVLNYAGRSAAAAAFIAVVAASVY ALLFDQTLVDAQTMSYLQAGAGALGVASKAPQIYTIWREGGTGQLSAFTVFNYLLGSL SRIFTTLQEVDDKFILYGFIGGFSLNVILAIQMLWYWNSPAPKQKAAPRPKAVEKAPS AQSTGASPRPSVKTPTTRRRG PEX2_062710 MSNLTQEQIKVLEQSRQRLVQLTRSLGSLIASLNQSDPLPPWTS LQSQASIISNNLISVSDQLSDQRDLLSNLVAYPGPEYPGRTQANTLEQLLRTKLDPRV DDWVARGRTAGTEPAAGSSSNFSDPDAAHKPLSEAQLAELWEWAPLEANQEARRRNWG GNFTLEEREAGIENVVTGLRRQLEDDDGSEEESEGEEGDAEEMDVVGVHRKPGAAELE YNIAPHHPHPVQPFVPLDLILRHMTTGRMP PEX2_062720 MLGLSDGGSRSSRMLAFVLAAILLNSPAPVVFGLITTPGSPCTD VCGKTTNTTSSEVACADQSYNQTSVGRTFRDCVSCQLDSKFNDENTGESDVNWGLYNL RYAFSTCVFGFPDAISNLSSPCPVACDGVRLAVETNIEDPDTSNLNSWCDTPSFADNV VNTCEFCYNLTTSQVYMANFLESIRYNCHFKTVTGTTFDISPTRIFTQSLLPSSLSLT TSLPKLSKVDLGVVIAVPVIGFLILVASIAICCYFFIRHRRKKARRNRHTVNPYNHWN GASPISAQQQQQAWAEQQMYNSGAYGHGAGFGFVDNDGRGQELAYGPGQDQQYQNQQY QQHFQGQDKSGFSQDIIEDESAQQQYQQQQLAQQQQQYQQQQQQHAQQQYQQQQQQQQ QQQHAQVPAHTQIFEPDQKGEHAEAPVHPQVLDPDRKDPQQWQ PEX2_062730 MATFMKKFKRKRRVSTELHSRWGDVSITYPIQGSWNQWDQTSGF VTNTPRADRESEENQQYLPAGPLRQPSPSASSRRSRSTGTREHQVGDAASFPTGYFDQ NIRRRVGERDAYTPPSHTPPGQGLGLGDLRRGPSRRPPSSINDECTTDDSFDEEEEEE ERDTLGPRIEVSPREFGMGDMLHTTRDDPEDFDIPAKSPPLSVTSRMRRCSLQSCATE PTASISGGTNSRRTSVTAASSISVPSVSPSTPRVAYNTPKQPPFPEKRYPPRTKHREP EPAPRLEMVPSYDELYG PEX2_062740 MSINSSLGPRSDNNYPSRTPVIIIGAIAGSLIFLAMAGGLLYLF SRKERARRRNAQQSEDFSPLDPPPAYKTDELGTPRGLHSQPRPLSSFAPDYSRQAQHA QQYQQQLSRPSYDQPPSYPTLPTYDPSRYQPIRPTSMVGDINAHTYTYNPTNHANPHP GPSSRLSAVHYSDARLATSRPVSMTDHGPPIGPVAVPNRSRQQAAMPPPPEQHTRQEH RDRRDRRERSASEPTLPAQAVPKQPKPVLSRLITNFR PEX2_062750 MNDDWGKKGKESIVAQLWSKTPNNAPIDDSKHYSEMWLGTYPSN PSYLLSTGEHLGEYLKKYPELVGKSVHDRWGPEIPFLPKASPKAKNYTLPLQIHPDLK LAAQLHKEHPEKYGDTMHKPEIAIALSKFELFAGWKPLKDIQALFALNHLAKYIPKSG QFNDETLRQVCKALLSAPPKEVAQTMKDLQAIPESQFGAHTYIPSLLGRLAKQYGEGD TGNLVAALLMNYLTLGPGDSVFVPADSIHAYLEGDIVECMARSDNVINTGFCPAADRD SVELFGQALSFVPHNAQDALLPRRKSDKGLNGKTDVYAPPISEFAVLCTTLGAGESET HKAILGPSLMIVTKGGGQMKFPGNQTSELKEGYVFFVGQGIALDFGTDKGMAVYRAYA E PEX2_062760 MAGIVNGPLSLFRKSDSPKPLHARWGDVSISVPTDGSWNQYNNP HRPVKERAAYGPGYVPDCSPEDRRNPRPMKEEDADDDAKSVCSEASTVSRRSSLSLGS LRPGRLSVRLASRPKQLRGESQMERDAQRSERKRNEFAYRPIQQDYTSEVVENATHPN NRFKYIPTNGRYLQSSGAETPRSQSVSSSRTLLSRRGSMSESSEQRYSGRDRDHHTPN VRGSTYHEDDHRSLHSTSFRDSSDSSSSRRNHGLPPRRRTSPFVAADSKRASSISRPM TVAMVPDPDDLYE PEX2_062770 MAPRLKKDSQPAKLVFESKVKKTRGPRAAPKKKFTENEVFFYQA IKNGGTKFDYAAIGNAIGRSKDATRMKMSRLLKEIGEFMEDQEDLVQKAQPKHEENPA NAEDAENGSQDDKDGSQADDTPVDAIDTEDS PEX2_062780 MVKIEAFTVEQWMGKYETGAKYNIAETCCAPISIKDLQELSNDK DNNLWAQLQSSTIGYGTVPGSDRLRGNLASLYSAEAQNPLPKENVLITGGAILANFLL LYTLIGPGDHVICHYPTYQQLYSVPVSLGAEVSLWHSKEDDGWELDIEDLKRLIRPNT KMIIINNPQNPTGAVISRETLQSLVDIARNSSITLFSDEVYRPLFQDASAAPPSLLSF GYEKTIVTGSVSKAFSLAGLRIGWIASLDKSIIEACAAARDYTTITVGLIDDTIASFA LAPECVHNILNRNINLARTNLAILERFIDAHQWACDWTKPRAGTIAFIRFKRNGEPVD DSVLCEKLLERTGVMVAPGSFCFGRDQDFRGYVRMGYVCHTKTLEIGLERLGQFLDDG YEEVPLSKKVLVPL PEX2_062790 MARVIHTPRDKGKAKVSEETEPKVTKASDEVFLLTLLGNVKVDH EAAAKALGITKAACRMRYIRLQQKHGLKMKGIKGTPRTKRSVLTNKDAVTEQESTPVE EPGVAEDDTDVDGETVEEATEN PEX2_062800 MSAPTSHSEFNKNEAGHSPETSNLRIKERGSDETEGTGDLSQDL DQESDLEYATSFRLMIIMATLSLSTLIAALDLGIVATAIPKITSDFHALSDIGWYSSA CFLLVGTTSAPWGKMYKYFSAQWTYMTALALYLIGSIVAAAAPTSIALIVGRALQGWG CAGTLGGSVLIINFTAHPKTRPMLIGLWMGVFMVATTIGPLIGGAFTTEATWRWCFWV NLPVGGTAIVLQFLFLRMPKHIKPTPATWKEILLHLDLPGWSLLFISIICLTLALEWG GMGKPWSNGSVIATLIVWVVLTIAFVVVEWFQGEYALMPLKMLSARITWSQLLYAFIA NLANFQILFYLPIYFQAVHGMSAITSGVYCLPFMAFYTCGAIVSGGIVGKTRFLQPIE FTSGLIAVLGATLIYCIDVDTSKAWYIGAQIPFGLGIGLGNQVPVTALQGFATPETVA AITGVAFMAQSISGAYFVSAANSIFNNYLLQTLARIAPQIDPAEILHIGVSDIANLYK GEQLNLVREAYMAGIKDVFAFALAGTVLTVVFSLLIPFKRLPSHQIKKTEDIEASAKA L PEX2_062810 MDATPAQRIGQARRNPTIDLDDWDSAVPSRRKKPRRGTRSCWEC KRRKMKCVFDSPDDAVCVSCHRRWTKCVSQEFPEKMSTPLDNSQLRDRLRRVESQLDQ FLAAGDNGQTKNPDRQLDHGIPTPTSVYKGPSKHAPPASHQPSHTPAEDERSHDHLNL DRSFPANVGGTSRANALSQTLYNSLPSQEDTARIHRASARHNFIPFHEILTTPYGILD RDGFRSQSRLLEIPGPNVNPVLIAHHMLHLASFLQHLNPDLHEDLRDLSEPPQVIRER LADKAINLVTTNDRFVGSIEFLECVMIESLYQGNCGYLRRSWRAVRRAMTIAQSMGFH RSGGRPQYKLLHPETKAYPHFMWFRIVSYDRQMCLMLGMPQGATDRSMASDTMLDDSA SGRLERIHCVIASRILERNESDSASYDYAWTQDVDKELQRSARSMPSRWWLVPNLSGQ TKDSQALFWEMRRLSEQLFHYNLLNQLHLPYMLRESVERKFDYSRITCVNSSREILSR FIMLRRWNKVAFSCRTIDFTALMAAMTLVLAHLDGHRSSKVDNFLAPQYLSDRAMIEQ AQENMEELNRLNPDTLSARSAQLLDRLLAIEAKAAEGDLQSAQSVSVQAPHIEESQPD DSTISDKHDYIPYFGVIKTAGESHADGISSQYVPPANHQQSKSPVIMRAGTDAETQAQ PTSLATSVPPDPYNNTYCNKINSNGSNNNVTALFAPLLSEVLSDDPMQEFEYPGTAAK IDDDPFQYLDLAFLDNLMRGTEEDGQGSA PEX2_062820 MKYTGIAAMGMMGCALALPQGPPHFIGSLPQSPPPFASAPSDAP TLSSGFPSGIPTGIPTAIPTGFPFDKRQFPDGPPPSGFPTPTGPPPSGFPSGIPSGFP TEFPSGFPTEFPEPTGLPFDKRQLHWGHGHQGPPPNGPPSGFPTLTGPPFSGFPTPTG FPTGFPTGFPSGFPSGFPSSFEKRQFPTELPFPIPSGIPSAFPSGFPTDLPFSIPFEK LQEFSTGLPTPTGPPLSASPQ PEX2_062830 MSVLGDPTIVQCHHGRKHSQEHPLSQPSPPRRLRKTISIPVVSS LVRDSWNWAGDTLHTYRDGLSKEERKQRADIEDRKQVLYLKIKNAVSYEEWRSCAIEL DELENNNAWKQTLDSTEYDPRLVQDRLRQLEDARISCDVSRMLFLVRTALSRDLAHMS NASLYRHSHIGTKDLIDRYITTALETIATLVDLSVHDRCDGLELKYILDQLLAARQAF GRSALLFSGGATFGMTHIGVLKALYEANMIPRIISGASAGSIVCAVFCTRTDEELPAL LDTYVHGDFDVFNEKGQEENILQKMTRFLKFGSFLDISHLAKTIRNWLGDMTFQEAYN RTRRILNICVSSAGMYELPRLLNYISAPNVLIWSAVAVSCSVPFVFRPFTLMAKDPLT GEPVPWNDLHKQYIDGSVDGDLPMTRLSEMFNVNHFIVSQVNPHVVPFLPKETGPQNE TDDGPSFIPRWMNTMTHLAKDEVLHRMNVLSELGVFPTSMTKFASIVNQKYHGDINIY PELISSNFPRLLENPTTEFMLSACLSGERATWPRLSRIRNHCAIELALDNAIQNMRAR VVFSPSQVDLRMPNRNRHSIDSADSSGRKRYRDRRRGSHSPELEKRHSDGQPRHQPAR ELRKARSTVSLENPSVSQSSESILISTQARTHRRTSSVSFTNGAIFDIGSSSDDEVER SYMLRPKLAGHRASLDSSSFGGALASHAPRSPVQSRRSSFSSTPAYNYSATARLSSSK QAYTPSARDLSMTVPPSSRHLLSMTPTPTVHPSSPDSLMRKQRH PEX2_062840 MDLPSASFSFFLPSVYDGNKLECRIYLPPALQNIQSATTWFNRG AIVAHPYATLGGCYDDPVVSFIGSELLQAGCIVGTFNFRGAGDSEGRTSWTSKPELGD YVSFYGFMLQYLHFLKLALAPRGEVDSLRTPKSTEEVENKSDIHVILGGYSYGSLIAS HVPTLDTMLDLFQSASTTISTNKATPIQEIKSTAKRIAALSLEQLQMSHSLTDSPDLR ALTTSISYLLVSPLLPPISQLLTVFSTLSLNLKTETPKGSHIPCPRPADQQSTHRTLA LFGDQDTFTSVGKLERWSGDMVHMPCSQFQFRMIDGAGHFWRENGVEVRARHALKEWL REI PEX2_062850 MLPPVPAGASMYHHDPLLHVERQTKHIQRNLQVLIDAQSEGLLS VLSGPQADDVSNGTLTPTLSSNASRSHSPLTVPTRQPVPKKIGLRAAREGIFQSIYDL LKLREEEREILMYQTKERDNALHDIQSFLSRRTGLEDAITTIHGDEESRRSKQLEEEA RNLETDIHELETRLYEMKAKHRHLVNEISDINNSVDAKLSSYTESLSILDSDIRNYLR DPPIQPLSQSSSEPTFHSLNPKRRTLDMAREHWNTEQVVLHSRQQKVDAEILALEEGG GVWKQAVSDVTGFEKRLQANMRHYVEMTTSTTESGGSTSPGYKEGLVKSILDDLERTT HRIESHLELAEDKDWKLLVCCIAAELEALREARGLLLPAFGLPLHEEDAPLNSTSPKD SPLIDHILEEGHEDSHAGQLENDDPEPPADLLRDADLHHSDARSEEDDEPDPSWLT PEX2_062860 MVGLVSAAGLVGFLSEPDPELKVFALKTLDSQIDHLWTEVVNAV PEIEALYEDESFPERGLAALVASKVYYHLQEYNESMVLALGAGKLFKLENGGEYEETI IAKCVDTFVSLSAAQRPTAGDQPANLNTAFPASGDGATSTSASLTSPITPFSKSALPS KSLLSRAEVPGVDAAHPGGDDTSVQHEENSLVLKRGVQSQLQTVIERLFEACFRQKRY RQVIGIAIEAKNLDVLRMAILRASSDEKQDEGDSRGSEELMEYVLDICMGIVQERAFR SEILKLILELLNEIPAPDFFSIAKCVVYLNEHSMASAILRQLVEKGDARSLAVAYQIS FDLYDNSTQEFLQKVRQEIAELVPEDENEKENNEEDNEPSESDALLEDQGSSSRPSGK HSDLSDDAVTAFKNILSILDGIKTIQLNLEFLYRNNKADIAILNKIRDSLEARNSIFH TAVTLSNAFMHAGTTHDKFFRDNLEWLGKAVNWSKFTATAALGCIHRGNLSQGQKLLQ PYLPREHIAGVGGSGSVYSQGGSLYAFGLIYANHGGMAVDIIRDHFKKATEEVVQHGG ALGLGVAGMATGDEGIYEDLRSVLYTDSALNGEAVGLAMGLIMLGTGNMKALEDMIQY AHDTQHEKIVRGLAMGMALIMFGRQEAADELINGLLGDPDPTLRYGGIMTIALAYCGT GSNKAVRKLLHVAVSDVNDDVRRVAVLSLGFILFRKHQSVPRMVELLSESYNPHVRYG AAMALGISCAGTGLDEAIDLLEPMLKDSTDFVRQGALISLAMVLVQQNEAMNPRVTSL RKAMMKMLGDRHEDAMAKFGCAIALGIIDAGGRNCTISLQTQTGNLNMPGIVGVAVFV QYWYWFPLTHFLSLSFTPTSVIGVDQKLEVPHFKFHSNTRPSLFDYPPEQQVKTEEAP EKVKTAVLSTTAQAKRRAQRREKQARRESMDIDQAPTTPKATDQLDAMDTDDLPKEDE EGKEAEKGAAEVQKKKAEREKVGYELDNLSRVLPAQLKYLTFPDPRYEPVKRPTGGVV VVLDKQPDEPREVIELKASKEARQAPPPTETLQDRLQAAIGTAALQTPQRADARAALS AATSGAAAGAGVLTAVDEDEEGVEDAPVPDEFSYESDGEDQ PEX2_062870 MAKTFSKEDVASHSKGDSPWIIIDEDVYDVSKFQDEHPGGKKIL QRVAGKDASKQFWKYHNDGILKKYKSQLQIGSLDTKKAAPAPAPAPPAPAPAPKKAAP EPKPVDSPSSVTPPATGEPQDPYGELIPFADPSWYQGYASPYFNESHAALRDEVRQWV ESEIEPYVTEWDEAKDVPAKIYKQMGERGYLAGLLGVHFPEKHTPYRVKSVAPERWDL FHEMLLTDELSRAGSGGLVWNLIGGYGIGCPPLVKFGKKPLVDRILPGILAGDKRICL AITEPDAGSDVANLGCEAKLTEDGKHYIVNGEKKWITNGIYSDYFTTAVRTGKDGMNG LSVLLIEREAGGVSTRRMDCQGVWSSGTTYVTFEDVKVPVENLIGKENQGFKVIMTNF NHERIGIVIQCVRFSRVCYEESMKYAHKRKTFGKRLIDHPVIRMKLAHMARQIEATYN WLENIIFQCQSMEDTEAMLKLGGAIAGLKAQSTQCFEFCAREASQIFGGLSFSRGGQG GKIERLYRDVRAYAIPGGSEEIMLDLSIRQSLRVHGMFGMKL PEX2_062880 MSLLSFELNNDVYDLASDIDGIIHVASDEHSATSLILANLVARR MREFQDNEDNFTGSGTAPTDLLLSLSSNDTDDVSHEFLRGIANSMDLTTMPEDLLFLV LPMLLHVDTKLPTPEDIHALHEFAEASSMHREHVETWLRSDPAQSTLEQVFKPWMNYY SFKPAYQCRDEIAAYKASRGGAGFNYAYEFVKECQCTSCLSLLGNLGLVDFRNYDQNG RSFLHAVIEGGDVESVMFIVAILLKGGLDPRHFPTSIDGMSIPHHVALMHDNDAFRGV VEFLEDAGYPLSVWNDDGLKLELCSFITADMAERLLSKGFNITKLPRNTLPMYLPEED DPKYDSDEEWDHDAEYGDPIIRGKDFDPDSDKLDPKSIQYDALAVNPIELHPTSRTIW HRAIENPEGPRILDWLLDHSYTQPGCRTDFDRETGETALVAGARGDEPAGIDWFCQHC DPMAGRVRNSPDNEGDESTGAYALQVAAHNLRPRCDVIFKKLLRHVDQQFYWDVSMIK GLYWLIIKNYRDMYQRLEDHKEPGRERAQQMALLRYLTSSKTKALNNRLEPFWDTWGP SEQRNWLIQYCTILRLNFLVKDLSSDIWDDSD PEX2_062890 MSNFLNHDFGSEDEEDDFNPAPQEESDVEEPRAKPSKREHSSGD GANDEEDVKYPRDTHALEEEDAKDVEDDDEENELDDDDEEEEEDEEEDVARPQKRMKR VANPFIEDEAGVDDEEDEGDDEEDELAEYGMETHPDDLDALPQGTETDDRRHRQLDRQ REIDASMDAEKQAQMLKERYGRNRAAATDSLVIPKRLLLPSVEDPSIWGGRCKPGKEK EVVYSIQKRIEERPAGSRNPIRIISAFERGNIMQGWFYCEARRQADVIEGLDAINFYY PSQKLTLVPVKEMPDLLRVQKSEELLPGGWIRIKRGKYLGDLAQIEEVETNGLNVTVR LVPRLDYGMNDDAFGAPTDAKRKRGAVSTVRPPQRLFSETEAKKKHAKYLSSTSGLGG KSWNYLNDNYVDGFLIKDMRVQHLNAKNVNPRLEEVTMFARGGEDGTANLDLASLAET LKNSTAEDSYQPGDPVEVYRGEQQGLIGRTVSTRGDIISLQVTEGELAGQTIDAPVRT LRKRFREGDHVKVIGGSRYQNELGMVVQVKDDTVTLLSDMSMQEITIFSKDLRLSAEM AADGQLGIYDVHDLVQLDAATVACVIKVDRESLRVVDQNGSIRNILPTQIANKITPRR DAVATDRNGAEIRSGDTVREVYGEQRSGVIRHIHRSFLFLHNKAQAENAGISVVRTTN VLTVSARGSRPTGPDLTKMNPALAMQTPGGGAAMPPPRRGRDQLIGKTVTIRKGRYKG LVGIVRDADESSAQVELYTSNKPVHIPRDILTPKDPISKQPLSMGRGRGGGGPGGRAP YNSSGGPGRDSWAGGRTPIAAADSSRTPAWNGAAARTPAWGGVSGGRTPAWKNDGSRT ANPYEGNRTAYGGVGSRTPSWTSGSKTPYDSSSGFDAFASGSRTPGWNAGGANAGGRT PGWNSMSAGRDQRDFDDAPTPGGNYSAPTPGAYAAPTPGAPTPGGWPESAPTPGGAFS APTPGGPSKRDYDAPTPAAFDAPTPAMGGMAATPGAGYGGNDGGPRYDDSPSP PEX2_062900 MGSEAAPTNWQDLVIAKRHECEQKIPRDWLLSADQLALAQESPR VLDIDLPRRSSILSEVELDLTENYTASQLLVKLASGQVSSLAVTTAFCKRAAIAQQVT SCLTETFFPQALERAKYLDEYLQRKGKPIGPLHGLPISIKDSFCVEGVQSTIGYVAFL ENEPAKTNSALVQMLLDLGAVLYVKTNIPQTLMTADSENNIFGRTLNPHKTTLTAGGS SGGEGALVAFRGSILGVGTDIAGSIRIPALCCGVYGFKPTTDRIPYGGQASGSVKGIP GLVPVAGPLAHSIADLKLLMSSVVADGQAWKYDSAAVGVPWQTTPPSTNANGSLTVGV LAEDEHLPLHPPVKRALNRAVEALTRAGNRIVRLGADDEAHSVAYASRVAFQYFIYGP HKDHIAASGEPPVTSVAKAAGPMSSGPFPAQKGLGPFETIEALHLARQRVHDAWRQTW VDQKLDVILAPGAQSTAVDHDTYGWPSYTVLWNVLDYPACIIPFGKASKELDPEPMIT SDDAQPDYHPDEVDGAPCAVQVITPRFQDEKCLWAADIIDRVLAVHGKE PEX2_062910 MPFATDILTDPSVSASARQQALNRVYSDPGVPQSSTTSSFWTQA PHNFSHGAAKDLPKEADTVIIGSGITGASIARTLLQNRASKPSSTSHPSVVMLEARTV CSGATGRNGGHILETADDYAEFSDVFGVDAARKLIRFRMSHLQEMLSVAEELGITTET QARKVQFLSVYFGDEPWKAALERMHRFKEGMPEESAEWTSYEGDAIPTELCLTRARGV IAGPAGALWPYKFVIGILKHLLADFPNDFRVEENTPVTAIHDETTANGPRYKVETSRG TVVARHVIHCTNAHVSHLVPGFLGRIFPVRGQMSAQTPGDNFPNQAADHSWIFNYDRG FDYMTQLPAGQMMLGGGFAQGEGGGLADLGISTDSDLSLYIDIHLSGALRAIFGSKDW GCVQGETVQAMWTGSMAFSSDGFPWVGQLPGVVTGRSEHGSEGAEWVCAAFGGDGMVQ AWLGGKAVATMLLARDASLSETVSADLSWVPEQLLVSEERFAETALPREVDDDVHKAN L PEX2_062920 MFDHAFIKVLESRSNFFLANLFRIHSPRVELQLAHTVTPEAQLY LYVLQTLDGGPPRNSEADEHGQPIVVLDPIPSSDPNEPLNWSTMRKSVNFTIVLAMTI LIFTALNIQAIFWQQMATDMKVTYTELNNAMSVNFVGLATGCIFFIPFAKKYGRRPVY IVSTAVMLATSFWSSKMNSLTELYVTNLLQGLAGATNESIAEITIVDLFFLHHRGSMN GLYLTCVMIGSFLTPMAAGAQATEHGWRSSYQTMGIFNSILFVIFLFMYEETKYIPAI TGQADPTLDEDDPAISIREDNLDKFDSAPATKCPPIIEQAHSHRVLDLTIPQNSWRKR LALVTPTPEPIWPHFYSPFCVLIFPAVAFAAIQYAAGVAWLTATSSVLSLAFPEPPYL FSPAQIGYTSAGPLIGNILGAVYGGFLGDRSILYYAKRKRGYYEPEMRLYILHLPAIF MAGGLIMFGATISRGMHWIWPNIAGAFFGFGLGSISDAALVLVMDSYKEITGDAFTAV AFMRNAVSIGIPFAISPWIQRNGIQNMFIACGMMSLAITGTIIPMIIWGKSARRALRG RYLDIVERGHSV PEX2_062930 MIVKALVKVDLFPVYKGAAFLLLSVSLLDPGPNAAPWAEQDTGR WSWQYRRIQRIMLGGEVFKSADVREMAKYYPTNGRDQLSTETPVSPDAALSKKDPETS LHEDDDAGAVVFLFPPSGRKPWSLSRIWLACKLWLLNCLAPAHDSGARNKRVEMLLDG HEASLKLLRAKYSRQKLWYLEVVAVHPSLQSRGLGGGVMRWILEHVHDDPVYLECTRE ENVRFYEGFGFRVAEEVELVDGASQTGEHAFKHWVMVHPGKSTL PEX2_062940 MRLFDIDWSVALDASPRLELAASQRAVEDEVLFNEFMNNGINVL HSTTARDTMLHPRLPQLCQESSALYSICIVFQLALSSTVSSQFCEYFDTALRTFRSEL ACSTTLSDGTLTSGLLLCSIGLMHGLPWSMHLEGMHKILQSHGLDDLHHQSTQTQFRI HLLEVMGVLDLACFSVGRQAPGIGIWRRYCQPAIPRYGIESVSGLPRTLLDIFAGIGA ETTEQTLWD PEX2_062950 MLSFLQILLATPALAAVTSFPVIQSNHGPVKGAASPFRHGVTVY KGIPYAAPPTGSKRWTPPTKPDSWTETLEATEFGPQCAQPYSEAGIFSSGKNSTSEDC LTLNVWTPTYNTTSATEIKNKSLPVYVWIFGGRFEGGSGDVITYDGTGLASKDIIVVT MNYRLGAFGFLAHPDLSTESGHNSSGNYGILDQQFALRWVQDNIANFGGNASQVTVGG QSAGSASALDMMWSPLSSGLIHGVIAESGARGPHDPATGSVATSYNTKDVAEEFGVTF LKTMNVSSIAELRKLPMADLIDEGQLMEDDYFDGTVFSDLWSGPPRWRPVIDGYVFPH GYGESLELGAHADVPIMTGNNKNENDGGASTVSDYKTLWTKVFGNYSSEFFSLYPART DTQASDNSDAVLLDMARVGTWEWAAEWAAGGAKSDVFVYYFTKAPAENEEGGAYHGAE IWYAFNNIPYSDYSNVTWNATDYKIESVISEYWANFIRTGNPNGDGLVHFPPSSSNYS AMHLGESFGAVPIAASKKRISFLRRWMSTLHQY PEX2_062960 MKLLSTLTGIAACGSSLASALSPPVIDTHYGTIHGGLSPFRGGD KAFVYKGIPYAQPPTGANRWTKVAGPLKWGKLNATEFGPQCAQTIGNAGIFSSGKTTT SEDCLYLNIWTPAYKNQTDMSAKKLPVLFWIYGGRFTGGSGDVKTYDGTGLAQKDIIV VTINYRLGPFGYLAHPELSAESGHNSSGNYGILDQQAALHWVNENIQLFGGDPNQITV GGQSAGSASALDVMWSPLAKGLAEGIISESGARGTHDPMTGTVATSYRTKTAAEKQGI AFLKEMNVTSIAQLRNVSMKALLEYDSLSDTIWEGTPFANLSATFMEPPMWRPNIDGY VFPNNYSEALRSNSHADIPILTGSNKDESGASPDLSYTASNYHDLYSNLFGDLSDEFF ALYPGTNSTQASESAKEFYRDLSRIGTWRWAVDWAAGGAKSNVYAYYFTHAPAENRDG GAYHGSELWYTFNNIPYASYSNVTWNSEDYAVENKMANYWANFIRTGNPNGNGLPQFN PTTKSPRKTMWLGDSWGMGPLTMNSKRIQFLQKWTSHLPAW PEX2_062970 MDLRTIMNTDGGASNPPPPSNSASSPISDQTPQKRPKKAASYSE YPTRPSQPPPLQYPQHASPERSSPYASVQSPYQQFNSGPVINTAVRSQRSQTPPHVST SYGPGTRDPFGAPAYGSHPQHPGGPLVSPYTPQPLSAGPQHPEQQSYFAQQRSQQRSQ SLQSVMTTPRAPVESFHSPPVASQPLPSQHFSPTAHRSIPGTPLGPPPAFATRQSPST ARPPSSGHDSPGNPLSSPRPTQEALIRDQVPTQSPVTQRQFSPHTSQPSEPTHLSSGG LKQEPTESASPKSISRHNSIVRASESGPASQIRSSEDRMLVESPTAQKPTVAGSDFLT SPLAPGSQMNSSPSAARGGAHAVDMEIDHEPRVDAQQPKQKRRRYNEPPIYARLTPRN TSKRPIIPNPRPPVPKHARQSQQDPSAAARRRSSSIKAVTPVARVTRAPAVASPSTSM PRVQATPAPPASSLRSQGNSQTIGSLGPWEPSITGFIPHEEITKTLCDFLFQHVVMRN DVNAGPAGSAAAGQGTIVEIEAKLGHIIDMDSRDRINLPILTESVLNRENARIRTSFE SKMTVEQHRAMNNFLNEAVKASMPQPNSTRIPLAYVHKKERDTFYEIQAADLPPVIRQ NLNPRHKPRVRVTTDERTGEILAKIVKCRVADVDVCSPRTTVDWRVSVNLEMEYTGDV SNLPVIDAAVIKGGRGDRIKDRMSYSHLAYQVDLTQVAKSDQQPGKNEFDHELEVEVS AEEIRRQGQLAMSGDPKNQYEDLVKGFVDNIRLLARAVPP PEX2_062980 MASLDPHSPESIQRPISTTVESDNSSFATSMQSASHVKEISRSI LNLFLAYALNKFNYSEDRPEDYGDSFLSVIGQFVSKGARVEACLPAFPFKSANKVYKV LGSLPDKAEELALERLNTLCKRIQDIYAPGANIVIISDGITYNDLLCISDQETWKYGE ALREMVAKNSFDNISFSRIRDLLEFPLPEKMSEIIYVANCTTFRRLLLNKYGKDDLDI DHEINTNPDVKLTYLGYRRFLESDLQHIFPRGKNRSVHSYKRDCKYLAKQMLTRGYAF AGAIKDAYPNHLRLSIHESICGTKLPISLLNTKTGFTTPWHCCVAHLADGVWVSAPMG EFSQDSRLELVHVDGIPSHYQERLHRIDHIAINETTASYLQSAKSIDVNAYVNGALKN KSPLSSSSSEVSLSPSEGKSPASRSTTPDVESVLKCSPAESGLCTTLNEKSDLTSIQQ EGKTTVSTPYGRRLIPQIIDSLAAIEPERTIFSLASLSNGLLELKHISARQFTRAVDK TAWWLRDQAGTPDSVQPVAYIGPHDLRHVLLTYACVKAGYALPMLHELLDAETIEAFP YTKSFEEAKDDPFCFLHTSGSTGVPKPIPWSHGLIGTMDAVRLLPPVGENADLPPWTS GWDEGDRIYSSFPMSHGAGIIMNILMPALFNLHCIMGPVGVLPNLDLVEKLAVDAKID IWSMVPSLVDEIGETPEVLTKLQSSKFICASGGPVSPVSAGKVNEVIRVLNLTGTTEG LFIGNLKTEREDWFWFCFHPYSGFEFKELEADTYEHWVHRNEQWPLFQGIFHTFPDKD SINFKDLYMKHPTKPNLWAFKGRNDDLVVLSNGYKISPLETEAFVTTHPAISGCLIFG TGKPQASLLIELKDPSNQAPDLIDSIWQTVQTANTMSRHKNQLRRDFVTFAQPDKPFL RTDKGTVKRSATLALYSEYIECFYSSRSDDSDNATSLDLSSPSSIEEFIRTIVGSLLP EVQEVLLDTDFFELGLDSLGVFAAVEKIREASGLGDKISTRHVYADPTIASLAATVSG LMDEAQGAQDDIPMGQIRDGNASKVNDMITQHRGRQSFRLNAFDYVNPNHGMGILLYF SIHDEISFEQVFTNLQEGLNRTFDMIPALSGKMMHCSEQEIGYNKGDLCVTIPPLSMA ASARDRLLYKDLSNVLPSFEKLREAGFPLSAFKDGLVLRDDPFPKFPADIFSGQVNFV PGGCLVAVDLNHCCLDGIGAMVVLKAWAENCRYLQGDHTATCSWYDPESFNHALPEII HEQEGWARPVEEIDPGIWGFLPFFPPNDYKSMKETPVDLKDHVLPPAPDFKLHDVWPL PRAERSPKTTLFLIRPEKLGKMKQDVISDPEAKGVIKSISDIVQAFLWRAAIRVRYRI AKEIRKQKFSPDEVSILELPTDGRPYFSSLLPSTYMGSILILNRLTMPIETLCSDQTT IGRVAYLLRQSAARITPSVVHDALSILQSLPDHGRFSTANMGLEHMHAMISNMMLFPI NEICFGDAFFGNRGLPESLRPQLERGNGRFRFLAIFPIREDGGIEFAFDTHPEELEMF QADEEFTKYAELVDTCC PEX2_062990 MAYNLSIEVFGPGDSPTHRSHWGFMINKPGNLEFGDLLQVEVID SDRLWYGFAPRYATKIIDKAAVGMCKIADLTSQQRHDAIKIIEKEPAPKNSIGLCQNW IFDALLALEIEELVPSGTSAFWKGMIGRPAREVAAGCGTQWTAFA PEX2_063000 MPTKTPTPSDFPSELTVTVTPAPPSPSQSASPAPNILLLLHGLG DTAASFTKFAEAIRLPETTIVTVQGTAPLPFDLGGFHWGDDVSFDSATGALDMDAGLT RSTRILMSDVVRGTLVQKCGYALREIMVLGFGQGGMAALAIAREIGLKGNGNVGSGEV GALSGVISIGAPYPLSGSRVGDKNRSPVLLVAGRDSVAVSDEAVRRTKQVFEFVEVSR YARKGDGMPSSREEMLPVMQFFARRLRSRQGVPEGSVEIS PEX2_063010 MAWGWGPDPTCIAGDIPTPLHWPSNENHANAKLDESERAHQEVY NGEQHHEGKFSHEFAAGAASFAGMKAYEDHQRKEGKPVSHAFAKELLAGIVGGEVDKL AESKGMDWFDREKAKHHAKKNAEHMYEERYERGNY PEX2_063020 MFGSEQALPGLKPELEQELVPEWRAKYLDYKTGKKKVKAITRAL QKANRSPHVQSNRYPTSTPQGRGAAQSALTPSNTDKQLDAGEYNSPSTPQRGGANPPS TARSTPVPRTERQPLRTPGSRFSENVGSYGSIIATPPQQHHTAGSDVASFELPDPALD PDEDYMPHDDTADKPRNLRTPSPVMDRRSLTNVSPSHPISEPLPAQRPQLDQRASYQS QIGDTLAKVPSGNRTSQLLRRVFTAEGEPGGRRSQFDIGAGAELDKRQDEFFEFLDSE LNKIDAFYVMKEQEATEKLRVLRQQLHIMRDQRIQEVLAVKKAIKSDDSDTQQRPNGF AKIKSARIKDTLAGKNRFGKNTEALAQMATPGMQPQDREFIANRRDFMRRQDPQSQEV PYRSAKRKLKHALQEFYRGVELLKGYAYLNRTAFRKINKKYDKAVNARPPLRYMSEKV NKASFVQSEVIESLMVAVEDLYSRYFERGNRKIAVSKLRHTINKSGDYSPNTFRSGLL LMGGTLFSIKALVDASSNLRSGEAAEQVRTSYLLQIYGGYFLIVFHVLLFCLDCMIWT KSKINHAFVFEYDSRHTLEWRQLLEIPSFFLFLMGLFMWLNFSWYNHMYIYWPVVLIV LTIIIVFLPARVLYHRSRKWFAFSNWRLLLAGIYPVEFRDFFLGDMYCSQTYAMGNIE LFFCLYASYWDYPPKCNSSHSRLLGFFQCLPSVWRAFQCIRRYLDTKNAFPHLLNLGK YIFGVLYYATLSMYRIDLKTRFQAAFITFALLNAVYASVWDLIMDWSLGNPYAKNPML REVLAFRRVWVYYAAMLLDVVIRFNWILYAVFIRNIQQSALLSFMVAFSEVCRRGVWS IFRVENEHCTNVLLFRASRDVPLPYDVPSPPAPPLDGSAEDVQLQEQQHASTPFMSPG DVEHGTPSMSSMRARNRRPSVGISRVGTIMASAHAQDFERRRLPNYMSSTSVGRDLAH GADDSTDEDDEADLSTDEDSGSRPVSERRDTMGRIVE PEX2_006470 MSNVDEDVGHTVVHFLYTGGYETVNSPLDEGISDLAREYKRSVL VYQASRIWGLADLKVLSQQKMQHLDEDLPVLVILRIMRDIFSSLPTGETWLPSYIQGN LQRSLKPNDPGLGLREFYDVIGQDHRFDIAVMKMIIEILSIRIVSMKEQQVKTPNGIV SNETPLEGPVSEVPEPVPAEAESFVEYSEPVSEEPDPVFEGPEPVSEKPYLVSEGPEA VPAEPESFIENSEPVSEETESFVEEPDPTPEETECLSEEREPSTWDLPAEADGGLWII PRVPAEVGDWPRPWLLPEDTPNAETQEASDQAHIAKITCSDLKSV PEX2_006480 MVPQKVKLKSERENFFRNTSEVRDEINKKRQSKLDDFLVIEIDF GTIYSSVAWATVEDFERDEINIITNWSNNGREEPEVPTELFYEDGKVSWGYDIPEGGD PVRCFKLLLLRDEDVAEEQRQSKAFFRARKLMRETGKTATDLVADYLRLLWQHTVETI HLIHSELDISALAFHVFITVPAIWKDYARKAMEKAAENAGILQDRPISPTALAFVLES EAAALGTLCKVGHKYGLETDDVYVICNAGGLTVELSTYQVGELDPIEIHEAVTGTGN PEX2_006490 MHLSVNPEKAAIGKALLLGLCATAGARAAGLPIVDLGYEIHQAI SYDTGLETYNFTNIRYAAPPVGSLQFAAPSYPEVNRTQVQTGAEARICPQAVPSWMED SMSSAVSYVTNGRVTSLGSVLLDTRASEDCLFLDVVVPKKIFNDTGKKHAKKAPVLVW IYGGGYTSRSKSGQNSGSPNGLLQRGDSNFIYVTLNYRLGALGFLSGPTLQRSGVANA GLLDQRFALEWIQKNIHLFGGDPYQVTVMGESAGGGSILHQITAYGNSNGNAPFQQAI LQSPAFAPGNFGAGDQEKIFNEFLAYCNVSNIEEARRLPTEILIQANAQQVINSPIGS FTYWPVVDGVFVPEAPATMMEKGKLDKGVSVMLAYNGNDGVIFSDFSLTNDTEYRNYV SALMNLTPNQTDYLATSIYPPVSESSIRYVDEISRAAVTFQEGFIQCNDIFLAEAMGN RSFNYVYDVFPSLHAMDFQATFGVSSSPQSNEFDSSIALQQLITSFVLTGKPTSSHGN MSTYGPNAMLSVINATAIYNEQESQDAVARCDRLYDLIHS PEX2_006500 MANFSQVPNEILLLVAKSLSSQKDINALVRTNWRLCHLLHAFLC DFNIQHHQSSGLLYTAGSGNSTLVKKFLNAGASIASFELLPDEDETDFEEFEKQDSPL LRAAQNGHVGLLNTMLSETNPSRACIPPQLRTVLHWAIETGNQVVVELMIAHQAPLGR PRVPGDDSTALSQALELRCGKSIIECILQTGWKPTALFPDPFVQATYYSGTSILQLLL RYNLRPRSTRILSHISRRGDTASLQILIDSGLDMIVYGHIALLVAIDLGHQPMVQLLI EAGANPHLSSIDTNWWYYSTIWHAVLYRQYDILKALVDNGVRPDYQDFQLAIDMNFLE AVALLEGFSYENIPKKMRREKWVQYWENKRTADPDFQRLE PEX2_006510 MSPSQEFYQAIRSLRKLTDQPSEEHSYRMDIPSFQSANHLLKVE DEMQFADDIAFLAQWQEGVEYVTAVTLQEMANGLVIRIASNHTPTDKTVRELKGTMAL VSEYASKGKRRDEFRDKIFDKIVDIRDDRILNRIRPPWLPQPERYVKRRPFLLAQVRA FVDEISAIRSHSPAFQILVQPARQLISCLLPFKKPIPESIRKEHQKNVIKACAELANI GGTGLLEEHLHRLQVPPHIRDRGGIRQIDKLARYFFVCRDFIKVGRRPEYKPLFSNIS IETLKAFEGWTPAGSSSMCFVHAEIQQIVHYTNHPYNPSPRFIGCSKSACYLCDMFIQ KHSQYRISHAHRRLYDKWTLPNLDYSTSQEAQQLQTILQSMTEEMEITAKGFQRSLRP PKQYGAESIAFLPLTSGSTASNTSIATRQLSIPHVSPISSLKSDYNTKIATTSFLRTR SEGGSSRTSNASYLLLGQDDLPYSLNIKDIRHECLIRIGAIFLDIELVTPGRLSIRHA MDNPLPRDVIDICQLSTITETKVTHNASLSNMQLFLRHSRGLTIILDFVRDEA PEX2_006520 MERIDIHTHCVPPSYREYCLQSDFAGRGHPDGMPAIPEWSAESH IDLMTKLQIKKSVLSMSSPATHLTPGNDEEGRTVTRRANIDMSKICADHPTHFLFFAS LPLPDVEGSLAEIDYALDHLGAVGFQILTNSHGIYPGDQRFSRVFDKLSERKTIAFFH PTTCLTRHDDDSIEKVIPLPSVAAPIMEFMFDSTRSLMSLLTSGTVDRCPGITFVVCH CGATFPPIMERIAEFSSLIPGSDGISGERIKELLQTRFYFDLAGVPFPDQIHGLLRLV DSSRLLYGSDYPYTPAALAESLAKRVDDGLQTLFGSETTERILLDNAQQILGETHE PEX2_006530 MSHDTSSTPAKRRVLVIGSGGVGTMVSVALERSGQASVTSVLRS NYEQVVKHGFEIESIDHGNLSSWRPSAIVNAVPLADSNTPFDYVIVTMKNIPEVNNIP KIIAPAITPDHTTIVLFQNGLYIEPPIIAAFPSNVVLSAPSFIGAHEKNGHVVHDDHD NFHIGAFHNPSVDAAVERTKLEEFATIYNASKVVDANVVDDIVFYRWRKVLWNGIFNP MCAITQLDSAAIRRFGGEHSLIRPGMAEMAAIAKADGYDLGPGIVDEMVDSTPLELSF RPSMLVDVDKGNPLEAEVILGNALRVAREKGVNTPILDNTYRFLKLTQARLLAARGLI VEPKELPKADLI PEX2_006540 MKNPVKQMRFPALEDIFEYVQDVVETSGRWVNWQIIRGKRHIWW ETDWDVVSRWAQSIIETEQRLRETTQRENFLKKWIHTFHNGSDEAGKFVLPDLIEDLI ALDQETDICGFESSRSGISGVNGYARIVRDEVGAVVFTLLFIIQPSTMESDTYQDETI WAPGTVRLEDIHQSRDQLVLFPTPSSDPNDPLNWSTARKALNFALVSFFVLWTFVQLD IGFTAWGQMQPELNFSLDILNANAAINYGGLAIGCIFFMPLVHKYGRRPIYILSVALQ FASCIWQAKMTTVGDLLGSGLVSGIGGAISEIIVQITIADMFFVHQHATMNGWYIIIQ STGAFLGPVASGYIVVAQGWRWMWWWCVIFFGVTLLCVVFLFEESKYVPILDGREVIL DAQVTGEPSKSHLDGDVVDAKSASETFASRVHTNQDIPLKTYSQRMALVTTTNEALWP HFYQPIIALFTFPAVTYAAITYGSTLCWFAVMTSLQASYMILPPYNFDAVGVGLMNLA PFIGAVLGFPFGGQLSDKSILWLSKRNGGIYEPEMRLWLALPIAIISPAGILMFGLGL AYGVHWALLAIGFGFFGFALAAVGGITLSYLMDCYQDIIGDALVGVIFMRNIFSVIVL FVLTPWVNRMGMRDLHILIAVIAFVILLAPIPLLVWGKKARIATAPSYRKMAANQLSH RTI PEX2_006550 MSSTEHSSTPTWTPGDFEHPNQEFRRSMIIALVFAYTLSTLSVA LRILARKATGSRLFMDDYLIMVALFFKYACSSGVVVLLYNGMGSHITMIPAKNLLVYF QLGFANAFIYTGCIAFIKFSILALYKRLFAVRHMAIAVNIMFGFVGLWVVGVYVAGAL LCIPTKKFWDQSVEGACLDPAKFYYGMQIPNILTDIVLLIMPMRVVWTLPIPKSQKAL LSGVFLVGGLTLLFSCFRLNAMIRLVDLGPDITYNQVPVIVWTCMEAAVGITAACLPN LRPLFKLGRHNFWSQIRSSNSSNNISERTLVSSSTAGGTVTSTITSNHKKSGSFVVRQ TFEMPPYEDLKDEKAVERV PEX2_006560 MAKVALITGGTSGIGLAVAKDLIQTGSWQVNIIGSNDERGQEAA ASLPDVTFYQADVRDYQQLATVFDQIFNATGRLDFVFANAGKADYTDFFAESETGIPP EPSSEVVDINLNGVLYTSYLAMHYFRRSPESTKGHRNLILTSSIGGLYPCMLTPVYSG TKHALIGFTRSVGERLWEEGVRVNALCPGVVETPLLAAEKFYTIFPREIFIPMDVVSG VVSQLLSGEDMVDAKGMRVAGDEMHSRAVHVSGKSFLFIEKPDIYDEQTRITWAAMMG HK PEX2_006570 MSSTDYYAYTPSLIPAVIGVGIYCALFAAHALRLFRSLAWDGSY MLIGALVQAMGLGARVFSSSNAHDFGAFGAQYVFLLLGPTLCMVTVNLTQVKMMRCLR TENLGLLPAKLRLPIYLSVNITLLLLQSIGAVIVALTHNITLLGSATKILTASYVCQM IFWMFTLAENILWSIRFGRSSSANTQLMMPHWKRYNQLFGLAISIVAMGRNMVRLTML GMGPDGMMTVNEWPSYAFDFYQTAIILLAWGIFYLPGLKPNRDVLTRTKTSLRPMSHT ERKMPTTECYSVMRAIFSSVTQNNTPYPDFYLPFDKGLSSNPALFPELDQWERHFLNL MKDIVAPSPLDKFLIGPSFHESHHRFFVQNLLRPAPTSILKDATVACAAVLLGDQYAQ YTKTSVEVGHRRAALAVSGLRSLQISEKQDLVTALVLGVAIVTFAMHVVDGQPLLISH YTLALVKPVYRTLLAMDHGVMDMLMCLVSTETFECLVRSEVPTIRIGERDRCDVVDRY LGLSSSLFAHLYDLCEVGHLMKITGGGMDIGTGERLDMIQDSLEQWKLSPPPDFVEKF TESEVVGMFAQAKILRLAALLIAHRLRHPYGKRDKEALQLSNAITAEIDMALQSTGRS IPCTALPYTVACFEITRTEARAAVVDKIHKVVTFSRQSQLQVRRSLSAVWDARDGGDQ IYWFDIGDYVR PEX2_006580 MKPGPLDMGYGRPLEFTLSVVVRTNPSPLDFQFAADTLVSQWPV LNLRMDPLKTKFLDPKDPGDLAEVWEGRTLKQELSEIFDISSEPDSPQLIDSEGLDEV LNFGYGISHAWKQRVFSIRTVFLLDACIIGFKFLQPLCDANGAHQIVQAYCSLLRGER ITHTLHGRPPLFLKSEVLEKCIEKIQYHQIADLHKHSMRRTWVAGIGALGKQIGRDIC YPSARRVSKTLFIPRGQIQNWLKEAESIKAKVTEHDLVLAFIYSAALHPPTAHRFGLV IDISKQLQSEANLYNPWYMMPLPDPIPSSEYNTPSLVRLATHIRRAVHEGQQPECIGE IVNQHKSLKKSPMVPKSYGSRAAQPRIASWKSLPLYDLDVQGETPLFVQGSVDYCGLL RETKARLDDLLVTWKAQSPDGEDGGYWVHGRLPEGVWRRMADDLDC PEX2_006590 MIWDYYNGKTLFITGGTGFIGTALLCRLLSQSSPKRVYVLCRGG SDKANAKWSDMLPPSAAQILTQNERITILDGEMGNTATMNLSEETLQMLKQTVHIVFH AASSAQLHNSLRELAYTVLAPSICLTQYALKFPNLERFVFFSTAYANAHLWKAQESPD ASVDERIYPLGREEEDYYKIALEAWSAVQKTGSSDEYNAHDFPWPYAYAKHLAERLVL QKAAERNKMDKVLIIRPSVLGPADKFPYPGFATLHGAPLTACAAAYMLHAGRRIKLST RCENPNQESTIDEVPVDVVVDRALVHVALGTSGCVHAVSGEQGRLSTEELWRAFKKER RLPWNAKPSWTSDDWNSPNIHHMARRLKIIGTSFAFSQERTVRAAEKLGAEEKENLQL FADRSKPYSLHLRRHHIYHQAVEVAKKKRWPLCSARLLCRKGKPVAHLDKNGNRKA PEX2_006600 MDLSHMMTAHLATATEDEKLRSLCDEQNKVGMQNCLSSETPLGR SSEPSSPGPLTITNVEELCKRLEKHKKELVDLVEGLIQHTEKGDKEGTWKFALAAVKE LQLLPRANQPIDSLPIGKMTYEDVEKYFGLEPQRPLRGTSWEGPLPHIQLPQCAYQML EIFDKFARRSVKNEAMIRCRLNNILFAVLDSVMTSAPDDTHPLNLQTETHLVSRPFQV GGKYCQAQGQCDYTVWYGEEVREQAINLVIVETKRPGHVSLGEGQALGYMAVVHQERK LSKRARNATVYGVATDGKTFYFLCINDESKWDRIVLDTAQLDAVVDLLAFIMKEAMTI SPFTSRHTSKNASMEDDPLVLS PEX2_006610 MAPTVCFDCKEARAVIIRPKNRRKLCRACFIKVFETEVHETIIG THLFFPGERVAIGASGGKDSTVLAAVLKTLNERYNYGLDLCLLSIDEGIRGYRDDSLE TVKRNAVQYDMPLDILGYSELYGWTMDQVVEQVGKKGNCTYCGVFRRQALDRGAARLG IKHVVTGHNADDVAETVMMNLLRGDLPRLSRGTSIITSSDASDIKRSKPLKYAYEKEI VLYAHHRQLDYFTTECIYSPEAFRGSARTLIKDLEKIRPSSILDIVKSGEDMAALVPV EVRGAGQSKQGGAEEESAGGCGSQNGRTSGGEMAAMEKQLTENDAAESLETEIKLPTG GRVKPNRGPTGKAVKAQTIKHCERCNYISSQRICKACTLLDGLNRNRPKTAIEVGVGM EDEESSSTLMRQMERAQLSAT PEX2_006620 MSATNQARTTYRALLRELPRRSFTTPSPLHQQLRAVFRSTPATS AFQSESQSNTLPFSIPKTDEQRTLRVQEADQFAQYARAQRVYSELLERYNPGMSMDEE EKIRLTARRVGFDLPELHVPEKE PEX2_006630 MTATASQRPGMGGRTSSAPAGGLYKLDVVRKTGSGTQIGQTLLE EDEGTPITGDAIKHTRFSHQEGESSKSGFPEVTIAVAGEENAGKSSFVKCALDMKNPP VSPMNKKKMSLNGSVYIVHLMEVDLTQVQFDQDKKIIWPRAGRDKSAPVIDGVLVLHD ATKPDRLSLTTNLIDSLAASDLPFLQVACKCDVNPEPLEDNEVDAIHDRYEIYRTSFS SPRSQRMCIALVLGAVISTREDLSNNSNILLDSPEVKSSHDWHHTRANSENPSAVLTA GGPQASDQWVDGDGDSPLETDGNHLQHTSNSHRYARSNSQPVRPQTPPSGARLNTHRP SAQDLNSPDHDSRQQRLHNAWRHSGGSDAFNSFLNMDDEGDDEQSRPPSPSAVVRPKP SSESNSSAETGLSFDELVDRLISLPLSKQDQKFRSTFLCLYRKFAAPASLLSALISRF ERNEMGNEDQLARMADQLRLLDVMAQWASDYPGDFAHPKLRKRIIDFVAILEKSHFYM FAAKEIGSQLDSNAEDDDIGWAYGGDSDSEEPQLVETFFDNSGRSSPAAVLTGAGPGT NSYDTEEEQDPIYSMSALDLSSGPHDSTSRLSGTLSVSSNPDKSNSITSQSFTLLSLD AAQKEALRLELTHKTSLGKLQWRIFMETPEEEFARELTRVDWIMYNSFRPRDLVRHVS LSGVDKDKVKSLTNVNRMIKQFNHLAFFVASLILLRDKPKHRAQALEKFMNIAVKLRR QNNYNSLGAVIAAINGTPVHRLTQTRDLIPIPTQKEFMRLVILMSTQRSHFAYRLAWE NSFSERIPFLPLHRRDLVSAEEGNKTFLGENKSRINWKKFEVMGEVVLGIQRSQKSPH PQAQKFDDVERLILDSKLSGDEEDLYARSLYVEPSTGAEGRRKFGWLRS PEX2_006640 MRTDESHKIYCAPKAPSNEEPSNAPAPNAVPTPENDTNGTEPTG PTGSAPAMTPAAVAASAEMKEFLSQHPELRSQLQDMYQSTLEEEWVESYTAPARGRGR GRGRGRGGSTPRSRGPWTSEKGFNRGLGRVRKLRQDCEEGTETGQTAEAFMRFMALVK KGQDSDSA PEX2_006650 MFRRALLSSRLATPVECSARTIRPAFARYRYVSSAARLPTITAS ETRRRPTVRPHQQPASQGGVNGLSPTSKRTIFIQTENTPNPDALKFIPNHRVLPEDFP STFLEYMTPRSTLAPPHPSPLAAKLLDVEGVTSVFYGTDFITVTKGSDANWSHIKPEV FSIITQAVTSGETIVTTVEGAIGSEQESGEDSLSFNEDDDEVVSMIKELLETRIRPAI QEDGGDIELKGFEDGIVMLKLRGACRTCDSSTVTLKNGIESMLMHYIEEVKGVEQALD EEEIISMHEFSKFEEKLRQQKGAAATAKIVVTASLFETR PEX2_006660 MAHSSTPPSAATASAILEKTHPGVRRSTPDSDALASSDDDGEHG AQAQNIIPPTTRPPVRRTSWLNEVPFSAQRKHSLPGGHLSSAPSNPTSPASDQAPWAT TTSPGLAGSFNWNQNGGNAFSWGTGTGIWNTESRKEPPSRLAEMVPSPTMTNPPPLGG NVSDEMLSPITRTISGESTIPFSIPLQPTLKTYRSQSYSVGQMDPEFLGLSTNKPGAA PAAGAQYPGSRSRGPGQMSAVQPRASRPSMLGELGHDTAMLGRVREDDDGDESLNGSE SDMSYSASQARQIEQLARENALLRQAAAAGQMDNRYRERAGSAASIGGSHSLHRIRGG VPEGDLAGEDLGELRDITGYNNMRGNSRRRFSEHLAHPEAQFTSFAPPLENRALDNVR KAHWQTSLGFGGMPDMPQSRRHSFAEIPMRHGSIGPVDSHSTATPRATMGDRDDGYGN LSDYSNQPYYSREQTLRGDGSSGLPPSLSQYAMSNAYGRQPSALSHAHQNQLLYIVAF KCSRADVFYIQEDTGLQVKTGDLVIVEADRGTDLGTVIHANISLQQARELKQHYAEEH YKTLMIFSRHGQPEGSTLTNPNSGMNTRGATGGMGPHGPHSAQEPATDIKPKLIKRLA QQHEVLTLHDKEGNEAKAKRVCQQKVVEHRLNMEILDAEFQMDWKKLTFYYFADSYIN FNSLVTDLFKIYKTRIWMSAINPASFVTPPAAGLSGPSPMPNPLYGQETDRRHQLENR PYVGSRDTVDTGREMPNPVGMLRTSYGESYQPFSQPSRHSEGPAHSDVFSPQPSSFGP ADSFEYPGSIGGSSGSSRVHPAQGEWINRFQGLSLNS PEX2_006670 MVKPLTFKGDKPKKRKTRTTDNEAPTAKSRKTEPEPEDNPEDQS WVSADSPSDIAGPVVIVLPSDDPTCVASDANGQVFASKLENLVDGDPSTAEPHDVRQV WVASRVAGTESFSFKGHHGKYLSSDTHGLFTATASAVSHYESFLAIPSPEVSGTFSLQ THGGDGESFLSIKEKSKAASGVEIRGDANTISFETTVRVRMQARFKPKLRASKESKAY EKISKKELEGIVGRVLDEDEVRRLRKGRREGNFHEVLLDVKVSGKHDKWA PEX2_006680 MATSIPKTMRALQYSEPKKHKIVEVPVPEIRENDVLIKVKACGV CGTDLHIHEGEFIAKFPLIPGHETVGVVAAIGPKVKDFEIGERVVADNSELCGTCFYC RRGDELFCEHFEAHGVTMNGGFAEYCAYPAGRVFKIKNLSDIDATLLEPASCAAHGLD KIAPKMGSSVLIFGAGPTGLVLAQMLRQNGGCNVIVVAPGGLKMDLAQKLGAGDKYIE LSRESPQAQFDALKAENPYGFDIVVEATGSQKILEDSINYVRRGGKLVVYGVYSSEVR VSWSPAKIFGDEITILGSFSETYKFPAAVDYLDSGKVKVDGIVNKVYKIEQWEECLEA MRNKTAIKAAITFD PEX2_006690 MSTMTNIPMTIPKDGSLAKSMVKDIISTFLTNEWPNVDPETLIV TRNTGYANTNCVVERPQPKGATPSEPLKVFLKIHGELDGEIEVFKHLVPTKHEEAQLC HDYAQFGLGAKVYGFFQTQDGTFGRIDEFLDARNLEPADVEDANIRAEVARENAVFHT LATHREKKPVQLYYDAVAGELARYHKMDKLKRLANEGGVNMDNLVDYDFVSRIRQVIN RLEAIKGKKGWCIHDVQFMNTMVKNSPKEGESKIILIDFEFVFQNYRGFDIGGHFLQK MFQWFDEENKIANCRPYTEDEKRHFCEEYAKQWNEQTGDSDTGEQVFKESELGFMLAI TFEIHNMLCFMDQDDDKDTLSMLGLNKLFEEFVNQSNKLGLEK PEX2_006700 MWRDRISVQSTPSGANSRNLSPLPQRSSSQLSSSPYNSRPGVTS RTSSTSLLATPNDSTASLPGTARATNGSPLKQTSIQRPRPANVPDPLEVLNEIIGKET QTDKHVPQLSSVPERPGQLVEAIRFDGLSLEDFLEKKDPAPKKKTRESDVNAQTVQQF EQERDKFQELHTSITGCDEVSKSVELYLNDFQNELGAVSAEIETLQSRSTQLNAMLEN RRNVERLLGPAVEEISISPKAVRTIVEGPIDENWVRALNEVDSRTANIEAKAAASSNG YKAVEDVRPLLTDVKTKAVQRIRDYLVSQIRAMRSPNINSQIIQQQRLVKFKDLYSYL SKVHPKLAGEISQAYINTMRWYYTSHFTRYLQALDKVKVYPPDRNEVLGGDPSTHRSG NMMPGGRAGSAVHDPFSLGRRIDVLRTGNLQALSSYLAEEDNTYHGIEVPFRNFNLAL VDNVCAEYSFLTEFFSPKTFHEISRNAVEIFQQVFTLGQNQTKKLIEHTTDSLGVLMC VRLNQHSAFELQRRKVPVADSYVNGTNMQLWPRFQVIMDYHGDSLKRVGSNTGRSAVS ALSIAGGDDLKQSSAPHFLTQRFGQLLHGILVLSSDAGDDEPVSNSLARLTAEFDSLL AKLSRNGTDAKRRERFLFNNYSLILTIISDTQGKLAVEQKQHLEEMLKNSTSTKR PEX2_006710 MSAEEHDVTFDSADAGASLTFPMQCSALRKNGHVVIKNRPCKII DMSTSKTGKHGHAKVHLIATDIFTGKKLEDLSPSTHNMDVPHVIRKEYQLLDITEDGF MSLMTDNGDTKDDVKVPDNEVGEKINQMFLTEEKECNVVVLTAMGEEAAMECKEAPKS G PEX2_006720 MDFGIVGAGVQVAEDADDFGNLGKTHRLRKEIREKTSKTLRGRP AYTLFLQIYQLILWKQCHALVNEHGFPEELEVVVRDLWALRLQDFEMRLTDATEDDGD DTESELFSSQPAGKEESRDGFRPNSRYLEWPRLIDSVALCYLAAFLMRLPVSVIDFYD MIMRQKIPYARVLATIPREMRERLPPELTAILEVNTIPNAERFHRGILAIVLFYQRRF ELELPALNSPMVLFRHIKRLALPIEVYDATRILQDLLGFTFQYPTTTSSDGRKTALLL PDLQLMVLIVISTKLLFPIDDLKRYPTTAKEPAAQVMDWTHWARAQNNFDHDQPFGGK IGKNTAIQITDQDVLNMTSAQLDHYMDWYESSWLDTSKEPNRIAELFPISRTEQNIQP TSPAGPEPSSTSVPADPALPPGSLDPAAPELSSTSAPALRDATQDKLELLLRTVMQTL RARRVIPDDDETDHRRPGEWYHRYRWESHLSGPARTLYELAAQLAAVPLKTFVRAVTV VEFRIAKQDEERQNREYFASLGTEGMDTDESDEDHTYKIADFEEDMSDAESEDMDDDD FLSNSKMCSAWNTATDSRQQRKLATTNIVFFRAVSVQIFVKTLTGKTITLDVESSDTI DNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPS LKALASKFNCDKAICRKCYARLPPRATNCRKKKCGHTNQLRPKKKLK PEX2_006730 MANRGYDVVVDVDAEGDLGHTDLQEDLEFHPSNFENDQRTAKVQ GDSAPFLGGGSSRRDRSPGGTPTKHSWWSLHYYERFFDVDTNEVFRRCVATVYPRTNF LDVLEGNADLYGPIWIATTVVVILFLTGTISQWLSNNDDKHFEYDFTLLSGAAGLIYG YTGILPIALWGALRWFGSSTADLIECWALYGYSNLFWIAVALVSWSPLTALNWALVGV GFAWSVFFLLRNLYPVLNATDAKASKILLILVVVLHAGLAIAIKVLFFAHGSPVSKKN KDKDHDDKKTDDNKGMMFRA PEX2_006740 MKDTGKEQNHPSFEDTSASEESFKNPKVNKGEKGMARAEKVRAI RKACATRDVEALAAHATSEGGLLEDDLRQIAWPILLRCDEQRQQFDTVSSPELLRHVD EEQVELDVNRSFVYYPKAPEEEMLRKKQQLSNLITQVLREYPMLCYFQGYHDIVQVLL LVLGEKQAVPAVAQISLFRIRDYMLPSLSPAVKHLHLIPSIIETTDPELRRHLGNIEP FFALAATLTLYAHDIQEYSDISRLFDFLLAREPVVAIYLFAAMILSRKKELLEIPADE PEMLHFTLSKLPHPLDLDGHILRAARLFEDHPPESLPLGAWKHIPWCSVLKTSRDPHG KYTAADAVYLFEKQSQQIRSEERRKRALDFLWSHRRSVGSVALAILVGAASFYIRKRG LDASIWSYVGRIQRAIQTWV PEX2_006750 MSDNQPDMLPTRLHGLLTQPLIPSPVINWILPARLRDKDHNDVV FIGERRIQIKEALPSGHLQDVVEKSDLEGSIIGAKVINVSTQGASGLMAHYYDNDNNH LPPQILFLATDSNELLFMYYSEVGDGPFVCYNRPLPRDVNIADKYGKHIAVDPKSRAV AVSASRNFFGILWLKSAAELQAQMAQGKLNPLESESFLQVDGDILFMEFLYPKDDNDK RTILLLIVYKLGSTRSMVFEWNEDSMPSRMSPKFTSNFLPQQDQLPSMVIPLAKESSY LLITTNSMAMYTPNSSFRPMRYPPIIPDTESSEAGLWTRFARPSRNRMYSQRYDGILL CQEKGWIYYLEFGNDGELETQTSLGQLHCDVDTAFDILDMGYEGGDFIIAAGSHGGGG LFVQEARDRPICVQRFLNWAPVSDAVIIPSNPPAVLKHNSYDYDRLFVSSTSASGTGA ITELRYGIEAQIGVSAALGELSSIRDMWAVSFGAKDSIYLLASDPLSSMLLRTTPDMR DGITALEDDTGLDIQQTLAMDCTPSGVIVRVTERAVHFFVPTDFTLNSCVEHDPHVFV TAAIVDGPSSTVIMTTRSNKGNFLHILRVVTTEGCASSLSDHEPPYPLQKEPICISYQ NWGELGFIFLGTSDGTVLSFEVYNETGGIRQHADTLIEIVDSPEDVSEPIESLAVINT LSDDGIHAFLFCGLRSGTLVPFEIDTTIVNVHGDPVFGFKCLKQRAPHHLGQTSIIVK SYNNFALFTCGDDFWRVSYAPDGNGSDYFLSRVWITDQNCPAYFPTRFSSFDIVDVKD RWSEVPITYLFCFADGQLLVCSLEQEAKVVPRRIDIPGNPSKLTYSNHLRSLIVSYSV AEVGNQARPFDLSRTAYVEFVDPNTQSPVVSNDMDMVSQGLLPWRPHGVSGEKITCIF DWMPEREGNAYHLIAIGTSINLPHQPNNYQGRLMLIQASRDSNYPGQITCIDKHTQRF KDPVYAMAACEDSLIVVSGKKFFTVSSRNSQTKWVRNITATLPSTAVAMEVRGKMIYV TSSRHSLLVYKIVDGDIQMHGFDPISRDGLSHTLMPGYGIQSDLIFVSTRGGATRAFT DLDQPGDLVPRPTANLPVSLIKLARGIKSPDSLTTTKTFYGFGINGSVYRLLALDAEE LRLLQLLLNICLRDEVICPSLSRRYRYLDAINQVENNMHIDGNILARLASRNSKYLDE ILMACNRSQFKDLTPETVHMFFGAVEDVLGVHVNHTQALFSWLRKMLHIEI PEX2_006760 MAPKKKGNKRQEEDWEADLGESAPAVAPADEQPQEDANEEAAPV GGLMAALRKNKAKKAKKGKTNDFVEGEDAEGANADPLAGKQPEEGNFDDDDVFAGKKT KPIKEVVKPAAEEQPEGEFRVKSKKEKEREKKEKEKQRKKEQAATKKKLTPAQEKAEA KKTATAEAKKDEAAAAAPSAPETGKKKKLPPHLAALQRQQELLRQQREEEERIAAEEQ AAAAKQKLLDEEEEKKRAEARERKKEKEREKKEQLRKEGKLLTKAQREAKERNELRMQ QMIAAGGKVAGLQEGGDKKARPVYENKKKRAGKKQEEDLEAAAARAQAQREAEDRKKK EAEEKAKAEAAAAAAAATPAEEDAIEDWEQAADEDVKDSWDAESDEEEKPAEEAPAEK KEESGSESDSDDSSDEDSSDEEQSANQRAILARKAEAAERRKIKHEEALAARSKDDLR SPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQIGATYFPVDALRTKTQVVNKD GKFEFKVPGLLIIDTPGHESFSNLRSRGSSLCNIAILVVDIMHGLEPQTLESMRLLRD RKTPFIVALNKIDRLYGWKKIDNNGFEDSLAMQNKGVQNEFRTRLEATKLAFAEQGFN SELFFENKSLSRNVSLVPTSAHTGEGVPDMLKLLTSLSQERMTNSLMYLSEVECTVLE VKVIEGLGTTIDVILSNGILREGDRIVMCGLNGPITTNIRALLTPAPLKELRLKSQYV HNKEVKAALGVKIAANDLEHAIAGSRMLVVGPDDDEEDLEEEVMTDLENLLSRVSTDQ RGVTVQASTLGSLEALLEFLKVSKIPVANISIGPVYKRDVMMAGTMLEKNKEFAVMLC FDVKVDKDAMAYANEIGVKIFTADIIYHLFDSFTEHMAQITEQRKEEAKLLAIFPCVI KPIAVFNKTDPIVIGVDVIEGSLRLHTPLAAVRTNAAGVREIVDIGRVTSIERDHKAV PVCKRGQPSVAVKVEGPNQPMYGRHLEEKDQLISHISRASIDTLKEFYRSEVSMEEWA LVKKLKPVFDIP PEX2_006770 MASTLPSKIQRSAIVLSSTAVRIPARSAVSFASALHRTISAPTR GLVTSPQNRVLPSRQVPSCSLRTKSSVSIKSARQVQKRWNSDDASSVKLREWGFEDIN AALPTSTPNSPTHKPIILIDVREPAELKGTGVIPTAVCIPLASQGDALYLTPDEFETR FGFAKPDPEEGEGEPAQMVFYCKAGVRAKAAAQMAVHAGYDPANIGVYMGSWLDWERH GGKVERWDGDDF PEX2_006780 MVNMANLTGSFVSPSADATVSPQLFAPAGLVATILDGFTIWKGL LTFLVAAVIYDQVKYHYLKGAIVGPMYKIPFMGPFLESVNPQFTKYKAKWDSGDLSCV SVFHKFVVIASTRDMSRKIFNSPAYVKPCVVDAAHKLLGKTNWVFLDGKAHVDYRKGL NGLFTRQALAMYLPRSEEVFNAYNKHFLEKSAATNFTPTPWMSEFRELMCALSCRTFV GYYITDEGVQKIADDYYLITAALELVNFPIILPYTKTWYGKKAADMVLVEFTKCAAKS KARMAAGGEISCIMDAWVKAQLDSAAYREKIEKGIPVDSSEKPSQVLREFSDFEIAQT IFTFLFASQDATSAASTWLFQLMADRPEILDKVREENLRVRNGDRDLPATMDMLDQMP YTRAVVKETLRYRPPVIMVPYLVKKDFPITDTVTVSKGSMIIPSVWPACHDEEAYPNA DSFDPDRWITGTAEQQTKNWLVFGTGPHYCLGQTYAQLSLMSMIGKASMVMDWEHTPT PESEDIKVFATIFPQDDCLLTFRPRA PEX2_006790 MEVTDFIFKEREEVLLVGDYNAYRAHTTRKLQKLRKKLGQATVK GRKYTAKPAVTAENVGSNVSYVHLLLLSSERAWAQAMHMKSTHSADTSAKGISGAARR HIISRLNKATGYAQHLVSLLHEQSLSGANDMDILESRAYLAMISGTLWLEKRRWEQCL QDYSIARVIYTALGQIVKRDAFRDLLSGTVDPSLRYAAYQMKLPRSKPTSSLAIEFFP SDSEIRAEVEKIDPSCLAEEAAGTRRTAEGEVQELPQSVTWRSRTVPLEDASISQSLA AASAAEARLTTWLADAGKSAAAKEKAAAYDNVIIASQDAVDATKSAIDDLASEGVDPS DKRMQALQITRTAVNYTLVGWRVGRNRVLCGERDGLSFEEQQPTTNGKEAVKHTTGNG KKLNKLRERVVLYDSTLQSLDFILELPGVAADSAFVQDLEAKRHYFRALRCLALGRSH GVLSKSKEALALFSQALNLAASASPQSATDAEGVPRLDVSRTQTSTLESTLRELVAQY RGLVTLEKVTAEEASQSSQRPVVERLQQFAGSGLDLNNLVPYPPQMQPIPVKPLFLDV AWNYIDYPREGGQQQEAPAQAQAQAQGPVEEETKGRRGWFGFGGR PEX2_006800 MTDSIPYFLDEEAHFSGSKRPSIDSYGGAMNAISKIGDVRTVQH LFDRFLADHGNPKSQRSVTPLLAVHARLGDVRETRLQFDRIPTEFGLPLNTVCWNILL LAHATAKDLSGAISAFSEMRENHVPPNSYTFGTLMGIFAQRGDIEAIRRLLKEAQKSR VSITRPMLDTAVQAYCKNGQLGHAEELVASSWNLAVGGSPLRMWNFLLMRYAFRVSKF SFRRVLDRMGQLGLKPDDMTYAAIMLAYVYARQVDRAQTTLRQMHEAGFEPTEYHYSI LLLGYVKQRNRDMVHVISREMQARFGQLGMEASLLNLRMQITRDLGNAKFLQTPVEDI VLENAEKTLSESIAQFNAHPSPADSRLSGSLEGFALESFTATHYQRLVDAYGTEAGAE RALQAFNRYMQSRRTAGSSDGDGLESLPIGFVTATMKAYSKAQNHQKVEECWVSIMAN VSKTAGTCDLDKILSARSPMSTLSGPVESRLPSILSMSTIQAEKSKIIPAQRFILDQP LSLQLASLGQRGMFERVHQVVAEVQAAGFALSGFNWSNYVRVLAKSDNFPDNVEAFRL FEEKFIAHFPGWSWFLKGYGVRPINAPVTILHLEGRSGITKSRRMMGKAARRHWRQIE PDYMHPNYATMVQLAAALQRLRQTSIVEGKERLATIYEIAPQTVDALAAMPYVADKLQ GTILRGNEAKSDTPPRPPRVFSMRSGVLGSSSDMHERRFSDATKEPLLLSSDQFSETS APDLKLIRSITSETYGILGPLASILPREDQVDLESTILEHHRIYQQWKVRNEDYKEHI AKAQRNNNLPRFHAYKRRLEKLQHVNIQRPTPERQLFDKANRKWKLDTPAHLRYNPTS GLHVRPGRTPSQRSSERGKFVKSWTPRDKPGDDR PEX2_006810 MEEDLSDLLDTHQDRPTEVRHRDQVKIITTPWTETQTLRTARVT VEAGRGTWYPWDAPSSRATSPVDAFHFSKSGNPNRPPSTPSFPPHYIASHIMDGLQLR DEAAQDRVRAATEFLDPNDARARSYRADIVVMLNKGARRLTVSIDEIRAHNRELADGL LSSPFDYSQAFDAALKAVVKTLPGRPLKETSDEANYYCAYVGAFGEFSCNPRTLGSEQ LNHMVSLEGIVTKCSLVRPKVVQSVHYVERKDRFVARKYRDQTMSASGATSLNVYPQE DDEKNPLITEYGYSTYLDHQSISIQEMPERAPAGQLPRSVDVILDDDLVDTAKPGDRI QLVGIYRTLGNGGSGSSTFRTVIMANNIIQLSSKSGGGIAEAVLTDTDIRNINQIAKK RNVFDLLSKSLAPSIHGHDYIKKAILLMLLGGMEKNLDNGTHLRGDINILMVGDPSTA KSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTSDKETGERRLEAGAMVLGDRGVVCI DEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNARCSVLAAANPIYGQYDPHKDPH KNIALPDSLLSRFDLLFVVTDDIEDAHDRIISEHVLRMHRYRQPGTEEGAPVREQLNQ TLGVGGEDRQDANAPSEVFEKFNVMLHGGMVDAANAGRRRGKNVEIISIPFIKKYIQY AKKRIMPVLTKGAADHVIATYSALRNDELTGNKRRTSPITPRTLETLIRLSTAHAKAR LSNRVDEKDAKHAEAILRFAMFKEVVEDERRKRRKVTTFDDSSDDDSDAELDDNSDDD TTARNTSTATPGRRTGTARTRGTATQSSVPVPDEDEDPDSLYTASPRAQRLRSSQTAR TQTQTDSQMSMASSQPASQLIESQTDSQSTSTDVPITPARMTVFRQTLGPLMGKTLFK DSDTSKIDDLINAVNTAIRESPKLGASHVFSRPEAIQALRVMNDDNILMYLEDEQDVY RV PEX2_006820 MASPLDQVVKGAPTPEARASSTPEPLNSSRSSQAHRSNSSPQRP STPDPLAHLPSSPPQIYLNLLILETSLRAQYLALRERRRQNTFFLLLLAAWLTHFAYA LFLRPREDGRGVGGSVYWVVEMAEKVALLGGVVTALLVWGTGQWERGIRWPRRWLAVS NRGLRVMNTKIIVIRGPFWQELLSYISFLFPFSAPFLPSPNGNYHFVEQSPSERRAGG SRQHYQQYYNGIDTESGLVEEDLSPGGDYIRLLLLPKSFSPEFRENWDDYRTDFWEKE NERRAQLRQKLREREHHLAQTEGGWLNRLGLGWRASQRRRLVAGTLHRPIEPEFKHRL GHGHHLSITKLNQDQRGPSSRRSTRSESHSRTSSRSTTPVDTSFDDRPPSRSSTSSRP RRGSTASGQDASPQQRKRKGSTLRRGLSPLTQAQIREGVRTPSFSSDDSVLPMGMIEK DKEEAIPNSVPEL PEX2_006830 MASESLNSSHEDVHRRHQRPGENNTEGISGSPYANQAAAGAAAA RTLFPLGYREGFSQWWARIPAAAAEHKVLSYLPYLHHQPPTHLQTGKTTELPDGTTPT SLESADPNQLGEVTTSSLDDPYGPRRWRSSMVELDGKDRALNEFSVERIGEEADQHLV MLHGYGAGLGFFYKNFEPLSRLKGWQLHALDMLGMGRSTRPSFKIKAKDREEAIREAE AWFVDALEEWRIKRKIDRFTLLGHSLGGYMAVAYALKYPGHLNKLILASPVGIPEDPY AVTADVAEPPASTLSNELTQDERDIAAIPGTAPKTAEGSFITGRQPLPADSVPPPPPR RVLPKWFAYLWDANISPFSLVRWAGPLGPRLVSGWTSRRFSHLPAEEAKALHDYSYSI FSLRGSGEYALAYILAPGAFARSPLIHRVHGLGRQLIQNTSALPHPVAAATSSSTTSE NTPASTSSDSLAPARREKGLPVVFMYGDHDWMDVSGGHAAAAKLEEEKRKVLEKATPE EQRADEGSSKVVIVNKAGHHLYLDGWEQFNSIVLTEMEEVNQRERSRQ PEX2_006840 MIEAHNLSTPTPVYGLPISGDERRDFEGVGGWRSYRASKTRSSH SAHEKSTSSTSSSSTHSTGDVDADEDIDADERAWLSLNNRLELPSQLVTLCTSVHSGS TLNSPESADWRNGRSNFQSLTGATGSQDIYREKQHDGSRHHHRSLTTSSLTTSDRHTG TGLSLALSTRPDNTAVHSREYGQSMSSSTARLTAPFVTPQPHARLDSNPPAQSRALPR AFHASGAPFTSSYLSSFGDGLGHSFGSNGSGGSFANGSGGGYFSLRRPGSSGSHIYSG RVSPSTSGYTTPAVGPSTEERDAPSVGLARLMAHYPTSPRHRRQSISGLNSKGLASGD RVG PEX2_006850 MPEGEPFPWDIGVHDAHCHPTDTLASVADIPQMKATTLTIMATR GEDQDLVQQTAVSLSAKKSQSTTDRVVPCFGWHPWFSHQILDDTAQPTAAQSPAEHKS THYAAVLAPSPADDQPFIDLLPVPKPLSELISETRNRLQQFPNALVGEVGLDRAFRLP SPWTPTDIDNRDDQLTPGSREGRRLSNYRVKPEHQRTVLKAQLQLAGEMNRAVSLHSV QAHGGIFEVLKELWAGHERVVLSRRKRDKQRDAEGAVSGDDEDDDENKTPDGSQSTKS SDPNGKSYPPFPPRICMHSYTGSVEPIRQFLHRSNPSDVYFSFSKVINFSGNAGKKVG DVIKALPEDRILIESDLHVAGAQMDDLLEDVARQVCQLRGWELRHGVQVLADNWRRFV FG PEX2_006860 MSSVNDNPPSPLPVDGVSKRKQGSAACVHCHRRKVRCDARTVGT PCTNCRASGKADCRIHEKKKRLAVRSILDPVPIRSRPLPTSDPTPNPALAAGTPLPPS FPTAFQSGHATPISGGLPQHAFPPGTGSEAFGRHGPDMAHAHEAHSEMEQHLVKLIDE EESGRREIQRGVRAFYVGHEHSNMSFLFRQQREQDDDVYHFASNEIPRRQMKTGHDQL LMDALTLPEPVLADELVQAYFTYVNPGYPIIDEDLFMTQYRNRDPADPPPVLLLQAIL LVGAHVSRAKGERDTLKEIFFRRTKYLFDNRIERNRDILVQAALLLTWHSDSADDDVA ADAHFWVGAAARIATGLGMHRNPVSSSFVTRDRRMWRRVWFILVQFDVLISLSYGRPQ AINLDDSDVSPLTPADFENCGPLVQADYVIHFTELCTMISYLIRDRFGLRASEERRKA VLQEADGSLATWSLKLPDNLRLRASDMDPWSAMLHLTYNNFLILLHRPHPRASAYSDD YGPHDAEICSAAAGVIASIFEELRMHERLKFLWYTGVHTLFTAMIQVRVELRFSNPVL AINALRRFDSASYSLRELAQYWVHAGTILRLFEDSKRLQEDLRMATTERPKPFSDPHH AHKMPIASPVPSITMQTPGPLSFGVPTPESTTPLQQNTLSPQPNPQFNNWIAPLRLNV GPLERNDPFSSSMQVDSIEPARDYPDWRQLFAFGDVDLPPPVGGEGLPELEDEWRQIY WQDAPMADLIHDGGWIHS PEX2_006870 MKSSLLFCFIGLSAAFHLVPPRPTKKFELNLTWGTKAPDGIERQ QALINGQFPGPALIFDEGDNVEVTVNNFLPFNTTMHWHGIEQQGTSWSDGVPGVSQRL IAPGGRFVSKFTASQYGTYWYHSHCAGQIMDGLYGPIYIRPRDIAANLASMISNDTKA HSQINNAIRDPKLLMISDWFHNTSEELRNIAVSANLDTLCADSILINGKGRVRCVDPA YLTSLTPAPLLPLLQGMNYTAKGCIPVRNTFAQTTFAQHNYTAIPPSLFDQCNSTESM EEVIKVDHQKGWVSLNLIGSASVSVPTVSINNHSLWVYEVDGRYIVPTKVDALSINNG ARYSVLVELNKTPGNYLITAANAGLNQKFAGYGTFSYINGDSSVVGKPSINYGGNSIG TDVVVLDESKIKPLIPSRPSAQADQTYLLTIGRIEKAWKWSLHGNHSYGLSLESEKPM LWDPQSYADSDLVIATKNDTWVDIVFAVSGKASTIQPGHPIHKHSNMVYLLGAGSGEF NWTSVAEAQQEIPEMFNLVDPPMRDTFTSLPALQSPSWMAVRYHVQNPGAFFLHCHID PHLTGGMALAILDGIDTWPSIPTQYGPKGHWGKANQIGNIIDDRDGYKG PEX2_006880 MSTSNRILRVGIIGCGEITQVAHIPTLGFLSDYFQVTYLCDVSD NALTHCSKKVIGSTPKTTRKAEDLCASADVDIVMIANSDAFHVPHAVLGLKHDKVVFI EKPMALSLQDADQLIELEKQSSGKIMVGYMRRYASGFIDAVKEIGSLDQVCYARVRDI VGPNSDFVGQSGTFPKVFSDYREEDVKELASRTDEFLEQALTTELGIPVTADTAAQWR NLGSLGSHDLSAMREALGMPTAVLGASLCSARGPPFWSALFQYPSFAVSYESGIDYVP RFDASIEVFGSNKTVKICFDSPYVKGLPTTMHIREKLEDGSFRESVVRKTYEDAYTLE MKELYAFVVEGKPAKTTSTDAKKDLEIFGMIMKAGLEGQARLNKVVLN PEX2_006890 MSNSPSKDAELDPEAQSGEDQDHMDKDHHDVQGHPGEFEVKEQD RWLPIANVARIMKLALPENAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTVN GEDILFAMTSLGFENYAEALKIYLSKYRETQSARGDNQRPPSAGYGTGGPVGGPGGPG PARPTGFPDAEGANPMLNPNLDQSEQDASAYGYSSMVGQPHNGAGGESY PEX2_006900 MSSQKQIEPKKQQELQHQYTNFKNTLQQLAQKIGDIEQEAEEHK LVIETLDPLAADRKCFRMVNGVLVERTVQDVLPTLKTNSDGLKQVLEDMLKQYKSKQG ELDTWKKKNNIQVVQP PEX2_006910 MSRNDMGPPAKRHKGFGHLPQGLRDAKRKDIDNWETNRMLTSGV AQRRDHEGDFMLEDEEATRVHLLVHDLKPPFLDGRTIFTKQLEPISAVRDPQSDMAVF SRKGSRVVNERRQQRERQKQAQEATNIAGTALGNFMGIKEDEGDSAVAEPIEDTYKGG GNKFAKHMKKGGGGASAFSSSKTMREQREYLPAFAVREDLMRVIRDNQVVVVVGETGS GKTTQLTQFLHEDGYSKFGMIGCTQPRRVAAMSVAKRVSEEMDVDLGALVGYAIRFED CTSDETVIKYMTDGVLLRESLTQKDLDKYSCIIMDEAHERALNTDVLMGLLKKVLARR RDLKLIVTSATMNSERFSRFFGGAAEFIIPGRTFPVDLHFSRTPCEDYVDSAVKQVLA IHVSQGAGDILVFMTGQEDIEATCELVEERLKQLNDPPKLSVLPIYSQLPAEQQAKIF EKADPGVRKVIVATNIAETSLTVDGIMFVVDAGYSKLKVYNPRMGMDGLQVTPISQAN ANQRSGRAGRTGPGKAYRLYTETAYKNELYIQTIPEIQRTSLSNTILLLKSLGVKDLL DFDFMDPPPQETISTSLFELWALGALDNLGDLTSLGRRMTPFPMDPPLAKLIIMASDE YECSEEMLSIVAMLSVPNVFYRPKEREEEADSAREKFFVPESDHLTLLHVYTQWKTNG HSDAWCTKHFLNSKSLRRAKEVRDQLLDIMTKQKMTLISCGTDWDTIRKCICSGFFHQ AARVKGIGEFINLRTSVTMALHPTSALYGIGHVPEYVVYHELLLTSKEYMSTVTSVDP HWLAELGGVFYSVKEKGYSQRDRRVTEIEFNKRMEIEEQMAADRERAAAEKLREKERN DPARRRTEIEVGGKSAVRKPIIKPGRKVGGLTASSSSSSRPGANVDGAHDGGSRPGSS VVKRPTVPRRPGRAF PEX2_006920 MAPKRHAASTTSAAPSTPTPTPAPTTPKLSSGPTTLKTNASAAE IAGHVWQQYASTTSQRTLLLDAFMAFLVLVGGLQFVYCVLVGNYPFNAFLSGFSASVG QFVLTASLRMQTSDSGTGSGSKPSSKGKNARFADESEEQGTGTSHERAFADYIFGSLI LHFFCVNFIN PEX2_006930 MSFPSASPEELNLFPVNNNSSNAPLPTNLTATFPRHAAAEPPSS TSRSCVTCRRRKVRCNKRSPCSNCTKAGIDCIFPPPGRAPRKSKRPPDAELLSRLRRL EGVIDHLRGSGNTEGASTSAQARSSTPGSSTGRSEPTVPTSVPTSVSAEPQNQAVGGT VCPFEESDPTKLAPNKFENEFGRLVIDEGRSRYVSNRLWTSLGDEIEELQDILDHSSS GEDDTPSPESSHSGSHDGYIFGFYSLSKSLREFHPPTSKVSILWEKYRENVAPLITIV HRPTARDLFTEAARHPDALDKNSEALMFSMYLSSIVSMKPDECLFQFGEDRTTAVKRY RFATEQALAKAGFLNTQSLVLLQAAVLFLVCVRREDDSKFVWSMTSIVLRLAQGLGLH RDGTNFSLKPFETEMRRRLWWHISLLDVRSSEDHGTDPLINESMYDTLLPLNINDDDL SPDMEEPPKEREGCTDATFCLIRCEITSALRRANYACPRARFRPSNSLPPIDRAGRMI QIISDRCEQRYIRHCDMNIPIQWCSATVGRLILAKLWLIVHHPMTRRDRGNVSQATRE SLFLTAIEVLEFGHLLETDTKTAKWGWLFRTNMQWHGVAFVLSEICVRPICPITDRAW SAVSLIYTEWASQATHKKGMLWRPLAALMRRAAATRSKQQQELISNFGPLPPTTEPIP RVCPNSLPRIHMPTGMLNSPSTNRPGPTAPVMSEDYNIDLSNGPMGAVQSMFPGTDIL ATSNDIFDVPPAQDNISTPRFSAGMPYNTDMSNPFLNQIPLPESAQLSWEEWDQVMRD FQMDVENDDSQPIDGTKVTEWFT PEX2_006940 MPETSPTSQGESSTLQGSAAQQLNRSCESCRSLKVRCLPSPSTP NQCQRCAKGKRSCVFVAPQRRRPRKRTDSRVAQLEKEMRMMRSLLKNRIPEEEPDSSE ESDGDDVQDHESGDGDFKSNLALREHSAHSSEDVRYTDYSPELLTTPHPSMSDSGGYS APPTFSGMPAGFVSEHERIPPADDVIDRGILSLEDAEQLVAYFIHELAVFFPLIVLPP NTTAAQLRKTKPVLFLSVIAATSISVDVGLAGVLNREMVRLYSERFFIEGEKSLELVQ ALLLMIIFYFPPVSPLKLQLYQYTHIASTMALEIGLANKRRVSKKFDRKSTRHDEVLA EQARAVLGCYHLGSSVAMKTRRPNLLQFNDWMTECLIQLENSPQRTDQHVAIWFELQR ITDEAMSSFGLDDTSTTSTLTESRVQAVLRWFDKRLETWKDNTPSEMLTIPMILEYRS TVLAMYELGVGEGYRDPNALKRRYFTLPTLDEEGNNGREALEGPLSAIRIDINIKWMN AAHEMLDTVLTCSTETMRKLPNLMYTRFGMAVTSLLKIHFSVRTGALGEVVTVQAVNV AFYLEALANKLSEASAGGKYHIPSRWYYVVGVKGRDWYERLEKRQSGGVEVGSRVVSG SPSTGTAESISGPASHPSQGQSQSQNQNQNQGQVPSTMDSFPVASMPPMPPAVEGMRD GYVAMGGPSMWAMDTGHNPHFYQMSAAAYQPSVTYAQVSHVQATLPPQFSYEPQRMPV SAAGQHMARAGMELDGWLPDGSIFGVQPLPEF PEX2_006950 MSSFSLSKGSQASIVKIAGAGFRLSLLLNAAACQLAQSRLEIHS IAKGISLFALALKHIGLTIEGTDVDQSPEATEKAWEIAGQGQMIFIEIEHMLDKLKAT DSDNDLMRIPLQERLKWCFRKQHVTYLLAQLESLKLNLTVMLQTLQLGKVIKSNVDEA IDTDSLTSTADDAIAQEKAESQNMIIVRYWSIKRLDRLWDLVEQENLDAANDPTNQKI SSNYSSNTASASKPLAAATRRLGPTRLPIITLGDSDVGMSDMERSPKDMVHLSESTLD RLLSLWVPLIDPSKLHHTGKGFNKSNKLVQPRVYLSSDTEEDDTEELDFDGHAIRGYY LEGSTVDWRDPHSQEARQNAAELRRKYSSYQAHVESEPEPDEFKHRDKPRSDARVIDS SDEDEQRSDHLPPSHSTNPMQGHRLHSNSVSSRHLPNHNNPDSRSPTYLSGSFPPPNH ALPRPLPLPSHAQPGPLQQPYKYYPNQDYTTARRSIPMNMNQLNNPAITIPSTNPSPR GSSPNQVHFESPRTRNQAYNIIPNNKFQPRHHPSAYPLSSSSPESSFRASPSRSDQRS PSSHPRRSPREDAKDRHKALTRSATRGLAGIGAIAGFMDALEAFSIL PEX2_006960 MTAASGVTVDTSYYPIPSPPNATNSSQHETQSTKGDSPTNQNEK EIEGSAPRPVASEPAAGPAKQDPTAADEVDDFGLPIRARRPDPTLSEPPEGENAGQNT QSVAVSSTGYSDAVKKEKEPELSQGKLDSGAPELANPNNTEERGKGAEAQDTSAPPEY SQAASAVAQPRNSTSKQRTAQVSEWSHQRLAQPDAHHPESDESDAEPDEWQSMPALGE FDYYDDYGRLVARGAKEEGDEAVYQGLGGAGRGYTRVQLDEDAQSATSLDDDTSYLFR EAGDNSAGLVGEELRDSISQLQATKDLLNETQKIAYVGVVRLAIHNMNHDLASIATTK STRRTMQKASDAMRQWGQSIMGRIYAHMDINSAEQIMIEQLAEHGVQPEDLVPPLMQN ARVKNPMAQSEPKTSTSSRKLDSPSRASAETDSSELDPPPPYEAHETEEPPEVKMPSE MPTSDRIDIDLRWTVLCDLFLVLIANSAYDARSRTLLERVGAALDVTWLQISRFEKRV TDSLEMQEQAEKENWDESDHMEKRRKMALKRKYMIMGLATVGGGLVIGLSAGLLAPVI GAGLAAGFTTVGISGTSAFLGGAGGTALIASGATLGGSTIGMRASQRRMGAVQTFEYR PLHNNKKVNLIVTVSGWMTGKVDDVRLPFSTVDPIMGDIYSVLWEPEMLRSMGDTINI LATEALTQGLQQVLGSTVLMALMASLQLPLVLTKLAYLIDNPWIVSLARANSAGLVMA DSLRERNLGNRPITLLGFSLGARVIFSCLKELAEKGAHGLIQNVYLFGSPVVANKDEY LKARSVVSGRFVNGYATNDWILGYLFRATSGGIMRVAGLAAVEDIPGIENFNITTLVN GHMDYRTAMPRILREVGWEVLEDEFAEIEDPDPDNHAERQRELIREIDEARREAEAKP EKKRFGLFKRGKMAEKKKWETYDVDKNTAPRDSTEPESTPGSILFDIEAIRAELHSEA IEVKELESTMPLMKLDLNAPAEPPPAQPSPPVVKRPKTPEPPAASRTSSLPPQASGHY SPHGSNEFSPVPKYEDMEMTFDTSFHDSPRSRNDDYYSRPELRTASTMPTVGSSALGA MAMEPNAWADHDQGHRDGEISMTFE PEX2_006970 MVAISELLQGCLAQFVSLINSDALSDHIEEVPLQDWTDELGRLR LWAANIGAHQTRQSSLDYRLRDASHIQGQIVRLLEQFQELLTDLEDVLEEESDDEAQY DEFEDLGELESESSTTEIQEIHQGLAETITQLYQMSMIIRKPAQHDRLVGTKKLDSEP FEFWAKQHTSNKYPNADALVIDRISSAMARQRAILKYRERHHAKLSQGIYSESDGKST ILSETVVTDVFKEIPGQFNDMASDTGVSQTSYGGALLEGTGSDAPKIPPIPKKGTKKQ PFECPYCFYIITVRDRRAWARHIFRDLMPYVCIFPGCSTPNKLYGGRRQWHHHIQQTH ATISITDGTYDCSICKKCSLPAITFQRHVGQHLEELALFLLPRTDEDEDENELEDDNL SNVSLDDHSIEQSFEERNDMKNAATPASDSDPRNENPMEGLQNKDKHSTSSVGANLSD RETSGDLESNVENKSYPSRFDYRSLDYEESEESEDDLATNQKLFQSDMQHGIDGWIKQ QNTKHLEHEPQNRDQTDARDSSESQLLRGEEDPYEDEIGDPLHRLESFKDKHSHEKEL DRLASRWRLGKDTIERDEEEAEREKLIREEKWKELARLKEEEEEREKLIQAIRDEDIR KAMEAEDQRKKGKEKDEQKEEEDEIKIEKTRWIKVHRKHLLPETLEAYNLPWDWDEEE TKYIIIKQWINEDFQERLFDHTRHLRQDKVEAQTSNSNTELEVAGRKKDKLYLIRKSN PSG PEX2_006980 MVTGIEAAGLALAILPLFVNQIDAYVRGIEKIKGLRRYRREFKG YSVGLRTQHAILLNTLEQALEGVVNDEDQVSELICDPQGDGWKDPDLQKRLRSKLDRN YEVFMGNMAGLSELLEQLSHKLDVGVTDMKTPVTEAWNIWKFRKILSKAVYDDLLVKI DGTNTILKTLVDQSFHLEDTKKRRQSWNYLLKRYQKSRKHAEGLFKAIIGGGYWRCQC KKHHCVHLQLQINSLQSTKEYPDSDFDARSQFRMIFSNTNEADLTSLWTWTEVVFEPW LVEEIVTVASLSQHDDSKSYRQKKPKVQFDIPSVEEARSWEERREALSAPPIQDFCSS LCVAESHVGRRESIGSISNDLDASVRYTMHAVKILPKAFHKSLSARYYRISVDGTAFI SLLL PEX2_006990 MAAQAKPDSSYASNRLTWSNPPPGHLLSTFNKPKPEDAKVEVAG TNKEADQPGVEAKPEIDTDAEPLSSDEEEAEGSKPDVAQPIIDTKVDIDTDAEPLSSD EEEGEDPMPDIAQPSIENKLEIDTDTEPLSSPPLSSPPLSSNEEEAEDPKSNAAQPSV KKKWDIDTDADPLSSDEEQASDSEASPSRKEVNYTTLEEKLAEENNKAYSSPQIHNGH SRRGEFVRNLSAMMGSDDDDLLFFSSQSQNKRHRTKYAYKGTYFNRPSFSAPETKKAK EPSPVEEAPKEPEETFIMPKDIESSSLREPRGGEDSNSSFSHGPYTMEEYEAMLLDDD SPLSSPSSSTCEQMSQLDGPAAKEERQPSPPRIALCPMCHKEVDWASLELFKSQAKQR IREQVMFCESHKLRSAMDLWRDRGYPNINWDKFEERVQSYFPELEKLLVPGAFSYYRN ILGTSFAPGKARNFRVTVSDDERLETMTCGYYGTMGATKMLETIIRRFSVVVRRLATT DELIKTAGVAGYTQSVLVPELAVLMVKDDMKVNDEEARRIMRESMDIGGRVNPDLNVV PIPEDVEL PEX2_007000 MREKDMRYSEITPLLEVNVAPLRHRYPHHALRRACTISLAALLS VATLLFLLPIAILPREGGSIWSYLPGAHPIPHEAWPESYGLPYEQLQQILLNVPSAAK AREWSQYYTAGPHLGGKNLSQALWTLEKWKEFGVEDTELATYDIYINYPLEHRLALLK TSGDKTEVTFEATLEEDVLEEDPTSGLPDRVPVFHGYSATGNVTAQYVYVNFGTYKDF EDLVNANITLEGKIAIAKYGGIFRGLKVKRAQELGMVGVVIYSDPQEDGEITEENGYE AYPNGPARNPSAIQRGSTQFLSILPGDPTTPGYASKPGCERQDPHNSIPSIPSLPISY KEVLPFLKALNGHGPKASDFNKFWQGGGLGYKGVEYNIGPSPEDVVINLHNLQEYVTT PLWNVIGTIKGHIADEVVILGNHRDAWIAGGAGDPNSGSAALNEVVRSFGEALKAGWK PLRTVVFASWDGEEYGLLGSTEWVEEYLPWLSKANIAYLNVDVAAAGTHFEPRASPLL NKVINDVTALVQSPNQTVRGQTIRDVWDGKISTMGSGSDFTAFQDFAGVASLDFGFGR GKNDPVYHYHSNYDSFAWMEKYGDKDFLYHQACTKLWALAAAQLVESPLLALNATDYS LGLGSYLDNIKPAADNLPEKTHFDFAPLDRAILEFQESAKAFDAHAADLKSQLGDDVP WYHWWKKVRLWFQIRVTNAKYKGIERAFLYQPGLDGREWFKHVVFAPGIWTGYSGATY PGLVESLEAGDVENAEKWSSIIQERIGAATKLLL PEX2_007010 MAPPTTSALVLSLLTSLGGIIGYARTGSLPSIIAGVSVGLVYLL SFLRLRAGQSYGEELGLLASAVLGGSSIPRVIKTGGKPVPLVLSVLATYGLFVFGIAF REKRA PEX2_007020 MGKKRRGPSLEELLDRPWCYYCERDFDDLKILISHQKAKHFKCD NCHRRLNTAGGLSVHLSQVHKEQLTQVHNALPNRMGVDIEIFGMEGIPADVLKAHQQR VASQFQQAELDRQHATGNPPTGASSGGQPAKKPKLEPVSDLKKRLAEHKARRAEALAG GSSGDVTPSSAVPSTSTPGGYASSPQIAATPQYSYPQPYGGPPAGVTPHFSQTGSPSY SGYSPVGGQQVPGASPYTPSGYPSPFPAGLPAQPPVSYGAPSFPQQQPPPSDNRFTGL PAASNLPQRPAFAVPSVNAHEMQQMHMGHVPSPTPAASGYSNGSSAQPTDNVSTPVDN QISGAANDVASKTEGTSKPKKEKTKPAVRMVYNEDTLSPEEKMAQLPRYAYVPDRSTQ TALGELPGNVIVGGIQESDTVIDPAH PEX2_007030 MAPIPRADLDGDNPSSDIGDSQDSPLRRQFSEPFRPQSTSTQIS QSPEPMPRRLKCDWCGKLLMLPNDPDISEEDVLNDHINEVHPKSINFSGYDGADDGAE DLAEDLAEDGADDTYDENGDLQDDDAIEQDEPIVQAAKPEDDEAQDEDGEEIAVELTT DDNNGTQPTAVQANISHMPETKRDLLDWLSNPRTGYPEEYRLREAQWRRSDVKQRLER FWKVHDANKFSPNYDQEAAKCEFTWDNAFHDPSKTRKRDAPEPASQPLPYKKPKVDKG QFLEVQAQELDELVSMLRNPEKYTPEELYALTQTAAFALKTIQDEYLALDDLYLKAHR HKRDEPSYQTKRHQMQGHKKKGGAPLANFNEDKLDFEEKKEAMLYGYKHNYFPGNTPL VPIRTQQDPFVQGGFVPTPAQARKMIAKNKESGDLNPDGWAPMKKHGLEYHPQIYEPR REPLVPKVTRKRKAAEVEVPAKTTDAEETQNESADGDETDEDNHPAKRRTRGRGGKTV VAESTHSDTNSRRGSGRGRGRGRGRGLGRLGSSRATFEVTPAPTQPASRGRGRRGASS LASSSLPPAETSFPAVEPTATESPVESAPTSAKKEALSAEAIEEARRQKIANSKNPKR TKAMLDHWDRFNREGRIRNPKRSKAQIEQDRTVDGAADEVPKPTGPGRRKRSPSLAPL AGNLAPKGPTGLPSMASVQAQQQQPMPPTLPPMGVVSHYGPGPVNPFAAAAPVAPMAQ LGQPMPPQYAPFPYVQYHGMGPLPGHNPRDPRH PEX2_007040 MENEERQERICIDGQRWFACGCQDASKSQRNSAVPRAQATREQR EHKNRNTLVQDGHEWLPGMCTYYLTGEGWVGDSPLIGTQREVG PEX2_007050 MTQFELFVATCANHAALLPVVLIASSINEARPAPIINISLEDTS LLTEGDKSIIKFQIDDKTVTGTIPIIQELCAQFPFLVGKDAKLEKEWISRIDFFNTLD FKTLEPILQHLDTHLLLRSFLSGYYLSTADIALWGALRGNRVAVSALKRGTLLNLTRW YKFLEELCPWATSAFESLNAASREKKLAKSKEGASYDIALKNTEKGVVTRFPPEPSGY LHIGHAKAALLNDYFTHEKYSGTLILRFDDTNPSNEKQEFEDAIVEDLALMGIRPDKV SYTSDYFDQLYDHCLQIIKSGKAYADDTDKEIMKHQRRNGVPSQRHDLYPEESLAHLE EMKKGTPEGLQWCIRAKISFDDKNKALRDPVIYRCKPTTHHRTGDDWVIYPTYDFACP IVDSMEGVTHALRTIEYRDRNPQYQWMLDTLSLRNVQVWDFARMNFIRTLLSKRKLTK LVESGVVWGWDDPRFPTIRGIRRRGMTIPALREFILKQGPSKNVTLFDWGLIWATNKK YIDPVAPRHTAIANEDIVKATVIGAPATPYTEKRQKHVKNTALGDKVVAFSSSIVLEQ VDAKSFSQDEEITLMNWGNAIVRAISTDGSTDKVTHLELELHMAGDVKKTEKKVTWLS TKGQTLVPVELVDFDYLLKKDSLNDDDILEDVLNFNTEFRVSGLADCNVSEVNVGDVL QFDRKGFYRVDRVPAPGVPDVFFNIPTGKQK PEX2_007060 MRLPYVADPPATSTPDEARILASVQARRAPNPLLPLDLALLHSY PVTEGWNSFIGAIRTRTTLTSVIRELAICRVAVVNGALFEWEQHAPLLTEGGLGSEAL KFIGDAQADFSSEAASSVLSADQRAVLKYTDAMTKTVTVPDEVFAELKGSFNEREVVE ITATIAAYNCVSRFLVALDVGEKNHLH PEX2_007070 MPPKAPKPTSDELLAQFDDLGLDKSGNKTSKAIVSDNAKGGQED ILAELDHLATQRPASGPGTPRLSSDKPRPSTRSPRPSATIDRSTEDKAPVRQSEETGR SSRTETKPEQPKAEPENVPTQQTAQEGAGWWGGWSGGLFATATAAMKQAEAAVKEIQN NEEAQKWAQQVKGNVGALRDLGGELRDRAIPTFTNLIHTLAPPISSHERLQIHVTHDM SGYPGIDPLVYAVFSRVMSQVEGGDLLVIQRGQESSPKRGIDVTGYMTSAGWNDGPWW RTVTPGQPRSISSVRGTVEGSKLARASAESYATEYFSSRGGVEEAAKHASEVLSESNP VRSSDIFLAIQAISQTTSKDLFQAGATGEKATEGAVEADTQEEEISFALYLHDPIHGI AFHAISQSIPQTWIEWLDASVPAPENPEAADANIQRIVVPEAIAEVIESGGVDPREWV SEWIEETLSLTAGIIAQRYVARRMGVGEGGVGKGKMRAEQVSTVDSGAGEAARAL PEX2_007080 MHILVVNDDGPPSTKLSPYLRPLVDTLKANGHQVSVAIPAASRS WIGKAHLIEASLTASYVHPDAFNADGSWDESFESPDPENDWVVIRNGTPASCAQLGLY NLFSERAPIDLVISGPNHGRNASTIYNLSSGTVGGALEAVFCGKRGIAISFGSKDPQP DDIIAAAARLAVRVVRHLYENWDERVELYNINVPMVLDVEEKPVIYTRTLPYYWSRGC LYAEQGTGKKVNGVNGVHVNGETNGVHVNGETNGTAVNGHVPASSRQRQFKWSADLSD MKKTMQESEVGTDAHTVLNGSTSVTALRANFWHVPGLEGPLDLAS PEX2_007090 MDCESTGTAAGIDPKILDNWQTEGTFSVASWEHLGYDQPPEWDT SQESSQCDADIADLGTFHGPLAGEYRRLENRSGNPSLKKHDVAPENLNYPDGLAEGTG SGTLSPKTIPSSADENYHSDEAWPHFQLYNSVSMPMDASLLYPYAKGPAHSNGAVIVD DVGEMPHGGFSDAPAEGIMSFQQIPQSFPMIPEEWTEIQHGIPVDSMSLPQESMPISV ETQENSKDHSLRGFQTSIRSLESRWLNSLESQLPTQQIAPVSQTAGPRNAQMGQEFQF KSENSSVSGDLSGIYECSYSATSDEAPAFADRQLEDDDVQWKTSPHESSSPEGSQSTF KTTAFMVSEAPVESLVSTVPGRSRASSTAGQRAGRPAPLAMQTSATVKKRKARNPASM DQSIARPLQIVQEDGQGGSIASADFVSPPRGARRKGPLSMVGRANAGLRRKNKDTCVQ CRLNKRKCDGSAPCDACRPTLHEQPCARACFSSIVEFGTCNYISQRAINHPTVDGSNR VRMEIPSEFDLSQLLSLLAERQGRFNIRASQAWGSLYVLDLGETYKFLKTLSEYNGNS KSTFLEFIDRRIVDSKDKSKNWLSCVVDCDPMNQAYTLLSQWNNMPSRAKYSFVSLDP AGEERTMDINSPEDQREILLAAQLSRIFCRMLEVEGFRKLERDFYNIKWKQISHETHV RFLGELGHILLTLRWRVSWWKRLGDGGQTPDPSQQHYVDRVELLCRILYVYYTCVLAK LPSWSAADVPKGIWSTYADSENAVWDDFPSDSSDAGFNGWMERGQDLIEQAGVPSRIS KF PEX2_007100 MVQGSLKKVGPTGPGRKPAKTTRPGVRSVAPKKAGLIKQKKLTK QLTSGLVSMTERSLATKAGHLELLAGGKKDRLQKERNAARKDGTLARKDGGLKSGPKK AT PEX2_007110 MSVLRNSRTATVQVRGFASSSNLRVGPESPHFIDVPKIIQPTNP RKPHVRGTLPVPRELFPARRADKPRIQYLNAVTPLPKTERVVSPNSSNPEKQEWKHKM ADLRRQNLRDGLRDLYSRKRSADSKIVSRSLESQNRRDRILQQPEREDERLTRPSTIE AMMPHKQPVLPDPNREERLALSQARLEAKQAQKEIERKEHLQSLYVNARTFITTEEQL TAEIDRVFPEGENPAWRNDHQPGENIWNLGNPPTVFNLATKTRSNEAIRWDVSQERVK KLAEALTGGKLRE PEX2_007120 MGTGKKEAARKVRQGQPKDGMANVKTKGENFYRDAKKIKTLNMF KDGTPRRNAQGEITVAASYQSRELPTARIEPNRKWFANSRVISQEALTSFRDAVAERA ADPYQVLLKTNKLPMSLIRDNDKVNGLKQHQAKMAIETSPFNDTFGPKAQRKRVKLGV SSLEDLAGETAKMQDSYIEKNDEGTHADGSAIVRGDDTAAVEDLGLLTTSRESVFSKG QSKRIWNELYKVIDSSDVIIHVLDSRDPEGTRCRSVEKYVREEAPHKHLIFVLNKCDL VPTGVAAAWVRHLSKDYPCLAFHANINNSFGKGSLISLLRQFSSLHSDRKQVSVGLIG YPNSGKSSIINTLRNKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPNVNDTEE DILLRGVCRVENVHNPEQYIPAVLRRVLPRHLERTYGIKHQENYLDWLALLARQGGRL LKGGEADLDGVAKMVINDFLRGKIPWYTPPPKGTGKGEKGEKDEEPVDGVEGREGRLG EMPRKRKLDENNEPAAKEAEAESESAQDEEAVDAEDSDNDSIANLEVSDVESGEEE PEX2_007130 MDPISITGLVIEVSHVLSSLIRYAKAVQTAKSEVRKLSEELFAL KGILEHLTAQMDDAPKWEESETSPFDRDVMARVLHTTNEFLQSLLMDLETAETKFKRL KQTLKWPFTQTQVSEHLIRLERVKSWLILVLIADHKSVDREMQHEIRDLTNTLKEDLR IRAQERNQFANRELVQWIAPVSPESSHLRASKRHRNGTGRWFVDGHLKAFLNQDENRA FFLLGKSGTGKSTLFAQVADELTYMASQGQSMCVAYFYCTISDFASQNARNVLGSLVA QLSVTVPSILDEIRAVYKKGPKNQAHRFPIELSVLEAAIAKAASEKTKIVLLVDAINE SHDMQLLEASLLKLSSLSTNIRVLITTTSTMSSIKHPDAFVLNISGKSRGDIAPEFQA EIEYTLLRNADGSFRWVQLSLDNLSTQRSVRAMRQALKTLPGTLRETYANMLERIAPD DWKIVHEALFWLSFTKQPLTLKSLNEIVVMDETSVTLEKDMMLVPPHILLEICQGLIT EDQDGYLNLAHASVKDFLTSDWIRSSRVQYFALDPTTADLKAMHSCLTYLSLDNFAQG YLACPENPAKVRQSHPFMKYATNFWPQHGAACGFGGPKQDMIHKFFATRSLPGGGNYG TWIQALLQTTAGSNTKDAVAIDGTHPLYYAASFGMVPVVESIIAAEPDIDINAPGGRI GATPVWIASLRFNFEVVDILLRAGADPSIRDPGSGFNVLDLLRMVPTRHRNYHALRPI LDRPAPWKDQLKEQGGNQCNLLEKAK PEX2_007140 MPVDMSMVPYNGGNLDVVLRHNDSVVVFDRDSHQLVLRNTSDED IDNMDLANCPFCHRSMRDNSRERHCGDTEPEGEFINPNYFRMLNNSLPSSATSSTPPS PRHRSVQPALARGPINNRPVQPTSSPQPSPQGISSAAFSPDYFKRFFVEERVLGKGGK GVVLLVKHVLDSVSLGYYACKRVPVGDDHEWLEKVLGEVQLLQHLSHQNLVSYRHVWL ENAKISTFGPSVPCAFILQQYCNAGDLHDYICGSVQTSTTAQQLKDRLRRKSKGEPEP RNDLGGARTLQLDEIYSFFRDITSGLRYLHANGYIHRDLKPNNCLLHETSDGIRVLVS DFGEVQSQNAMRQSTGATGTLSYCAPEVLRQEYPDGPFGNFTFKSDIFSLGMILYFLC FAALPYANADLINEEKEDLDQLRAEITSWAGFDHARAIRSDLPEKLYKFLERLLSVDP GRRPSADEVLNGIQVGANSNENFRFRKASSGSSDVPGARIHPLDSQQPSVERALSPTK NLRRNPTSFRRDSIPDSGHFRSNTAPVSDTNPGEGPSISPDQEMVLRSRFSASPPSSP AQPSHESSPPLDPQFQSQSIPQHHHHHHHLLPPPPSRFPILNSLASWNPLPSSTVLQL TLFLVKITSLLHPCSPLAVNPWILYPLLLSAAFTLRARSTQTQILAISLHLLVVGLGF RLGALCIWDRNSEMNL PEX2_007150 MRVKALLVLMEFLPLRLGWLRAMDFAPETKISKTKSHWVSILED IKEVRESLSTVDPFSLSEPTSEGGSGQSDVSLPIGPEAKLDIKDILVSLPTRPICDML VSRYFNAPYMVLGILHSGKFQDEYEEFWKAPHKAPILWVALLFTVLSVSVHFCMKLNA DAALNGTIPSPEIFQQKTAQCLLLGRYRTANAYALEALILYLQSGFLGDMKTGSRQWF DMGIILRLAFRMGYHRDPSTFPGKTTPFDSEMRRRVWLNIFQLDALLSFQLGLPSMIP AECCDTHLPRNLYEADLHVGMLALPPSRPTSEYTPCLYSISKAGVMSIFKKIVAHTIS LATPSYSRTINLDAEMRGAYSLLPEFLKRRDTSQSLMDSSEIILNRCNIELMYLKGLV VLHRRYIRYEDQDAKFESSRRFCVEAALEILARQADLHQAFQPGGRLHEDQWMLSSLT IHDFLLAAMVICLDISVRLESHTTAFVDERADHGFVSREIVALKTSQRIWAANSHRSP ESRIASLTLDLMARKVAERQATFSSNEAAFMDIPRMSPELPYMEPMSEMIDGSETLDW LLLDQYFQNSGLEVPQLDSNEMGGLFTDVPGALDPFAYN PEX2_007160 MSVLSSLEGFSSVLFSNTFIKLPYPEGDLSGQTIIVTGSNQGLG FEASRHLLRLGVGKLIMAVRDLVKGEAAKRELLQSTSREESSVEVWLLDMDSYFSVKS FASRAATLPRLDALLANAGIFTHTFSMSEEDEKTITVNVVSTFLLVLLLVPKLRESAS KFNITPRIAIPNSGLHYMASLEQLDPKNEGGIFKSLNNKEATNMSGRYPLSKLLVLFG VRAFANQFANGKAPLVIINTPNPSFCKSQLARETDNFGQRVFEKVLARTTEEGSRTLV HGILADEKSNGQYLTDCHVHSPSCIVTDKKGVMIQEAFFKELVTKLEKIAPGVTSCL PEX2_007170 MGVPNPNLDALEEQRIALEENILQLQKSLYHWRTWEAEYDGLRE EISNLEDDASTDEFLAVALEFGGTLVDQKEMQTILGSKGVMRSRGQVVDLLGRRIDYV QQNVATMEKRLLKAQNELDALVLNDQPPLQDGAEFPMQEIMEELDEDGNVISSKINTP GNDASELLDVLKKAGVKDIPETSEPEKTVATPADATREGAVDEPVSSRAADEQNGSSS VDNAIPEPTGASLKFSQPQSVVTAEDRMQPPVTDVNESAEEARLRREMLDYGINEVGA IVAELEMDESGSDVSFDEDYDYDYDEEDNEDEHGRSHTRLTAEYHQQMMELEAKLNAR GLMNMGKDTETFPEEVQQEIANPTEVETSTKEKKPKKRVAFADDLDIAPAPAPPIIAE KAPASQAEPQVLADSIVERTSRAPEPTTTTSTVPKKTSRFKSARAAAPEATASESVTT GATIANPSAVTESRVRRQANTAPSVVPPVLFPATPKEPKPFSAPISDIVEKPSAPQFP HDRTLAEKVFERNPKKGSAVAPELDHYDEELHQKQIASEFFNMQKRLNGTPKDDEEQD TFPPEEAEPKRVSKFKASRMG PEX2_007180 MASDAASRRDEDEVCPVCKSSRYLNPDMRFLINPECYHKMSGCR KTLRKNRFRKQTFEDIGVEREVDIRRRVMHILNRREEEFDSKRAYDDFLEQREDIIAN LVSRIDVAKTEAQLQKYAAENMQSIRTNQAIEAEEASSFQARMTLEQEEARLRRQAVR QEYEIERREIQAGREDFLSRLASGSSTDAAAIAREGHKVLLKKSSARRSEEERIRQKQ AALRLETKRGTNLSMVDHAGAADTGLVKGLRKIKTPEPEKPYDPFMGYVPDKRDYYSL QSYYPSQYLDPIRNDARMLAGGYDLREYYSRTLLEAFAGLSCFVGEEISQRDAANISK STATEGAAMAVEGAENTA PEX2_007190 MPPMNRNIGLHDDSFSLTNLDDEIMGTSTRRVDEELDYDINTST LDRAFPEFSQIQTSEEEEQEEQELDDDLLHSDLEMSVEVGRGAGKLERDDSRSSMMSF ENSVRSSSPAMRVEYPTPQKPVAATRPSRRAVSENLRKNAQVRRATQAQKETMNSQVS KAQRDQRRTFSDMHAKVRDSYEGSFLGDERPAPITTKTRSTRFGNANTSQEIADAIER ASRDAYAKELRKSAPSTAGTPRKSSANATNTNTNIIGDTITHQSFLLPDLPNISELVS GVYEDGTPVYSRQGKMRSTRFVSPLHDHTDVSLPHEHMPLDAVPVPEDEKALFVHLRL LQDKVAELEMFKSEAERRMDSYRQENSSLKANRPRQSSAKYESEDKKGSKRLEHENQK LDAANLALQNQLDIADRKAQVQESAIKRLNHDRESAISQLGAAYLETRELKAENEELR QENADLKSQLSRTSGRKAREHDTVESEASSAVSDDVDDSQSYTERSGDVSRSTRDITS KSNRSTTRTRRQDDSRSKVSTQVDKEISRLEKQRADDALFSIEVPSSRRTSNSKPSKS AASRSSESKSSRKQPNTSKQRVKRVVVEDVTGPEVSEQTKASSETDVTLLSVIDEHEI SRLRKTLEEERMMRKQRRSSVPKESNPTETINSTRQSILKTPAPRKSSLRESKAEIPR PASAIGDITTASKANTDGDSSLTVPIPERSRRHSENSLPAVSQRRKQRNAPDMTSAFI LPDITLHHVDLAAADPSRLPQATQKALDTIARHNGQNCTVCNGHSTDGTCNHESVKVA KPIPVSERMPKPSVWNEEPTMRPSQPPAVALATVLKGLEDELSHLKMQLATYQSAYNK LDASLGKRPRKALQEKIDKIFKDIDMKSDQIYALYDVLEGQKQDGREMTEHEMEATLE SIGIDVGMSHADVTGTTDKSSRHGQIDLDDEEDLPWEGIESTAEMTGHLSLDGH PEX2_007200 MSDLFTGLLDQENYDQGYTIRLQKKRERKLLRAIRKNDIAKVNA LADGRREITQLLIQYHVQSPSNPGPDLDDDELLIAAGQGCVEILKMLIEYRKKLGYKG TAWPSHQGDEPIHRAATWAAWNVFRLTAGAAVLLASGADVNALTTTGDTPILMAAGAD NEAAIKELLKSSPDLHQKGLFGETVLLVATSSCSLKTVRMLVAAGADIHCRTKWKASV LHLAAEAGKIKTFKWILDNSNLTIDDLDCHNWTPLHFAACKNQVAMANLVESLIQHGA DVMALADSRILHFGGWLSQASRTNMYWTSKGNTTPSDMIFPLHCAASSGGIKRAKALL SAGADIHTRTAPGGKTALHLAARNSLRNMVRFLVQGGLNHRLTDTEGHTMVHCLSLAG EYEPISVKDFLVAENLYGP PEX2_007210 MKAQFNQLARLAPLGLLCLLTQVVEACPSVSSSTLKPRFAHQHH HARAVDSGAAVAGSCKLKPTSVDLSEGIGATDQTFAPSTGTLNAYMIFVDFPDAPASE ATDELHQLFIPGAPDWYSNSSFGQLTLNVTADKSRFYRMPVASSTYAYDREITSELLA KYIQDALNSVGTTINFAGTDVLYIVPTKAAKHISFSPTYMGELTAGDGTVISKTVTFG QDAPDAWGFLVMNHETGHTMGLPDLYPSDGSGASTTVWVGGHDIMGLISGALPDYFAW HKWKLGWFSDDQFDCVSGAGSTTHTITPVGTKEGVKGVVVRRDETTAIVAEVRAKAGA DSKACSAGVLIYTVSTSLASGQGPIRVHDATPSSGGCEGDELNDAHFTTEADRKTFAS GDGVTITVVGQKGDDYTVTVEVV PEX2_007220 MAAFSKENHEEEGLLGEIQEQAQKQQGQKSSHKWRTVTLVSLLG AFALFVYFVRGSQCNPPSHARIRPDLPVASPIDKSKRSSHHDHACNTVDGGYQCNSKL SHKWGQYAPYFSLSDESDISDKVPHDCQITFAQVISRHGARFPSAKKSKAYAKLVKSI QANATEFKGNTKFIRSYNYTMGGDDLVPFGENQMVNSGTKFYQRYEALAKKAVPFIRS SDSARVVASGVNFIKGFQQAKSNDKHANHRQPSPKTNVIISEESGANNTLNHSDICPK FEESKLGDEAEEEYMAVFVPPIKARLEANLPGIELEIIDVINLMDICPFDTVSMTADG SKLSPFCNLFTQTEWNQYDYLQSLSKYYGYGAGTPLGPTQGVGFVNELIARLTHTPVV DNTSTNRTLDAPGAATFPLNYTMYADFTHDNGMIPFFFALGLYNGTAPLSTTQAQSTS ETDGFSAAWTVPFGARAYVEMMQCRRDPEPLVRVLVNDRVVPLHGCPTDKLGRCRRRD FVKGLSFARSGGDWPQCYA PEX2_007230 MLRLRAPIMAQPRHIYDLADELLSEILSFLLEPAPRALNGRFNR TGHTSRPSDHEHGEVSDLDHFRLVCKRFMQIGTPYKFNRFTLRFSEHGFRRLDELVDM QLAYYVKTITYMVRPFYQGSGWARTLRTLGSENPELSQLHSRRLREQTNLTETNNDQI RLRRAISSFSALQEIKLLRLQDEADEYLIDFIRDHSLGTGTSTTTSIRFDWETACSRA VTNLSVALLDSKCSSIRFTGPQISPEATLQLLRAPSTTLAAMGGRLTSLDINFHLTTD ITTAMADLSEVFRRCFVAANNLIAIHIGFLGKTPLDLDLELLFHHIRWKTLRKLSIQG WRLSAGEIIALARRHSPQLRDFRLLGVYLRPGGLWRDVLAVLRDEMEQLERLVLKDID YAAHFDSVAVSNGVEVFDDYPGPVPSSFTVATGTPSAGQSPTTVPLASDGFPSLVNGR RLPLRRTSLERLRALSSEDLGDDGVHVLPEQMPLWEAWVLSAPHQVKKNGRSHWSM PEX2_007240 MASDHTKSPSALLLLPPPPAFSFTQVKDAFQPSLVDVYTKLSNA LTGSNRTAVLDIALAIPDLLSPSCQPRAKVFAQLQHYLTSVYTLVGIVCATQNIELDS PGGIDTRVVFIDASENTSAIQASDSPRFGPILDIQSLANSGRCWDNVFYLSNTSGQTL AKCFTNSVGSQPPDGTAASMQAVTSNPDWVVSDRLLIPDDQQSSNPYYSVVVGGTFDH LHVGHKLLLTAVALVLEPLDREQEGRLTIGVTGDALLVNKKYAEFLESWEERWQSTAD FLTAIMDFSPETKSPQIERAFVPGPNGKTVLVRIQPNLAFEFVEISDPFGPTITEQNL GAIVVSKETHSGGAAVNEERVKKGWKGLAVFEVDVLQSGEASTATDVEGFESKISSTD IRRRRVHLAKV PEX2_007250 MSNPASYDSHRRSAAFSRQPEELHIPSTGLVNPNMSRQSSLHEY PTTPDAGARLPSINLQQSSQYGGDGNNSSTALPGALQPGNQNRPAAVSINTAPSVVPT MPQSSSQTNHRASMINSHGHSRSSPAGFDQSMYKQHGAPDGSKYPSSPGAFPPHTPQG SKYSPLGLSDIRPSGEHMLSEALMNPGISSMPYTNGDNQVPTNSNYVAPWPIYAVDWC KWPITGNSGFGGKLAMGSYLEDSHNYIQILDAHWTQPDPDTPDAAAGEIKLEYVKTAE ATHSYPVTRILWEPPSSQKQSTDLLATSGDHLRLWSLPNSQPLQSSNSITRPMNQREP ATSKLSPLALLSNSKSPEHTAPITSLDWNTISPSLIITSSIDTTCTIWDIPTLTAKTQ LIAHDKEVYDVRFCANSVDVFVSCGADGSVRMFDLRSLEHSTIIYEPTDKSEKPVITP GSSSPPGQSQTGLYPPPLLRIAASPHDAHLLATFSQDSSVVRVLDVRQPGQALLELKG HSAALNCVEWSPSRRGILASGGDDSMVLLWDLINQHNAAPVASPPSATPGATPSTTSE RGPAGVWQSEYEVSNISWSPQGGPNHTGQPREWLGVCGGRGLTGVAL PEX2_007260 MTSHLEDRATTSASDIPLKDLESASSEPDSTITARWNGFSHFHP SNILAHPEGLAPKMSQEDWLAYSEDTWHKLTLALTPSFLQHLVGSSPPQPTKLHAIAA LDGLRGWACLLVFNFHFLFTYTWKVAIGWGFGGENFGIHQLPFLHMLISGHIMVAIFF VLFGYVLSYKPLKTIRSRSFDQTFTVLASGTFRRAFRLYIPAIAGILCVFVAVRLGLY NYSHAVIKEGHTILGTNEQHPHVYKSFSKQSDDLWVTLATLMNPFDFGLYYNYYNPHL WTIPLEFRSSLILFIVIMGTSRLVAPVRMTVVSGLTWFCMRYGRWEIVLFLFGMLMAE VDLINGTWEPSARPAVGDDKTTIRLGPGSKTIIRVSRRGIWISLFVVGLYFGSCPNIG FKWTPFYSWLWHITPKTYPEPHRFAQTIGAVFIVFSINHSPDIQKLFTNPFSQYLGKI SFAFYVVHGPVLHCLGYSIMPNIWKLTGKETNFQYCLGFLIGWLICLPVSIWLGDVFW RAVDIPSVKFARSLEDRLIFKGPTLGRPILATRAE PEX2_007270 MYSAKWYRAPLDDGSLAKDINGMIAVREDLREVRTRVAYDIKKL SKFAGDGGSEEEETLENDEPNPFYKSGSELGDDDIGSAHAGSENDGSEVGSLGVGSVD LDSDVVDSVVGSNDVASVVDSNDVDSNDVDVGDDDDDDDE PEX2_063030 MFAHKDKKLATQDLDTPEGGPCETFRFAALDLARNYLHLWRHHQ TGGFRVQNSRPKDTSRPLQFSITQYPRTPCSCYLIEFRESRGRAMQLCSLQ PEX2_063040 MMDMKNEKDLEGLAPSQSNDVHPSVIHNHDDVFGEITESGPNYR NVGWAGTVILMMKSQIGLGVLSIPAAFDTLGLVPGVICLLIVGIITTWSDYMVGVFKL NHRSVYGIDDAGFLMFGAIGREVFGFVFCLYWIFVAGSGMLGLSIALNAVSSHATCTA VYVAVAAIAGIAFGSIRTLGKISWLAWIGLTCILIAIFIVTIAVGVQDRPALAPREGV WVSDYKIIANPSFSEAITAVSSIVFAYAGTPAFFSIVSEMRDPKYYTRSLIICQSGVS AVYITIGVVVYYYCGSYVASPALGSAGPTMKKISYGFAIPGLLVTVMLFVHLPAKFIF VRALRGSQHLTSNSMVHWGSWIGCTAGVGIISYIIASAIPVFDSLVSLIGALLGTFMC FQPMGCMWLYDNWSKGKVERSPRWIAMVSWSVFVIVIGTFMMVAGTYGSIVSIIASYN ATGGSAAWSCADNSNSS PEX2_063050 MGLQKTYSRFNIKVEPLQIDQANLNIKRMLDLMAVGQDSGPVPL YVYTVQRILREMRLLQQKAGSQFDYMDFKKRILSSGLLPGQIAPLKQRLDMLESLMPP QQAVLSKKGNGKQANPQGAGSDWIPKASQLTIVDLSCPCVSPDIACSLFNVCFSIFME QDTKIGRIVALDEAHKYMKDSAEARSFTDTLLSTVRLQRHLAARILISTQEPTISSDL LSLCSITIVHRFSSPAWIRALQGHVAGAALDAQSNQETSVYDDDHPKNAGIARKFSLF HKIVHLRVGEALIFSPSAVSCTASGGSQELRNLGSGHMVIKIRDRLTEDGGKSVLSS PEX2_063060 MDRSNTPDRKITDMKEKRRLEIDPIRVAPPRLDPYRRRLARFYE PLFLLRALGQTRGEHTPQPTSFDAPMEIRRRFLQNLAYVCDFKKGGETCTAIGLESRQ TRYLFWVASNAMVEKIVSFLNEALDVLMGTNSQPDLDLAATESAFIQLCADFAIFRID LERKNFQREAMNCISRLTEKSPKPAQELVKWLHIAVNHSHNIELCNFAYENRNSIYID QLAAMALQEENKLDPAGRRSCFSSARHDILALARHIRAPKELVEDSSHLGHILQTYDV FGIDPFPSVPGPVRDLHTNLRGILKRMYRTPGEEKETVEQGLLYLNKISGSLIFEHFM KQYEQPGPQVHAEVQVLEHFYQKNLTFADGDQFIACSKPACLCCELYFKHHPARMVLP SSHRKVWTRWSPPALKAFIKNDMATREQIQIMSKITQELRDQIIDQVLRRSQASRWHP DSMTCITEMPSVSSFNSLDEQDICENAYSESVADPLESPETGERGGLDQEIDSEDGGV SIHV PEX2_063070 MEPRFFGQSESGDWMLGEPSDIFFDLATSTTDNNSAPEMEPEIT LPFNPEDDSIFPSPGPHANPMEFASFDEHVPSYTTDLEPVSDLGEMCDYPMAASEVLS SLVINTNQDSGSSKSVSKSEWRFEEAEMPSISMTDVASDGLYYCQREMCRDRGGFQQQ GLFRKHVRWHEKPVKCPKCDYATAQQGDMRRHVESIHTTWAKQRWNVEEEFCCQMCNA SFRRKDHLQRHCGKKHAVDLRI PEX2_063080 MAANTRYEPAPQRDSLDDPSYSQAPPSYQATAEPAPRNEDDNLP DDFKFGGTVAEGTIDIRMQFVRKVYSILTAQLLLTTILSSISFFNASYRTWIQSNFWL MIISVFGALGFMLATFWKRKSYPANLLFLSGFTILEAYSISVATSFYDAKVVVQALAL TLGIFVALTLFACQTKYDFTDWMPYLFGALWFMILFGFVAMFIPFNSTLEIIYGVLGA LIFSGYILVDTQLVMRHYHVEEEIAASISLYLDVLNLFMSILRILNGANNNN PEX2_063090 MEEAALGVGPSGGHQNAMRRRGARGRPGDIVGEASWASSVINLV NTIIGAGVLAMPLAISRMGIVLGVFVILWSAATAGFGLYLQSLCAQYLDRGSASFFAL SQLTYPNAAVVFDCAIAIKCFGVGVSYLIIIGDLMPGVVQGFVGSEPGYDFLVDRHFW VTAFMLIVIPISYLRRLDSLKYTSIAALVSMAYLVVLVVYKFVQGDTMENRGPIRVGH WAGAVPTLSSLPVIVFAFTCHQNMFSILNEIANNSHFRTTAVVFASGGSAAATYILVA ITGYLSFGNSVGGNIVGMYPPGVYATIGRAAIVMLVVFSYPLQCHPCRASVDAVLKWR PRPQVSRTESSPNRHPLLGPRGNRTPEPMSDLRFSIITTTILILSYLVAMTVSSLESV LAYVGSTGSTSISFILPGLFYYKISSPDSPTHRGLMKEDDEAEDDLSGDDEGDDGEGS LARSLTESGLLLRGTRHWRKALLRRLSLALALYGLLVMIVCLVTNTFFKTSH PEX2_063100 MAFLVQGRSAIITGAGSGINFSFAKLLVENGCNVLIADLALRPE AQALADKHKAGPARVVFQETNVIDWVQLERMFEVAEKEFGEIDIVCPGAGVYEPHWSN FWRPPHSTQSKDPASGGRYALIDINVTHPIRTTQLAIAHFLNNRTSGRPKHIVHISSI AGQNANLAAPIYCATKHAISGLVRSLAKLDKKLGIRVTAVAPGVIKTPLWTDHPEKMK MVVDSTDAWVTPEEVAEVMLALVQQEQVGEIIGDRSGRGQQFKVEGGTILEVSKTVRA VGQFNDPGPGDWPGNTASDHHVVEEESYQLLSQKGWGLSKL PEX2_063110 MSKFISKSAIRAASTSAQTTKAAGDISSVFPSLRPDYKPEPLPA RFQELKANFFEKNKDAITKSWERLLPSLDEEVQKIKAKGSDIIPSIEYSDVISGNVSE AALKEIRHRGSVVIRNVIPRDQALEYKQRIRDYVAANKERVKAFPADSPAVYELYWTP SQAEARAHASMLNTQRFMQQLWHSSDPNSKISTTHPLTYADRLRIRDPGDSKFTLGPH IDGGSLERWEDPEYSRVYTKILEGNWEKYDAWDARHRLKANMDLYNGAGACSMLRFFQ AWLSMSDTKPGEGSLHVCPMINHSTAYTILRPFFDIESSKPALDAKFPGSVPGACQEY NPVTHPHLNLESTMVSVPQVAPGDFVAWHCDSLHSVDKEHRGTQDSSVMYIPATPLCD MNVEYLLKQRQAAQSYSPPWDFPGAGGLGESGFKGAMDWSALSSEGQRAMGLGNTPWE ITDTMSEGEKEAVRSANKACFGV PEX2_063120 MDFIKKAVSSASGNKEDKPVDNNTQQNDDYVDKAFAAGVKKSGY NLDRSAQEKITDGAREAYEKVTGKHVSEKISN PEX2_063130 MDLANLLSHSTAAKPVYTPLQSNYYKRSLPLSPPAEEPKVSLPS ISSLFEGADGAQHAASEYFQLYHNPKTPSLTIYSPERQRLSPSLGERHIRVQSYELPP TPPLRPGSGHAHRRASPVESLSHKEAHHHHLHRSSISSNGSIHIPRNAAPYASPAPSV SSYTSPIDAPQQQMYYPRPPSTSSFQPSTPASAPQMPNVQVQTQQPHQHSSPALISPV TPAWQHHHYFPPSTSAPYQQNHDRYICRTCHKAFSRPSSLRIHSHSHTGEKPFRCTHT GCGKAFSVRSNMKRHERGCHSGRPGPAPAATALVV PEX2_063140 MSQPISNDNPHQVNDGINNKATVTENALQGNPEIQQTHQSLTID FEENDPGNPLNWPRSKKWTITLVVSLSVFLMPLSSSIVAPELSTIKDELHMGSSLEAV LVLSTFVLTYCLGPLILGPLSEIFGRAAVLHAGNSFYLIFNLVCGFARNKGELLASRL LSGIGGAGGLVVGAGIISDCFPKEERGWVIAIYNLGPVFGPSLGAVVGGFITQYTTWR WAFWATSIFDGGLIVLGLLVMEETYPPVILARRKAKMSKTAVINNTLLKTPYEKPDQT VGQLYRNSLLRPLQLLRVQPIVQILAIFYAYLYGLMYLVLSTFTTLWEEKYHQPTGPA SLNYLALGIGYFFGSQICGFLADPIYRALKKKHGGNGKPEFRVVLMFPASILAPVGLL WYGWGAQAVTHWIVPDLGIALFACAAMILFQCTSAYLYEAFTLYAASATGAVYILRGL TGFGFPLFGPSMYQSLGYGWGTTMLALVAVVMGCPVPVVLWRYGERLRARSSYATGYQ TEIYGKGALMGILPGVTTDPRKLEEQARESLGVRAFNYVAGGAGEKATMDSNRLAFRQ WKLIPRMMRNTPEQDVSVELFGQKYDNPLIMAPVGVQGIFHEDKETGLAEVCEEVGVP YTMSTASTSSIEDVATANKDGKRWYQLYWPRDNNVTLSLLKRAKENGFSVLVVTLDTW SLAWRPADLDNAYVPFIKGVGNQVGFSDPVFRAKFEKETGSKVEDDIIGASRAWISDI FAGSPHSWEDLAFLRKNWDGPIVLKGIQHVEDARLALRYGCDGIVVSNHGGRQVDGAI GSLDVLPEIVDAVGDKMTVLFDSGIRTGSDVIKALREPDNVEMNLDYAHAHVPASGST LLPRPVASLISLITQSTSLSLRVGTFFGGAALDGARATTLTSLELSRALVEGILTRAG RDVAIRSGDEHGRIEAESLLERSLAALHTTVTSASFFAAATFHFSSTTLSSVANLSQA LLSTLDAILGSTESSRAIAAIITLIRREFRSAEPGASAQNIGVGDLLIGSLGFALLQR WGKKNTERHLRQNGGDEIVWDVVILDNGARADVIGSHQLQLPNRNDEELMEPGSASFV TPGNGEEAFDVVRRSSTSDSFGHRLSIPLDGQQQVSDEDIRAYIMSQLPQGCHASIRS EVLTARTITVDIFDDEMAEIAAPPGTKIVEERFHHDHDYGDTNTADGQRRIPKHTVVF RTAFNKSQSTQLRPYDGSGNLALPEPDHHKRALPANPLSNSPMKSHHDQSRPHPDATQ GIAPKRRGTTPNSPNEPPKSTLNKSTFAKFAQKVKPAVLEKNDAKRPPGKKQPIASSG PSGIQSPRLPPRPIKGTASVKEATVREVSSRPALKKRQTEPLNHRPTTPVSNRGLRRS PYPSSPQRQSPQSQTKHAPSHEIHVSRGSRGGHFAVQEKTQESLLTQTDTFLSRRGAG MRSGSPAAAQTHVRSSSSMSVTRSEADGTLSANDNRPSSSAMHRRSQSYTPSLYSLAT AGSETSLLLAHRPRKSAYEDMETIQALNRDGFVPGIFPERHFVHNIRRFSRFSSASYG SNALMVMGVPSGSKNIPSTKSDGHEHSAFSENAGLPPSTILLSSFVDPAGGSNAAGET ETGFPLVHYLSIDHDSKAVVLTLRGTWGFEDILTDMTCDYDDLEWQGKSWKVHKGMHA SAKRLLEGGGGRVMITLRAALEEFQDYGIVLCGHSLGGGVAALLATMISEPNPSTTGT SFVTASYQPATRPRLLTADRDPSLNIPDPSLPTYTLPANRPIHVYAYGPPAVMSPFLR LATRGLITTIVNGSDIVPSLSLGILHDMHTASLSFKDDTTGAKAHIRQRVSDSLRQSI IHKFYVNQPPLVVNAGDGVGEDAWAWKTLKLLRDEMRAPKLMPPGEVFVVETMRVLQR DAFTAPELGVDGCPRLGRPATRVQIRFIRDVDAWFGELRFAGGMLSDHNPARYETSLA ALVRGVLDE PEX2_063150 MADRVTPSFGKLVASKTLDGHTGFIWDVALSGNGQILASVSNDM MIRLWNANTGLQRGAAKDNGHSNWVRCVRFSPAGRFLATASDDMSIRISDVNTGFTYR MLQGHTGRVRAVEFSPDGRTLVSASDDFTVRLWNASSGSLLKTLNGHSGWVRAVAFSP DGKTLASTSDDNSIRLWDTVTGNQIHQLNGHESSIRAICFSPNGKLLASGSQDKDLRI WDTTSGALLNVLRGHSGPLRVIAFSNDGNLVASAADDLTIRVWAVPFGFACVRVLTGY SGWVRGITLSRDEKMVVYTSDDMTIKIWKSA PEX2_063160 MGHAEPHPGSPNDQDVPDEMSSGPTLDEEKIEKSLIRKIDLHIL PIVVLLYLFSFLDRVNIGNARLYGLEEDLGLVGNQYQVSVSILFVTYCFFEVPSNLVL KKLRPSRYIATISVMWGIIATLTGITQNYGGLIACRILLGVVEAGLFPGFITYLTLFY SKREIALRTSYLFSSAAIAGAFGGLLAYGIGFMDGICGLRGWRWIMIIEGIPTVLLGV VTWFVLADDPDTAYYLNKEERALVTLRRSRYIGQTESAQKFHWADVKEGVLDWKIWAF SFGQFGIDTMLYGYSTFLPTIIKGMGKWTTAEVQALTIPCYGLGAIAYLSVAWLSDRT QHRAFFICLFCAISVVGYGILISDSSSGVHYFGALMVALGLYVAVGLPLAWLPTNLPR YAKRTYATGLQLTFGNISGVMSPFLYPNSEGPRFVRGNAVTLGMVAFAGIVYGFMWVS YRIINKRRAQGLEDEKISSLSEQDIQEMGDRNPSYRTGDTRRKTVTVGTVTALEDHLT ALDSHDPATGTTVVLSPYQTWARRTTTKLDGDGNVILPTPRPRRSSRLHTVDPQMIED DYDEDHVTNDELGHRGHLTTEVGYCALPITLRLTSLFTVSLASLYMDLGTYIYRQWTG LYIGNSLK PEX2_063170 MASSQYILYDIPSGKAPCVTWSPNPWKTRLLFNFKGLDYRTQWV EYPDIKSTLETHVTANTGNPAYSIPTIACPDGKYIVDSRKIADYIERQTPLPSLHLDS IYLEKVERIWSEYMKATKPIFIPLVPKRILNAESLDYWYTTRSAMVGMPLDQLEREKG GVRAWNEAGPALCEVTALLKENEGPFFMGNTISYADLVWVSILLFNRKLGSDFFQEAM ERTGDSQVHLNLMKAVQPWCGGYGH PEX2_063180 MKSIKTAVTYPMHSHPLRSTCYLQSQKRPQTEQLILERCILNPE RAETCQSGTDDEVGRHKSPYDPSKTAPEKEHLALEEEYRLEGDTIHDPLFFSPANLDV SQLLNPMAGVVHGLHHLRSVKGWTRKRREPLLRTAPYEMRKYENVFFETRKPQQKKV PEX2_063190 MPIVDFYILLLELSHLSLHGQLCKHFMLFLQSLIPPAFFALSSA VFYVAIHLPYKGRLCLSPLLFGSAVLSLRTSPYLTWLTGMNVLWALFACIWIQHAAAV LYFDQLRVQQTGSLWLSTYKIWNDPQRRMSRGIPPGYKRSIWSPSRVRFTFYQLGKVT LCWALHLFIIGPLVPLYFNFTAQDFAPSRQVFLRRLLPLLNDHTPITLREIQIRFFLS IYWIWIAYLMLDLCNALLSILFSVILRLDTPNEWQPLFGSPLQAYSIRHFWTKFWHRL TVSCCASSGKQVTRRLVRMNPRSRSEKIFVAFWTFLLSGLCHVVADWQAGEPCHPYDD LLFFVANFVAGAVELLVVRRLALVKRRCCADNDRVSWLVCSNTIKAVVGYVWVLGFFF WITPKWQYPKLLAVLTQVHGY PEX2_063200 MHTKPIIAGLSIAALSLLPSCPAPPVAALVLTGLALPLAGNLAY IGLKGNVKRADVDGEPLAKRQSWPGVPDYNIQMCHDANVGRAVTVTQTSTSSMRIENV APECMNLAAVFTEQGTPIPCGSACLDYVNLSAADNQKLMDIINKLL PEX2_063210 MASTPTILKKPIAIRPEYIASCPTAIRVKQHSKSWSGGDFTIFT FPSEGGSVTKLFSVDGDFGSLSQRRHFRDASGLPLFELHRKKSGVTWFVHLPGAKSDA EPIAAIATKWSAFKDKFDVHIKNAAASGEDTILEVRGQDIWKVKTHVYHNGALAMAAK LTDILSVYVPGKRPEWELTVAEGMDLSLASIIGVLLATLLKYSSMPSSYSPKNQKDGA ESEKCGTGKMP PEX2_063220 MSGNPEAYLSIRTTCDRCRFHKLKCHPARSCFASDIESGGPEFG RCQRCIRAGTPCIFSRRTKSRRATRNVRAGQSSVQITRGLEDDVQDCDATRSLNPSRV QLRGGVKPGPAEADATLARSETPDNQRIAELFPFEDAYVRWPLENELHFPGTFNIGDN ANAPFMYTNSMVTGIGDMQPYGNYETLPGQSRDDENIERGPMMPQALTTVTNETQSSS GRPGSEVNGTALDGTASMGMLLRLVADLHTRLAVLETISWRSECSFQDVQHYPIGSVL HLSQTFTSLVHGFNSSIFTDPAVAPILFSCYVTLTKIYTVVLYQFQNYLHSQPSGRQV CTSQLNMRLGPDACLADVPQSNAPRSNVHTGICVLLESLQQAEKAMPADLDVKALHHV WPQPWQGLSDATSNTHLVFRALADEVAEIKQLLREKADL PEX2_063230 MSSPDPIAVIGSACRFPGGSDTPSKLWELLEKPRDLLQKVSESR RWHPDAFYHSEPEHHGTTDVQTSYFLDEDPAHFDNAFFNIQPSECEAVDPQQRMLMET VYDSLCSSGQTIERLRGSSTGVFVGTMCDDWSGILYKDWETIPQYSATGMSRSIMSNR VSYFFDWHGPSMTLDTACSSSLVAVHLAVQALRNGESEMAVAAGANLLLSPGMYIAES NLHMLSPSGTSKMWDKDVDGYARGEGIACVVLKPLSAALRDGDHIECLIRGTGVNQDG KTRGLTMPSATAQATLIRETYARAGLDIDKPEDRPQFFHAHGTGTPAGDPQEAEAISE AFYGNGASDKLYVGSIKTIIGHTEGTAGLASLIGTSLALQHGVIPPNMHFNELNPRIA PFYGNLEVPTSAQPWPQLQPGQTRRASVNSFGFGGTNAHAIIEAYEKPVVSPSVGTLF SPLTISAATEKSLRAVLSSYVDYLEDNPQVSLRDVAYTVQERRSTLAFRAAIVASSGA EAVEKIESLLNSEDAPELNTKHFAVGSPRILGVFTGQGAQWPRMGAKLVETSPFVAKR LEELDAALASLEKNLRPEWTLREQILADATTSRVAEAAISQPLCTAVQILLVDLLRVA GIKLDAVVGHSSGEIGAAYAAGLLSATSAIQIAYLRGFYARLAGSWSGAKGAMLAVGT TVEDASEFCELEDFQGRIQVAAVNSPSSITLSGDEDAVAAAIEIFKDEGKFARQLQVD TAYHSAHMIPCSKPYLASLESLGDVSTTGTSTEGKPVWYSSVLGGKIMTQDDLDAQYW VSNMKNTVLFAPAVEAAAAQSGSFSLALELGPHAALKGPCLDTLAEALGDRIPYSGVL SRNKNDISEFSTALGFVWSHLGAGSVSFDAFEKSVSGGAVGRHIVADLPKYKFDHSKT FWTLSRAGGAQLINPHPPHRILGKRCVDRETPHDIQWRNILTPREIPWLKGHRIQGGI VFPAAGFVAMAIESTNALAGKSRISLIRIENLVIGRAIAFNDETSSVESLFSIRIIPS GEGIIKAKFTCHSGASHEPGTSMGLNAEGVVTVTLAEPDADTIPFFEPEDFNMTEIKP ERFYDQFERLEYEYSPPFRGMLSIQRKKSHAKGIIEDQSGSEWEDQLLIHPGMLDTAF QSSSAAFSCPGDGMMWGLYIPAGIESIIINPFFTSGGAGKQQTLPWESIARSMKNARS TMDINIFSQDHCHTFIQVEGLELMPFTASRPEDDAVIFSKLEYNIDRPSGGAAVIDDG FTTEALENAIKGERVSFYYLRRLVETITSEEKAVALPYHQHLLNWSNHVVDGVKSGKN PFVPSSWQLDTEEQIRAIWDEYGDRVDVRLIESVGKNLPGVIRTRTSILEHMEGLFEF YDQGLGLDMANRHLARMVSHIGHRYPQMKILEVGDMFSSYTYTDISSGFFEAAQKRFK DFESRMVYKTFDMERSPISQGFIEGSYDVILASNVLHATDKLEEMMTHVRQLLKPGGY LVCLELTSNDTIRVGLPMGSLPGWWVGAENGRPWGPTVTLPQWDTLLRKCGFGGIDTS TPLLHKLHVSTVFAAQAVDDRIKMLRSPLSSINALPSTDAPRLVVVGGKELITHRIAE RVVDLLTPRFSEVLRATSVEELDLEVLQYSSTLLSLTELDEPLFKQFTPTKLDALKTL WRQAGKVLWATRGARADEPFSAMMLGLGRAMTHEYPNMSLQILDLDKFDDEEKTAQLL AGDILRLEVLKKWQYEAQGEVDFLWSFEPEVCYEADSIFIPRLYKCSPANERYNSARR SVTRNISLQEPLTFVSEGSSYEVQQPSPLRVTTIPFELAETTIIQISHFLFQTIQICS FGRLMLCAGTDQSTGKQLLALSHSTEARPTVLADWTLPLSIQSPDLPKTLADVASHLT AINIFKLAHEGSRIVVHEPGELIGAALTQHAQKSSIQVVITTSVKDHFRDGWLYLPDN LPRRLIKKALPASISLFVDFSKSPGSIKAGQHIGNCIPELSARYRSEDFYGMTTEVRS GSSSRQIAEVFKAAFSAACESPNKAGNHTSIRIQDIAAFSVPDTPLAVVECLEPDTSI PAKVQAIDSGVIFQPDKTYFLVGMSGQVGQSLCQWMVEHGARFVVLTSRSPKVHPKFI TSMEHMGAIIKVYPLDITSRESLDECYKDISQTMPPIAGVAQGAMVLRDSMFDGLTFE NMTAVLEPKVTGTQLLDDLFYDTPLDFFIVMSSLTSVVGNSGQSNYTAANMFMVALAE QRRRRGVAGSAIAISSLIGIGYIERSSNFDAEYFEKIGYRNISEPDLHQLFAEAILVG QPGCRESSEITTGLEPFYPERNAKAQFFEDIRFNHFILEHQVTQNFDGKSSAMPVRVQ LAQAKTKDDAVTIIKEGFLARLRRTLMISEDETVNEKASLVEQGIDSLMAVEVRSWFL KELEVDIPVLKILGGSSITDLLAESMERVPKTVVDLNSLSNMKAVAPIRSSSESQSIQ EAKSGTSTPPVIGTPMSDIPELVVHAKAVDDIKVLQEAKEESFQMSYGQDRFWFLSDY LEDKTSFNMTVMFKLTGKIQVNRLEQAVRTVAQRHDALRTRFYWSGEGDIRTPMQGIV SQSSIHLEHVKLESEVDSKEELRKMHEYVWDMNSWEAARMVLPTVDDNTHYFMVSGHH ISWDGYSFTVLFVDLDSAYSGRPLAPLGPDCQYPAFSLWQRETYQTGAMKQAIDNYYR PMIDPHAKAIPLFPFAKSPRRPLLDHFEQFEAKATLEPALVSKLKKVSRKNNATMFHL YLAALQALVFSLLPEEQEFYLGLADANRIDKRFMGSLGFFLNLLPVRFDRSAPGTKVS EIIKDTRNKAYKALENSSVPWNVLLHELKIPRTNTEAPIFQLFVDYRQIARDRTQWCG CDLSDEDWLNARNGYDLTLGITDNPTGESLLSLRLQKKLYSESSTELLLRSYVTVLKS FASGVDLDVCELPLWAPSDVEAALKVGRGPTLQLEWPATVSQRIDQMIEEHTAHLALK DGLGNQFTYGQMRDRITAIAAALLAAEVTEGSPIGIFQNPSADWICSMLAILQVGATY VPLDPRNSMSRIVSIVEAVNPVVILTDRFNVSTVPQIKASKAVDIVVSDIPTSASTPN SPNRAKPESRAVILFTSGTTGRPKGVVLTHANLRAQCEGYSRMVNLPSMVSVVLQQTI YNFDISLDQIFAALAEGGCLYIVPAEKRGDPQAITEIMAEEGVTYTVATPSEYETWFR YAPENLANCKSWGYAFGGGEHLHKGLIDEFAKLAAWQIPGLRLFNNYGPTEASLAITK GEVEHSSPNLEDHVPAGWIIPNYAVAVVDDKLNPVPFETSGEIVAGGPGIAPGYLEQI HLTHEKFIPGDRIHLLATASSNIWYRTGDRGRLRQDGALYVDGRILGDSQFKIRGFRV ELQEIEAVILKASKGALSHAIVSARGSGEDRFLAAHVVFTSDYPQHRREVILQHLESK LPLPSYMQPTVFVPLARIPVTNNFKLHRDAIQELPLPESDGREENLADLETKVAQLWR DIIPHGVRSLTAETDFFNVGGNSILLVKLKAAIRRELQVSPPLIDLMNSSTLEGMARH VRASTAAKRYGIDWEAETSVPEELRQLARENLPQKDNKTENLRILLTGATGYLGGHIL ARLIEAPEVSEIHCLVRKESLQMISHSSPKVRPITADLTQPRLGLTDAEFVSIAEHTD VIVNFAANRSFWDGYETLRNVNFEAVKALVALSVRAGGAPLHTMSSGAVHFYGDSEPP VDGSDGYVASKWSTERFLAKASSELGTRVFIYRPVAASMEEKEAKDVDVDKEAIQTDL TNILRMLGKRPDFLAVSGYVDVIPVKDLVEDMAKSIVGGEDSDGVHMTEHKGQFRLRI QDFAQLIEREDQLKALPTMNPLFWFADAKKARFAQLITAQRLVMGHGKEEVVSRR PEX2_063240 MASTSISTLDIRDSCVIAEPVLVDPFVAGSANLFPKMPSGITDG SWELWEFEAFSNDGDTAVGVSLYRDVRGLDQDGFHAELNAIWPDGSKWGETLYFAKSI ITAEGSVYSHEGRVDGVWKSRNGPDAHEGETTRTISFTISPDLSSAIVRFFVPGFVSG TMTLHSILSLTNNQKTSLPATEEAALLYPSVYYTFPMGPETATVDLTFTVRADSEGER KLYIGAENDGHGGMVRGWSPHAWPQFMTEAYYTVAHIGPYMLQLIRIVGSAAASHKPF AVARLYRDHNLVCAANSVLDDTAAEGPAVEDTVRVKKILPESNEQATGLAGAFRDKNV GYIIEFSSTQRQCHWQFEGRHKRAWWSEPTSAPGPDGTGKSGWVEAFVGGSPGEKFNG TGVGGQLQIPVH PEX2_063250 MGSYHTQTAVVGNDDGGVKLSHSAPIPVARDDIVIVRNMVVSVN PVDAKMQGPYVTPGAIGGCDFAGIVEEVGPDAVKYNIKVGDRVCAAIMGMNPLEPMHG AFAEHVGAHAFALVKIPDAVSFESAAALCTSFMTSGLALFKSLQLPFDPLSPTKKPIF VLVYGGGTASGTAAIQLLRLAGYKPIVTCSPRSYEMAKSYGAEATFDYHDPDCAAQIR THTKNGLRYALYCITTIQSMSICYAALGRVGGRYTALDPYPETVAATRKVVKAEWVIG PAMLGLDIAWPAPHGRKADADMFEFGQHWKVTVQELLDRSLIRTHPLIVRSGGLENVI ESMQAIKMKMVSGEKLVCKMLK PEX2_063260 MAPQGPPPNIRQALESAGAHYMNLIEFGIIKAFIDFKVFDNIPS EGSISLADLSSNTGGDESLLRRFADYLIASEILASPSPSHVAHTARSLPYRTTEIAAD FISHVYHFFLRPMATWATYFEQNGLVEPRDADKIPLGLCTGHSGLDLYGVLDAEPQLA KLFNSAQERSARICSLKDVYDFSYVRGVLVEADTTRPAIVDIGGSHGLALGEILVDNA FIPAAQCTVFDLPKTIKTITSNIEAVNGSLSEVQFLGGTMLKPLPPAIKGAVVYHLRR VLSDFVDKDIVLALNHVREACAADSRVLLVEELVKPNRGKFAIAQDISVMNFGGKRRS EAMWRDLAEQAGLRLSSVFEEAKSEFAVLELLPI PEX2_063270 MSSDTEAMGLNTFVKIESEKSDLVDWEGPNDPENPRNWKNGRKL QHVLLVSGFTLYSNLAAVMFAPGAAELVKDFHVTSTIVASFTVSIYLLGYVFGPFLLS SMSEIYGRLIIYHISNVGYLAFTIGCALSKDTAMFMAGLISLLALVLMRETFEPAILE RKAAAIRKSTGNNQLQARTYNKDRTPAQIFTRATVRPLKMLLSPIILPLSLYCAFMFG LIYLLFTTFPAVFETTYHFATDISGLAYLGLGVGMIISIGLFAVLSDKLLKQPREGTL ERPELRLILMIWSSPIVPIGFFWYGWSAEKVTHWIVPILGTMFIGLGAFLILMPAQLY LVDAFGSEAAASALATNTVLRSLFGALLPLAGPPMYASLGLGWGNSLLAFIGLAFAPL PLLFYKYGETLRTRFPIS PEX2_063280 MSSLFLVDLFDTRELTKSIDESPLRDLRNVTPDQRSRNDRSDSR ENLDRSTVLEYDTQHDVSPSLSDYGSFRTPETSVNYVNSSHWAAILDSITDLRNHITR DEEAYPMVLGTVRPPASFPKPKLLYSCGMYESSASILKSLPPRPTVDRLISRYFNIID IAPGIVHSGKFLREYERFWKAPHDAPILWIGILFSIMCLSTQFQQAFPSTTGCSPTLG HSRKTSQVEENQALVETYKEKVIQCLLLGHYTKGGPCVLETLILYFLIENFHLKDMEI GIWVLVGNIVQIAIHMGYHRDAKHFPSISPFAGEMRRRVWAMIVQLDFSVSTQLGLPR LVKESDTDTAEPRNLNDSDFDEDTPTLPASKPETEVTPTLYVLAKLRLLSVGAKVADV ATEPQPHTYAQILKLDQQIDEAANTIPSSLKWTGLASSLNIPSQIMVQRIWLEVIIYQ LKIVLHRKFLEPSRLHRQYDRSRSACLDSAIKILEFQRLVDEETQVDGLLYQSRWRVS SAFINDFLLATSVLCFYVQAHNNKRDTAPNNSRDSEVKLVDIDRIGDLLRTSQAIWSR QSANSREARKAVVAISYVLDGSRASTEPYTSEVALPSAIPATAISYFPGYSDFTSNYD IPNMDLGSTSTMEGMTWPIFTASLNDDVEQWIGGHGSQQMDMTL PEX2_063290 MMSPQDNQNEVLPGGNEANINDTTDSPETENANYYTKRSKHNHG WRHIVRNFTPAWFSVNMGTGITSILLNTLPYNGRWIYWISVAIFCLNILLFIIFFAIT ILRYVLYPKIFYLMVTHPTQSLFLGALPMGLATIINMICYVCVPVWGDWTVYFAWALW IADVVISIMTCFGIPFIVMTRTADVKLQAMGAAWLLPIVSCVVAAASGGIVADLLPDP QYALGTIIVSYVLWGIGVPLAMMIIVIYLMRLMLYKLPQKAVIVSTFLPLGPLGQGGF GIQKLGVAAQKVFPLTGTLRSGSGDTLYDIGFLMGLLLWSFGCIWLFFAVAAIIRSKK FPFNLGWWAFTFPLGVFATCTNQLGQEMPSRFFRVLGTILSVCVLLLWIVVSLFTVKG MFNRSLFVAPCLGDLQEYEPKKFQKVKQGSKA PEX2_063300 MFEKSSFNTGKAKMPLFRIKTVFPLVALVIIGIFFFCMERYDRS AFLRFKHPIDRATFGGNKQPQLQTPGQSDSQQPTSNTCEADPKLAAPLPFPEWLPRKN YTRAYFRPRHVNPRTEFHTLEEIEKPVLPPMVVMERGMVVSPENKPENFVCPEIIEVD VAADDDVEETSKLLFGLATTVDRLDRLLPSLLYSYGNTKAGLIVLVPESDDDLEKQET YFRNRGLDLTLKASPLDFTARYFGMVEAFTEHIRKHRPHTKWVGFSDDDTFFLSLPTI AEELKLFDESKKHYIGSLSEASWQVDTFGHIAFGGAGVFVSTPLLDVLMKHYDECQSW GEQPGDQKLGQCIQRFGDTPLTLWPSLYQMDMADPVDGVYESGRKIESMHHWNSWYTK DVVKMTTVSAAAGRKSVLRRWVFDQEETVNSVTGETLRHFWVMTNGYSLVKYTYGENV PDDAINFDATEKTWPEDPRGYEDRLGPLRPAEEEGVSKDRWLLRDAFVVGENVHQFYV REEDEGHSVIEIVWLGPKGGGGGGVSDHFLRGTYQQ PEX2_063310 MSAVLDEKLSPGHDDLPGNGADARGTKRNRMSADDEDDDDDKPG RERRKIEIKFIQDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVSETGLVYTFT TPKLQPLVTKAEGKNLIQACLNAPDPAASENGVDAPDVAPEAPEDVAHNNVNAPQGNM RPGIHPGYMTNEQQQQMAYYQNLQQQQQQAGGQYPMPVGGRMPPQHQPTA PEX2_063320 MGNLGDLSPEGSVAVGVIVGLISTSLQAIGLTLQRKSHLLEDEK HPYDVRRPPYKRRRWQVGMGMFVISNIVGSTIQITTLPLPVLSTLQASGLVFNTIFAT LILGEPFTRYSLAGTVLVCIGALLIATFGAIGEPAHTLDQLLELLQRRSFLLWMGATT LLVVVVLIGIKMLEHFVPSSRAKPSASGHFSPRMLRLQSRMKLIRGMSYGFVSGILSA HSLLLAKSAVELLVRTVVDRVNQFNRWQSWVILLGMISLALTQLFYLHRGLKLCSTSV LYPFVFCIYNIIAILDGLIYFRQVSQLAGFHAGLIALGTMVLLGGVLCLSWRLEDIDT HAAVTTVGSTQTGLGPGMAIVEEHSPTSPGLLDGQDEELQIGECEPLLNPKTHTRHLS YHRGRTPSLPLDLNLDRDSADLNPASIWAELDDSDYESTDEHRRLSTDRLRSFSGSAT LKGPLRGLTRHSTIGATTHDRERGSRRVQGSLHENPWRRTSAPQGGLSAAHRKRRGTG GPFTSSSNQEVGGYGTIQEDGDDAHWHDDVPALRSSSARSGLLYGSRKALAGAWRFGR QYLSRWSSPQAGSQAEIDPEDGSSSSLLPHSVVPLPRTHTQGIPLPESDTLSPSSSP PEX2_063330 MSQTHALSDDQVAGELRKMTAFIKQEALEKAREIQLKADEEFAI EKSKLVRQETAAIDTLYEKKFKQASMSQQITRSTLANKIRLRVLGGRQELLDELFQIA REKVSKVAADDEKKYQAMLKGLVLEGLYYLNEDNVAIRSRKKDFDITKKAIEEATKEY KDNVGSEVTVTLDESEPLPEGSAGGVFIVGGQGKIEINNTFEERLRLLEIDALPAVRE TLFGKNQNRKFYD PEX2_063340 MRTIKDLWFNRPAFTSVNSNSTPEKNESAELATESSPLTEPPSS FVFDQILPESEQDAEAHLNAALYLSTQDTLLQTPTLHQSFQSIESATGVSSLNESLNG SQRIIKDGREVVISSDGEDTDSICSLEDPKNLFAPKSKKDELTPAKFTTKISSPKKYR NNIDSLVHDAVDDNEVEASVARARANFVQKQPNGKELGNDTSGTALNESMLISALGQN EDGAGGQRLIGAIRRTDALDHGRAWPFFDCTQTLAPAPQFPQDLFAPGTSMDILRESD TRERQFMSGEFIQMALSKGLLPDEFVLWMFHSIPYERREELSNAYYRIIKNLDVERLK LLIRPADINELFSRLGARSQALDPSKKIIPVLSQYITPDSALKDHVTFVSVLRLLRET AGLFAEDTQEQVVLLLLRLTLDVSLTADAMVSSELQWTINAVLDPENFHENSAKDSLQ RVCATFYATIDNVCIQSQIVHHILPTSPWFALIRCRLAVAFLLQSPSPLVEAPEKLLD IKRITMLLLRDKRFQVKRFKGTADYDWRELTSLTALLNVVIDSSALELNFSSTRTEKD YDAAIDRLAAQIKMIFCSIQDSGAAHVTRTVAKSELEALHYRIVYSVRSKPPPKTTIF ESHVKERDGNIRSHFSNFAAIAARKQTQETGQETNQSAAPGDADNGDTEIPIRAHDQV S PEX2_063350 MASVRFPGDNGEDNNEQGGDIGEVSSNVSKPTQRQRWATTRAPG AGGIKKRVSIIDRFHKRADMRDEKRKSTNTSTSANSGPETPQEGPNRRIYFSIPIPES ERDEDGHLRITYPRNKIRTAKYTALTFVPYNIWLQFHNIANIYFLFVIILNFFPIFGA NNPGLNAVPLIVIIVVTAIKDAIEDWGRTVSDNQVNNSPVYRLVEWNNVNSTEENIDL WRRIKKASTRGLIASYKWLTSQFKKKEQGGDDEGQRRGSFMSTADPRASIYTQRNSIV PEDTVIPMTDVPSPQPEAREDWPMMNNPDNKYLSPTSANRQSVVEPTPDKKHGTVLDM SKQTPGKARFKREQWKSLQVGDFVRLYNEDPIPADIVILSTSDPDGACYIETKGLDGE TNLKVRQALHCGRQVKHARDCEKAEFVIESEAPHANLYTYNGAVRWDQRDPSFPEAPR KEMVEPISINNILLRGCTLKSTEWVLGVVLFTGGESKIMLNSGATPAKRPRMAKALNW NVIYNFFILFLMCLVAGIVNGIAWAAPNKSLDYFDFGSYGGTPPVTGIVTFWTAVILF QNLVPISLYISLEIVRTIQAVFIHSDLYMYYERLGLYCVPKSWNISDDVGQVEYIFSD KTGTLTQNVMEFKKCTVNGVAYGEAYTEAQIGMRRREGADADAEAAVARQQIAADAVQ MLGLLRGIHDNPYLHDDQLTFIAPKFVADLGGKSGARQKHCTEDFMLALALCHTVITE HTPGDPPQIEFKAQSPDEAALVSTARDCGFTVLGRAGDDLLLNIMGEERTYTVLNTLE FNSSRKRMSAIIRMPDGTIRLFCKGADSIIYSRLARGKQQELRRQTAEHLEEFAREGL RTLCVADRLLSEDEYNTWAREHDIAAAAITDREEKLEKVSSEIEQELMLIGGTAIEDR LQDGVPDTIQLLADAGIKLWVLTGDKVETAINIGFSCNLLNNTMELIVLNIAETEYQQ ASDELDKHLQTFGLTGSDEELLAARADHTPPDPTHAVVVDGETLKLMLSDDLKQKFLL LCKQCKAVLCCRVSPAQKAAVVNMVKNGLNIMALSIGDGANDVAMIQEADVGVGIAGE EGRQAVMSSDYAIGQFRYLQRLLLVHGRWSYRRLGECTANFFYKNLVWTFALFWYCIY NDFDCSYLFDYTYIVLVNLAFTSLPVIFMGIFDQDVDDKVSLAVPQLYMRGIERKEWS QLKFWMYMADGMYQSIICFFMPYLLFAPANFVNESGRNVNDRARIGILVASCAVIASN LYIMMNTYRWDWFTSLINAISCLLIFLWTGIYTSFTSSGQFYHSAAEIYGSLSYWVVL LMTVVICLLPRFTYNSIQKVFFPLDVDIIREQVTLGKFKYLDAIEDPPLPPKEGSPKP AAPSDESTTSSEIVKPVQPTIKQDTAILDEERPFYAPSVAPTSNTHNPRSQNGSNGTN YTASLDQYPRPQSVDYVRRSHDRTRHSFDRSRPSFEQSNDFTSAAMLSRVESSQTPHQ KHEDPFRTPDDPPVHNVI PEX2_063360 MEFLAAIRELKALPEATHLFCPRKDDDDHGRYEDLEQVDEGGKT IKDIVAEATARRESFLSSMRILAYHKDGVEDDQNWILAKLDESLEKCDLCIKHYYIGK IWLMDLLKEENYHEDDIEAFAQRIDEWDIRRITRNLTTATTQLKQIPPEKIGLDVLDR AALLSIFETLSCEAMLRNESLLQEYFDEPFKLIQTKRSLKVSDYIPAVSRFLFDSNQF RSFWAIQSWSRYARPPTTQEFEWAVRDGLLMALRAASQQPPQFAVIQRLWRGMPLVVR RLGKEQITHQLRGLEIDPCRLSVDHLSIPAPGLRFLLNTIQLFLEKAPVDFWDAMQTI SPQAIMEQTFYNTQFNTFLLETSEGEPFERSALKDMLSWINPFLGSLKGAHQPSACRF LTLQLLGRLQDTQYPNLSRYHCFDIGLASLLQTLRRFTENESSRGTVTRIVLAETMGV VGDTISVIINPPTFDVERSRQENIRTQCMEVVRNTLALECQSLKTDYEALLKHGTLAH GVSTYSAPIWDAVIKHLHRDNLPLSSSALLGILPLVGLEMFPTKGEGNKEKTHFNMIY GHLTNLSSRIIGRLADFPPEHLETLFRSQDTSSAVISALFAADYDTYTAAVDLIKAVS GQSARRDAISHLLQSSFTTTIYGLSWSFRRISTMKTFAPAPRMLHTGTDIVEILCDSQ TGMLRTRNLADRREVMSLQKLWEYLWLALTTIFDETEAWHLRGNDKTVMLEFCRDTIQ FADLLFDQYGVFLGAVGNADPGQTSASENFLKSPTATMSAMVKWLRLKDEFLATTLVG LVAKLLRRLGGMNVTTVRPDALSFIEGVAVNGTIKTILNQREKAELVRSLEAYYKKPV VTASTASLKKQSSITAFAKPSDTSSPSSRITSDDDFDDDILNDQTLLELSSSVEFNKA RLATQAKREAEKAAKMRSSTAIKNRPEIFRPSMFPKSVPPVRAHDDTTHVLSFREKRE REREAKKKRDQIELARLKKNTSAYGVGEQTAEQGSGLKGIGIKGKDHTTPADSMMVSS GDESNSESEDELDKELFGARPKKPDAVAAYELSKKQSLQQQPVKKVKRQRSVRDMRAR LAPDLGSLHHSILSWDFFATGDLPPTSDRTDYSLVSNTFRTPDDYQSTFEPLLILEAW QGFQQAKDEGSFRPFEVKVMTRLAVDSWIEFSTQPLGLLPKDFSMGEGDLVLFSNSPN LTTDPSVPHVLARVCGVNRKNKKMEVTYRVNPGSNKFLSSFGPGTEAWGAKITSLTPV EREYGALMALQYYDLCEEIVLAKPSPLLTYSDTRLQPIMDNYSINRAQARAIKSAVDN DAFTLIQGPPGSGKTKTIIALVGSLLSDVLGKQLIKVNGAPAARNALSKKLLLCAPSN AAVDELVMRLKEGVRTTHGRQEKVSVLRLGRSDAINTKVLDVTLDEMVNARLNQDPSK GNGVDLQKLYEEHKTTDTSFKELRGQLDEARAKGLPPPEELEREFDLMKKKRSQLSAS IDKARDQNHTLARNADMHKRRIQEQIINESHVICTTLSGSGHEIFQGMNVEFETVIID EAAQCIELSALIPLKYGCSKCVLVGDPKQLPPTVLSKMASKFQYEQSLFVRMQKNHPQ DVHLLDIQYRMHPAISYFPSVTFYDGKLQDGPDMAKLRRRPWHQSELLSPYRFFDVQG MHSSAARGHSLINYAELQVAMQLYDRLITDVKGYDFAGKIGIITPYKGQLRELKNQFT QRYGEEILKKVDFNTTDAFQGRESEVIIFSCVRASNKGIGFLADIRRMNVGLTRAKSS LWVLGNSQSLEQGQFWNGLIKNARERNVYTEGDILKILQKPQFTGYKEIEMVDPGTEE TPDSPDYEPPAPPISRPSSASIGLDSPSVSAPTSRRSSPPIPRPSGPSGGSKGLDTTK MCGICGSADHFTHNCDNDEAKANARGNCFRCGQAGHTKNACRAERCLECGECGHLSSN CKSTKVLSKFEKSRIERDEFNLKQRQDSFTERQRQRQLGGHNPKIPVVQATNSTPVDN STKRKREDSASDGPQAQRPRTGQPRPPINAPRGPLGSAHPGLSGPAPRAPNVSRVSGH PGLSGHPGLSGPSRDNPVANARNGSKGPPTKGPPTNGPPGGLITPSRDGRAYPTENDP TRAPPMRPGATAPNQGAPKPRPPMIRKKKETDPFIRPKPKRK PEX2_063370 MVSRSASILTGALALILPFAAHGFVVPLPDNIQAFLGQPNSLNP SPNSNHIEDENVLNRPSWFTSTLMARRLLALSSSGVISTNFPESLPPSAHAPSDVAGL SIALREYIADCDGSLPSDLSHDDNGDPTILGLRVGTTFKNIAAGSNLSLQLDWWDHLD EAGPVYPGLPLSPAALPRVTLFGYLDNLPSPLPDDAAAALEECFLGPHPDAKVWLPGA SYSPHASFWARLVVTHAYWIGGFGDVQQIGWINLTEWQGIRPHGSVEGVGDGRGWHDV RLPGEKQ PEX2_063380 MKLRISRPQIRVSQGESAFAAGNARWTNRDLDPIAKHGRKWGVP SIIGANILLAYWISDALNAATWQFASSMIAVGLTYREALGIIALSFFIISLVIAANGT VGAIYHVPFPVIARASWGFWGSYIAIISRVILAVFWFAIQNVNGGNAVHVMIGAIWPS FLNIPNGIPESQGITTGAMVGFLIFWLIQVPFLYMHPNKLRWLFTIKSILVPIAWIAI LIWAFVTVKGGGGVFAKQAPTVSGSKYSWLFLANMTSVLGNYATLSVNQSDFSRYSRV SPRWQLLYVPMLPIIFTFFAFIGIAASSAGQVEYNLSSIPWDPTVLISYWPNRACRFF GAASFALASLGVNISANSLSAANDFTALAPRYINIRRGQILCALLSWCLVPWKILASA GNFLSFMSAYAIFLGPIAAIMMVDFWVVNRRRYDTLALYQPNNPTYRYTFSIPGLPGK SISGTNWRAVLSFIVGVAPSLPGLANSVNSNIDVGVGVHPFEFGWLLGFVATSVVYML LSWLFPAQETQIDRAVLPDEIYDRGMVVEGMEAVDPDSKDVVTDTREKDMDVEKAV PEX2_063390 MNSTVLPDMSGMDVLGMNISNPSSQLTASHHAHPYASSISSAAS SSSSSVFSLDCVSSQSSISSTSTNPVDVIWENESNSQLAGRNPNGACPRAFAKGPASK VDGVVPSELRTHPRRTNSYSSSAPSARPPPCLLRQSERKVNFVDNLVDTASQIVETIW PLSAAASRSDAATGSKGVLPLRTFIQETLRRSRTSYSTLQVALYYLIKIKPHVPTHDF TKEQCRDQSPLRAMQCGRRMFLAALILASKYLQDRNYSARAWSKISGLNTLEINQNEL MFLKAVGWRLHIDEATFQRWTDLVLKLTPGAGGPPVAKGQCWQTVLPRLTPELDFVES DPVTPVSAMGGMDIGLSEYLSPRSLPSNESVRSLPREQTPTYARSLPRTLEPTPRMDF ANLALPSIPRPMMLPTPQMTPQSQVSSTPAASVAAYNPRRPSICTAMSQAQNACMARS TLDQRPPLSFCPRTQSYDGYPAAARRSSLARSTSSASSPDSMVSDVSTLSSRSSRSSS VSSNASGTSGSAPARLAVKATLRCTSNSLKESRKTLAIASPIDESCLVGVYSSPEYPS SMGSSVPDLSNFSLDSSLSEAAQSLCELSGATPERRQCRKRGRTGSDDLLLQNHVRHL INLGASGDHSVLPDGQFPRSFMTQHGSSIPPVRTGLVSGPAGMKRACCGSEARKIALN PSLRVAEYLN PEX2_063400 MASALDVSAARSRFPALQQEQVYMDNAGGSQVLDTVADSIRYYL LNTNVQLGASYKVSQTSTNAYANAYKVAAGFTNAAPEEISIGISTTQLLHNLSTALKF QPGDELVLSKLNHEANSSPWVHIAERLGLTVKWWAAADPKNPVCDPTELKSLLSDKTR LVACPHASNITGTISPIREIADVVHAHPRALLCVDGVALAPHRQVDVKALDVDFYVFS WYKVYGPHLAQLYASSRVHDQIQSLGHFFKPTDTLDLKLNLASANYELTQSIPHVVEY FGSDPSTTWAQMAEHEERLQQILLEFLASNSRIDVIGEPLASKELRVPVISFVVQGVG SQRLVEEVERRSAFGFRSGHMYSHRLLADVCGLDNVEDGVVRVSFLHYNTEAEVRGLV GVLREVLGSL PEX2_063410 MANSGQHIQQVPQHVTALLSHLTSRPGVQSTLILSRKDGSIIQS TGQLAQTTEENGSSRTAHNTPSTTDSIPLSTAQSTDSSPTSPTVPQPYQPSQAETLAA HIFAYVSSAEALGVSLSRPTPTVQRPSDNHWDVNYDYGTEAGTAREEDRDGDDIDRDE DGEVKLLRMRTKKHEIVVVPDRKYLLCVVHDAAPGGGPGPAGSRSR PEX2_063420 MTSTKTGPPPKPLVAPRPRGGSAPRPEARSAGTAERAGRNESLG DMVRFFQSQNMPSQSLPLSQPPSQPQPRSRAPSQSQPSSPSDTTTALPIPISPKESKQ ELKPELKPDPKQDLKPFHRRLLQFAQRQKKESSPRSKQEDQQRQIEALTRGGYLIPPA LPLPKESKGQKEANRSKESLSLSLSRSLSKSKKDVETIGQPWLQAKVDDSTLPTETRR HLGSLDLGDFGSMVDVAVSLTSDFDDSVPPPYQPTDHAPSKSPAPISTSSSMADLNSR NITRSASSLASTSDSHRNAPIGEQIQRPLNSSESSIRAEPVSRVTINPSVGVNGSSSQ PKLSIDSQKRIQPEQSSTRNVTPLSPTLKLFPDVAPPRKSSKVAWRNSSIPRYQTVEN TTASPASLASSSGTVHSDNARKASDDPKKSTETLVDAPVSEAEPKCSDTLASCASSSA TTLSIEDATKDSASQDKTKIRPLSLSLGTLKAFPLPAPTRPLPSLPKAKSSPSTPDTN ACSIPAITPEPLPSNLRSTSATLAEDRETESAVDSPCALDSRPATALDSIDAGASTAD TMADDEDSVFTISLSEHYQPTPEHYAPRGRVSSVRIPRMQELPESPSSQCDAKVSEGQ PLADSPVLGHFVPTKSNGKRAVPKGLQINSQVSRNNLPFGLPSPPPTASLPSAPPPQH PPPPPPGRKVGQRNYTAPNMAIAPSMKNMEAHPLPGPYRGSTLSRSDSSGSSLRHESF PENYQQSRLESRPESPLPSSDDEVFGPEIDAKHSRQEADKYHRLQPTRRVYETRDPRQ MSSRSRLRYPNPARPMTPQSRSIHSLEKTSSPQSQYSQSTHRSRESQSSQHTRPGPQM DHYLEDRVANLERQNQILQAALMAALNAGVKNPLEGLNLDPNMSSGSSHAPFAHQYSG RHTSRPDSWVSSSRSSDHSGFESSSSYRETRPNVRQLDNMIEDIESGWLSDKSSLSGT RIARKR PEX2_063430 MSNTDEASEPFTTKTNTTDRVTGRVHESASHAREKIAEQLQPGD MKATSRRSSDTPDNIWQFGQGGEREERDYGLERDKSMLQSAHETVAKALGGGNRAMGS SSKRKKDKQKDFQKPKLKVGKTKAKPDNYTDTSFKSKSIVVTQQSLHLAAPTSNATFT HNLSLLSAKSDSQRRDSLAYLTTTISSRPVNSPLPQPVSVILPSLLPLILDGTTSVRT QLLKLLRTLPPGDVEDHVAQLLPYVRAGMTHLAADIRSSAVEILSWMIEAAGESTVAC AGGWIKTLNCFLSVLGWHTEESSQWSSSRASFGKSGSQGKPMVKTLGALAMFLDSGIG KPSTGDVDSEMPDDDESADWPFPLSQTAQHMISDSSTPFAYLNLFGKPRDEEGEMYET REDRYRVFSTRFQSAIERGIKSAREEGGELGRASSGVSKVLKEAIAYGPGP PEX2_063440 MEGIYHGGHGEARPSQPMAFPGFDADSHMMSDDFSFDSPFSPSG SSNANDGVLGNSIFPEWTSGASRGDSPDEMQRKDPLAAQIWKLYTRTKSQLPNQERME NLTWRMMAMNLRRKEREQQARASETLSPTPSGIAQMRLSDEVSPAGPDLSHDMNLDST SDPMNLDDFIVPFDSPAEHSSHPPVDRNFTATPTGSIPIKSRKDHAMMDSATAASFPH PPQDQRTNSEFGYVARRVRKTSVDERQFFTGLSVPTRKRPAEASPQVPPVSNAMMAQH SELSAALPDYSLDHPPSAFSLSGNGTVGPRRPQHHHTHSNIPYGLDTYGISEDHAIHS AGPYQQNFHFSPTESPMTAGNPFSSLYAQTPLASSLNSTEFFSPPPSGYQSTVSTPQP IYEGEQSIFFSDAPSAESHTQRRIPNYIQQRQSNLSASLQPRYMYNMSNNESHSGTAV TGPPTTHVSGFSVPQPQHINPSQVLGHGEFSTTAPASSMFTFGGDSDNEDEDGNFGER GGIAMPNDFASLDESGDMSAGLHWDGGFPGSVHSLPGFSGQHRKHVTIGSTDMIDGPP EWNQGGTLGRGHGSAASVSEVRNQNQDPRRYGKVPRTASTPNAAALLRQSLNGSASGP PTNHPSPSTPPESGLSSAVPSRPGSPGGSKNGDPNAGPTTCTNCFTQTTPLWRRNPEG QPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRSSANTLAVGTSRSSKKSSRKNSIQHA PSTSISSRINNSESPPSINGSSTLGKPGVVPIAAAPPKSGPPAGVAQARAGVQVAPRR QRRLEKAPTGSEPDGDDSPKSAAPASRSKVVPLAPAMAPPAAANPANHSIAGGQGASQ EWEWLTMSL PEX2_063450 MNTSAQDMSTYNVGMVQANMSLTPDRPMGPGDFAGHQPMDPGVA WDEFTTIADPQLLNTMAAGKGQMMNMSPNLWNPGSIHSGLPPPSPISAASSMGGPAQP MGQTAYAMQPDGTVWQVPQPPPRTMSYPGQDMGSSYPNQFHPQMPTELKRRMTTPAQS LSATSAMAMAPQGSPGTPEMHTPGSVSYPPGMSYPQWQDMSAMSGMGVVPYPMYPGDA VPHHAYPPNPASMGHPGGPSRSPNP PEX2_063460 MLLLRAHKRGGEKRGWLLDSHRLNRRGKRVKPGVAWRIYCPGTK WTGVDDDLHTMVWCITSKQPLKANKRHRSPYSAYSRALQATPTTYFVQPTRRL PEX2_063470 MAKKEKEQSRLTEANALPKLRRVSSGQRDDNKDPPGSPRRDSPT AGAHCEPAQPGNIYDHLESRLEMATKHKDKSPAANHVPPEESKLTTKDIKAMLSGAPH FLLEKGKHGRWYPEVIFPWDEQNSVIQHMWDRKPLPHASFTLSTLHAHLPLPDDWAIK GGVPTQALDWRRIGAVNRATFDVGIFEVPNMLSNNGKEPGTVGFRHFLELPVADAIRY TGPETPRKPPYLQQVSTMAATEAFNIMEGYNKPYSQCQSGAVYDRHKLICGGPEAWKR IGVRDINMRSLVQRLDYLRKYRHEMLTEGSTKTILDIESPRELHDILHTQFLHPRPPP ADIIGGHPQSVKSQIKTLAIVLATPGAWINFSLPEWRFRAGQVLWEVSLHGDGDCLDP GSSNEKVPRDVLIKSGMERKWLLIQMLLSAELLLRLDAFVRVGMLHDPHGGHITIHEL VQFENLREGKLNWDLVVVRRFLNSLHITCPSPQSGPSPGGSATKPPGKSHRFSLLESI ARHSSPVADLQSAWDCELSSSHVRQQLEGLYVFAENIGWPKLAALRATMELKLGDPNN PVLPALVVDDRWGREKISKEMLLAKEDMYARSPCRRRVKLCSSGDPQSKNLGWISRSL LSGFVIPGEAISHLLMATLLENDADALDKLGSIANLYGGFVYGERSWWSKACVVGRVL SCSKGAKTCMGWISSDMMPRDEITRELFKCGWLELPVEEVPQISSRPRIKQGAKLVLE SSPLGMGDITAKAFTLPTDNPETSAIHTITIEGLTLSVNDYKPPNSNGITPAKASMSF AIKDADSAGVSTTVCFPLKYNVRFISAHECCPPLGVVSHKSSDREKDEPQQPTRPVSK YTRLPGHPLHNSYRYKYVSLDSLSSTSAPQSTLSQGPEAAQAPEILVIDARGSRDRET FARAWCAAVGCHAIISRSGETRVTCCVACSIRQARAIDVMVVVRISE PEX2_063480 MRSLLDVTGPESATKAILVVYDIFGFFDQTIQGADILATSTDQK YRVFIPDFFEGSPADISWYPPTTQEHKEKLGHFFSTKAAPPKTLSKIPNVVAEGNKLA PGGNFQSWSILGFCWGGKIASLSSGADNKLFKAAVQCHPAMVDANDAKAVNIPMALLA SKDEPAQDVKDFEANLKGPKYVETFPTQIHGWMAARSDLESPEVRKEYERGYKTVLEF FHQHS PEX2_063490 MGTALDALSAPPLNIRRPAAPTLPSFELPPPPFTLGPAAAAPPK YAGHSTHPPVSHAPVNVSVGNLLTPPATIQTGEHATPQPLATSTGASSELPPAYWSGA NPYGQPWASGVNQYSARSSFSPSGMQRSSVISPPTTDGLPHPYEAPTLSYQALPAPST MPPSASQPAMMYHGGPATSPAPLPSNDPYAPKHQHMYAASPPMHSPHQAGFSPMYGPA GLGIHPPGRMSVHSPSAGQPPLGYPRQPWPSYSLPAMNGPVMTNVHSPGGPMSMMGGM QPGLLPGFNSGHVASSQHLYGGHPPPHGMSAPAADRPFKCDQCPQSFNRNHDLKRHKR IHLAVKPFPCSHCDKSFSRKDALKRHILVKGCGKDGESDANSNQVGADIKGEGRSEDG SPLLNGRV PEX2_063500 MYIIMFPLLLVCALLAQQTLAFPSQHRANEKPLPGLLRAGRDSR SGSYKIPSAVQNGTSKAQFDISTPATTLNIESLFRLDAPQVDLINSSLFDWWYFDAVS ETNPDDSLVVTFFSSSAEAFPFLTPNETSVLNVWLWASFANGAVFSNSVPATVATVTG ADGAGTNSSGEWSSTGFSWAALTEDLYQYEIIISSEELQVEGRLTLTSQVPRHLPCGV QAERTALEIAPHIGWVNLVPDAVGEVDMKIHGSALKFRGPGYHDKNWSDRPFADSVQS WYWGHGRLGPYSIVWFSYLAIDDPTNTTYVSSYVAKDGELLISACGPSILTVRPIGSP GTTGGRYPPRMGDIPEGFRLEYDLGETNGRLKVNISVRTVVAESGKNYMRWTGDMVGE VIESESQQSQEDGGGGGGSGSKREMREHDAQSSSSSSLVGVAVLEQFVMVE PEX2_063510 METVDLVVVGAGWSGLSAIKTYREVNPGHNVLLLEAASSIGGVW AKHRLYKGLKSNNMLGTYEYSDFPMDEATFGVKPGQHIPGHVIQKYMEAYVQHFSFAD CIQLERHVESARHNSDGTWQLTVSHGADTTIIDTKKMIVATGITSQAFLPTFKDQESF GAPVFHCRDLLQYQDAVLQSGERATVFGGTKSAWDAAYACATAGMKVDWIIRESGHGP VWMAPPYVTPLKKWLEKLVTTRLLTWFSPCIWGEADGYTGVRSFLHGTWLGRKIVDAF WAILADDVVQLNGYDKHPETKKLKPWVSPFWIASSLSILNYPTNFFDLVKDGTIKVHI ADLERLSDHTVHLSSGDALPSSALICSTGWRCTPNLKFLPEGIDRELGFPWSVDPLSE NLVKEADEEILRRFPRLRDQPNPNPHYTPLNDQSEAAVPHPFRLAKFMVPLSLVKERS LAFMGITMTINTTLIAQTQALWISAYFKGDLTPATRERCPLAVRAASDLKTEDTSEID LAWETALFTEFGKYRYPGGFGRRNPDFVFDAIPYIDLMLGELGLSPQRKRGSLARCLQ PYGPEDYRGLVKEWKSKQSTQ PEX2_063520 MNGFNTEAFTLLGVAIVIIGLRTTARWIMVGPKGFQADDYLMLV ACVVYGLETGAAYMVGSWFNGLANNSMTDEQRQKLSPDSEEYRLRVGGSKVQVAGWSL YTLLLWLLKTCMAIFYSRLTWVFILSAGLVNMRIRIHIAYVLIAITYIATICSILFGC HPMDKNWQIYPNPGNYCQPAVSKIDIYVTVVLNVATDLYLISIPAPMLVKARLKWREK LELLVLFSGGLFVMMAGILRCVLILTAGANGAQQAGSWACRETFVAVIIGNVPMIYPL IRRFTRRAGLYISSRGGSESYQGYPLSDADTGGGGYSRRKKFRHPLSIPADTQWNTIS DEQMILPTSRQQPPTCTAGDGDWDSHSQGSQGGIKVVHETIVHSTEKSPRL PEX2_063530 MIQVHSITSPGESPHVSSDKDAALAVVSEFAQEIDPVVEKRVLR KIDMYLMPAMLIGYGMVYYDKAILGSATLFGMTTDLGLLVKDYSTTPPSVDTSRLSWA TSIFYFGMLAGLYPMTFILQRFNTRTVLGPVVLIWAIVCAATAGVTTWQGLFVQRFFL GFIESVIPTGFMTIVSGWYTQEEQALRQAWWFSGTGWFTIIGGALNYGFGQITAGSLK RWQYIYILAGALTFLFGLWCCTMPNSPVSAWFLTPEERRVAVERLRKGQTGVRCQKIK FDQIKESVMDIKIYLVAIMMAAAYTINGAISGFGPLIVSTFGYDTLHSILFQFPVGAI CVVFIPLCGYIPTVVPNTRIPLLITCCLPVIAGCVMIWKSQWGYHPVTPVVGYALTGF FGPVVSLIITIGASNVAGATKKTVMAATVFVAYTVGNIIGPQLVKSNTKSQHYPELWT GMVICYCITIVAAVVLYFVLRRENRIRESLELDEVQRDKIAFDDLTDKQNPFFRYAL PEX2_063540 MTIKITVYKGSKDGIKEVETEREDLKRDEVLVRTTHSGVCGTDV HYQSADMALGHEGTGVVEATGPDAKLLKKGDRVGWGYEHDCCGFCKQCLTGWETLCSE RKMYGLADLDQGSFGTHAVWREAFLFKIPDSMSNEDAGPLMCGGSTVFNALHVAEVRP TARVGIVGVGGLGHLAIQFAAKMGCQVVVFSGTDSKKEEATKLGAGEFYAMKGVKELK IKAPLDHLIVTTSSQPDWPIYLNVMAPGGIISPLTVDSNDLKIPYMPLLLNGLRIQGG IVGSRQVHKDMLEFAAFHNIQPVKMTFPLTLDGVKQSLKTLEEGKMRYRGVLVAP PEX2_063550 METIEVDVLVCGGGMSGMACAAFAAEAGAKVLVVEKQADVGGSS NYSAGMFWAPQTYNKLRNWVPDGDPALQKAWLSDYLPAVQWMRENGVPIADRFDGIMT IGIGFPIKIPHLHNLHRKRIQSSKTHSDIFTNTSVVKLIQKEPGVSGSSIIGAVICRN SALYYEVRSKVVVLATGGFQGSPGMTSKYLGQGGDNIFVRSNRGSVGDGLKLAMESGA GTSRGMNTYYGHLLAAPLRAEDVDPKDYLPLAQYRQRFADETTGDEIINQYLAKQQKR RGFILFNEKTRLQHCVTALFPNAGNIDRLQKAREHGCNVGSASTLSGLVDILHGWGVN DVQARRTIEAYDQVVRLGDKSISLHAPIGRAGSPPAPLVDGEGPFYAMEVQPSITFTY GGIAIDTKGHALTPDKSRIPGLLVAGVDAGGFSNLGYAGGLALAFVTGLWAAREVACD LGLPEPRLPPADVRDGKDKPIQGRL PEX2_063560 MNTPRVVIVTGGADGFGAAIVDRFSREGCKVILIDLDQAKGESK QRADSNISFLPGDVTRPETWQNALDLAQTTYGRVDVVVNNAGITHNPSPIHTKSLLEY EKTFNVNVKPVFISAQVFAPVMIQQGHGIFINITSTGCTRPRPGFAIYNASKAAVEVA TKTMALEYAPAIRFNCISPAVGNTTMLQASIGNGEDSHQRLRQVEESLPMKRLCQPVD IANAAWYLGSEQSSFVTGTTLEMRCIRPQREHHDDNSTEPCQRCKRTNRECNIPEPRK LGRKRGATGRYQGFEKAYRKMRSELKKAKTSHTDENDEMDNFVAREEPILELLFSNHP TETTDSAHALPELGTEGSSVLSYNPTVTTAQDDEPLANLVSPVFGSGELSQSNREPIS NPLALLADASDAAQALELHSKSANPSPETNESSSATQSSASQPIGARLGRQLLHRPGY VSLGLQLGRDTLEAGIDTLVGPSEHPYRYSNYFKSSLQVPLRDVGPDVDPVDLGLVTM DEACYLFPVYFVRLHPVNGILDPTFHTPDFVRSRSALLFTWILALTAQFDHGSASLAK RLRLHGEKLSKHVHTSGYKSVEIVQGYYISLLSATPAETLAQERSWLYTMYALGVAAE LGLDQDSHAKDSTPLNTINASYSRTQDATPTPLPGNDSSRLLLGYPSKDLTENQRMAR NRERTWLRILLWERANSAARGRNHTFPETDLTQRVDSWWIHHLADPTDKHTCAFITLR RILASLQNELRHQAHLTHGDSHWVREMVDSSLRPWCDLWLSHPMPNLITSPSEKLSSI FLHYVYLHGRLWTLSFALHGSISGDQNMDAIRADCFEAAVNSCELAVRDLDNIGEPLY CMLAPTWAMISYAGVLALKLFPALYGSRIGNDVELLALLSQVAIQLERAGTTPSHRFG IAALLGQHLMMILRARAAGLKDLNQLSQARTDTYTNTGFDDGVRRFMPQQSPHSKPYE TLISDYDPFLTTASMSTQGDLTGEGFADFIREMFGPGFGGVF PEX2_063570 MAVSWPPSKANPLGIATLSLGNWREHRLEPRLKAAAKSGYQWID LFDECWAAYLEEHGLPGSQLWEPTAENLQVARKLARVVKSLGMRIACTQPLRKIEGIK DPVERQATFDLVAKRFPFMRAFDTDLVFMCANIRTDEGVTSDLKTVAKDLAELGDMAA AYAKADGGRMLKIGYEGLSWATRNTWSASWEVVRFANRPNVGLIVDAFNILAVEFADP YNVAGHGRIYPTLEESLDVLTGSLASLVSTVPGDRIFFFQCGDAELMNTTTFLPPTDP ETPSLLPWSRSHRLYPLEQSRGAYMPVELVAAAVLATGYRGPISLEVFSASLNQPGET VPAEHASRGIKGLSLLYETAKSLPAFWKCYDERMSAIEQVVRRLQSDEHKHKL PEX2_063580 MMKNFITIAAFAAGTNALVGRSDSCCFHLTSSGGASGQLGQLSD GQNRIGDNSLSAAQYCIDSKGAITDSEGRGCILTPPTTQFQCDEGASPTPGFSLSSQG ELEYNGSKDFVACATGQNGGLNVYTSPAKSDVTGCVNVELTADSCSSSGSGSGGSSSS TSAVPSSSESSSSQQTPSSPASSPASSASATPSATESSSSSCPTTLTTGSYEFPHLIV PVDSSSPDTAAGTSYNGTVSSTISSAFNFDIPSSDSGKTCSLVFLFPELQDLETSSYS FSGDGKIDFAKLSSAVDKSTTFNNLPSVAQDLGEITVSPGNSYVISTFSCPAGETVAY EMKNSGSTDLNFFEDYNPSPYVAFSYFSVWIPANNLCSLGLYITTC PEX2_063590 MTTLKSGDSFPSDVIFNYIPWNEESGEITSCGIPINYNASEEWA NKKVVLFSVPGAFTPTCSVSHVPGYIQNLPKLREKGVDIVAVVAFNDPFVMSAWGKAN SVRGDDILFLSDPDAKFSKSIGWADEASGRTGRYAIVIDHGKVSYAQIETERGVVKKS GADAVLASL PEX2_063600 MMELVLPNDVLLLIGEQLEQKADRWNLIFVSHHFHDLFLSLVYR KVSIYNWQDANSFLHAILERPALARAVRELDLTDWHAKSVSDDDCNQIQTSAALRAWL EAVSCSTLESDQWAQRLGQGLADAWVALILPLLSQLRKLSLAYTTNSPYLERIVQRAV DCKHPFSVQPAFRHLHEVSLHHREDLDHSEHPDDARAETQLSSTLLLPFFQLPSLRAI TANSVVDPTSITPPSEELTDDDKPRLGFSSITNIDLRASSGNHGMEMLVSSCADLKSF KYQHSDSHVLSHGYQPSAFHRSLTHSKKSLKTLWLDHYGSHYPFTAAGLNQSHDEWFG SLVDFTALEEVRVRLPNLLDIRYQNEPTTPLMECLPSSIETLYIEGCEERYLGMLVSQ LQAVIKNRQTRFPKLKRVDVEGAFQNVPSDDDGQGASSRPNALEAVIKDKIYQAAEPL HIDCVNAGLELHLHDRALSHI PEX2_063610 MGTATDAPSSISNPSDALDGLPPPYTEHDPQTAAHAPQYDTPSY TESTSTSATTKFPPAINGYFQWKLTTTFHLGPTADEKLYAVSTPATVFNNKPTIVLYD GPTNTHPVMATAHGDKWGRSRPITITLPPRPGSQYEEAVVEQVVPGGSLKSPNHTFEI SVGPKGTTRERFEWRQSHGKEIKELAGFSYGWKLVRLAGPVSNVGGSRKERDRGYTSD GLEIVALIAHNASWSMTKGFRFTFMGAGLTGTMGENWEIVVVISAVQLWFIDVQDAAA SAS PEX2_063620 MGYKITNIYVITTVAVIGGALFGFDIASMSAILGTQQYKCFFNQ TGTNDEGLCGGPTSSNQGGISAAMPGGSWVGALASGFVTDYLGRRGAIQSGSVIWCIG SAIVCSSFGIAQLVVGRFINGVSVGILSAQVPVYVAELAQPSKRGQVVGAQQWAITWG ILIMFYVSYGCSFLKGTAAWRTPWGLQMVPAALLFGFVFLLPESPRWLAKKDRWDEAH EVLASVHAKGDRHAPFVQAELQEIRDMVEFERNNKDASYLDLFKGPMLYRTHLGMFTQ IWSQLTGMNVMMLYITYVFGMAGLTGNSNLVASSIQYVINVVMTVLALLFIDRWGRRT PLLVGSTLMMVFMFANAGIMASYGKPAPPGGLNHTPEQSWDLSDAPRAAKGVIACTYL FVASYAPTWGPVSWIYPPELYPLRLRGKAVALSTSSNWIFNFALSYFVPPAFENIKWK VYVVFGVFCFAMTVHVFFAFPETAGKTLEEVETMFTTPGLTPWKTTVQYQHVRRLEQG AIQSDKLADLRAEEGTVEAPKSSEKETTVSQVE PEX2_063630 MLGLLPVGLLAIASLAAARDPTVYLIRHGEKPSDGGNGLNAQGL ERAQCLREVFGKNSQYNITHIMAETPKSNGKRARPYDTVEPLAKDLGLTVDTSCGRDD PECVNDVVKGYDGKGLANILICWEHDALTEIAEALGVNDAPDYPDNSFDIIWTLPGPY TKITSKTSENCPGLDDN PEX2_063640 MPTEERLNEMRGYKASLHNPNVSADAKQHSQAMLDELGGDQPRK ELHKARGDQNKDPTRVSAGLKAAQKNPNVTGGGKQRAADKMEQRDAPEE PEX2_063650 MAPRYQSVAVIGAGPSGISAVKALQEENTFQNIRLFERRGHIGG IWQYDEIPDLFPSQESSSSEQDLEIPSRLPLLKSPSPEDVTSRTGIYDGLDSNVGAKV MAFTHTPFPEINSASSSRHLGKSNPTRPFRVVRKYLEDIFQDYLHLASLNTTVEKVEK RDGKWTLALRQSGHGDGNTPKDYWWEEQFDAVIVASGHYSVPFVPFVKGLSAAFARHP TIFEHSKAFRRADDYVDKRVVVVGGSVSAADLVADLHAIVKGPLELSQRGKNEALQSA WDLPNVNARPTIKEIQATEEGINVVFSDNSLLEGVDKIIFATGYKLSYPFLVPNPVTP NNRVAGFYQHIFKIGDPSLALVGQVRAAISFRAYEYQAVAVARYFAGRNAASLPTPQE QDLWEVQRLQYKGHTALFHEIKPDFKEYFDFLTELAGPPAPGTGGYSLPPWEDRWAEQ GFEILQRKDKYWKSLRRAADGLGAVTAKL PEX2_063660 MGSDNGDFVEQFVILTPRLIIVPTPIAVSFNSYRALYSELHANV DFCEMGFGHHFPARVWSDEETREMIQTRDIERCWQKRGLGDFAVGLRGPSTLESDNQS TQNDHFTTLKGDDYVRVAGLNNIHLASSEWVGYAGLRDATTTSMPSREPGDAALPSWV EMIELRYGVSPKYWGQGITQEASKAIMQWSVEERGVKRFIAETERDNSRSAKVLQKIG FTLSDSNYWKEPSELEWECAAK PEX2_063670 MTRLASANRHFRSVSCPLLGRASLHEPQVATDYPEPSTAQGSNA VLSDPEQAANQPADCLIVSPDLSESASSQNEVTSTRSVMEPGASDMDPVLSEMNPGLS EVDPDLHEPSSGINNQTSNLSESTAEVNKPTANESRADSSELEEGEIPPTPPATNIAP RLPNPRRPKTSNPRFRASNPSPEPGLGQPVPSADTSRPKHRKTTASHQVPAEGNDKPS RKRKRPSFIDTPDNEFRPPKISKTSNTNKKLCTDLGHEFAGLVSEATDSVALNFAKNI LRHPVPDTVSKRLVYFSDASQRAMCGAIGIVWPTSLTSSNWEGKGAYYPISTDNTAIL ELFGICCALDLAIQDIDKERAIVPSTLSRTSSQSHTMTKEVLVFSDDAEALKRIRGEG PYNPEDEVGSQMEAISRHSKTLHSMGVHIELHLSPGHSGVPGNVAADAMAKRNMYELY VQTKTSWPTAEAITSRPIYPAPKPGSCLSRTARGSRGGRPAFPPSRPIIP PEX2_063680 MHKRKKELILFTDDVYALQRLNGTTRYEVGGAFASLVNTICDLS QLVAMQDIHLELHWSPGHSKIPGNEAAHDMARKAQEDLVSSSSKKDSKSKLGNHTVVK DEQQKECSVLGSTLSFAGSEVTGLFASPLNFPDHLSLPTRQGPSAVPITPVPVPAVNS TPSISNNLPQ PEX2_063690 MLKSKLKEPASVLCPDSEEYEKSLERWSAVGIQRAGIIVRPNSA EDLATTLRFARDNRVDLAVKGGGHSTDTSSSSNGGILIDLGSMRQVNVDPIKSTVTAQ GGALWKDVNDTAALFGLAVVCGTVSQTGVGGLTLRGGCGYLTPRYGLVLDNLLSAKVV TADGQILNASANEHPDLFWAVRGAGANIGVAAELTFQAHTQANMVWCGSMIFTHDKLP VVLEALNGALLHPQNNAAAQCVLALSPDTGTPVVTTVLFFNGSEEEGRRHFSSLVKLE NISMEMEMRPYAKANTMLDTALPPGGRKKIVGVKFAPSIRPEFASNLLMEVGRKLTTA PDLATSCLEVDYFDLSRVNGVPIAETVFPSRTNTLNGALLLQWTDPNKDQEFIAWGEE IQTMCETELRRAGQKPDKTVSTFFGYTQDDNMTPEDMFGVNADALLKVKRKYDPDNVF NKLNPLVLSG PEX2_063700 MEQRLVQRAKASPNAIAVVDGDTSLTYRELIARADILVEKLHEK SIKLGEPVCILLDSGYQQIISQIAVLRAGGTCVPIDPCIPSLRLVAMLHDINSRFVIT SKALMDRVSGFEIIQVEDATMQSITLSDTAPVRVQAGCPDTHRSYVFFTSGSTGQPKP IQVLACGVLHVLDSQPASLLDSSDHVALAMSPGFDFSIWAVWASLLAGGTAIQVPRAI VTDPIGFSDFMESRRVTAVIIPTALFNVIALYASTAFRGLRHVLVGGEPLNVSAVRKV LIDGPPTNLWNGYGPTETTIYVTICRVDLQETQHPRISIGQAFGESKIYLLDGQLRNI TGTHQTGEICVAGPQISSGYLNRPKENEKQFIHVNAATLGEQGGRSIRLYRTGDLAQW RHSSGSLDYIGRADKQLKISGHRVELGDIERTLERHLHVASCAVILNKKETSEALAAF CIPVECETEVQWSEIINWAKEHLPYYMIPASIQTVQKFPLTSNGKVDRNALISNFHKA SSKQQESEVQQSPRVDGQTDWLRLHLEELLNVSPLDPHKNIFSLGLDSLQAAQLIGTI NYNNGKRVTQAQLHANATLESLAALLQSSAEVGVEPTQTKRWAQDSHLADDLVSPPDW QSTKEGHVFLTGATGFLGAHLLHQLLSMPGVKKVACLARSRGDITANDRIQKALEKYD LWNDRLETTQKIIALDGELTDANLGLGEEKFSWLSNWASIVFHNGARVNWCEPYEALY EPNVLGTRNLIRLTTLGRRKTLHYISSLAVWNVTGFVNKTKQVFEDGPLAPHLGSLPY DMGYAQTKWVADEMVQRARARGLPAIIYRPGFVIGDKSQGYGNADDFFARMIMGSIQS GLFPYLPRLRLEYVTIDFVCSAILHIASKSENLGKSYHIVSPDVTQSVDLEETCSLLN QAGYPVKQVPYQEWLEWIQEHPGGPLEPLLPALQEQVWDNLTRIQTCIDVPVFETQNT VQALEDRPDINYVPLDRELLQRHIEFWVSKGYYSLRK PEX2_063710 MPLRLPKWLEKLQGKLNWSQWRKGLHDAFLHVDPASWDVIMNRL DDTTIKQEPVTIREAEAIYLLATEQDDSLNATADLSTSANFNGELDSDTDENPVTDDE PVVTVARAMTQSVSTLSGAQQYQPRRTAEPLAVIERFMDAHEAYVKLESLYGKTSAQF IHIAWEKFSRVRYSAHGLTPAAFVDRFQKSLRDVKDQCVEVPPTVELAQFQQAIKPNP NPTTFMPDMKVDIYDPNFMNGVYADFLEDQPPTC PEX2_007280 MLARGRIDQSSNPHSRFFSASQSIRRGKHPQDSDSVSPYDNPNS SESILSDERSIYNAIRVATPNDSSPRFYASLLHENPVHDEQGLAVNMARFVPTDSQDD QTPTSVLVKQGRRQRMAGKLGNHTKLLSDQYWQATHRSRPPLSGTRSVPDPRRTLHRK AVSLGDSNIYRPRWPRNLGPAVTTIQPRYPPPERTPTPPGLPSFGTSEAVSISSRFML PDNPARSHANLQGGGPVGDQRGSSYGDTIRRIFGLPPASHAGGISVNGIGRAEDGTLV QGRFPHRQSGHGMSIGRPLQDHPFHQRLLIARHEATDTSYDPYIEAAQTKGGLGRSPS RHAQPLARSPSRRHFPFPSSPAPAVVNRPPRPRSTALLSLSRNLTGSDGPAEPAESSA QGTRAAGDHTPPNPSRLHSVLTMAGYGSGADEGPHASTPVCPDVLAWVKTQRCLFCCC SGSHEESDDSLGATSSRETYVTAQSQVSPAGSQNENNEGNPRLHGLQTWISSLYGVMF PTLVDPAVV PEX2_007290 MHASTIIFGLIGLASAQTLKIPTRVGNIVSLPSPSVIKGNVDLG NREYDRGRPCNSDKDTGSSSAVFVLENGASLSNVIIGKNQLEGIHCKGSCTLTNVWFR DVCEDAISILGTGNALIKGGGAQEAKDKVVQHNGVGTVTIDGFTVVNAGKLYRSCGNC SNNKSKSPRKVVVKNVKANNVGTLVGINSNYGDQSSISGVCGSGVKHLCEEFEGVDKS AGKESPKLKTTASCKGQTSVSSC PEX2_007300 MATQPPDYHLIGLYTRYSTPPQLGWTARVEAVLEYFQIPHTRQF IQLSETKAYSPTGLVPILQCHSLPSATITDSLAICEFLAESNPTLPLWPKDRLLRALA RSAAAQMHSGFPVLRNNFHTNFLARYTGNVPIPDGAAAEIARMFRIWDSARKATTERL AVLGESDEGFLFGGFSIADAFFWPILWRFRTYGLPLEGAGPDALAWMAKMWSDPVFKA LGDRYYAQAEDPQTCIAHYDDIFQDRDDVQYGLFPRDWTFSGSG PEX2_007310 MEGEPLPDAPDAPDAPDAPDARISPALQLQTATVPEFSAPSLSP SFRLDEGYSDDTRSQADKDLGSDNVMALPNWVLAQSEAGRAELAYSLLRSLQTSSIAA VVDRLNPILHIDPCVRLPPEVTWEIFTYLDIRTLLSASLASRSWRERILDSGLWKFHY IHEGWDMDTSAIRAFEREHSEAMSPQTRKSRLRHSELDLGEPKHKKRVPSTWLDARSD ENQSDENQRPTVFGPAPPVADSEGDHHMTDDDDQTAPSFADDPEFILPTDIPQSPSPL YPPLRSNILMRDTDGSAKINYFHIYKQRRRLEANWHQGRYTNFQLPDPSYPDEAHREC VYAIQFEGRWLVSGSRDKSVRVWNLDTKRLWHPPLVGHFKSVLCLQFDPRPEEDIIIS GSSDKSVIVWKFSTGEKIRQIEKAHLDSVLNLKFDHRYLVTCSKDRTVKVWNRRDLLP NSKDYPRICHGSAATYPTWIIDLNAVAPNVLEAGIAHDQYKALEPYTLLMTVEGHGAA VNAMQIHGDDIVTASGDRVIKVWNIRTGACSKTLMGHEKGIACVEFDSRRIISGSNDN SVRIYDHATGAEVACLRAHSNLVRTLQAGFGDAPGADETLRGEALACETAFFAAQEAG LDVDMGHRDQRRHGYQPNTAGSRDPRDIPALGAKIPPGGGGSSWARIVSGSYDESILI WHKDRDNRWTTDHQLRHSDAITNSARANLSQVARTTVAAQAQQLQAAQALASLGQNAN VNPHAGRANPPFMPPLVINAVPLNPPAAHHHHHHGHQRRPQGSSTAARVFKLQFDARK LICASVDPRIVGWDFACDDEDIIEASPFFKGL PEX2_007320 MSGAPVAEDNIINRRGGESIYQSCVNLRRRLSEVPNFESYLQEM DDDDRIRGNTDPVASLWNCLRNGYPLLSIYNASDPKEPLEIDPGKVTEAKRPKAATFK FLQACLQELEFPQQDCFLITDLYGESTTGFIKVIKMVNSVLDILEVQGQLKQSEFLEA PSAKTNVKLTKREHILKELLETERDYVHHLQNLQALKKELEETGALTGDASHQIFLNL NNLLDFAQRFLIRMEQHYALPEEMQNWGHLFFLHEDAFRQYEPFIANQMRCDEACMKE WDKITNAPRHIDLQQMVAQPKTLNGFFVKPFQRLTRYPLMLLELRKQLEDEQLQADIS RAVNCIQNVLDFANEAIHKEHLAAAVVDLSERVDDWKALKMESFGDLLRFGTFQVVKG DSGKDSEREYHIYLFERILLCCKDINPNKQKSKLMMQKDKPSLNAKGKARLQLKGRIY MANVTDIVCLQKPGLYRIQIFWKGDPGVVDNFIIRYVNEDTMRNWYKDIDTQRAIQAE RRTLRNTGTSDSEFTYMEGMAHMPNPYQQEYDTDDANNKDAYQSEFPMSRNASSTSLR TRSATGGSTTSVPHPNTRPRYAGTDPTLSVHTHFSNGSMSPGDRNMSSYFSPTAETPS TRSSSQSAGFSFSRQNTNSTTPSTVWNEDTLRYTAPALSRVTSREGSTSGGYFPPQPS VRGAQRPSLPPLSGPQAHSANGMTQRMRSASSPDIHHHSNNPESRRYMGVHTMQTVDN VPVPPIPAHMANMKAPVNRSQNGSPTNNQLPIRTQPQLHTTHFNEPEYNDTRNSGQIS DHATSPLTQELEEGDYMPTQLKAKVNFEDNYVTLVIATNILFRSLTDRVDAKLARFTD RSIGSKTVRLRYRDEDGDFVTIDSDEAVQLAFMEWREQHRDMLAKGLVGEIQLYCQVV D PEX2_007330 MSFSGRRLSILRPSENRRLSTTKDLTDNELRSETHRQFRAAHEG HRPHAGLDASRASTGVVWCTERACEHGYAEDPTGWANLGQGAPEADDEIEGSFPRPTS IPITSAAREYGPTAGIKPLRAAVARLYNDHYRQGKESQYTWENVCIVPGGRAGLIRIA AILGNSYLSFPIPDYSAYSEMLTLFKNIAPIPLPLSKDDHYHIHPNKIAEEIARGTQV LLTSNPRNPTGHFVPQDELVEIQDLCRDRATLILDEFYGGYNYTTGCDGSTISGAANV VDVNKDDVLLIDGLTKRFRLPGWRIAWVVGPKDFVDALGSAGSYLDGGANVPFQEAAI PMLEPSLVRTEMKALQSHFMEKRDYVLGRLYDIGFRVQDIPGATFYIWLDLTSLDPPL PPQANISDGLNFFNALLSEKVIVVPGIFFDLNPAKRRDLFDSPCHHFVRLSYGPKMDV LKMGLDGIERVIKRAREMGVAKWEDEVAVSDD PEX2_007340 MAPGSGRDFNCSWEHCGKSFNRKSDLCRHYRIHTNERPYHCTVK DCNKSFIQRSALTVHSRTHTGEKPHVCDHEGCQKAFSDSSSLARHRRIHTGKRPYICH EPTCERSFCRKTTLTKHQHRSHPPGSLTRPSSEDATSEHSYHQTPVSVTIPSEQYMLA QQPYYAQSATPSHEFYSPQSVPMGAVPVHETAPPIVSQNVPVTSPVNMPHAQQTLPQH HAHPHQIPQQQQQQQQQQQQQQQQQQQQQQHQQYLQMMQQRYDTSPRTNYLPEQYQHP SFQGHQLPPDQPMMVSYHPNYAYKPPGSRLLNQAEGTDWGFLGVG PEX2_007350 MPEGAYVTGYYCHSNPANITVNNGVSLPQSIKDEPLFKMVLRGF RKLIHKRRHKNTSESIPTISSQASGLSSLVDTSRDSDCVLETSHTGFNGSRDLWQEAF KKLDQATQEKLRSIRNAEDSIRPTAEELLQTVIQDTQRQYDEERKRVEESKSRQLRTL AHKILSAALSFQSVIGAVAACDPTGHAATAWTLVSLALTMSKNRHDLRGAAFESSGFL ADTLTRYAMVEMDWRKSEGTNAQTHLENALIDTYFAILKYTAETVAIRQASVGKMTFL SVNALNTQPLSTLKSAIGEQDQRLQNWMRVIESRRQHEEAEELLKKIDAITGDIRSLI QDFEVSKLTIAEGAAYDSHANEHNEECLKDTRTDLLKQIMMWAASPKEKCIFWLSGMA GTGKSTISKTIARELREKNYLGASFFFKRGEADRGNVSRFFATIVRQLIVQEPRLIPS VKQAIENDFSIATKRLPQQFEALILKPLLALNNNYSRDSVLILVIDALDECDNNDDVR LLIDLLPRIQESRAIQVRIFITSRPEFIIKVGFEEMSQDDHDNVALHEIPVETVKQDI SLFVRHRLSQIVQRRPSPIDLSGWPEESDLSQLIQIATPLFISAATMCRFIENHKKDP RERLKMILKSQPADAKSASHISTLRHTYLPIFDSILEGEDRNEHAQLVSDFKALIGPL VLLADPLPISALACLLDISESETSIRLSFLSSVISVPNNSSDSVRPFHLSFRDFLLAA ENRNMNPPFWIDRVATHAQVALDCIRVMQRPKTGLRMNLCNLPSYGALRSEIDREKCN QDIPAELRYACRYWIHHLEQGKAALKDGDETHQFLEKHFLHWFEAMALLGYVSEVLIN INILRISTEAHTGSMLANFLYDAWRFILKNLSIADEAPLQLYSSSLVFAPQNSVIKKK FAEYLPRCISHLPLVKVEWGADLQSLGDSVHGVNGLACSPDGGLIASIMKFGEINLWS TVTGTLRQSWKTGIGESTLIYGLAFSPDGKLLALGSSKGTSLWNMSSGTLSKALPNRL WSPSRPAFSPDGTALLLQDKSTQPSTLGLWDIAQSRFMQNLEGHIDGLKSLVISHNGQ IVATGSDNGILRLWTLNVNRTVPTQIQAHSQPVESLAFSPDDDLIVTGSSDCENYVWT VKDSTRLHRLVDKENGYRADTSVEFSCDGRLVASGFGDGIINMWNSTSGELERSFSHP SPVKTMALSHTGELISGSSDGVVRIWDTCIEDQVSRVRHPDFSTAKVRVVMSPDGSLA LAIRYSHGATVVDIATGRTMWLTGSDWIFSFDTIFSINNQLIAVALIMNVMIWDRASG KLIQDLRISNNKPVRYRIAFRPDNKLIIWVPGNGQLQVWDPISGKQLETLGTYDDMGF LTVSYDGQLLACTNGSTISIWDLSTKSSFNLENKNRCEAVAISPDNMTLVVLSGTRAL GLWNLRSRRLYNYLSLLTWSETIHFSEDGKYISADNQSYHAESDGEGQVVSISLIDDQ LRMENQWAYLGNERFLWIPEEYGQSKIYCGMTAHRRDQTLCLDSSHGNISCISFDRNA RWDPL PEX2_007360 MSHPEYEALTFNAMARGEEGIASPALAEAQAYKAAGVSRILLAH SKDDELVPWSQLDRMKNVLELGGEGYVQMFEIFGKHDDPWADGRDVARAVIATIEALA A PEX2_007370 MVMADTMKMWQYANIKGNIEDAIQMVTGPIPTASSLTQGQILVR VLTVALNPVDYKLPESSVGSMFISRPAIPGFDLCGRVVAAHASVITFKENQLVFGGFS RAPQNGTLAEYTVISAAECAALPDDIDPEHAAAAATAGTTALQSLLPEGVKPGATIFI NGGSGGVGSWSVQFAKAMGARVVTSCSGSAVDMCKELGADEVFDYRQSDVIAELKTRV ASFDLVVDNVGNTSDLYHLSSTILKPSGTFVQVGIGGSLDLRSIAITIKRSLLASLPI GGCKYYFVNAKNSTELLAQIAQWMVEGKAKAVIDSSFTFAEVPQAFQKLRTGHARGKI VIRVAEI PEX2_007380 MHLFRRGTSSLFNSSNVSAASSKTDITTDAVGDNALAAEGFQKI SSSDKLFKNVDPTVDGEDCLHDCASCTIKYPAKFDVDHQDELYGQVNGWATHMLVATG KSDWVRDVADEKGSVMEAIEKGGLEPSNGRLKLSASNMCVPDEYHMADAGKQPTNVLL LPSFTIVEHVTPQLVPDLIENFVNRSLTTTTPLGAIPPSPEKLAEADKDQDPTQTQDL PHPSTTSITTSLKSHPCPHAAVILLCSQRTRDARCGQSAPLLRREFERHLRPLGLYRD MNDQRPGGVGIYFISHVGGHKYSANVIVYRRRDFDWYKRDAPADGEGQGQDEGAAQGI WLARVRPEECENIIRYTVLQGKLLKAGQQLRAGFDRERGVTSW PEX2_007390 MAVILERFLNTTGFFFFALILCCLMILTPVDAIYQCYETNRLTN VFFIVGAYVVTFLIAALIYATRIYTNRSALTGIPKAWIPVEKEDVNKSVRRLVKEGLV RSAVIAYQARPRDISTDEDNFQKYRTLLIDPERPPWGHVEHPGWSSPDSPDLPDLPYR TVIQELPSLIEAKAVSLAPADLLSPAPTYHFDPSGDLTTHSLPDTRVVEVLQRPASMG LREYIRHLTSLGAIYPPELGADFLALYEQARFSPRELHEAEFRDLMHLFAEILRGMTS LSPPILDEIRDSASYRRAYSESNIGPSDEEGETDTVGTYGYDGALESMRSNSLRPSNA STWETQSGYDTAPAMQSPASELSTDSGSFATRSSFRTPSTRSLRRVASGQSGSSGGSV IRLAQPRDPTDLPYTIVYAGRRQ PEX2_007400 MDQAKSVTEQQTMLHILVTGANSGLGFSICCRLADEFLSSHPES ESLTIIFTTRSARKAQDTIRRLEAHLQSTSPSVSAAARVHFVSESVDLGDLRSVRELS RKLVHTLPRLDSIVLNAGLGGWSGINWPRAIWDVCTDLLHAVTWPSYKLAPTGVLTAK QTKTEEEPALGAVFCANVFGHYMLAHNVAPLLKRARTNGPGRVVWVSSLEATWNFFKI DDIQGLRTDAPYESSKALTDILALTSNLPSTAPWAVDSFLRSETELDTHAIHTDSPNA TPRTYLSHPGICATSIIPLILPLAWAMIAAFWAARMLGSPWHPLSTYLGACAPVFLAL ASQADIEAAEEPYHRAGGGRAKWGSSCGRGGIESSVSTEVDGWGHGGVVGTPVVEADR LRRRKRGAEDLTKEKREEFEELGRQCWKQMEELRIQWDEILDQAEASS PEX2_007410 MKALILVGGFGTRLRPLTLTLPKPLVEFGNRPMILHQVESLAAA GVTDIVLAVNYRPDVMVAALKKYEEQYGVNIEFSVESEPLGTAGPLKLAEEILGKDDS PFFVLNSDVICDYPFQQLADFHRSHGDEGTIVVTKVDEPSKYGVVVHKPNHASRIDRF VEKPVEFVGNRINAGIYILNPSVLKRIELRPTSIEQETFPAICKEGQLHSYDLEGFWM DVGQPKDFLTGTCLYLTSLAKRNPKMLAPHTEPYVYGGNVMVDPTAKIGKNCRIGPNV VIGPNVVIGDGVRLQRCVVMENCKVKDHAWIKSTIVGWNSSVGRWARLENVTVLGDDV TIADEVYVNGGSILPHKSIKQNIDVPAIIM PEX2_007420 MPQKYIFLVGAPLSSSLNWDTDELLNTPIPPFHENTKSPEQQPS LDQPTARWRVLRSSVDKLGDDYDSFQRYDDPAFFFSHQLAVATDAPQGPSEDSILQFY DHSFAIHETSEVASSDVYVADSTQQSSLGEDNGLASFTGLDKPDTPRSPRILHIPGPL SNLQDLPTARYIQSIAPQTMTVNMIVGVLAVHPPRRIVTRQWKTELDLVELVVGDETR AGFGVSFWLKPEKPTAAKNNEADRLGRSLASLRPRDIVLLRTVGLSTFQDRVYGQSLR RGMTTVELLHRQPVDVTDAVGFYQGRIQAGGSQDDQPVLKARRVRNWMLQFVTDSVGM SHPRGLPPDTQ PEX2_007430 MAVAIRRIKAEHTIAFLSIFILASAIGARANTRTRPSAAVYRTV MAFQVPIPMPNVAAVTSDLWPSMCNVLAAPWKQTGRTPTTKLSESLQRSTSRQGNETI SQRATLPEYLEGVSIEALRADIVSRPSQQTWSSLLNRNNALLTTSSFTPIRHAIPAAR SYSTLTPFRLNSLRTAPKVNGLTKIQQQRFLFGGSWHHVLAQKEKVANKSPNNADAQN ALYSALLRAKMPGIVIERHRSGYFASDLTTEALVQQAQSSVGGAEAGAGAFTGSNHNL SPEQLQAVGQAVAARATGSQIGMGKQNGTGAKDAPLYVVVDESLGSTVFRWVKFLLIF GFFTYISLVTVTILVETTGVLKNVRGAQDKEAQPEQQTARFSDVHGCDEAKDELQELV EFLLNPDRFSSLGGKLPKGVLLVGPPGTGKTLLARAVAGEAGVPFFYMSGSEFDEVYV GVGAKRVRDLFAQARGKAPAIIFIDELDAIGGKRNERDAAYVKQTLNQLLTELDGFSQ TSGVIIIAATNYPQLLDKALTRPGRFDRRVVVDLPDVRGRMDILRHHMKEIQFGADVD VGVIARGTPGFSGADLENLVNQAAVHASRDRKANVGSFDFDWAKDKIMMGAEARSRII QDKDKLLTAYHEAGHALVAHFSPSSTPLYKITIVPRGMALGITHFLPEMDTVSRNYTE YLADIAVSMGGKAAEELVFGHDNVTSGISADIQSATETAFTLITRFGYSKKLGNVDLS TNYDSLSSETKQEIEGEVRRLVEEARDRATNILTEKRHELELLTKALIEYETLTKEEM EQVLKGEKINKLKSSPSAPLKLPDALQAANLSPSAAAGRAPTVNE PEX2_007440 MARPAIARPRDSSAAAVAYTPLAAPENAPSQIQDEPEVAKKERS LWARLGTYHILILGLGSIVLIIAVIPLAWLWTESMATATGREPSSAWFVVIEANWTTR IVTICTAILRAVVTAQASVATAMFGGVILERVGAPLLDGPFYSTVRALSGSPISLLWT PSLPLRKTALSILVVILIVLEVLVTIAAQFLSTLLVADFGNGAFTQANNVTNIRLLND TQSTPLGAWWAMPPVAGWTFAEQSEPPTSGSKYDDTGHTYHAFLPYLEATQRKSLRRF RGPVPIVDHRVVCVQPVLRDLHLLAYYDAYSRLTGKINIANDTYPMLKGTESQYDLPF TCALPNSKSTTTPEQRATSLCWPNANIEWEVLLEDPIVTPESVSTRGYLKPGYPQAST MFMLLDLVSFPTVPLASTEEARVVGNNDTDSPWVMVGNGTDVPTVRVTACSTNLGVDT FTAEIHNNWEASEPTMSWNQSTLSYSTESTRTQLGAVRPRQPLNDRGVLALAPRSDWQ TSFSYEEISASYYAGNFSSPNITSSMFRAANAWTFTAAFADSIGIPQNSFTDRMGSAN PGMILSWLAGSDISSAHQSYVRLFQDTLKQTQSPAVALQALLTLFCQIVYYEKLPRLG ATETAETAFLHAAVIPTRWTGFGVGMGLLFTHWVVMAIVVGLFARYTRHSLLGNHWQA VSQVYSKDTVPVLEMAGQMNDEEVERWVKHEERGGELYSVALDEGERRVALSVKRSD PEX2_007450 MRNPFDLDFDSLDGDSEPAQDFELPEQHVHQHVEDRQREHLNLN YRPKQSDDGDRSLLQDEDEDAMKRRFIGTIDQGTTSTRFIIFDCTGVPIAKYQTEFHQ IHEHPGWHEQDPFELVESVYICIEEAMKSFLALGYSTSDIEAIGITSQRETALVWDWE TGEPLHNAITWTDTRTVNLVRELKEKPGADELRNICGLPLSTYPSSVTLRWMLDHLPD VKSAYDDGRAAFGTVDSWLIYNLNGGPRNKLLVTDVTNASRTMFMNLETLQYDDRLLK FFDIDPTKIRLPEILPSADPEGFGKVAEGPLEGVPITSCLGDQASALVGHCAFSPGMA KNTYGTGCFLLYNVGEKPVISKHGLLGTVGFQLGRDRKAVYALEGSVAVAGSGVSFLM NNLGFFRDSRKVSDLAASVPDSGGCIFVTAFSGLFAPYWIDDAQGTIWGITAHTQKGH IARATMEAACFQTKAILDAMAMDSGKSLTELAVDGGMSNSDVCMQTQADIIQIPVERP SMHETTALGAAIAAGFAINIWKDFSELKHMNRANRASFTPNMSVKASGRMYKKWSKAV EMSRGWMADEDREDYNDDE PEX2_007460 MYRNAAARSALRAFSSSNASVARSALGNQICKAQMTSSARNLLR PSVSSFALAAHKPVTTALVRYSSSAAKHDEDDIDVMAGMKSEAKVIKETFSLAGVPKE ALYLGMAGVVPYLATSMQTVFLSYEINRAAALGDGLIFSGQSAELMLHMLEPIQIGYG AVILSFLGAVHWGLEWAGYGGKFGYRRYAAGVIAPAVAWPTLLFPVEYALISQFLAFT FLYYNDARAAAAGRAPHWYGMYRFVLTFVVGASIVATLIGREQIQQTITSEHSIKDKI NALIFLQKKEKEEAEARRRSEIEDSE PEX2_007470 MLEGVVANLLNRFLGIYVKNFDATQLNIGIWSGDVKLRNLELRR EALDQLRLPLNVVEGHLGELTLSIPWSNLRGKPVKVDIEDVFLLAAPREDADYDPEEE ERRANVLKMERIESAELLRERNSEGMSQEEQRRNQSFTQSMITAVVDNLQISIKNVHF RYEDSIASPGHPFAVGVTLKELSAVSTDGEWNPTFIQSDSSVTHKLAVLGALSAYWNT DATLLGTGRGSDVGADAQGIDRAELMEKLKTAIDADEGNQFMLRPVSGRAGLEMDKSG TYDRPAIKARLLFDELGFVLDDKQYRDALMLVDLFHYFIRHQEYKKIQPKSSPMEDPR AWMRFAGEAVLSKIHERNRRWTWGYIKERRDDRIAYIALFKKAKKEETFTPEETKEMQ RLEAKLSYEDIRFWRSLARNQLRKENIGVKKPAEQQSWSSWLWGAKKEESEETTMTEE QRQELYNAIDWDEKKAITESVDVPREWVKLQVNWSLRAGSFTLIQDPHGSANEVMKLV FDNFRAKALQRSDSYLLDLDLGGLKMYDGTTAGTLYPQIVKVKDSPPEPTKVQELTED DDDLASQTSADDLQDEDSLFHLQLEKNPLDSDADTAVKVKLKSIEVIYNPRFLVGIVQ FFEPPERHMESIGALLDTAGATVEGLRQQTRAGLEFALQEHKKVDAQFDIHAPLIIVP ESITQPSSLCLIIDAGHASVNSELVDRQAMRDLQSKQKRQYEEEDYKQLEHLLYDRFL IKLDSTQVLIGPGVDATKAQLYTNVESRNFHIIDRINVDFALEMCIVPNVTQLTRTRI SGHLPELHASMSDTKYKGLMKLIDIAIPQFDKSASDPVTAAAAKEGVAIATRARSSSF QPLVHRDLPVVDDDEEEVEVGSEQAKKSVDTPTNIHRRDFEFKFTVGRLRGSLFRADP HDPQRDQLLVELVAEGFALDFYMRPYDMVAEVILKSLSVDDYIEENPVPEFKRIISSK GFDADEDKDLFQLKMVRVKPESPELDSTYEGVAMNLDISVSTINLVVTRKTLLTLLDF ILLTFTNPQQPTEPNPDTDKAIEGTATVEEQPEQAGKIRIRANLKSIALILNNDGVRL ATLSLNTADVGIFLVGGSMLIQSRIGSLTLVDDVNLGAAEDSDIRRLLTIEGDNFADF KYETFDPKSDTYPGYDSEVYLRSGSIKINFLEEPYRKIINFLVKFGKMQAIFNAARQA AASQANQLQENASRVRLDIIVKTPIVVFPRVMTDDRPRDTITAHLGEIYVKNEFVPME EGKDSPAVNVISTGVRNIRLTSKFHFEDGTSEELEMIQKVNLEFSICYLEHQANNPRP DMEIEGSLSPINLRISQSQLKFLLELSKSIPAAFATDAEQQELEAMESLPSSVTEPTR EATSKAVQAQNGPGAPANDSENTETWVRLDMIFKVDSVGLELILANDDQPVGRLEDSS LSKFSLNDTRVKLRMLTDGSLESELLIHSFSIRDSRKQDSNKFRNIMSLINNDVQQQF MASVSMSGGPEKHLIAMLTIDSPRIMFALDYLSALQSFSQSAFASEEPVEAVEEESES PEESESGSDTAASSNKAITEASSGDTTAAAMTVSFRMNLVDAQVIMVANPAIPHSEAI VLGTKEVLISHQNVSTLQIQKVGMFLCRMDKFETSRLRILDDFTLEMSIDSRSQDKGS ALTSIEVHLEPLVLRLSLRDILMAIQIVNKASEMRAQNAQQIESGEVKKITDTKSGRG RSASKTSSNVAKRVRRLSQGVGNLDKITAPQSTIVLKREEMSAKIDGVRVILIGDLHD LPLLDWSVKKFNVDVRDWSSTLNADTNFETFLNVYNFSKSAWEPLIEPWQLGFHMAKE INPDVFSFDVYSHKTMELTVTSATIALASKSFQFLSTDQDVLSKPRGADAPYRIRNYT GFDLRVWADVSAGEEGPAAKLSDGEESPWRFEDSTAVRETLTPEGHGGVVGVKLEGSG FDSISRIPVVREGETIYALKPKQENVLHRLLVEVKLGPDNVKYITFRSPLLIENNTQI PVELGVFSPGDGHLLKIEKILPGDARPAPVGSAYLHSIVVRPDQGFGYDWSDERLFWK DLIKRPTRTVKCVSESGQQAPPFYFQVNATFDSKDSLTNVYPYMRIRIFAPVEIQNLL PYDFKYRIYDKNTKKDWTNFLRKGGVSPVHVVELSHLLLLSIDLQDTVFRQSEFAIVN GNAQDYRREHTLSLKDERGLQLKLQLHYFNIPNSGGAFKVSVYSPYLILNKTGLPMDI QSKAFLQSARNAAGQGLRADPRDEGRALPYMYSYANEDQRNRSILKVSDSAWSKPQSF EAIGSTFEVIFPDRQGRSEFHSGVSVAEGEGKYKLTKVVTLAPRFILKSKLNEDLLVR EPGSSNVLQVQNGQLVPLHFLRQVQEKQLCLCFPGVNNQWSSPFSIADVGTVHIKLAK ANQRQKLIKVDIILEGATLFLHFSVESRNWPFSMRNESDMEFIFYQANPNVEDDDEDD QTSGWRPIRYRIPARSIMPYAWDYPATKNKSLVLTCQGKERHIKLAEIGNLIPMRIPP SQPGGYQKIIDINIAADGPTQTLVLSNFKASKSMYKQQRGQSSQTGTNTGFEVKEMNS DVNFKAQLRLGGIGISLINQNLKELLYLTFREIEIKFRESRLYQTLNTTIKWIQIDNQ LYGGIFPILLYPSVVPKTGKEMEAHPIFHAMVTRVKDDSYGVLYIKYATLLLQQMTLE LDEDFIFAMLDFVKIPGASWAEEQEGKLCDEDLNIPEPQQADNGQDVYFELLHLQPMQ MDISFMRTERVNVEDEMQPSNPLMFFVNVMTMSMGNVNDAPVRLNALMLENARVSFPS LVGNVRAHYTQEFLRQIHIILGSADFLGNPVGLFNNVSSGVAAIFYEPYQGLVMTDRP QELGIGIAKGATSFVKKSVFGFSDSMAKLTGSMSKGLAAATLDKEFQTQRRMSKVRNR PKHALYGITAGGNAFATSLASGIGGLARHPLQGAEKEGIQGFFKGVGKGVLGLATKPA IGAFDLASNLAEGVRNTTTVFDAEGLDRVRLTRFIGTDGIVRPYSQREALGQFWLKTT DDGKYFNEDYIAHLELPGRDMLVLLTYARIMLVRTKKLCTEWDIRLTDIQTISKERTG MSITLKGGANGPFIPVQDESSRNWLYRQIAVAVNAFNEKYNARG PEX2_007480 MTVCASLHGPKVLRPGQYHGIVGVWDKVAGEDSPEPDALGITHD RRDKRFYQGGTLTLTSIDSNVSHPVPLIYDPYPSYNSREWKKQFHGKFQPCLGPRDHY LDRRSAEDMVQVYKGQQAGFPAPGFGSYEALGLDGNVCTDRYSRFGAYGYDEDGEDEV PGFTRPPAVPWCKVDWDRLQNLCLERNADRYESIETANSSTQSPLAFDLPQDLHKSQK SSGRASGLKQYHPRSAVLIRAWNGLNWKPHHREYLRALIMELSLHSGGEYQIYLLCHV KENEMPIFSDIKTINRLRNSIPKEFRNMALFFNNKLLEALSTNSTPSPQLQHHQPLQI FSQLYPHYDYYWQLEMDGRHTGHTYHFLDRAVSFARQQPRKYLWERNAYFYTPGAHGN WSEFTQMVHESLSDRSNRTIWGPVTGTGIRPLGPDPPVPQPDQDNYTWGVGEEADFIT FLPIFNPQDTQWTFPDKIWNFRSGPYTPRRAAVITMGRYSKRLLDLIHHAQATRGLGL ASEMTGASWALFHGLKAVYVPHPIYADGQWTPRELARIYNPGPPENVNGGPDSIWNFD HIFDHIMYRLSYMFTTHSGEDLYRRWLGYRTAEHEGGKTISEDLYGRHCFPSMFLHTI KNTAQQMGPDRAVP PEX2_007490 MEASGPKAILTSDNFNHAQELSRATSPGGEPVAVNSEGEPIARV RPRTYPYFKYLPYQLEDENERNQNLREILNQLYIAVEAGDFNPGAVHWTRELRGWLSL KFDPTKAQRISLVKLYFELALAPGIDPSVAERFSSMFMLLTKRKHYLKPVKDLVLDWK PLYKELKAFVLPTESGLVHSTNLKRNVKTLTKLCAFAQLFIDPCELPAMLEEFLPHYT TSFSEGAFVVAGLINLLLPTSPAPDSRADLLPQSHLPTHFHLWSLVGRSKTFDTTYLD YLSRLARDSLPAAHIPFSEHGIFTKEQSALIFTAILRLLEIPVGQSTSPYSALVDISS GLGIMLDRDGRKHPVAHHIARWIVMSLSPACVDAEVSILTQLEGLIQAVETFFHPSNS GHWTKTLSQLVYYLTDFFVMRWNREQTGEMDVPPERRLNEPLKRRFVLCMRDVIFMGI YAKSSTAMTFSLSTLQGLAYLEPHLILPGALQRIYPALQGLVEVHRTASSLRAMTSLS RVISRTKGYRCHMTTLLGLTLPGIDANDLEKSLHALSFIQSACYNIPMVDLTQGRDDI NCNMLAMQWISGEMERMEEQGSDVQLGYDTELDDETEELILRSSTCGFGDFTIAFLGR VFTLLENLPDVSRVRNGSPEENIVNTLPATFMPLLASLSPEYYDIALGKIVDFVSNHV IHQARDAMAFICNALCKVNPEKALKRFIPVLTAAIRTEIEDNGAGSTRTTGTDVLPRD RGLVWNVSMLSMCVVHVGSAVIGHRQELYDIAVYMQQNCRGIPTVHISNFIHHLLLNL TGTYTNDFSLYEPSVKANGIEPKLWSYQADVNNLNINWHVPTRQEIEFAVDVFKIQTE TALKSLSALTHETSTVKRDGSGKDWSDEVTRNLVLLRLVLSGVSVLFDPKAASTTTQG PSNGTPGDVEMGDDSGVSNDTDEDPDTVLDSSDDAIIRQAFTYPTGYALKEGDPLYTT IHELRERTGWVLHDVHRFLSDKQEDDVPCFGALYSAFRSWFVDVGIERSAHVLDRVTR LLAADIHPYKMSGMRKDYPRALLVRRANVYHLQRLRHNASPRPRSKLDEILLLDIAES CVSLYTETRRNAQNAGESALKAVYGGRLLVIPPLLTALQRGVKENDHARIKGALYSLL LSSVAKTVGRHWKYAPAVVRTFIDASAVDKPSVQKICSTAVFQVMDYGRAMERMAILD QEIIEAIAPTEDVTEQIEKKRAVVNSKRVAIEKKKADLSEELVNLARVSHWKIASRAA TIVITMGLRFDYIASDNLIELMTLGSIDDHPGLRGMYSQALIALFTMIDVRAICTHEY KNYILGHQRFPSKIKVATKPYEKGYTQEYLSSFAKPEAEYYVDHDFPGWLVWGKTMPA YKSNVARDIEYDDVEWKVRTKMGKLFTREWFSKFFMYLKQEPRDPSADKFRMSCAMML LYAFELMIRDGLTAASFEEIQEEIKEVFEDGSDKHQHRATAEILGALISSVTDTGVEK RTMVWEYAFPIVRKIFTDGLTPENSGYWTTFLHMILQCRDPRRAWPLVDWLSSFRLDM STNAAFKESSKINLLHQCIIDSGWHYQLEKPIVEDFLAHLDHPYKGVREAMGQTLATI YRTRYHESYPDVQHLLEAQKSSSSVGAYPYPPTEDFTKVIQGVFTRIEEWRKARTPGQ QTPSSYTSGSKTVLLWLDSTLSSHECTQLVSFFPDVFTAQLLHMMDVKEDPELQSLAY HVFRHLPNIPYPAEKNSEFIQSLIRIGQTSPSWHQRLRIMINIQIIYFRRLFLLDPAD RDKLFECIASMLEDPQHEVRAGASATLSGMVRCSPVFLREKMVSRFHERFTKVLADNP LPKKPKNFRSGIASAVSSGAGTPTPEHNRLIIARHGAVLGLGALIQAFPYNSPPPHWM PEALTSLSIRAANDPGIVGSSVKSIISEFKKTRQDTWHIDAKAFTSDQLEDLSGVLWK SYFA PEX2_007500 MAPVQPTTRPPPLFAKLLRLDNPIRSLTLAFWSWKVLVYIVVAA CPGLGYDTSTSLISYAADPSTVAQSKSLSGPLKFARWDSIYYVDIAEKGYLFEQEWAF GWGYTKLLSIFVSGIRLSGGDAGPASTAMVGVVSSHVAHYFSVLALYRLSANIFGHAT APQHLICFLSAALHIISPAGAFLSAPYGESIVSFFNMTGFYLYSSSLIAERNGATRLR DIRVLTSAVLFAAATMVRSNGILSGFLFAYDAVVLAWKILTKGPTLHTTVRLAVIIVG GCIVGSGMAIPQILAYRMYCVSEGDLRPWCEWTLPSIYGWVQNRYWDVGFLGYWTVPN IPLFVLAAPMLAILCRSSLWALQSPSSSSTSPGSMLVRLAVPQGLLAVMAFTSYHVQI INRISSGYPLWYWYLVTSAVDLSSSAPKQSRTLVVAVYSMVAYALIQGVLFGSFLPPA PEX2_007510 MLLNLGLTADAAAAHAPESQPSGCPLYSPTSLLSALPLLNSFYS PLRLPDGSTDCPGLVSAMVMSPIESASDSGEPSKESAVVTRPRAARSTTGRKSRPKTS YQFAHPAAHARHKRLRLRPKLLLQLQQVSQTPRPLPVVDILPSTSYLPLLARKFPAIY RTRNGLGPYDLIVVLSEQYDRTVGSIPEKRVSSEDEDEDHREVVATICQKHEEDARLK GKVEICLNFGPVWEASPLPSGSYEFVAQTDNGVQIMRWALRCGRSRRMTTPAGTQSRE DGKRFTFSVIDPTTRRHPVLASMTRNQLEINDEYSTAIWSGTGPTTPNSGMSVVSDAS DGETPLDGSLVTLDDGLRTLIIITGIWVAFREGWSDNFRYGDLVSSPSTKSTMSPTSV KYTSPTSAKNETDSFPKSDEDGKRCMSVSSIRRSTTPSIMEKAQFGSLSRRSNSTGAA FMDRAKRRSASGLSTRLNRHSMFTTSGENGRDIVVSRPPSPRQPSAEVEDSSRASQLQ AKSPAKAQPERENVKSKAGPDRDPPASNSRITQHNSTRKKKPESPIPEAVDSTPNKGK VRRRLSTLFGIFHRKHDNH PEX2_007520 MDLIPSAFVARPLKIVVIGAGISGIQFAHDATTSLSGIDLEIYD RNPSLGGTWYENRYPGCTCDVPSHTYQFHWAPNPSWSSLYPPAPEIHKYLEDVADRHN LRRFMTFNTKCVLAQWNEDSSKWKVVLCDVVSGEEKTVLADVFVYAVGRLNNYKFPKI AGQKTFRGVQVHTANWPADMTAKDKRVIVIGNGASAIQCVAALQPGKSPKSPRRYTTL TAPGSQVASQITNIARGPTWILPHALAEDGTVQREYSTEQKKALQACPMRYYEFCISS EQRLAATFMGLWRGTKSQANFTELAHSFMKSKITNPDLLDALLPEFEAGCRRFTPGGH YLDALQKANAVLITESGGEYKCDILVYATGFEPYQPRFPVIGRAGRSLSEDWDREGPC ESYMAAMVAEFPNFFVFNPPICPVNGSAIPGIERASDYMIRILSRLQTDKLRSVSVTQ RAQRAFNQWVQSRMPHMVWSGPCKSWYKNDNGKVVVPWPGTVLHYYAATEIVRWEDFD LVYENPDDKYASFGNGVTSDGFVPNNFPWVHPPTDFRKPEILTSDVLNRSTLRWRSRA VRPHINKSADFTMADNKMEYVRLGNSGLKISKIILGTMGYGSKQWQDWVLDEEDSLPL IEHAYKQGINTWDTADVYSHGRSEEIVGKALKKYNIPRNRVVILTKCFFGVDDEGKFP PIAASATNDGPFVNRTGLSRKHIFDAVDASVERLGTYIDVLQIHRLDRDTPREEIMKA LNDVVESGKVRYIGASSMAAWEFQSLQNIAERNGWHKFICMQNFHNLLFREEEREMIP YCQDAGVGIIPWSPIARGALARPWGSRETVRENTDGVIKMFVRSRESEADKAIIDRVE EIANKKGVSMAQIAIAWSLTHPGENPILGLHSVKRIDEAVAAIKVQLSPEEIKYLEEP YVPKAVTALER PEX2_007530 MDLFHSAGFASDVTELMKQQHVPGLAIAIIHNDQIVSAGYGHAS LDPEIPCTADTLFDIASSAKSITAAAVGLLVDDNDMFPDIQYDAVMSTLLPEDFVMSG EGYTEGVTVEDILSHRSGMPSHNDSYMSVRAAKPDNARSITRNLRNLPIAAPIRSRYI YCNMMYTVATHLVEVKSGQDFGTFLEERFFKPLDMASTTLQPSSARSKGFESRMATGY TWKRADSTYRGLENPDCPEGQGAGSIISSVNDFIKFVKAFINREDPINKNVYEGLTRL RTFVNPNPGRRKRYTSPIAYAAGLDIYFYKGHMVVGHNGVFSGFGSRFFFLPDFSFGA VIMGNSDGANGVATTLVQKLIDNLHGVTDERPHDSKSKATRPVEIRGPKPQAEAKDAN PMSQNQEKKEKKKHEKKAQAKKSQDIQKGQVNEQRPKGNNTPQPPTMPLSAYAGNYWN PGYHNLLVQIGDDALFIDATDRSMGFTLKFEHVSDDRKFDAHLTDWLDGSDDIVKAEF VIKDAQVTRLGLQLEEMLKEMIWFERKDEVIS PEX2_007540 MWCVQRWLGMALDLFVAGIAIILVAFATSIRGSGSTGYLGVALY QIVTLSTTLQTLVTEWTQIEMALGAISHVRTYILDTKDENLPNETGHVSDKWPAKGAI VFNGVSASYDTSTDPVLKDINLSITPGEKLSSACWSFNQYRFASTTSTSAPSLARPHA RFNSLPQDPFFLQGTVRENLDLLGVTTNEHLVQALQSVRLWDFCESCGGLDEDMNEGT LSHGQSVLVMEEVGGSVDADTDALIHEILQKEFESCTVIAIVYKIHT PEX2_007550 MVSAILMKEMDMRERREYSPCDETKPACSKCILHAVECDFLSTI AESSASSSAAPSPYKPLNAQFRFKPSKYQSANDKPNDETGQPSSQTVSTEVPFKSSNS SVLPQTQDTISFADLRLFHHFVTETYCTLTDEATDHNRVWRTHLPQWGFSSPSIFHLI LALAALHLGHLHPEARDQYVMQADSHFTFGIRSVSAILSSLNSENCQSIYMSTALVCF VYFAHGPKPGEYLVFSETGKAEWLVLMRGVKSILMSSHDRIFTGVLQVQTDPAIQGVS PLLQDELRKHQSHIKALQHFIETQTGGNSTSQIYIDAIENLLEMFEEAYQSRSTEKDS VNLMPFLVGWIYRRPEDFIGLLEEKEPFSLIILAYWCILLKFMRSSWLMIAWDRHVIA GIRQSLGLEFHQWIEWPVSVICD PEX2_007560 MTVHNLNGKDSGLFKPLTISNGNITLEHRVVHAPLTRNRGEPLN PSSTPDHPNRIWIPGDAVVEYYSQRATKGGLIISEGIPPSLESNGMPGVPGLFNAEQA AGWKRVVNAVHAKGGVIFCQLWHAGRATIPQMTGSPAVCPSASVWDSATECYSHPPVG STKPVPYANHPPIEMTVEHIKQTIDDYCIAAKTAMDIGFDGVEIHSGNGYLPEQFLSS NINKREDAYGGTPEKRCQFVFELMEQVAKTIGEQNLAIRLSPFGMFNQARGEQRIETW THLCEGLKKTLPSLSYVSFIEPRYEQIFGAEEKDKFLESWGLLDVTLDRFRQIFGATP FFSAGGWDDQNSWGVVESGKYDALLYGRYFTSNPDLVHRLKENLPMAPYDRTRFYGPF EDNTFHYTDYPTVGQN PEX2_007570 MTIKNGTDVLYTINLVTQAICIPIVTVFVALRFYTRFRFKQSLG VEDLACTIAWLLFIGYCAISIVIGQHGGGYPIAELDTKDQIAFKKFCYIATILYCPMA LFVKVALLAILTRIFAPFRGKVWFIYIFLGCLCCYYTVALIVKIRICAPIPRYWLGDQ VPGSCLNQTAALIADSVISVVSDLIILILPMPLTWSLQMSRNKKLRVIGLLSAGGLAT GFSLYRLILVLKGSGDEGLMFVRVILSGNAEAGVGLICACLPVLNVMLAHYKKNHSSQ KYYQNGSSHPLSDRKSAPASNVAPDWDKVTNFGDQSHLISFAGPPEAGDSAESIHNQD GIRKTVAVSQTVETH PEX2_007580 MTIRSKGPKGSITTKNLAIGSPINHINCNAYDLTHAIRQVSYCP SDFADALLSSTVWIAPYDMDLGVQVGFEGAAFVQELVLQGWEYWRHDVGE PEX2_007590 MNSDELEEEEIYADYARKNLAQRVLEAPSLYLAQSLVMLSFYEW GTERPYQAWMYSGMATYMIQSLLKMADDSMEHNPQEFHASQTQYEQLVRTYWCCFAQD CELSSGARQHFALSFSQISVPLPISDRDFTFNHTPANRLMPADMNKDCLLAKDLTIEH GLTIVTRGFDIFVRILRFANEHRRSLASLSSNDSASPLLLTWQALKEELDEWRSLQDV TVRFPATSVQSHVALGYGELFAYINLVHCMSILFLHRDRFLSNLKPHSDVFHDTNDDA QGEPDTIKQLFEVAQQIGSILSALDASGAPVISPYSGFSVFVAAHINMYGTIAPQRYP GGLKRAEEEKTRNLVYLERLSKLWPVGRSWWRTVQEANRFYEIVKSNQAPSESGMHSP RRFALAGTLDEYGDIRSRPSRDAYATRARTSESHDTHSSHVRGGTSSSPGLGGETNFL ARDNAALGSHHDFETDMFQWPFIDGSWSLGFDAGLDGLWSNSGLVDPNPSLR PEX2_007600 MPSTSLCQDEACPPYSLMDDYSEGAHPQILEALLRTNSLQQVAY GNDEYSIAARQLIRNKIGCTEDEAGIFFVPSGTSANLISIASCLRPYEAVITLESGHI ASKEAGAIEATGHKLILVPAVDGKMTPINLQKALQQNQFYPHMAKPRLLYISNASETG TVYTKRELSSLSAICKQSNLLLFMDGARIGAAICSKKNDLTLREIFDMTDIFWIGGTK AGALMGEAIVLKKELAEGFDFHLKQHGALLAKGRILGVQFMELFRSDLFFTLATHANE MAQKISTNFEKLGYKLAAETQTNQVFVTIPQQLVRTLHEKFRFYVWEQLDDGNSVIRL VTSWATDEMEIDKFNAWVQQWTVATNI PEX2_007610 MLFLSFPLLLWLCQTALAQYSSDDLMSFVTLPDIRAVKFDVHYE NRERISPGYWFVAPYLHIGPDPPSTLYEQYQIGPHIYDGEGRLIWTGSQQFDNRNVFD FKVVNSLGPDPYLSMILQNSWDSKIDSGYGLILTNDYQVQRKLPLRRDLGAFDIHEFN IQDDGKTALVTIYLSHEITLEEFGRPEESTWLETGGYAEIDLNTAEIVQWWDSFNRIP LPETVHYTPYSPVEGHPGWDYVHINSVDKNEIGDFLISSRFTNTIYLISCIDGNIMWR LGGQHSDFEQDFTFSKQHDAKFIESNGTHHIISFLNNASDEEFNEENISSSLFVELDT GARPMTAKAIRRYNRPDHQLSRLRGNTQLLPNDNVFTCWSKEGYISEHAADGELLMTA NFTSSRYSSYRGYKFEFIGRPTAPPDMVASVYGTDETNMVTTFWISWNGATDVAEWHF YAQASEFHDPVFIGKTPRSDFETMYIARGYLDWVSAEAVDVNGTVLGKSEMHRSKSPD WKSVGYRGKSTTPIPVDPSIIYQASDSAAGDSVVNESNRTSSDAIDTQTQKTTQILKH TYDAIRSISGIFSFVLLLCLFVSVMVCYMVIRGWRVRVYQRLPSEDGLPGERVRLASP HED PEX2_007620 MGCSQVRGRQSAGNELDIERTGPYGYRYNGDCSGFLAYGSRDLE SHDRLAMFALQSRRRQDFRRVTGALRSEKSSVEQISSLDAFPYNHMTGALPFTMLGEH PSARRMTIKTFPLPELLAEGYREPANGHYKGWAPTLDDSGNTAYGNNKPAWARGPLPA AFERWIVNPDSNTTEIILETSNSTVQDVSKRGTKESHSKSQNKTAEPRHYFYNPVQDP MRISNLDLEPLGPLQEALKDHAIPINHIVFVFLESARKDLFPLKNDSRLYNQIREAND IYDEEDEADLHDKLSQLTPVAEMLTGEQSGFGNSINSTDAGLGGLSFDGILSGSSLSA KSRLVNYCGLGPLPVDFMHENDIIPYQPCLMHIMDLFNQRKNSSAPANSSGSGNSTDS GNSTNSHLEREWQTIYAQSVTGDFQGQTRLMDLLGFNQTLYSEDIDVEDAKYFHEEME KVNYFGYAETEARPYIKDMIDETLRQNKRLFLSHFTSTTHHPWGTPQGWHREKYFGSV HRSQHELLDDFLNANRFVDTWLGDLMDMLGEAGIANETLTVFVGDHGQAFKEDCPVTG TYHNPHITNFRVPLVFHHPLLPRMHLNVNGSTVSILPTILDLLVNTNSLNADDTEIAL DLMNEYEGQSLIRPYRTSHNGREAWNMGVINAGGSMLSVGSAAVPWRLNLPLNNDFEY RFTDLSTDPYELEPVTGWTMSALAAEVHSKHGPEAFDWVQKAEKVGLWWVAERKKLWN YSDPDE PEX2_007630 MTIDISDGGAIDDSTTELKTKDIQYEPVGTLCDFRTLYQTNPNG FGERAWSREVPTDLPDPVEDAESAQYALIVRKRKCYDGRRSLSIYSILVQSERLKEFL ERALKDYPGVTATLQRLEIKSPFRPFVHRWEAITKLRDEEQDPTTKAHVDMFYRIMDE ELRDVIDRKNDLVAKGVITHELAWTILEPQDVVLSAEDGVRAYLFTQASSEGEPSQND TFEVEYVEFDGSKFGYNYTWLTIPSFPGTVPITSLPIFPLKYHPEKDTIQESLTKRGK EWEAYKGYHFKSYEGASTSKNSKDRDSNLKNTKYRVNSRVIIDTEAYNRFGSTGSVHV YREIERELDDAQRLIATPMLYGYSLNDKEWCSFHVGHLKDIEWNEQAFGSLVLPREQQ GLKEVILAVAKAQSKNVDGFDDVVRGKGQGFIMQLSGPPGVGKTLTAESVAEVMRVPL YVMSAGDLGVDARGFETKLKGILQLIPKWGAMLLLDEADVFMEARDSTNLARNELVSI FLRMLEYYEGILFLTTNRTQNIDPAFESRIHLSLAYKELDTESRRQVWAQFLSRSMNI ESFTDEQLDQVAELQLNGRQIKNVIKTAGLLAWSKERELRYEDLMTVLALRDLQK PEX2_007640 MGAARFTGRTGLIARAVGDSRYSPGSLLTTQSRVTRSITALSAV SPNKPFSTSILLSCASHQSTSAASPSSVDTDPKSKIPTDIAGADPTDRYAAGLRLNKE WAAQTARKHPDLFPTLASGQTPQILWIGCSDSRCPETTFLGLEPGDVFVHRNIANVLH PGDLSSTAVIEYAVQYLRVNHVVVCGHTSCGGVAAAMGNKNLGILDPWLFPLRQLRER NLKLLQSMPSDEAASKLAELNVREGLNIVKQKSVVLNAIRERGLEVHGLIYDVGSGVL SELDTQDSEEVIRARLTAFHTE PEX2_007650 MCRSGLEKPHLRRHPTMYKAVNTLWGKQLISASILIGRNSGEIG LFHYGGDRLEIWAQRLNNLTVSPLTRDYPDTQKTDSKRVIEAFESLQLPKDKLSGSLS GFTAFLTAFIILVARLTGDEDIAVGTNSNEDGRAFVIRVPIDTSESFAQLYTKVDKAY KEGSSQIVPLGSLRSYIQEKSNSERTPVLFRFAAYDAPAASQDYPANTFDTTDLVVNV APGSAEVELGAYYNQRLFSSARIAFILKQLASITSNAAANPDEAIGRIDFMTEDQRAL LPDPTSNLNWSKFRGAIHDIFTANAESHPDKLCVVETQSNSSPHREFTYRQINEASNI LGHHLVRSGIQRGEVVMVYAYRGVDLVVAVMGILKAGATFSVIDPAYPPERQNIYLDV ARPRALVNIAKATRDAGELADIVRTFIDENLELRTEIPALALLDDGTLAGGSVNGQDV FANEVALKSKPTGVIVGPDSIPTLSFTSGSEGRPKGVRGRHFSLAYYFPWMSETFKLT PDEKFTMLSGIAHDPIQRDIFTPLFLGAQLLVPAREDIQNEKLAEWMQKYGATITHLT PAMGQILVGGASAQFPALHHAFFVGDILIKRDCRSLQGLAPNVSIVNMYGTTETQRAV SYFEIPSYASNEGYLNNMKDVIMAGRGMLDVQMLVVNRYDPTRLCAIGEVGEIYVRAA GLAEGYLGSPELSAKKFLTNWFVKPEVWAEKDQAESKNEPWREFYVGPRDRLYRSGDL GRYTPSGDVECSGRADDQVKIRGFRIELGEIDTHLSQHPLVRENVTLVRRDKDEEPTL VSYFVPDMNKWASWLESKGLNDDDSESEGMVGLLRRFRPLRDDAREHLRSKLPTYAVP TVIIPLKRMPLNPNGKVDKPALPFPDTAELSAAAPRRRSSAVQALSETEQTLAQVWAK LIPNVTSRMIGPDDSFFDLGGHSILAQQMFFELRRKWRVIDISMNAIFRSPTLKGFAS EIDRLLAMESFATSDDKTLAVQAANEPDDEYSKDAVKLVDELPKSFPQRTEAMLTSEP TVFLTGATGFLGAHILRDLLTRKSPSTKVVALVRAKTEEQALERLRSTCRAYGFWDEA WTQKLQALCGDLGNPQFGLSQSAWDDLTNRVDAVIHNGALVHWVYPYATLRPANVMGT IDALKLCASGKAKQFAFVSSTSALDKDHFVQESERIIAAGGNGISEDDDMEGSRVGLG TGYGQSKWAGEYLVKEAGRRGLRGTIVRSGYVLGDSVTGTTNTDDFLIRMLKGCIQIG LRPNIFNTVNMVPVDHVARIVIATAFHPPSTGVNVAHVTGHPRLRFNQFLGALELYGY NVPQVDYVPWSTSLEQYVNDGEHNDKESQHALMPLYHFVTSDLPSNTKAPELDDVNAA TALRADATWSGVDASAGAGVTEELVGLYASYLVQTGFLPAPTVAGARPLPAAQISEEQ KKTLLSVGGRGGTS PEX2_007660 MIPHRSTALLSVIVFVALLLFIFSSSPVPDAGEQSATGPAKFVP TPKLPSLSNFHLPTFRPPSHEQPEEQNNSTHGESKWYSTLEWLNPFSSAITLDENRSV LPPLRERRPIYTYYDAKYNPNKSNGKDLKDLLNADAELLLAWRRAWFAQGFKPVVLTP GDAMKNPNYEIVQKWKLAPKAQNEVFAWLAWGHMGTGLLADFHCFPMARYDDPMLSYL RRGSVPESITRFENLKNGLYSADKSRIDAAVQSAVLKLDDKTQSFVDLIAPESFKVEP PSALAFYKSAAITSHYPTVHEKMTESPASGQLALVQLINSHLHNHFHSSFPAGLAVLK PFPQHTTALVEPALRLAKALIQCPESPIPDSCPPNEPECRPCGTGSAKQPMRISQPST YKNTTFLFTIGTLPHPYTLISLQRNSEDITTPQVRRETERDAWLSEVTKQHLGPEMGS SYRGVIFKQVVAGEDAVGTSLWMTVESLPAQAGQSLPSELLDEFEWQLGFKIPRGGKI DPATENDKDSAKSAQDRTPSEQGVGKEYELIQKAREVIKNKETNRINIKDVVEAWNLA DTEVWRFVKAYRARSVVERQKWEDEEKLFAGSRP PEX2_007670 MAPGILQTDSTVAVEKLTSLSALTEDWDDTIRFYLNGTKVAVDT INPEVTLLEYLRGIGLTGTKLGCAEGGCGACTVVVSHINPTTKKIYHASVNACIAPLV SIDGKHVITVEGIGNVKDPHAIQQRIAVGNGSQCGFCTPGIVMSLYALLRNNPSPSEH DVEEAFDGNLCRCTGYRPILDAAQSFNSTNNCGKASANGGSGCCMEKKGSGGCCKGSS SKDGENETVDYKFPAPEFKPYSPDTELIFPAALRKHEYRPLAYGNKKKKWYRPVTVAQ LLQIKSVHPDAKLIGGSTETQIEVKFKAMRYAASVYLGDIPELRLFTLHDDCLEIGAN VSLTDLEHICDLAVEKYGDARGQPFKAIKKQLLYFAGRQIRNVASPAGNLATASPISD LNPVLVATNTILVAKSLEGETEIPMTEFFQGYRKTALAPNAIIASLRIPAAKAQGEHM RAYKQAKRKDDDIAIVNSALRVTLSETNDVVSANLVFGGMAAMTISAKNAEAFLVGKK FTNPATLEGVMSALELDFNLPFGVPGGMASYRKSLALGFFYRFYYDVLSGLDVKASDL DPDVVAEIERAISTGAKDLETSAAYQQKILGRATPHVAALKQTTGEAQYTDDIPVQQN ELFACMLLSTKPHAKILSVDPSAALDIPGVADYVDHTDLPNPQANWWGQPKSDELFFA VDEVTTAGQPIGAILATSAKIAEEGMRAVKVQYEDLPSILTMEEAIEAESFFEHYRYI KNGDTEEAFKQADHIFTGVSRMGGQEHFYLETQACVAIPKPEDGEMEIWSGTQNPTET QAYVAQVTGVSANKVVSRVKRLGGGFGGKESRSVQLAGICAIAAAKTKRPVRCMLNRD EDILTSGQRHPFLCRWKVGVTKEGKILALDADVFANGGHTQDLSGAIVERSLSHIDGV YKIPNVNVRGRICKTNTVSNTAFRGFGGPQGLFFAECYISEIADHLDIPAEEIRAINM YKPDDTTHFNQPLKDWYVPLMYKQVMEESSYNERRKAVEEYNAQHKWSKRGMALVPTK FGISFTALFLNQAGALVHIYHDGSVLIAHGGVEMGQGLHTKMTMIAAEALQVPQESVF ISETATNTVANTSATAASASSDLNGYAIFNACEQINERLRPFREKMPNATMKELAHAA YFDRVNLSAQGYYRTPDIGYVWGENSGQMFFYFTQGVTAAEVQIDTLTGDWTPLRADI KMDVGRSINPSVDYGQIEGAFIQGQGLFTTEESLWHRASGQIFTKGPGNYKIPGFRDI PQIFNVSLLKDVEWENLRTIQRSRGVGEPPLFMGSAVFFAIRDALKAARKQWNVNDVL SLESPATPERIRISCADPIIERVRVQPKEGEKSFFVAI PEX2_007680 MASAKREALSACTSVESMGSAMASMPYYLSKGTNELVASSVDEA VSALKSVLLMTITGVGEILWFVINMMYSTYACLITMAVRGTVGAGIELIKEATEWINK TLKTISGDIESTVDKYKEELNTFLETINKVASLFSNDPSPINLNSSISTLENLSLPSS VNRTVEKLDSVVLPNFKEIQNYTKTLFQTPFKEVKDLVNGTLGTYSFDRSALPVPSKK QLTFCNDNKGINDFFNGVTELALKARKIFIAVLIIAAILVCIPIAWQEIRRWRSMKER SQLVRKEAHDPMDVIYIVSRPYTAAGGIKAASHFSNSRRQILVRWAIAYATSLPALFV LALALAALFSCLCQYLLLHSVKQTVPALSAQVGEFADKVVDALESASTDWATDANKAI INLDNDLNHNVFGWVNTSTHAINGTLNFFIYNTSSVLNETFKGTLLQEPVYELYECLI GLKAEALQKGLNWVAEHAHISLPTLPNDTFSAGAASSINDTSNPSDSFLADSGDQTAN KITEVVTSVIDKMEAAVRIEAIIATVILLIWVFIALIGIARVMMLFWSREKHRGEGGQ GHILDPVPNGGPPPQDPSGFTEVPLTAIPRNMSGDEQIVPRYTAAAAPSVPVRNETSS YGDEKLGFAGQRNYEHALQVDSGPDLRGSSYVEYDVKR PEX2_007690 MPTVLSKFTDGEILPHDPQPAAPAHGQDASSEVHTQLPDEPQMS RPEIEELQAYETELKAEKFLQDTVHLATMQYATGEPRVSEPLNSGSLTVTMGLPEAIR SADQDIAATQSKSDDWDDQKAGLTLGNGQLRLKIEDWQTPSPKFALENNPKGNPKCEL HGEIASPEMIHVDFKVPASYPGGMIGIMQVIEALFMSPKAIAMSNIETGSAAARRESK NPKRDGYHIKWTIPWETSGCRVSWTKAKENSPTVRFKFVSGRDAKPDEQMIVSVDERD DFYQIISKKDPNTYGKCMALPVRIWLRIQWLISEAGGSESKLFGKLLVENNSLSSTKK NKGKTASKEFPKSAPESFTAEEGSSEDGKSEVSAMPSPEQSVLGQEHTMETVGSQELT EEESIQEELPQEELPREESTQEDFTQHESIKQGSIEQKSTLKELTRRVSTLTIDTQKS NKRSKGKGKGKGKRTPGSSRSNTGKKDAVHVTDHITDHLQRGDNQRDALLLGVQDIAR QDVPTQQQLAAVAGQQTVNASRSPITPNDLTSPFSPSFETPRTHFSPHTPHPSSSLSS FFTPASRKKEDGGKGEVKAEEKEPAETSDELCFAPPGDEVGLRCPVSDSGDEMWRSDP GEYLGGHKMQESVLRRRVSFDVASLGLSRGHETTYSSPTADPPSTSCASSALSTTDSA GFGTDDPSSDGTVQLGDQSPAESSRSGSKTAYNKRKHLRRRRKHLMKRQQARGADFES PVFSVSSQGDGVEGRSPSPPESFEDDEPTPTAKQFGNIGAPDPESQIDLRTIRIVCQE KDCQVICGLGDGVSVVCPKCGPFSLVRYCGKRHLWEDVKRHWEICTMLPVLEQHLAGL IPYDDLVGPPMLPCLHLWDTSERHRQALWFSSARDRGDYFVFEELNNPGEAADAPASH AGLRCSPRVTHIVRFEDAEEKDRFRRCLAICLFAAVEHPALVDYLYRLVRDWMRAHNM WASDKDMDSMLRRQMGFEMGGNTIDENRLGLRHACETEWVGADRRHCEDLTCASERRP TLLGNHRMGLGFRRVCESLESNYWILRAHRATHPSVSDVMARTCGAGFSEVLSMDRRV FCRGVGWDGAGTGPMELEMPWSG PEX2_007700 MSATASRPDPFRPAKRVAGQRQDVWSIVNEAAAASPVQPIVNMG QGFFGYNPPKFALDAAKDALDRVECNQYSPTKGRPRLRQAIADAYSPFFGKKLDPETE VSITTGANEGMLSAFMGFIEDGDEVIIFEPFFDQYISNIEMPGGTIRYVPLHPPKDGA TKTSPASEWTINFEELEAAMNSKTKMIVLNSPHNPVGKVFSREELERIGELCIKYNLI ILSDEVYDRLFYVPYTRIATLSPELYERTLTVGSAGKAFYATGWRVGYLIGPEHLIKY VAGAHTRICYSSVSPLQEAAAVAFEQAEKEGFWDESRTDMKGKIERFCEVFDELGIPY SDPEGGYFVLVNMASVKLPADYPFPPHVASRPRDFKLCWFLIQEVGVAAIPPTEFYTD SNAHIAEDYLRFAVCKNDDVLETAKERLRGLKKYITQ PEX2_007710 MVSCPICEQSVSQLKINDHIDSGCQSFIEEPSSSPGELASSQKG PVPSMFQPPSARKASAQLNISKESPSRPTTTPRPLNGKRSFIEEAESTRERDGPKQPK GDSKEPQAKRAKINAFHKAAPLAERMRPKTLEEVCGQELVGPNGILRGLIEQDRVPSM ILWGSAGTGKTTIARVISSLVGSRFVEINSTSSGVAECKKIFAEARSELGLTGRKTII FCDEIHRFSKSQQDVFLGPVESGQVTLIGATTENPSFKVQNALLSRCRTFTLAKLTDE DITSILHRALRVEGPSYSPSELVDEELVQYLAKFADGDARTSLNLLELAMDLSKRPGM DKEDLKRSLTKTLVYDRAGDQHYDTISAFHKSIRGSDPDAALYYLARMIQSGEDPLYI SRRLIVVASEDIGLADNTMLSLAISTHSAVEKIGLPEARINLAHATVAMALSKKSTRA YRGLNNVFAALNEPGIAGLPIPVHLRNAPTKLMKELGYGAEYKYNPNYVDGKVQQDYL PEQLLGRTFLEDLDLGTQRDRDLNTVRYTGFGSGLMGLVVPASPMPSSPSSHPAVPRY DVEA PEX2_007720 MPQTWQLSSATAMEYDTRSVDPDILKMVNELNNDPIDSQPQQLG SQHQELEIFGGPVSFDSIMTPPIIENQLQSSLSPTSPKSQPSTWLSSANDSWSCISTE RDRSRSVHSSGESQPLAAPVRPPSPSLKALYPDGFVFWDPADPAKSDKIHEKVPRNAA ELQQQREDTAALKKAGGSCMACYRAKKKCGTTTPCPPCSSKGNRICFRSWGDLCLLGP PTGGSFTIHSFPSQEAKDTLQHMSKEAFDRTNPFNAIVNIRKTYGGNCTAWHWTVTSS NVTLSSKTDCPVNDFLGGITSVLPLVDLVKFADLFGHSSLVSTAFEIAKLFMAIQGLA QARIRTCWFETSAGRLVSFYILTLSCWKLAQMSQEFCHGLYVALCGKDKQNSNKKSRS QKETKIDPAWVAAALYYRVVCGLQDLQKHTAIARILGSSSCHLSGVREKLEDILHNVS PIPGATGKSSCRAILEDVIPKLPPSPDVDMAFWLAGLGEMSSSVSGRADSPFSPPACD MQAFLADSFPRPVRMANPAEQHDGVLQSSTASHTTVIAQEQNAFDNVPFTNRIETFDP HGLLGCLGNDYDNAFDPMSATFVDTMPLDTAMPLDTAMPLYGNFQQSLYNC PEX2_007730 MASGQPFASRSYAGTKLPERSVNTNAMPFSASSFSRHRGLGNAN QGEFNADGQKQMQQSAPAPTTNAQSHGPAQDANPLSRLTEEQREEINEAFTLFDLDRD RHLDYHELRVAFRALGFTLGKPELISLLTTYGVPRPQVQQQQQAAGNQHGPGQPLASN KGPVSNPQHPSNLLMPLSSFQAVTALKILERDPRDEILRAFELFDEGAKGFIDLEDLR RVARELGETGLEEEELRAMIEEFDLEGVGGVTREAFVGICWQ PEX2_063720 MDELMELEARSDELAAAIKSHIERRRRDTQSSGVNSDAEKEPSK AKTGIYASMAKMKAVLDGPVDLLQDLARQVEIVACLKWLAEFQILACIPPDESMAIKD LADLAGVSEIQLGRVIRLVATSGILQEPITNYVSHTPLSAQFVTNQSWLDAAVFMADV AAPTALQMPTATQRFGSSRNPSETAYSLALRTIQPFGVAIQEQPKLGRQWSAYLRHAV GLHQEKEIAGMLSQLKWSNLGNAFIVEVGAQSTIMAQHLAQEFPTLRIIVQITHTRSS SSLHNLEHLRLIEMMGGVESDSSSSSSSRPSSAGGRITVTYRAAGTPQPVIDAAVYIL HLSSSAGAEAIDRIAMVRAELQDYLGVLRSSGGILLIPTANLLPEPGSLVDPIMEAVA RAHDLNNPGWPWEACYHEAAAFA PEX2_063730 MTFVEPEILEQPSGATTGMYLCLTICGYRKPGMSEEDYRNHMVN ISAPMTKGLMVKYGVKRWTQMANVADFDCFSQVVFKDIDDYKRMKQDPWYKEHLVGDH EKFADTKRSMMTIGWVEEFVRDGKVVDGFKDC PEX2_063740 MLCLSAIAVPVFLDTDDTSSHLVRQWARTYYYGHIILPAMCIAT CGLYGYITLNKRAANRKHWPTYAAAGVTTLAMVPFTWVLMTPTNNTLFGLEKASSETA EDLGAVRRLVVTWSWLHVTRSLFPLLGAIVGFRGLLHDLGV PEX2_063750 MAPGEGGYRQINKALNICVFEDYLESQQRCLPTLLDVDELSPRV IRILGQNPGKFTLQGTNTFIVGTGPERLLIDTGQGIPDWADLISRTLSASGISLSHVL LTHWHGDHTGGVPDLIRMYPDLVSSIYKHTPSTTQQPIVDGQIFRVEGATVRAVHTPG HTDDHMCFILEEESAMFTGDNILGHGTSAVEHLKTWMETLRKMQAQGCRQGYPAHGIV IADLRAKIAGELAQKLRRERQVLKALVQTKRDERGAGQGQGRGKGSVTVQQLVAAIYG EGVEAGLRELALEPFMDEVLRKLAEDGIVAFEVRKGVKKWFALEEFRTN PEX2_063760 MTVVQASQVKLSPKHLGLGRIDAASPVGVIETANELLQQNHDKY HMYFRDVGGHNHIAHSVLTVLAMGGGPKQLQRAYDDGYGYQRPLPPLDPAIVQSLHDQ EQFRARMFDLAQYTNFLHFFQTEIDAKGWQAVLQEYCFSRTPLAEAMFSQLYEGLLHP IIHLGFGIEFEQPSIIAEGLAHAASHDSGNIDTFFHNAEQLAQSGSVPVKALVELYEQ VRANDKTRTAGRMQDGPFRLRDGPLARAMDEIVAIAAQFQIVPGDQAELERRTAEMIN CAAYSAGAAQRPGKVRKVDFFIMHDVTCSIFLSVLIRQAWISLEDRARLVEWKTRLDL AWYAANGAAELRLEDIAGYEPTASKGMDWQELYAAVNEVHDDGHIAKFVRALKNGEEA SSSFEGEGGDSFPVKGELWLRIAQMGYDTTKDGVDNSDKWVWGSGFDLAWMKVPELKE PEX2_063770 MSVLDLGDRVLDRTTPPSPVMTPSRPSAGGTKLRDSCHACATSK IKCPKEKPACSKCESRGIKCQYFFAKRPGRRRENSTGGHLNSCTGKNNTSLHASPSNF KPSTDQKLQTNRSRNGDTIEFAGRSPGAAPAPPIGLPEIISFPDTPPDFTISISPIPD TPLGTCSSNLFSVIGDSSLFANLPDFDTDIDDMDFVIADPLDFERPLVDGDRATRPPN DIGSLLIPDESMDFSLGTSEINELASAMFTESSGAPSLASSSVHTPPIARSSAVHATT TTNSPCGCVAQALDLLKTLSSAHCKSTGTDLAPSWSNVNDTGVAKSVLSENKNHIEAV NSMLSCVSCTENAFLLAIISMIGLKVLERYASAARTKGSGSRSGSVESDTGLRSASSI ISSSSTDHYMRALGRTYNKDGAASGCVPARLVLGELHRVQRLVNQLSTKLKGPRGNGR GLSQECLGRHSGVEDNDMAVATFFSSSTLAQMESDLRKSLSSLSTDIINRLRQN PEX2_063780 MRLVYFSNEFPRDDLVDVFRHLHNHSKSHDQQTLAEFISRATWV IKEEVRQLSMELKELIPPFDTLLSWAEDTELREGLICGAVDGVLLVVVQLATYIGYAE KHPEELLTEFSNTSLAGLGIGLLASTAVSLSSTLADLPRAGADAVRLAFRLGTHVQGV SANLEARELSERPATWAYVVHNVDPDAAQKELDTIHSAQGIPDTGKIFVSAVSRTSVT VSGPPARLNALFNTSDFFRDSKFIPLPVYGGLCHASHVYGLRDTQIIVQRSSLSTVST NAWPATPVYSTSTGLYYQAKNATELFEAVVSELLTQAIYWDRVVFDAVQRLENTGASE LALYSFGNSIPLNDLTTALQSSITNPEVSVNNLMTWVTQVAPADTTPRSPAQSKLAIV GMSCRLPGGATNTEKFWEILEKGLDVSRRIPADRFDVETHYDPTGKDLNKTTTQYGCF IDEPGLFDAPFFNMSPRESQVVDPQMRLALVTAYEALELAGYVGNRTAATQSQRIGTF YGQAADDYREVNQGQEVSTYYIPGGCRAFGPGRINYFFKFAGPSYSIDTACSSGLAAI EVACQALWNGVVDTAVTGGVNVLTNPDGFTGLCNGHFLTKGHNACKTWDATADGYCRA DGIGSLVIKRLEDAEADNDNILGVILGAGTNHSAEAVSITHPHSGHQAYLSRQVLRQA GVDPLDVSYVELHGTGTQAGDHEEIQGILDVYAPLVRRRSKDQPLHIGAVKANVGHGE SVAGTTALIKVLLMLQKSAIPPHIGIKTEINPRFPQDFDKRNLHIPTKMTSWPQVSGK KRIVAVNNFGAAGGNTAMLLEEAPVRDITDADPRQTHIITVSAKVKASLAGNIERLIA HLDVHPDVSLANLSYTTNARRYHHTHRVAIATSNVPHLKKQLNSILEKVDSIKPVRKS GPPPIAFSFTGQGASYKSMSLELYRDVPTFQECIQHLDSVARGQGFPSFIPALDGSYP KGHSHSAVITQLALVCTEIALAKYWASLGVRPDVIIGHSLGEYAAMHIAGVITASDTI FMVGRRAQMLEERSKSGSHNMMAVRAALAQIAKSAEGKPYTVACINSPSDTVLSGTKE QIDDIAVSLQKAGYQCIKLDVAFAFHSEQMDPILDDFEAIATTGVIFQEPRVPVISPL LGKVIFDGKTLNANYVRRATRETVDFLSALENAQKVSTISDDTVWIEIGPHPVCTGFI KTTIPSTELAIPSIRRGDDNWKTIAESMAALHLAGVEVGWNEFHRPFERRLRLLDLPT YAWNDKNYWLQYNGDWCLTKGNTFYDTDKEAARAKTAPKALPASEIQTTTVQQIIEER LDGSAGTVTIQSDLMQADLLAAAHGHSMNNCGVVTSSIHADIAYTLGSYLHRKLNPKA KKDINMNIANLVVTKGLVAQSNKKIPQLFRVTATTADVGSGVVDLTWQNVDNDGHAHE PFATANIYYQNDVSEWLSSWAPLAHLIQSRIEALEHLATQARASRFSRNMAYTLFASN LVTYADKYRGMQSVVLHDLEGFADVQLTTKESGVWTVPPYFIDSVAHLAGFIMNCSDA IDTANNYCVTPGWNAMRFAKPLIPGARYRSYVKMIPTTEDPTIYFGDVYVLQEDGAIV GVVNGIQFRRYPRILLNRFFSPPDKMAVMEGKPPNPQPLPKRLPATPTPAPAPKPVLP RHASGLESDTEPPAATPEDVSPTVTDAAAAPSIEAAAATGSITAKAIMLIANEAGLDL ADMEDGAGFAELGIDSLMSLVIAEKFRTELDVKVSGSLFLDYETIGDLKEWLDEYYS PEX2_063790 MTTVVATSQPPGMDTSSRIFAQDDKFWDNYSRGRPQVPDTFWDR IWAFHESKGGNFDTVHDIGAGNGPYAQRLRSRFANVIVSDIVADNIRLAQDRLRGQAG FTFRTAALEDAEDISAGSMDMVFAANVMHFAEPQHDAMETIARQLRPGGTFVASLFGP ARFRDAQVQDLWERLSYQGGRELLRVSEDPDQVVKIMARTQDQYNVAPLDRALFGDEV RIHVNMKHGGIQGMLPPEFAHWNQEPDYGAGDHDSHEEMEGWTFETDLQGVTEHFGSF PFISRFSDALADLFQELNELLAGGKTVEGYFPVTIILATRK PEX2_063800 MPAPAHIQRATIDKFLAAWKDSDAQGTIGLWSDEFEQQLLPHSL QQPTRSRSHAEFFYPKLVNGLTNWKLDIKHIVHDAVNGTAAVYATSSADTPIPEEKWT NEYAIFVWLTEEGLKVQRLEEMVDSAFYNHFFPLFQKHLAEQGGFQ PEX2_063810 MPSPNIYAVLGSTGNCGTALIQNLLHNPDAHVHAYCRNRPKLLR LLPNLDEEKRVKIFQGSIQDNALLTSCLSDCRVVFLVVSTNDNIPDCHLAQDTVKAVI QSLHNIRQQTSFGDNKPQKLPKLVLLSSATIDDHFSRHVPYLLRLVLLRSASHVYSDL IETEKILRAEGDWLTSIFVKPGALSVDIQRGHALSLTDEDSPLSYLDLAAAMIEAAED VNGSYDMRDVSVVNASQKAKFPRGTPMCIFMGLLRHFFPFLHPYLPLNTGP PEX2_063820 MSTTPYIPGRLDGKVALVTGSGRGIGAAIAIQLGRLGAKVVVNY AHSAEHAEKVVAEIKRLNSDAIAIQADVRDVSQTAKLFDAAVAHFGQLDIAVSNSGVV SFGHLKDVTEEEFDRVFSLNTRGQFFVAREAYRVLQEGGRIVLTSSNTSRDFSVPRHS LYSASKGAIDSFVRILSKDCGDKKITVNGVAPGGTVTDMFHAVSQHYIPNGEKFTAEE RQQMAAFASPLHRNGFPEDIAGVVGFLVSKEGEWVNGKVITLDGGAA PEX2_063830 MTKAAYQPPAYEAIAGCQLALFEWAESYDSKDWDRLAKCIAPTL HINYSSVMGQEWESMPADDFVALASSANFLGNARIKTQHLIGASKWVQTGEDTVTGYH QMRVAHQKYGDDALSEVLVKGHAHGKATIQYRRVEGEWKFAGLEPDIRWKEHDVDGIF HE PEX2_063840 MPSISGQSILVIGGSSGIGAAVARLAAEQGVRVSIASSNPARVA SAVTKIKSESPATIPDTNIRGFTVDLAQPDIEQRLEQLLADATADGSELLDHIVVTAG QPTTRSLAHTDRDYLFDQAQLILVAPILVAKLAPRFLKPSYTSSVVFCGGRLGEKPIK GWPTGAAYAAGLDGLTRALALDLAPLRVNVVHPGPTDTEIWGETPEQRRSTREFYAST ALLGKVGTPEEVAEAFIYLLRDSNITGTSIHSSGGVLVQ PEX2_063850 MTTISSDLVEIAVSVLAEDEHSFITGFSLVYGESSSNISFGYGI PEKQIKIGLCGQTLTGFEVFAGEGGIQAIRPIFDRGYGICRGMIDGEIKAFAGDFDFT DSLVAL PEX2_063860 MSVSVEHILLQDIQPIAGFPVTAQVINSQVHIEPSNANFLSLYR YATPLQLCTLFGSALCAIIAGAAMPLVTVVFGLLADEFINGDAKSSQYVIATGSFATT LISTWGFNATGERLTRRLQQTLLSSVMQQNIAYFDIIGAGELISNLDQDMKLIQDGIS QKVGDIISGLSGFAVALVCAFMSNRRFASIMITQPISLILLVGLMGSWLSKTQRKGLA HSVRANNFAQEVLGAMRNVIAYRSQERYTEKYRGILRYLSVLDFWERLIFAVIVAGSF AILHWGNGLGFWQAERLISLGQCTISEVLTILYATTVAGASRVFSVIDRVSAINPLEE TGLKLDLITGVIRFEEISFAYPSRLGHLVLDNVSFEVPAGDTVALVGPSGSGKSTVFA LLERMYHPLGGRITISDTPITEMNISWFRSQIGYVGQDITLFNTTIHSNIAHGLPRNV QETLDEMSIRQRVVQAAETVGIHSFIANLSQGYDTVIGANGSRLSGGQRQRLAIARAI ISQPAILLLDEATAALDSQSENDVQEALNAAASGRTTIIIAHRLSTIRNADRIIVMAD GTILNEGTHTELMRKCSLYQELVGQQEFSSNNREEKDLAMQIVQTKTQPGRVSIRTSA APAEDDSSSAAHFGSSIKIIWGLNNPEVLYTSAGIVLSVLAGATYPIQAIFFGNGIIS ILNPSLSTGGHTTQYWATMYLIHGFIVLLVYCLRGYCFAVSACRLSLRTRSELFKTFL FKSIPFFEEKDHSTGALVSFLSSETVKVIGVSGTSLGLVAESIMMLGTGVVIGCIFGW KIGLAAIATVPLVAASGFLQYYIVAQVEKFVRRDTDAVVVAHEAFTAIRTVTVLGLHN SVMESFQKAIDRDRRAKYWILSATMYACTTSLRILSIAFVFWYGGTHLIATGEYNIQQ FFICFAATVWGTQSAATLFSRAPDIAGAHGAAQRVRDLMEIQPSLRSGTPRTSTSLPA PSATEDLSLQHVSFKYPARPAQLVLNEVSFEAPRGSFIALVGTTGSGKSSVINLIEQF YTAERGDIRLANTLIAEYSVDSYREYFALVDQNPCLVGDDLRECLQSGKRYFSDDEIQ GTLESVGLGEFVLSLPQGLSTSVLGNGSMLSGGQRQRIAIAKALLLRPKILLLDEATS ALDTASEHLVQRAIRDAMRGRTTIAIAHRLKTIVDADEILVFDYGRIIERGTHDELIK IKGKYWQMATLQELSGKTKYVMNP PEX2_063870 MMNMNLTNAVPEDKIHSGHLASQVEHALKLSRDYANGSVHSDGH WCGELRSNVTITAEYIFLRQALGLDMKADSAAYCRHILSEQNEDGSWGLAPEHPGDVS TTTEAYMALKILGLDSSHVVMRRARQFVLKSGGIEKVRVFTRIFLATFGLFPWDGVPQ LPVELILLPSICLINIYTFASWARGTIAPLLLICHHQPIFPLPNGKFAKNDYLDELWL DATNKNVSYGLPLLSLLFEGDLPGVAFTCMDKLLYWLNGLRSVPVLRSYARRKCLKWI LDRQEVTGDWAGIFPPMHGSVFAFILEGYKINDDPVRLGIQAIENFAWEDERGKRIQA CISPVWDTALMSIALSDAMTPDRHVVEQAITWIRNRQLIVPYGDWCVYRPGLPPGGFS FEYKNTWYPDVDDTAAVILAQIKHDVESIGSDSVIAAAMWIVGMQNPDGGWAAFDVEN DKLFLNKIPFSDMDSLCDTSCADITGRILEAFGLMMKRAPEKSLASDCFPTLRAACTR GIRYLASTQEPSGAWFGRWGCNYVYGTSHALCGLAYFDDTRVSGLVLRGIQWLGSKQQ ADGGWGESLLSYRSPSQDWQGSTPSQTAWALMGLLAHLSPKDLAIQRGIQYLVATLQP EKGIGSSWPQSVFTGTGFPNHFYLGYDYYRHYFPMMALGRYLQESSSLTPDLHIVIDE GRFQICSRLIPDATVVNLLKFDFQDISQHATFALPGNPSVCLFQTSLEDSQRKCRQIG CICHDFTFRIDDVLDVQKLESALYRLMDIGNWGQLGARLRLRSDSRLEYHLPASYTKT RPPFIFTTVNYDMNIDEHPIGAKLPKQNYDQSFLSPPGRFFEPLVCHPESPKELADWI YSDRPQLHIHVVSFRDTTLITTSYVHTLFDAISRTSFWKAWGAVLRGHEEEIPPMVPF DQDPLHTLGQDYPKERYHNFGLLLTGFSLVMFGIRYMLELLFTQDVVDHTIRVPGTYV KKMREQARQELAEAAPKGAEIPFVSEGDIVISWWMRTMITALKPSPERPILLMNVFNV WRLFPEWFPPNGAGFIGNAFFYSYTLLSSNKVIHDGSLAYTASKNRQALMEHRTREQV QAMTAIQRKSYKRTAPVIGRTNNFFMACTNQQMSGNFNADFSGAVIKPGVPLAHRAHA LGQPSYINDIEHARGYPTRNVVRILGKDAAGDWWLLFKTRSGAWREIHRQLMSIYDGD EPRLEGEVTEVTKS PEX2_063880 MGHRLIFTCNPENTTHLLSTAFADFDSSPLRKPLFEPITPHGIF TLDGVGWKASRDQLRGRLADLRKIIDLDQCEKHFQAYVKHIPTNGTVFDVQACNFALS LDIQTLFSLGESVDALSFTQSQEKKQFFDDLLGQFLRACKRARNFVLTHATREVQKRR GVGEKIDSNMERQEISQFADQALSILLANDSMSTALSGMFYCLSKNEHVVRKLRGSIV DTIGFARPTLGQLNSLHYVRWVIFEALRLYPAVVLNARVANKHSTLPSGGGIDGASAI LLRKGEIVVFSTWAQHRLGEEFGVAPEEFHPERWEHLSGDMPGFIPFNKGPRICPGKY YAMTILTYIIARIFQTFSTVSDYNVKPWTEKIAMTFENENGVLIGLK PEX2_063890 MACHTPLVKDGELSPSHFALISIDIEGRLHLKCSQSIANTFQRC LSVPFADTFLKAVAMSGEVGWSTGQGGFHIDYI PEX2_063900 MGLDSKAHAQNFPPACQFPPALETEHKETLPLWNTNGRKTTKRN VWSVDNKMWRPEAMIPIIDPNLLRRYYDKAFRNLQQTNCRALAKAYVKLVEPRKQVYF PYNGRKIVAGVTQQFDPEATKPPWWPPGVSHREPDHLLKEERIRLLIHILCELRTSHC ITTEKLREIDQPIRRYIIPADRLCIIDEIYRVRQKEVDFLEGRSEPTFNYSEHNGESN PFDTASIKISNAPYECVESGPTNNTPPALLAPVADFPAHLPLEHSYNVQGHFAGKFFA GLGPKTIRGFDTILPASPPHRFKRKRESEEMAHVDTTRPAVFTHNFSPSVNIDLQTYP LQYPGSFCTFPQQSFVSPGPFTAEELAQPNESRDISHHFGC PEX2_063910 MSSYAITGASKGIGREFVRQLAADTTNTVLAIVRNPESPEISEL ASNHPNLHVIKGDVTDPKSILEAASAAAAITGGKLDVLIHNSNAVDMATMSFNPTQIP FDAQATRAMFDLPFSTGIYGGIWTTNAFLPLIEKGVQKKIVHISSAMADSGLINKAGV SYAVAYSVAKAGMNVQVAKYAAELAPRGIKVLALSPGWVDTWEGEKPSQVVQGIEIML KQFQAAEPELKGQIQPEESVRKGLQVIERLDSKTSGLLLSHNGDTARWF PEX2_063920 MVRVSRSNFPPAGSQPGAPFPFPVQLLMQHHERHNIVSQEKGMC GVHGCESEMYATGCQLMPATKRKHKAAPSRVELLEKKVDQLTSQLAALTRQNGRAPPD TSNLLTNNLGSSRDSELDSANIAALLDVAQDPSHGLDPPTSSILEGQPSIVDQGLLSE AEAERLVTTFQLELVPKFPFVLIAHGETAARLRDQEPFLFLCVVAATMGSAHPMRKTV AEEIMKHVTLRVVARSERNLELLRGLLVHVAKSSTNLDEQRALLGTYWLSAGLCGTLG RPTVMKHDDRTNECIDSISSTEHLSDRWVAPFIHLQSFLATVDDVYASIQASGGRALV QVTRGSLQRQFDSVRACVEKDISSCPSSTENAMRIEIKYVEIRLEELSLRQEMWITEP ASAVRTTMLMGIIQRSKELIHMITNLPVSEIAQITITTCARICAAVGYMPAAVLTLLN LIATPTDSAMEAQVQAVVDAAEYPNLVIELANALETKCEGMSAADKEADIVGSLCSKM RLLARCYPYQIKAIVGSAPSQDARQDTSMMTVHANDVAMTPQVWPDGSAYGDLGDMFP IEDIQWDSLLSDFIGFS PEX2_063930 MTLPTAIHEPATADGWHGVIPSEEFPAEKGRYHLYIGLFCPFAH RVNFVRHLKGLTEFIDISIVKPYPKGDAKGWPGWRFPASNDEYPGATVDHLFGEDYLH KVYFRDDPDYKGRYSVPLLWDKKAGRAESAELLRWLPTAFNELLPPSLATIDLYPIHL RDKIDAVTLWMQSDVNAGVYKAGFASSQEDYDKNVIPVFGALNKLEKIIANNGGPFVL GRDLTELDIRLYATLIRFDTVYVQHFKCNLGTIRHDYPVLNNWLKGMYWNVKAARDST NFLHIKENYTKSHADINPKAITPLGPFPDVEEGFEDDWSKLAVGEVKQPDVLDYETTI PDL PEX2_063940 MAATEHSGNSFMSQYSIVLPKRQATDSSGKPIPACDPDQCAAAI KGEGVPVDLSSFWYRRAVIRGIRDSLQFATSPAIIELCSGDDGQAYQFSRARNARLIM SDIIPDMQNPATQPYCIWYPELASEETYREVARQYPSMRYQVGRACAAACYTDLYKEL DLLPDVSIAEESREVDKDAEIYKIIMSAPQRYVVMEDFSRSVHLKTLRTPAFLNGDMK PRWALGQRVYPGKNLPETTADDIDIEEDGFIGLQDISLKDISGVEDYAKLGPGQSNQL WMPLPPDLPVLEKRLQTQMAAWEGNVDRYSRLMHPRRLRTKIEYNCVLRGIYHSTTFA RWWAYQLETNPGRAILPGKLLGQGNERECREIRTAINARMIMNNDISGIDDDSDCLPW VIWGPVKPSENTLTDLVRKCPSMGHQIAVTCITCDYESIFRSLKPPYTKSLYVAARRS ENPFYLQYLEEIMKEHDINPPDFHDFKDFDYTAPGLQTDLEPRDALTDHTVSYHDMEI GYGQYPCGNEHEETPGTYGGGLAKAGRVERYMWLSTETAQKLKDELEVLYEGADTGLL YGDDELHSKLSRRIQPMDW PEX2_063950 MLSRVPGFSILGLVIVWLVHFTSLSYTAHSGSHHHLHTHHRRAD IQGIIDNGLNDRAHTYERLFLWEAYKIIGQTEGYKTQKLILPFLLKKDGTELLTPGLD NRHLITVGGSEKPTNYPLDYSEFMLRLGGKNTVTVAHPDITAPDSEDDVERAEQELTD KRLNGQIKMDKVSPYLKTGGSNQIHTRFIEKVIEKVAAIRNDAKKSSIGDVSARLDRA DTISRRIILLRKASTNNWLIKYLSRDDGWNLQKTEDGSTRTTVTTVDDAETGVPGEDK ISKYQTINFPATFKNPLNTAKIQGKNLQSGVDFHTWANELGDVLPSTNGPSASDSSVS HWQEITAWEDKSWIDEC PEX2_063960 MKWSNVNSNDSEVVKQSLVVSKSCGASQQTSDITVQVGPAGTIK ATKDSSTAIQNLASYMTNAASCGTTILLSKAGDSVAALYAGADVYQSDVGPYLLNFKK QFKAGSQIIQSCDSSSKRDNTIGVYIVNSLDDLEGIDRALQTWSKGSCLDSDGHDLIQ SKTTMLGVSEVSKRSDISSSLEGFLAPRAEDNFDKYNTGTDLCKNLKVKQRVCCSAGS LPDIIPKKQSDGTCGTYTIQTNDNCAEIAAHFGVTQDDIYDLNEDTWGWAGCGTNDLK ADQVICLSEGNTPMPSPLSNAVCGPQMPDEFCTESPARTKAPGAFQSGKNGCISNCGT YIVNNDDAPENFYHVAYFEVFNLKRECLNMDVNEISDTSLTHVHFAFAGLTADFDVSF DNDEYKAQFEKFVKNDASYKRILSFGGWAESTSASTFQRYRDAVKSGNREKFAKNIKA FFEKYDGLDGIDFDWEYPGATDIPGVPAGSDSDDDNYLGFLKLMKSTIGDKSLSIALP ASYWYLKTFPIAKMSDYVSYFIYMTYDLHGQWDYNNAYADVGCPSGDCLRSHVNKTET INSLAMITKAGVPASKIFVGVSSYGRSFGMVDPTCTGPMCKYGGAFDVSTAEAGSCTN TSGYISNAELNLIMQGVDSGASNYKGRTWYDEDSASDVMIYGTKGEITTWVAYMSDDT KEARIDWIKGLNFGGVTDWAIDLQELNLGVDPDSDEAQDLDLPALPTGCSSANWPDNL EDLRNKIDKIDLGCRAQAVVWVLIKILPDILDNYQSAADNYDEYFKYYAEWVRNGIDD SLPLFMWSDGQNYMDCKWSSTSDGSGDAACTEMHVPEGQPGQGEVSITYTVRDEDGFY KALQADYGIEKDWIVWKDIYADPENSLTCPPCPNLTKDCKPCTGHGVTYHNWPVKAAD DDIDVPNLKDIIDTAVPNITTLQDVILGSFIEMRIGAMDASDEDVATALSMPVFMIAD TTEQMKNITKIGKEQEKADDEAKVSFILDIVSIVLMIIPFAGEAVDAIGGVANVARAA YVVGEAGNAALSVYDIVKNPSSAPFAILGLVMGADASVVGKASKTTFTKAAAFRNALT EDTLSSFSKEFRANDAIVQDIVKACKRA PEX2_063970 MASVTGGVFAITGGASGIGAAVCRLLAQRGAATIWVGDISNNRF EELKQCIHQENTATQLHCQTLNITSSAEVENWMREIIATCGDLHGAANVAGIAQGAGL RQVPTILEETDAEWCNIMSVNLDGIFYCTRAEVRAMKGLPRRDRSIVNVASIAAFAHT PDVYAYGTSKGAAAYFTACVAPDAISIGIRVNTVSPGITNTALLPQFEPSAKGLDEIR QLYDKHGLPLIEPEDVARTIVWLLTEDSRPVYGANINVGAPI PEX2_063980 MTKSNNMFTPLRVGKCDLQHKLVLSPMTRFRADDGGIPLEFVKE YYAQRASVPGTLLITEATAISSQAKGFSNVPGIWSQEQGQAWQEIVHAVHAKGSYIWL QLWATGRSAEKEVLAAAGHELTSSSAVPVEPDQPTPRALTEAEIQAYIAEYVHAARCG MAAGFDGASCNRRTDQWGASIENRARFGLDVTRAVVAAIGADRVGMKLSQWSTSQGMG TMPELVAQFEHIITGLRNLDIAYLHLANSRWVEDKTHPDIDNLTFVRMWGNRRPVLLA GGYDADSASRVVDETYRGYTNVAVVFGRLYISNPDLPYRLKQGIPLQPYDRDTFYIPF SDKGYLDYPFSKEFLAATEIGLAV PEX2_063990 MTTLLLGGRGKTSRRIASLLHAANAPFLVASRSVDLNYPHKQVH FDWLDEKSYETPFSHVAADGMQPISTVYLVPPPVFDLAPPMLRFIDFARPRGVRRFVL LSASTIDLGGPAMGQMHEYLAKLLDIEYAVLRPTWFMENFSAPQEYQYLAIKNDNKLY SATGNGKIPFVSANDIARVAFKALTDRIPHNTDHIILGSKVLTYDQVAMILSSHLGRE ISHTDLSEAELAKRLESTGMPPEDAMMLAGMDTMIKGGAEDRLNDVVREVTGVGPRTF ENFVAKNKECWL PEX2_064000 MHPAWRSAAHLITFIRTVEPSIAGKAAAIEELTRVQMPVLYSLE PISRVSYLNLATPSEKHFQKVYWGDHYARLAGIKRKVDKDGLFIAKYGVGSEDWDEEG TYKVLLLLEALEAQQKPVRYYALDLSATELATALASLPTARFRYVHCAALHGTFDDGL RWLCETPNIRDQVHHLLLLGLTLGNYSRANAAAFLRQLTNQALSTRQAESSILVTLDD CKVPTRVLRAYTSEGVVPFALASLDYANRLLVGQGEGEEKGATQWNYVLGRHEASLIP QAGDVSLGPPLGGITVRREEKVRFGCSYRYDREELETLWEGAGVDTVNAWSSPGCEVH FYQLKMRNPGGL PEX2_064010 MAAISSFRYSTGKIATAEAAKSFSWEAPVPVNRFWDSFSYSTAR NFLGNFSDNELEQLAADPAGMNPDDTADQQKKLQLLLQLLQDKLAKEEAATSPPQSLY KVDYEQWNKLWQGIYILEDELDLPQAEETVRMLVEKRPDTSNVVPPHMLADHLVKVGK YKEAEEVVLPVCEWMDAQAKLGKGSPQALSARRTITRALWGQGPSRRSEAEALVVEIR ELVDGMGGSKFEIYQEEEMGLYKEMVAGLKHEI PEX2_064020 MDTQQVAQAAIETLQRRRLQNRNAQRNRRKRQAELQQQRPKEQE KQTKGSPQSKNGNTCAPSDSVCHDYQTRNLDNTQNKAGNSHFEEGIPLPAYFASEISQ CEAVDYRRYSASEPENLTKQNNSPSIASSISSLNNVNIFDAMMEEMPNFAQDLEPGIC SLSAYPRASNNLEEHQEETPENVLQCPRKFQQQISTVARRALGAMALSTERIAGTNAS TIRSLTPLSGTNQSSQSAYITENKGKTALHISAERGNVGIVRFLLLHGIDINTRDDSG RTALHYAAHGAYIDIVSELLVAGADIEARDNEGRSPLHAAADAECEPVIRLLVREGAD LNAAIGISGHSRGEKGHYMDDYQQTITSE PEX2_064030 MSSSEPEQVGPPKFKLAQERELYKYLKFNEVLQPGPSVHTTPEN VRNQHTAYIPRSSPDTALTAFAQLGVLRLKARRALISLFGRDQQYVLAEATQTLSLQD HSVENDRDALWLGCCVVPKEHGICQQVASLPQMKPKEDKHVVEGGIYMVPDLREDDRF NQLNMVTGTPKARFYVGAPIISSKGITIGSYCVIDDEPRSGLDAPLIKFLQNMASTVM THLDMARCKAEHHRAERMIVGFGSFVEGKATLRKEWVQDTGLRLNEQQHDEGHLNVMQ QNAQIKSGLLTTVHTHPSSPPQQSQASRNHSEKFVSSELSSIPSSSPSPGPSSSSSAT QSDKSSDASIDQISNLNKAETQTSFSVRSTASTENLQEDMLSIGVRQVFSRAANIIRE SIEVEGVAFFDASIGSYGGLVNDTKRKGPGSDSGTLESSMGSSDDSTGSDSQKSSTAS AGNDTIPENTTLCEILGFSTSTTSSINEESKGRLALREALLKTLLRRYPQGRIFNFSE EGSMSSDESSDGPWNSSLDREGSSSVNLDLIGKRARGSRKKTRGAMLKEDGNVLIRLF PGARSIALLPMWDSHRARWFSGALVWTNTPRRVFTSETELTYLSAFGNSIMAEVHRLD VEMSEKAKTNLVSSISHELRSPLHGILGTSEILRDTAMNALQHGLVHTIESCGRTLLD TINHLLDFSKINNFRKEGGKKAIHKRKSPSRRHKNTDPSPHSIKPSRRTRHSGMISLK SDIQLDAVLEEVMESVFAGYSFYHSPQRSMAIDRPNHMALDVLGPDQQSSQVSIILDI DEAAEWRFSTQIGAWRRIMMNLFGNALKYTNTGFILVKLTASPVTPANDAVPGGPSDF KVTLTVKDTGIGMSMEYLQNGLFTPFTQEDSLAPGNGLGFSIVHHAVLSMGGHIEVSS LRGRGTEVSIEVTLTHMPTQMTRSSLSEPEPLLKVKHLTRGATLGLLELGSPDISDRD TILCTSLEKLCKNWFEMDVRVLTPTDTSSPEYDFYVVVQTDTDSVNTWESWLLKTQNS SHDNASNRRVPIVVICRSPEAAHLMSVAANQSNQQAVVEFISQPCGPRKLSKAFNICI KRQQGQEPLTGGNSDIDQPQRKKPFQLTKSSPASREEIEAQRADDELDFQKHKAGKKA IDDEYRKLDEKEDPQQPDGTHLSLSRAESDAITLPIREGLPEKEEPTLTVLLVEDNDI NLQILVAHMKKEGYRYTIARNGLEALNTFKAHPRKFGVVLMDISMPIMDGFESTRLIR KAEREYQKTLHISAQESFTPATIVAMTGLASASAQHEAYGSGMDLFLTKPIRRKELFT VLNERSPGEVEKPSQ PEX2_064040 MSHHSSSTDRYGIRLASRFQDIFPFNFQGIANAAQALNPDHSPD LKTFARIALFRPIQLFFTELIVFVVATMSAVAFALIYMFTEALPPIYESMGFSSTSSC LPFLAICVGLISRLLTRIQDHRTIVKYEEEIITLEPEHKLLGFMIGAPMLAGGLWWFS WTIPPVADVHWIVSKIALVLIGYALNEFDSVLAGYLADSYPSYAASGFAALSLIRSLM SAAFPLFANQMFEGLGANVASSILAALATLFCIVPPLFIKYGKRIRARSKSARYSLRV YQENGVDKNGY PEX2_064050 MGREDGIMLNVQHSMPETSSLDAAPQKSGTVQDKQDMWRVGRDQ ELNGGMIYTYLGGLVGFSFVILSMAEMASMAPLSGGQYHWVSEFAPPSAQALLSYITG WICVLGWHTGIAGCCYTVANMMVGVVAINYPDTYTYKPWHVTLLVIVVALVAFMFNTF LAQKLPLIEGIILFVHCFGFFGILIPLWVLSSRVPASKVFGSIEDRGGWGNNGLSCMA GLVGPIYALIGPDSAVHMAEEIRDASRVLPMSMVWTLILNGSTGLVMIITFAFCVGDI DEVLKSETGFPFIQVFLNSTGSVRATTGMTVVIMIMQFCAAISNVATTSRQIYSFARD KGLPFSDFLAHVNPTFTVPLNALCISLVIVCLLSLINIGSSVAFNAIMSLGTAALLSS YIISITCVRLRRWRNQPLPPARWTMGKFTPICDTISIMVLLVIWIFSFFPLNKDVDPT TMNWSVVIFGGVVAVSLGYYVIYARRVYKGPVMRVRMMQE PEX2_064060 MAPSQSHLAFLDPLMESISFYAVSTTNRSGLIIIAETLFMTWMI IVNLIRLYMRLAINGPVKIDDAVVFTGSVIAIAHVGTIIDATSHGLGRSEDESSASDL IRAGKVALPILEPPNLAFSAARKKNRRIKEKETDDNAWKIITAFDVITDILTFGLCIL LVWGVQMRRKQKWAVIFAFGTRAPVVILIILRQTYLERSLFHSNAPLHLSSAMILTAI LLHCSIMVSTVPCLKPFVMSFNTGWGQGVTNGNGQNSYLTPTGKSASANQSHFYSMNR GEEVEAYWNNSRLSQDSQHSQRLIIHQVREWKVEEQYEMHSVQNKI PEX2_064070 MADLKQCLLAVLFVLQVLFMAFQVRESVLHTRASLPAIVLNIIA TFAASYASFIEDQRSVKPSDLLILYFSASSIFGVCRYLSLASFLFTVAILALESLPKT KILHSHYYGTTDEEKIGFWSRSFFIWVLPFLQTGYREALEVEDVPEVDTNLQGQCSGD KLRKSWDSASVKSHHRLIKVVFRAYGWACVSAIPPRLAYSCFNFAQPFLITATVNYIG GSSSSQPKVYGTGLIGAYILVYLGLAVSQAVYWRQTYRLLTMMRSGLISMIYDQTIDM AAADLTDSAAITLMGTDVERIVANLKNLHEAWASVIELGIAIWLLEREIGVACFIPLV ISLGSVLAMVPVSSRSGKAQKQWIERVQKRLAVTANTLGNMKAVQMLGLSDVIFPLVS YLREIEVKTSLISRKLLIWQVALSNLPVVLAPFATFTTYAIISVVRHDGSILSAQAFA SLALISLLTQPLLTFCQAMPALWQAVACFDRIEAYFAKGTPSSAKHEEEIQPLSTSEK FYPFLISFQNANIAWAPEKEPVLRDLSVHIHQGITMIVGPVGCGKSTLIESILHQHMV TNGSRTASFSRAAYCPQTPWITNDTIRNNIIGFLEFDQTWYDFTCAACGLQGDLDALS EGDMHMAGSGGISLSGGQKQRVALARAVYSRLEIVILDNVFSGLDSTSIALITDRLFG KDGHFKKRGISVILAMSTYQLLPHADQILMMNEGKITASGSYNEMLSNEPKIVLEVHK PTSGLPLATVEISNSGLTVVEKKDQTSTDVQSADDKETVNVKHQGNWPVYKYYSRSAG YGLLVSFLACTILEAFCTSFQTLWIQWWVEANEVKPNKQLGMYLGIYGLIFGLSCLSV FAGCFSQDLELIDMMLPIYAVNCVTSFVNVFINIIIICAMGRYLAVSIPFLGVVLFFI QAYYLRTSRQVRLLDIEAKAPLYTHFLETIHGISIIKAFDWGPQLREKSHSLLNRSQR PVYMLYSIQQWLILVLDLFVGAIAVILIAMITSLRDQFSGASIGVALNILLTLNQTLA NALKMWTMTEISVGAVSRVQRFIEDTPSEERRGVSPIASQLPDDWPCSGAVEFTDVTA GYEHSTAPILKSLTMSIKPGEKIAVCGPSGSGKTSLIMALLQMLDLQSGRISVDGEDL AEIPRSTIRSRINVVPQDPFFMPGTLRFNLDPRQSVIDAELIHAVEKVGLWDQVCAKG GLDMEFSAADWSVGQRQLLALSRAIVRKSAVVILDEATSSVDSETEAIMQDVIAKDFS QQTIIAVVHRLRYIHWFDRVMLLKHGELVECDRAEALLQRDSDLRKLYLALQEPSISG PEX2_064080 MATPRRNGQLSSCEPCRKSKLRCDHQSPICGRCLHGEAERCFYH PAPLTQPRERASRVISRKPTRRQCPENQSVFRLDQRISSTATQGPPSVSPPVTDEGNT LCDQHIARWAAKEKRNLAPGLMGLVSPKDIFSEYEDTLRVEEAASLPAATVPATTYPL SDSSQVLLGAQILSHLQKISWFHEIIELKNKLSPGWFLGPPLTRALFNSMEQMYDCAV RNSQDTHASLATLSRQICVNTSKDIRTHPKMNVSEYFNSIAPRWETIGLVFALLGRAL FRTPDDDPIFTHRNPWKLEKGQLRNIATAIGDICCQFCNSAGTTSDPFCWLIIQQLVL LNSTFGDSDYRVWQKLGDLSTIIYEFGLHQSGEDIEENFPFFLVEIRKRTMVCAYAID KQLATSLGRPPRICSRYCTIPLPLDISCEKLVLTRSEREKAMQNLDENGWNTEGYLTI GVKLRVVLLVSLMRESILELSLSPTTEHIPAKVEFVSHYRIHVMLITWTDHKEPYRKL IQESRQMQQDLPSFLQWSPEEAAAGAYNSTRDEGRAFVHIEFTYQEFLLHRILQKRLG RNSQGLIESSLEIVTTLSDIIGMRTRYDHLVDDMSWDVRLFWPQYYNGTFLTSSPSSS YATSVSLLQAS PEX2_064090 MAAEPDIVLYDLACTKNVCFSPVVWRIRLMLNYKSIPYKTIFLE FPDIEPTLKGLGLVLGESASKYTVPAIHHVPTNTYMMDSLPIAEFLESTYPNPPVPLT SELGLEIETKARSVLGKAIYSSVMPREIRILSPRSQEFFRRTREASLGHRLEDLLDGD REERVWDGVSDAMGAVGQLLRTHKADGPFVLGALPSYTDFFVAGSLQCIRVIDEGVFQ RMIKYPGYGGVYEACLPYMEKRD PEX2_064100 MFFSQPPHLAKAEELKQAPPKGVAYSVAIPGTEQPGRSRVYRAW NAQKELLKTLDPQVTTAHDMFESTANRQPNNHCLGWRPYNPATKSFDQYQWLTYETVQ KRRAAFGAGLVELHHKHDCHRAGQYGVGLWSQNRPEWQITDLACVSQSLYSVSIYDVL SPDATEYIINHAELSCVVTSLPHIPTLLKLKPSLPNLKIIISLDPLDGGEQDGHSKRA ILEAMAAGQGLAIYTIDQVEELGLASGRGYNAPSASDIVTINYTSGTTGPPKGVVLTH GNAVAATSCGLITIGQARGDTSASYLPLAHIYARLAEHTAFWGGARIGYFHGNIVELV DDLKLLKPTGFMSVPRLYSRFGSAIRSATVEQPGFKGALSRHIVAAKTANMKNPDPSK ATVRHALYDRIWAKKVTAALGLERTRYMVSGSAPLDPTLHDFLRVATGTDVLQGYGLT ESYASATAQPTYDLTSGNCGSLAPCIEACLVSLPDMEYSVDDKPFPRGELLLRGNNMF REYYKNEEETRNAITEDGWFRTGDVCTIDAKGRFIIIDRRKNVLKLAQGEYISPERLE GVVLAELGYIAQAYIHGDSMQTFLVGIFGVAPDLFAPFASKVLGKTIAPTDLEGVKES LKDDKVRRAVLRDLERVAKKHKFAGYERVRNVSLKVDPFTVENNLLTPTLKLKRPPAV KLYRSLLDQLYEQAVEEQSAPKAKL PEX2_064110 MAPHKSEGKLSAEMPVQVQAQSTDFDIDYEKHGAQREANPLPDL KRKLKSRHLQMIAIGGTIGTGLFIGSGTAIAHGGPVGALIAYIFVGTIVYSVMTALGE IATYIPIPGAFTSYAARLIDPSLGFAMGWIYWFSWASTFALELTATGLIIQFWDSSIP ISIFIAVFWVVIIIFNMLPVAFYGELEFWFASIKVITVVGFMIFAICMNAGVGKEGYI GFRYWVHPGPFFPYLIEGNDSLAKFVGFWSTLIQAGFSYQGTELVGIAAGETENPRKT VPSAIRKTFFRIVFFFILTIFFLGIVVPSDDPGLLASQGSGASAQNANASPFVIAANR AGVTALPGIINAVLLTVVLSAANSNVYSGSRILVGLAQEGFAPHWFKKTSKRGVPYYS VLFTAAFGLLGFLNVSDAGSTVFTWLLQISGVAGFITWCSLNACHLAFQRALKARNIS RDILPYKALWQPWLSWYGLFFNCLIILTQGFTAFIPNFQVKDFFINYLSLILFVVLYA GHKIIYRPAFVKPIEADLDTGRTTAENETWETIEPTTWYGKAWKWISG PEX2_064120 MATWKELELDPMSKVHRETLSSASHDCGCGSLCLTGKHETAIHH INPEVESPDTRPLLWFKVREYCRDGFSEFFGTMILILFGDGVVAQVLLSHGQKGDYQS ISWGWGLGVMLGVYASGASGGHINPAVTFANCVLRGFPWRKFPVYALAQILGAMCGSA IVYGNYKSAINVYEGGPDIRTVPGYSTTATGGIFCTYPAEFMTKEGQFFSEFLASAIL MFMIFALKDDGNLGAGPLMPLALFFVIFGIGACFGWETGYAINLARDFGPRLTSYIIG YGHEVWAAGDYYFWVRFI PEX2_064130 MQASDKFVGSIDQGTTSTRFLIFNREGEPVASHQVEFTQIYPNP GWHEHDALEIITSVETCIEEAVLKFEAHGYTRSSIQSIGITNQRETTIVWDHETGEPL YNAIVWTDTRSQAIVAELKQKPGAAQLQTLCGLPLSTYSSATKLLWMLVHVPRVKDAF DRGTLAFGTVDAWLVYRLNGGVAANVFVSDSTNASRTMFVNLETLQYDETLLDFFGIK GKVHLPRIVPSSDVTAYGVISSGALAQVPIMGCLGDQSAALVGQKGFSPGMAKNTYGT GCFLLYNVGDKPVFSTHGLLATVAYHFGGKPVYALEGSIAVAGSGIKFLQNNLNFFQE SKEVNDLAYSVEDNGGCVFVTAFSGLFAPYWIDDAKGTIFGITQYTQKGHIARATIEA TCFQTKAILDAMEKDSGHTLSELAVDGGMSNSDLAMQTQADLISIPVYRPKMRETTAL GAAIAAGLAVGLWHNFAELRDINRSGGVVFEPQVPLQESAAKFGQWEKAVKMSRGWVE SRSAEHKEVGPKETCAPRKNHGLPPPKATQILDNCLISDVVKSSTYTTTVKGRTHISV KEIEVPFPPAHIASALADLDGADEEDLFLELRKVEILQRLQKLRKRQSNCY PEX2_064140 MPIKTKITTDLGEVEKAPVCEGQGTEEDPFIVEFQKDDPGNPMN WGSFRKWSITFIATMSVFAITLTSSAYSASSSEIFEDFDISTEVFTLGLSLFILGFAI GPALWGPLSELYGRQKLWVITHVGMVTFLGGSAGSTNVATLLILRFFAGTFGASPLVN SGGTIADLFMPAQRGLALTVYCVAPFLGPILGPVMGGFISENIGWRWVQGVCTIFIGI FGILGAIFLPETYGPVLLQKRARFLSQESAKVYISVLEKSQGKKRPSEVFKIALFRPW VFLFLEPIVLISSVYMAIIYGTVYMFMGAMPIVYNENRGWSEGIGGLSFLGLAMGVIF GLIYAIWDNNTRYMRLAQSKSTTPESRLPPAIVGAVILPVGMFAFAWTNYTSIHWSVS IILSAPFGFGCVLVLLPIINYLIDSYTIYAASVLAAAAIFRSLIGAAFPLFTTQMYDN LGTHWASSVPAFMTLMCMPFPLIMYRYGMKVRMKCKYSFEAAETMKKMQMQQAQANQA KVDGTVSEQVQ PEX2_064150 MKNDRQLKSINSSSLSERLLREAARMCVDAAQAVTSLVVETLDP SQDIGILPWWTRIYYLHIAGVIFLAAMVRPDLFTESVSQSWQLVLTTLRAHVHLSTYV QQCIWTFEALSARISQTGCVELQSEGEHLVDGTGCRFDNIFEDIQFDFDEFLFGTGEV INLDGLG PEX2_064160 MIEDLLAWMTLSRTSLWLLGLFVAFCVFRKFQASAQIALLGVRA PKIRFHLPYALDFIFQGYQANQVNRDIEFWDSKLGQAGGLTNVKTAELDAGLSTRMVI TKDPENIKALLTSQFADYGKGDSFHQEWKEFLGDSIFTTDGELWSRSRQLIRPMFFRD RIVDTEIFEKHIQKLIPLLGGSSSHSSSSSRIVDVGSLFFRYTLDAATDYLLGKGTDS LDNPATRFAEAFRYVQQRQAEYFRFSVFSNVMSRTEFRKNLKIMDEFIQPYIETVLDR SAGELNEKLSKSQTFLDALASFTRDPRILRDQLVAVLLAGRDTTAATLSFCLFELSRN PKVVAKLRDEIRNRLGVGAEAQKPSYADLKEMKYLNAVLHETMRLYPVVPFNVRYSLR DTTLPRGGGPDGLAPVGVRANSRIFYSTMLMQRDPDLYDGPGSENYFDPGEWIPERWV SGWQPKPWHFIPFNGGPRICIGQQFAIIEMGYTVIRILQAYERIIALPVGGKDKVEDP VLRFEVTLSPGSELNCIFLKEGEEAAHSAATTSVA PEX2_064170 MLPQITLGTPLAQAVANVIQPKLVEMGWSDGQESPLIEYIVLML VNGKTEEQIATELSNDFLGLQEGDTAALEFSQWLFTQVEMLNQQINGVAPASTNATML NPSAMEFDNSAQAMPNPFGEANGQDAEMGDAGNESIPTGPKAMRNGRGGKGRMLNQIN RNLDRGSDAALHRVRGQGNGRIGHNGRGQMRGAGQQSMRGGRAMGMPGNPMMQMTPEN QMQLMSMLEEQARMMSQFMPGFMPPAVNPAFQTGAQNGSHGRSLFNRVERGGQRGGKR GYNKPRGAEGADVDMDSTAGGEQDESNPDSVCRFNQRCARQDCPFAHQSPAAPEGTSI DPSDTCSFGAACKNKKCTGRHPSPAVRSAHQAEAMCRFFPHCTNPTCHFKHPSMPVCR NGADCKTEGCKFTHVETACKFNPCLNPKCTYKHAEGQRGAYTDKVWTPRSGEHVSERK FVTDVDGPQELIKPETEENTQSHELTA PEX2_064180 MRLPYVSRALRPRQRQLNLLLNGQKRTYNAAVLGGGITGLTAAW QLAQDPTCQSINLFEKTDRLGGWIDSETVPVNGGNVVFEYGPRTLRSSLPGSLPLLYL AVNLGLYKDLIVTPSTSPAAQNRYIYYPDRLVRMPAPKPELSFGENFDSFVNTMKEPV FNKFLSGIVKDIFTHPRHPTEWAEDESVADFIGRRFGPNVANNIVSAVFHGIYAGDID RLSAQTLLGSVRNLEGGIGGIGGLVSGGVTASLISRAISKTKTRNMDDYMAIDAMPAG PELVRRQHDLEVLAAGATTFTFKRGVAQLVEALIASLEASGKINFRMNTEIKSLSAWE NSRIIAMEHWSTRQSQIKGFDYEYVISTIPPVALANVMRKPEESRVMLKPVGLTPSLL RKQDYAVTAMVINLYYTNPNLLPVEDGFGYLIPRSIPYEQNPECGLGVIFASSSSVGN GTDPSSSELSQDSVPGTKLTVMLGGHYWDGFEEYPDHDTAVKMARDMLKRHMNITDTP NVARSRLQKDAIPQYTVGHLDRMYTLSNTVRKEYHNRLILAGNWYNGVSVGDCVKQGI LSATYGIGRNQLNDEPSPWRPWTSFDYKRWKLEGGIVTSPVRLVDSKI PEX2_064190 MASNVARSGITTDAQTGERYIPSSVRADGSKRKEIRVRPGYKPP EDVELYKHRAAAAWKTRAKGGVPGAEALSSEDDKTKTAAKPALTASTAASNKNAKRRE AKRNAKETDEAGPAVEGKGAESNNWRVPAPTPKKEEKPTEEPVDLEAEKEKKARSLKK KLRQARDLRDKKQQGEALLPEQLEKVIKIQELVRQLDVLGFDSNGDKKNGDSNENPF PEX2_064200 MAGALFAVFRTFIRKNTVLLTTAFAGAFAFELAFDITSNKVWDS WNQGRQWKDIKHRYMVKEEEDDE PEX2_064210 MLMLGECEKLLGDGLLRLPKKHNFRYGPAAEKDLLELLFRSLVG HNADLVQQLFPEGTPTGPWKLAEAQGAREGAEYSEAARGKRCGHIFRAGEATYRCVTC AADDTCVLCSRCFDSSDHTGHQYQISLSSGNCGCCDCGDDEAWRLPLFCAIHTDSGNT KSKERAQRVLPSELVASIRLTISRVLDYFCDVISCSPEQLRLPKTVESIKQDEEASRL RPDWYGAGDEEEEEPEFVIMLWNDEKHTIRDVSHQVTRACRERDSFGLARAQETNDMG RSAIKYSKDLNRLLGVSKIIEEIKVTVTVRSARDTFREQMCTTIVEWLADIAGCEILE DNEIFRQIICEEMLTPWKKGSGGYNEDIGMKGIDDHQRTENLPGRTLMINLPNHGQVL LTTDDDEDDMLEDDVIDEDEDEDYVEAHDADDEDDDEMDIELSRDQEEDEDMILAGAD EELALAERILAGLTGNQPPPPRPAPAQTADRSSAERGPSESQSPGPESGPAGYIPIPK TPGSYRQRPSNTESHWQVRPPIPAPGEKVPPYEDLWQRTRLDWLVLYDLRLWKKTRTD LRDLYISTVVNVPQFKRIMGLRLSALYTALAQLYLIADREPDHSIVNLSLQLLTTPSI TEEIVVRGNFLTKVMSILYTFLTTRQVGEPQSVDPNATLAFDAGSVTNRRLYHFFLDL RYLLQSEYVQHRVRTEEQYLPQFLDLVKLSQGICPNVRAVGEHVEYETDAWISASILM REINRLCRQFCESFRDPETDNGDNLLRAIETTTTTAILHSAGVERKRFDQAEIKDEVR FKLLPPFDFEVGASGQVPCHRVVEFVVERGSISFHHALHYTLSWLVECGRDISSETMR DVLFDAANAARSEIHAAKSANPSSVDDVLLALGPEDLLLAMFDYPLRVCAWLAQMKAG MWVRNGLSLRHQMSQYRGVSSRDFAYYRDIFLIQTALVTCDPSRVLASIAHRFGMVEW MARNYMPRPGYEDTQIVDVAEEFVHLLVILLTDRTSLTAIDDSTHLTHENIKRDIAHV LCFKPLSFSDLSTRLSDKLLDSDMFQDVLEEVANFRPPEGLSDSGTFELKPEYLDLID PYSAHYTKNQRDEAENIYKEWMAKKTGKNASDIVFEPKLRPISSGVFSDLPRFAGTML FAQIVHQCLEYVISSKECTPTIPPTRVETFLQVILHLILAAVLEDDSEETDMVEEHSN SFNWNALSKSREIKAGHLTIVGLLERISVTTEFTASGPKIRHILKRLWQKRPRTYSSA TASLKFPFDRIDTNSPAIDADNDKEVKKKQALERQAKVMAQFQQQQQQFLNTQGNIDW GEEDFSDLESDTDTTPEKKLWKYPSGTCILCQEETSDSRLYGTFALVQDSIIMRQTDI RDSDRIREVLRTPTSLDRCAEEVRPFGVSGDNHTTIKRLDSSGGEVISEKIGLSKGFN AKSTLRGPVTTGCGHIMHYSCFEQYFTATQRRHTQQIARHHPENTNLKEFVCPLCKAL GNAFLPITWKGKEESPLIPLSPSESFDQWIQVGLKHSLNQQQNFPILMEKEKAYPQPY TDIFVDYLSKSLVSPLSNNIDELRVSSFPATTPTHYITPARMPMPGVFPTTEDLPPSS PLHHVSSPSDSPMSELLQSYRRLKKTFKTNHVHSMFNNTSEANGNEDLVHTDSLIRSF GFSIAAVEIAQRGVESEPGSTLLDTIPSLTLTHLRVLSETALTYAAVGCLHSTGPSKG RPTNEFQEMHRQKVCQLLVGHPCLSGTALLNDVRNIEPLLAVDTFVFLAESSLSLLPV LDIDIRHLVRMCFVAEIVKVAVTFILWPLGLKEELATHPEDHIMAADVSEEQFGVTKQ FFDSIVAELKANSVGRAEGSSFPAESGYVKDGEETATPSIITAVRRLVTSYGLTFLRK AVILLHVQHGVEFPNTGFADVESSELERLTKALQLPSIDEILMSVKPARKTNSPFDAV ISGWIFHWNASRSGIRFEDHRLWPSLSHPAIFELVGLPKYFDSLIEEANRRRCPKSKK ELTDPIIIPSHFICKYSPANQSYHRCGKNIGLFINIRKCTVLYLHNTNGSWHYAPYLD RHGEVDPGLRRNRQLILNQKRYDRLLRDVWLSHSVPATISRKLEAEINSGGWETI PEX2_064220 MNNEQFRRLIADQSSSKPKDQSPAPGRDASRGGATPGGSLGSRM RSSIPMTPRSVTGVDFARQLFEQRREGDRPSKRFKSSAAPKGTSLPTGYQDRAQLRNA EGESENSTGLEARIKALEDMVKLGQIDQATFDKLRRDLGVGGDIASTHMVKGLDMELL KRIRAGEDVSQAAEKPAPPPEKEDVDEEFERLMEEKGLEELAAVPKQQKEKKKGTMAP PPAKPKTRDDILRELRASRAAAAAPAPPPESILSSKFKKLSDGKPEKKRFVERDETGR RREVLLITDAQGNTKRKTRWLDKPIEAPVPPAGDLMMPDKSVKPLGMEIPADIAARAA AQEAPEDDDDIFAGVGDDYNPLAGLEDDTSSDEDGEVADLATRKPEKALVDEEEKKPA ASETAPAKHKNYFATGTSTTTEEEPADRSNPLTKDPTILAALKRAAALRQTSPSAEGE AEPSSDTADLRHKRFIEEARRREAMDAADMDMGFGGSRNEDAEEEDDGPLLDFDDDER GGKKRKRGPKKRKGDKDSVTDIMRVVDGRKKETS PEX2_064230 MTGRNFEVREITTKEEFARLNDVLWTANFHPYEPAFIIFHAVNG HAPEDRAKDKATDTDLQWAKHEQTCGSHYIYTIERSTGRVVGGCQWIFYHENPFPNGP HQVPCTWYPAGSERAKYASHVATQFLYPRQCWFQRPHAGVLPFPEVNGGVNES PEX2_064240 MPYKNKKSEADNQSELEQGTNRVLGIDRPETQVEKRCWGTVILA VVLFISFNTQHRVKFPPE PEX2_064250 MTEAAGFARHQPRKPRPEKRQKRPQLTHFLCLPLVNTKSLPQLD SSLAAFKTAHLAEPGPASQSSNDQMDTSRLGLPSTAFRPLGTLHLTLGVMSLTNKERL DQALAFFQSLDLAELMNDAERVAAHSQPKSAPRQSSPLAVSLESLHALPQGRSATILH ASPVDPTDRLLPFCVKLRDKFIETGFIQSEPDRRQGGRQKSSGRFVQDFPQASESASS SVDVSLHPRGSQTPSEPSGASRNPDPSITIITREPKPQPRPLLLHATLVNTIYVRGRQ VQNKNAKVRNQPARLTFDARNLISQYRNYYSDDNRTIPHPAPTGSSEKTESHHRRAKS SPIPNKDIISSNHESQSATPLPPSRGYPFIWAKEIPLDTICICEMGARKLHPSVNDHG LNERLGEKYTVVAERSLNP PEX2_064260 MAQASNIDTTVALPRLEDLLRHPEDLDKISGLKAEYLRKKTAVD SRLREGLRDQLEAVQRSIGALTEGQRQVSKTKDELQGIDKLCAESQHSVEDFAQIDQL ARIQRHFDATLMMKRGLENFGADIQEVEDLLKEDDDDMENQPNILRAHMQISRLRDFR DEAMDQIRKAEDQSSEETLIEYFEGLDSVIEWFDDHLGTACMNLIPLVQADNKSMVVR LAVVVMNEEKNDDTVRALQEAQKDHKDLASRFKSMNIGPKTVRGYKDKFIQAIELYAQ GQFEETLETFLADPDNLEKKFRWYFNDLFTVKQGMQSLVPKKWKIFKTYTDIYHQMMH DFLLSMIDDAELPADNLLSIIHWSDKYYKKMKKLGWTSTDLQPNILDDREPELVRKWQ DVIISAVEEWMDRIFNADKKSLVERAADALDNNAEGHFRTKTLADMWRMLHEQVMASG ASDRADLVEGVIDAMFRALKTRQTSWQTLVDEECSKHQLEGADQEGVQLLQDWLIGIA NDQISCIDDNEEDNSFGYLTRFKSDFEPMVTPKYMGTTATIELEALRDGYVDLSTHCL AQFVSLVFWVDLDTVLPDFYTSRWYGEFAMKRITSTFEDYMADYASVLHPSLAEILVE ELSDELLVRYLSAVRNKGVKFRRHVDPFTDKFKDDVLTVFAFFEKYPDSFEGTIKQKW RLVDWLVRLLATEKGPALVEVYESFKLEYWDLQLSWVEAVLRTRDDFERSMLTAIKAK AAELSVERGQETIMSRLR PEX2_064270 MSYFRITLVRSAIGLPRRSTDVLKALGLKKRMATVFHSVSPSVA GQIMKVKELVQVEECQYRLTKQEVHLERKPDPGYYTEKSCSEQRGELGVLKTETIPES FEMPFTRTAD PEX2_064280 MLPVALQNKLIGYGRASSAHLSASNLDLIRNIVFILFILRYTRK TFYSIRGYGILGSIRNIYISLRLFCYSIFLRVPGVRGQVDKQVSTAITKLESKLVNSG PDVTRYLTLPKEGWSPEQVRAELDKLAGLEHTRWEDGRVSGAVYHGGADLLKLQAEAF GQFGVANPIHPDVFPGVRKMEAEVVAMVLALFNAPSDGAGVTTSGGTESILMACLAAR QKAFLERGVTEPEMIIPDTAHAAFIKACNYFKIKLHRVACPEPEFKVDLHAVRRLINP NTVLLVGSAPNFPHGIVDDIPALSQLATKYKIPLHVDCCLGSFVVAHLKKAGFPSPYE EEGGFDFRQRGVTSISVDTHKYGFAPKGNSVLIYRNKSYRNNQYFIYPDWSGGVYASP SVAGSRPGALIAGCWASLMSVGETGYINSCTDIINAARKFETAVRTDATISLHMEVIG NPIVSVVAFRSKNGAIDIYDIADDLSAKGWHLNALQSPPAIHCAFTIPTAKAVDQLIA DLTEVIGKELEKAEQRKREGKSYILNRGDTSALYGVAGSIPDKSIVSRLAEGFLDTLY KA PEX2_064290 MCTGADSEPNGQANGAIGTNGDHTGFVGIETRQNPHPSASRNPY GHDAGVTDFLSNVSRFKIIESTLREGEQFANAFFDTAKKIEIAKALDDFGVDYIELTS PCASEQSRADCEAICKLGLKAKILTHIRCHMDDARIAVETGVDGVDVVIGTSSYLREH SHGKDMTYIKNAAIEVIEFVKSKGIEIRFSSEDSFRSDLVDLLSIYSAVDKVGVNRVG IADTVGCASPRQVYELVRVLRGVVGCDIETHFHNDTGCAIANAFCALEAGATHIDTSV LGIGERNGITPLGGLMARMMVADRDYVKGKYKLEKLKEIEDLVAEAVEVNIPFNNYIT GFCAFTHKAGIHAKAILNNPSTYEIINPADFGMTRYVHFASRLTGWNAIKSRAQQLKL EMTDAQYKECTAKIKAMADIRPIAVDDADSIIRAYHRNLKSGENKPLLDLTAEEQAAF AAKEKELLQAQAAGLAV PEX2_064300 MRFTSLVTLLPALALAQEQVPLADRVQGWFNQVKSYVPTAAPVA APIEKLAEKVTEKSVTHVSLENWQSILAPGPQAEDWLIYTTGGNKTCFGRCGTADKAF KESVLLFSADSTSPQLGYLDCEANQVLCAAWSASAPSVQYYQIPKEPSVGEERAPTPL RNIYLNATTVTPETIYEIHSKKTYENVPVYEGALHPVDGWVAKYQLNIPMGYVIWALG AVPSWLFMIGISFFSRTMMSRRAGPTGNAPRAPAPAAGSAN PEX2_064310 MAAPVPLDRIPPTTAAYVIATAIIAGVTGYFIGQGSSISLFKEK EGWPNSYDVKVHSHSSDEEDESDSEEEETDEEDEGNGEELSSFKDNSEEVKLVLVVRM DLGMTKGMRSTRKASIVPRICVASAYNIFLLGKIAAQCGHATLACYKYFLEYAPNSPI LKKWERGGQAKIALQVKSEDELLVMQAQAISLGLCTRVIQDAGRTQIASGSKTVLGVL GPKSVVDGVTGHLKLL PEX2_064320 MADALSIEQNNKIRVALGLKPLPVPGAGPEFREDDDSESGEEED PASTLESRQAESYDNWKKIQDTAEAKRKRDEKNAAIKRARDIAQRNLQLQGSTLGEGN GDELDTKAWLSQSKKRQKKIEKERAQRLAEELAERERLAETEYTAADLAGIKVGHAAG AFDGGEDHILTLKDAAVDDEEEDDELQNLDLVEKERTEEKLELKKKKPVYDPTAENQG ILSQYDEEIEGKKRKRFTLDAQGSTEEREAKRQEVSNQLRKNIISLDFEPEVPSSDYM DISEVKIKKPKKKKAKATKKRTFMDDDEIAPAADSTDAQGSSAMDIDSNGGVPVAPRK PVNENVSFVDDDDLQASLALQRRAAFKKRKKISPEELAKQLREEETQTPMDIENSDNE GEEPGLVIDETSEFVSNLHKPTLLEQEEKHATAAAKEPESPSAEPEGEGVEIDMDRYG DIEDEEELAARIKRDEASAAQPQQLTGTGLEEESTLDQGLAATLSMLKSRGLVKDNDS TSQNTLMRDRQRFLADKANRESETERRARQQRERDRASGKLERMSAREREEHARWENK QRDQQEARHMADVFNREYKPDVQLRYVDEFGRNMNQKEAFKQLSHQFHGKGSGKMKTE KRLKKIDEEKKRESMSTLDSSQNTGMNAAVGTAARKNRQAGVRLG PEX2_064330 MLSTLRVASRSVASREVNMRTVVIGARHASAWANVPQGPPDAIL GITEAFKADSFKEKINLGVGAYRDDKGKPYVLPSVRTAEDKVVASRLDKEYAGITGIP AFTTAAAELAYGADSSAIKDNRLVITQTISGTGALRIGGAFLKQFYPGAKKIYLPNPS WANHKAVFSDSGLEVAQYSYYNKDTIGLDFEGLIADIKAAPEGSIILLHACAHNPTGV DPTQDQWRQISDVMKQKGHFAFFDMAYQGFASGNADQDAFAPRHFVKEGHNIALCQSF AKNMGLYGERVGAFSLVCENAEEKKRVDSQIKILIRPFYSNPPVHGARIASTIMNDPV LNKQWLGEVEGMASRIIEMRSLLRTNLEKLGSKHDWSHITSQIGMFAYTGLKPEQMDS LAKEHSVYATKDGRISVAGITSGNVERLAESIFKVTG PEX2_064340 MTPPARGRGTSQRSPTVLVTDSRDQQQPQATRRRRSPATRFITV DNVLQYASDVPSMQQRGPPPISRSRRLASAAGGLITSAGNSSSSAVAGSGGTMSRLAA QPRLPPRTTKVSEKLVLLPDTGELEEGETEEDDDDSDGADLVDEELVERLAKEKNVDP ERIRHQLLVSKRLGGDFGVDNDLAPLLAEEEVLKKRRVAPERAKSYAERLPKARRTEK LARVTAYCTAQAYKMGSLAAFVKEQHGGRTKLYDDCLYTAYHLPLLPGHEGYRLRSSP VLKYPGGKSLLDEEIERNELRDYHDEYMLETEEHSVGGHNRPEDEHHYEGSPRQPEGH DPRQENREEFLNRITEEARSHLPNEHEHAASSAESADGLQHIPPLPQPDSNEGARQSS PEPALRRRRHSTDDSHTLIRDRPSPPVATSSSAPPAPARTLYNVAEMFVFSYGVVVFW NFTERQEKDLLADLAFATSSATGIPTPLATMPLDEEDFETEEFHFEYSTEISRPRVYN DMITLRSGDHMIKLAISHGISQSTKLCFFEEVMARQMADAKDVPRRLAVSGELGMKRE EVFRILGRLFKSRVEVNLSSNMLDVPNFFWESEPTLYPLYIAVREYLEIKPRIQVLNE RCRVFLDLAEILSDSIADSRTSHQTWIIIVLIIISIIVTISEVFLRFGLLHSSQGPAG TPANILARVMGRSVTPSPSPEWYPYSAADAPPGCICPSLGPAGAGSNMGVSGMMGY PEX2_064350 MSTGLNIPQTGLSLHLGDQSGDLSVKPNQIMRLNLVQSTLDDLI QSLRKDQPARVRLGKHPSLHYGGKSQMFHAYPETHRSEIYHSSSDKETLYFTGLLSHS LEVEKAKNATAATDQALADLEEKLNAHERGKESKKTHIISHPDELKALRGSKSGYKGP TTKVELEKDRFLKTAANRSLTASPILGAPKSPSFAMTPTSAPMMENKDRARLEALKVP FIHLLAVRAVSAKFLARQTRTTIEDCTALGEKFGVVNRINPEKYNLKDKVYKDLDVFG FNYTEEDRKEAIENSISAFDRMRISRSDKLWQTLLPKAERGKGKCLSRLNLRTGPPQK PAAPLAKSNGEDSGKDNETDRAKGPAPATKTTSTTQKARDKDPIKRPAKPKNTNSTLT GRVTKKTGGKAPVKVDSKIKSAEFVHSSDEDDDTDMLDIIPAAPVPAPTPAPAPAPKQ QPKEHKRTPSNSKPPAPKIKAPAKPRTTETTSVPSAPVSKAKLEAPKPKLEPSKPATK VTAAKRPPSRPSTSPQKPSPLGSSPPANVSNATSSSSRSRADSQNQSSGSSSSSPLIS QLAKPSKVGRAAPAAVKATKTTPQTNGITKTTATTNPLKRKAESDQLSVAQAGRPAGN LEAKRRRAVSSSSGGSTGSASPPMSYDILRQQLREKSQKFKNFYAKYRNLHDSLAALP NPPQVDLDKLQKQHVHLQRMKKEIWEEDRQLRDGLHS PEX2_064360 MPTQNDIAKHFRSLHQPGNPLILTNVYDAATASIIASLPTAPAI ATASYAIAATIGVDDNALTKSQNLTAVAAIAASVRAINPTKPLTVDVQDGHGDASELA DTIKQVIELGAVGCNLEDMDATGVLRSVEEATARVGVAVQAAREAGVPDFVVNARTDV LLTENGTIEEAIERGRAFLEAGATTVFVWGGPSGRGVSSVEVTKLVDALGGMVNVKMN LREGFLGVREIRALGVARISVGPELWRTAMKAFTERAEQVLAM PEX2_064370 MEEKGRLLGPIGESEDAWEAKTKLLDEAKKQAMKALVHQKMQET EQRVLELDPIEAAPPNPLRIGGVKASWALRPKLNSDAIHHITPGVIKLRSKFAFIRYS WLSQRQNEYKENPKLTNPTSFPAVRACIFDMDGLLINSEDIVAQSTNHLLKKYDQPPL TPSIRAQLMGVADSTNGEIFHNWAKLPISREQFALESSQEMQLRFPDCMPLPGAENIL SDLSRARSAASGDKIQLALASSTKTHSYELKTSGPESKRLLSFFRSDRKVLLDDPRVR LGRGKPAPDIYLVALQVLNSGVEPNENPILPSECLVFEDSIAGVEAGRRAGMRVVWVP HSDVAVEYQPRHKDILAGRSGRFQVGDDWQLGEVDDGWAESIPSLEDFDYEKYGLDVL S PEX2_064380 MDFTTILNRKNSAAAAAAAEAQLQQQYFQQSAQLHTGASPTMKS ESGGSDNPVNAYPPHGPPPMQMDTGLADSFYYAQPTGSTPRNMAYAPAGYAGDPQMQQ EPVQQGRAGIEPPPKTFHCSTCNKGFARRSDLARHERIHTGVRPHACEWPGCGKQFIQ RSALTVHSRVHTGEKPHMCERCGKPFSDSSSLARHRRIHSGKRPYKCPYANCQKTFTR RTTLTRHQNHHTGTIEEAAAETEAQLRQNKDRGRPGEGMFSEHASIHSTPSPAQHPSM SPGGELPPLNMHRSAGDYYMGTGPIPPHVRGDFPQGSPRASPTATSPSLSSYGSAPHA RPSMTSHPYAPPQPLEPPANSDHRPNSVNGSPHMTSLGWASPSHGSMPSPGSANDFTY PEPTGPAYPTSMPPHMYFPNSTIRRPTSTEPENYEMKPRGDHTWSTAV PEX2_064390 MILGARDSSVPGASWAWKKVLRFGVVFLFVVGFAAVLWPSVDPV KLPLQTAANITLAPAVSCDLDYDKLASLNVLKVAQYTRREIILDMTDEPVPYTQWLEQ PFLEEPRGTGGAADGCSIPIPVSLRVPQPPKLADAAHIDFGVATSLERLNDSLDAFAH WAGYTRTRIFALIETDHSENGIRDVNAKADALGINLHITESDDDYLNRYFALIPLLKE NARETTQWGCIIDDDTFFLSMSRLVDALAKYDHTTSMYIGGLSESLPQIAAFGIIGFG GAGVFLSKPLLTEITNVYDKCSAMDYTGDRRIAMCVYRYTQTQLTVDHRLRQLDLVND ASGFFESGREPPLTVHHWKSWFHTDMPKLAVVSELCGDSCLLRKWHFGDGWILTNGFS VIKYYADPDQDDLAMEQTWSPHNGASEESYLHELGPLRRKDEHKQSFQLQDAVHEQND RITQWYIMRDERNGDQILELSWRKR PEX2_064400 MIKRRLLMSRLGLEHYAMFVTSERNDHFPLTPVIYDPYPDYNDV QWRNEFAGRFHACEGPRGKTLSRTSAQDMVSVYPGHQKDFPLPLLGSYQAIGVDGNVC ANRFSRLGVYGYESNTTHAASASRGNWNETNWGELQSQCFERNVGRYSPSETNSAPIR LTLPVHSRSAARNLPREQRTSSPHSLQYKPRSAIILRAWHDIEWTKNLKQNVRCLVME LSLHSGGEYEVFLLVHVKNESIPIYTDDAEVMKQIKAQFIPSEFRDMAVLFNEKTLES WYPKVEEHSMNFQYWQPVQIFSQMFQDFEYYWQLEMDSRFTGHSYHFLEKSAEFAKRQ PRKYLWERNAYFYIPGTHGIWQNFVNMVGSSMQGRKSVWGPMGIPQITPIGPKPPVAF PEDDEYEWGVGEEADLITFIPIFDPTDTQWLFKNMLWGLPEDVPRRTSPVAMGRVSRK LLRQMHIIQIQRGMGLVSEMTPPSLALWHGLKAVHVPQPLYLDGKWTSKEIGRIMNPG EPDKVNGGPDSLWNWDHLWDHILYRLTYMFTTQTAEDFYRRWLGYPIDPNQYTDGTYH QDGTGRNWFETGDLREDLYGPLCFPSMLLHTVKNTEERKGTAMVVPV PEX2_064410 MVAQSLASLLQRASIDDHEEVLQSCNAVLAKSKSDLQAQHMKVV ALLKLDRYEEALKTFQAGGDALKQSASLEYAYASYKCGKLEEATEALTRTASGRGASH LEAQVRYRAENFRRAAELYEQLSKDTTSFGHEINDLNINSWATDAQLQWKGETEFVRH DRLSREDLESFETTYNAACLNIAKGAFKQSEVLLNRAQNICRTSEDLSPEDKAAELLP IAVQQLYVLIQLGKLEEAEAVLKDISVDNITELSTKKIARNNIVLARPTAVNPYTLYK ALHDTPDATNNDRLFEFQDRDLVGNSHAADLLVQKYDGIIRSTAKAQSKRPSPSADAI TNLLSVYNAAAHAQGETGPQALRQIVPLLERRPTDIGLLLTVVQLYISSGNTTSAITA MERTLQSLEGSNESVRFNPGLLSVLVSLYHREGRMVQIRTTLAQAASYWRTKPEPPAS LLRAAAASLLHSEDRTDLATAGDLFRALHQQDPNDRVAIAGYVASQATLDYAQIESEL DRLPAVSDLIADIDVSALESAGISPSASSVAAAAAAFAGARKRTAASDDRANKRVRKS RLPKDFDPAKKPDPERWLPVRDRSSYRPKGKKGKQRAAALTQGGPVNEKAEESPAQQQ QKSGGGGGGSNAKKNKKKGKR PEX2_064420 MSTPVLRLGILGATNAVQATYLPVLRSLKTHYTLTAIYDSNFEI ANQCQSRFEITYSTTAVEDVLLHKEVDVILNLLPMEYHEQYTVTALEAGKDVMVEVPL TMSISSLRRIREAIKKGKAYRSLNGTNETDGPKVFVGCARRYAPCFTEVFKKELVTLG RVYYARCRHIAGPMNNIVAPASKDITPAMKSNNNPEQFRALLEDVFGSEDDLTRDRVA FCRYLGMLGCHDLSVMRESLGFPDAVANVAITDPFYSAIFHYTNSAKNGGRPFTLLYE AGVDAVPRCDAHLTVYGAHKTLSVEYDFPRPGEKISTGTYVRVVVEEADGTAETDHVN GNQINETENAVPRPRVKRTETVSTCDEAYEREFLALHSYLVGGGSSAKTTADDAVMDL RLLLMIFDHYNRQCGTIRTPLG PEX2_064430 MATSPSDSVMKMEDPKEQVSQTNWDIEQREPEKKRSGVLNVAIS GLALFSDGYNAQIIGYMEPLFSVLYMDGMSSTIKSRLSNSYLIGEIFGMLFFGVLIDR IGRRTGIVAATVFLIIGVVLATASHGKSQLGMFWMMIVARGIAGFGAGGEYPVCATSA TEAADETAHLRKRRGFLVALTTDFAIDLGFVAAGLVALIVLACYSQQNSEGVWRVTFG LGIVLPLSICFFRVRMVNSTQYQKHAIKSKYPYGLVLRRYWKPMLGTSMAWFCYDFVT YPFGLFSSTIIEQLNPNNTTVQNIGYGWNFQTVINSFYLPGCLLGGILMDRIGRKQTM TLGFMIWAIWGFILGAALQPIQSVFPLFIVMYGIFQALGEMGPGVSTFLCASESFPTP LRGHFLGFAAAVGKAGASIGTEVFTPIQNSFDTTAKGQQAVFLIGAAFTVVGGLVAWF LIPDMSRELETEDAKFKAYLEENGYDVSMYGEALVVNARAST PEX2_064440 MNNFHYSLSAEQLQSFQECGYLLVRSFFTSEESELLREWAQEVH DLPCTPDVPWMPYQEVNSEGKRVLCRTENFANSHAGFDSFLRGQRATSVLEQLAGEEM LLFKEKINYKLAGSGGFDPHIDANAYTHVKNIKHLTILAAVDGMNAANGGLEVVNGSH RMDIPLGSDRCIATDWVESNVWTPAELESGDILIFGSYLAHRSGANVSSKDRRAIYAT YNCAAEGNLHDQYYSDRQKLWPATHMRENGQSYEEGRARYAFGSPMLTVDSKVIPA PEX2_064450 MNQQSPKEKAEALIGALEKYGQGDYIGESISQLEHCLQAAHQAQ KADAREELVIAALLHDIGQIIPLESTKEARMNLRESTENVGRVGHEAIGASYLRSLGF SEAVCRLVNSHIAAKRYVILSVAFASPDSPFRYLTATDRGYYESLSSASQKSLAFQGG PFRDADLKNFEEDPLRDDMVSLRLWDDAAKLDGIEAITPRAGVYLDMIIAHLLREG PEX2_064460 MSAPYQGYPPYGGSSQHQNPSSPYSRPPPSTPYPASQQSQQSQG YGRPPPPPPQGQPYPSASSPYPGQQPGQPYASPSSPYPGRQQYPGQQQYPGQQQYPGQ QQYPGQQPSYVQKPLAPAPLLVSNQAPPYPTQGAPYPGGQGRPGPSPGPPSGPPPGQY GAPGGAPQSAAPPATQQQVAAYRSLLISTIQEKNIQSFYPPDRLDRLVQTLAAEAPGK LNRLIHEWAVPMEVATDVMKLALFDVILYVDDSGSIEFEEKGLRKDQLRQILGIVATA ASTFDQDGISVRFMNSSEVGDGIRDAEDVNRLVSRVRFSGLTPLGTNLKTKVIDPMIV QPARANRLDKPVLVITITDGQPAGEPHGAVGDVIRYAVEETSRTRYGPGSVSFQFSQV GTDQRARDFLGSLDEDPHIGHLIDCTSNFEVEQDEMSRANPPVHLTRELWCAKLMLGA IDSSYDTKDERDNERRNAPPPASVSQYGGGGGYGQPPPPQGQAQPPYGPPPGAPPTSY GSQSGYPPQGGQYQQQSPQQPPYQGSRGYGQQQQQPHGYGSQPGSGYQYGGQPRY PEX2_064470 MSNDKPTEPTEGTQPEQNDALRRPSLVPSRTPSDSGRRISSQHV RFSTDLDRESAEEQRQTNWDRRPNSRGLTVDTALAPPSVRPAPSPTSPLSPPSATQNA TLSPVSPSSPESAGRSRSRNRGYSLRRSIFNKAIISTEKDDLALAELGEVKEPSSDVT PAQAPAAETTTAPDEKHSLSVAPTVTLGSTHNENASPYVSSDSSEKGLKEQFSVSVAQ EKWLQKKATTAVAVARVQAAMTSIQKFILRIKDIPPTQDGRHIDLNPSMVGSMIDERT GKPYIGNFIRSSRYSLWSFFPRQFVAQFTKVANFYFLIVAILQMIPGLSTTGTYTTIV PLLIFVGISMGKEGFDDWRRYRLDKEENNRDAWVLRPGHGTIQDGASMISNAQDWERI KCEEIRVGDVIKLERDQPIPADIALLHANGPNGVAYIETMALDGETNLKNKQPCQPVS KVCSTVEDISCNSLHFVVEDPNLDLYKFDGHVTVNGQEKLPLTNNEIVYRGSILRNTD RALGMVIYTGEECKIRMNANKNPRIKRPALQDKVNRVVMLIVVLVVILAVVCTVAYKF WSRDVEQHSWYLEDASVSYGPIFTSFLIMFNTMIPISLYVSMEIVKVAQILLLNDIDM YDPETDTPLEARTSTINEELGQVSYIFSDKTGTLTNNSMRFRKMSVAGTAWLHDADLQ EEAARAGDHTKLIHKKRSAKGKKAMGRKSNVSEAQMPRPSNVSGPVDGLRQFGRSATT YRTEEMLEYIQRKPYTIFARKARLFILSMALCHTCIPEEDANGNTTFQAASPDELALV LAAQELGYLVVDRQSNTLTIRTQPNGPDEATSDEVYEIMDVIEFSSARKRMSVVVRMP DQRICLFCKGADTTLMRLLKQADLAREKANEIERRASRRKNAEANQVIRRNSEHQSRK NSVVRSSMTRPSFSRRRSSITGQQGSALRASIDVWLRDRETDGGMRNREADSEYYSPR PSAQIGRPSAAISDSGSSTNGDGDDDEDLVEEALVVNEAAIFERCFQHLNDFATDGLR TLLYGHRFLDEATYTNWKTAYNEACTSLVDRQQKIEDAGEQIEQQLELTGATAIEDKL QKGVPEAIDKLRRANIKMWMLTGDKRETAINVGHSCRLVKEYSTLTILDQENGDVEQT IADLIEEITCGRVAHSVVVVDGQTLSLIEANDVVRKQFFQLAVKADSVICCRASPKQK AFLVRSIRKQLTDAITLAIGDGANDIAMIQEAHVGIGITGKEGLQAARISDYSIAQFR FLLKLLLVHGRWNYMRACKYTLGTFWKEMLFYLTQALYQRWNGYTGTSLYEPWSLSMF NTLFTSLAVIFLGIFTKDLSASTLLAVPELYTKGQRHGGFNIRLYLGWSFMATCEAVI IFFTMWSLFGLAKINPSDNDIFSLGLLTFSACIIVINVKLQALEVHNKTYMSLIVIII SVGGWFMWDLILDREYTMSSGKGIYFLPSNFIHHAGHNLLFWTVLLLSVSAVLLFEFT VSTLRALFFPTDVDLFQEYEQDLDIRKRFEEAAASELQQGWDHGTKRSSFEIARDNAE KAEMDARERQVRELLARPRVMDSKPSANEIELDGLTTASATASHSSSTPSRNAETGRG RQSGFLSPEDANARRRSVEIQDLFSRGYGAVRKGQLK PEX2_064480 MRRSKPFNEPKPLFVSSKSTEDHENQPPSNSWIEHLLFALLIVP LTLSILLVYSDASSWHLPDHLYVFVNTNRTSVQTAVQIFGAILAAIEVFALCRLINLT TRIRFTQSPVSLNVLGFWSSLSTPTNNFSLPFWMIVITVLFGNVSAVISALWTGALTP ADTIGTQPFSLLVPDWTNISLIKEYPAEIDKTGLSIRETKGYFTYSVGVALLGSLLSS VNSASPINGGIRNHPKLDNTRYNYHGRSYGVGASAGLSDDSLVAIPHARNYTFNETGL DASVDCIYNTSSMFVFQLLPQTALYAVRGFLPDSNLSAPEYSVYIGRSEEPIVAVGVA AQPTEYSAKRYMAIVAGSYYNNLNQIQCAVTFKPAMFNVSVDILGRNISVNKINASET SVGPVLDIDPHHNITHIVMRQLELISNDLTSFYRSTLGDAFNASISDYRTSVTGENIS ETQIVKKGMENAVTSLVDDMLVAYASAQLVVGEFEKSTPVVVQVSALRVGSQAYIITS AMITGLIALLVIGEGIRMRWWKDLPPFDYQDNRALILGASRGGKGVAEYVEQVKCKDL GRVPVIWRKGQGSWDHGEIVFQPMLDDPEDEIEDTRSERTSVAWI PEX2_064490 MVSPYKHVLLVGATSGIGRAMADRLIQSGVKVTAVGRRKQRLDE FVEKHGEGKASAMKYDVSDIDGAPQFAADATAQHPDIDCVFLNPGIQRKYKLTDPKDG DLSDFRAEMDVNFISYVALTQAFIPFLLSSPTPTSFIFTTSLLALVPAPRIPAYSAAK AALNAFVYCLRDQLRESNVKVIELAPPLVSTELHDYMGIEKGRGMGMPVEEYAEDAYQ ALEMGSDEILGGSVGPTEIFQDLAEKRRQIFDEFAPMLRDLD PEX2_064500 MGTAGDSQRVTAASVHSIDAENQNNDTQTNYVNPVRSWKSYVWD TWDLPPDQRWMLFKVDAFVLTFASIGYFLKNIDQSNVNNAFLSGMEEDLEMFGNQLVT STSIWTVGYVIGQIPSNLLLTRISPRWVIPTLEVGWGLATICTSSVKSYKALYALRFL VGFFESGFYPGIHYLLGSWYTPREIGKRAMIFWLAGSVGTLFSGFLQAAAYTNLNGTH GYAGWRWLFIIDGIITLPLALAGYVFFPNLPQSGKKTWWTTEEEHLLSIKRMENIGRV GKKPWTMAKAKAILLSWHTYLLPLLYILWNNGIPQPAMGYWLKSFNAHPPPLPGTTYT ISQINNLPLPTTAVLIVMGLIWGWLSDGPCRGARWPFIYIGAVITLTITTTAQLLFTV LMMKLPFYTNLKGRMAVYWLSNIGMGAGPLILSWINEICSDDTEKRALLVAMANDLAY VVQAVAPNFVWKTTAFPRAPKGYTWSIVLQILLILGTATVQFLLWHDKKKAAKAKAAL SAIDPLESSSVEGDTSVASREDNGKVGSTSRVKDVGIA PEX2_064510 MDVSQLPDITGLLVRPDNPPREDVEGMDYSRCVALYNYLIQYAW LAEGRPLATLTGNSTKFFTVHGAEAEALRPRLDPSVVAFLDNAIIPPWEGFSETPLSI FASGLNGPQSLFAEVEADLEDQPVDSMLRLCYVALSTDDSNGGVIYHQRYHRVAIFMH TDDWGFGFPVKDHPDVWNPFETVLSHWIDLIHIGKVVAAPHEEPALFEFEKIGPWEWR PYSEVQVTTCVNAWDRLCQAIETRISQLPNPPSLISPDSGTDTDNLEPLVALSVLDAA SVLDPCFTRSFLSRARRPSFQYIAPGLLLPPADLSGFVALQKFTALPLSQYTVPPVCL FPADTGDQRPIELTRFTSPWILMEDFYSSSTDTHTPSRISAGLYTTAVDRKWDDMVED GFQLFLPFTVNAGYGRKVGARQSDGELVDKDRFSGLFQHGFKPFGGNYYRPQRLERLL DCWRKLVEDGVWSVGPDGVEGTIDTFKDAETESRWRDYHIPPSW PEX2_064520 MDSEGYGGCGAAPTPSCSSSSNAAAARRIGYYESWATERPCDVF TPEDLELTGLTHINFAFSFFDPSTFQLSPMDANAASLYSRFTALKTKQPGLQAWLSVG GWSFNDATNTPNTQNAFSNMVSSSANRQAFITSLRNFMQTYGFDGVDIDWEYPAADDR GGVAADFANFPTFLAELRASFGSGLGISTTLPSSYWYLQHFDVLDMEPSVDWFNFMSY DIHGVWDSSNKFTGPYIRPHTNLTEINDGLSLLWRAGVNPSKVVLGLGWYGRSFTLAD PSCTTPNGVCQFTTGGNAGECTRSSGTLSNAEIKRLLAAGTGTESYDATAGVRWLAFD TNQWVSFDDGVTMQQKLVFANSLCLGGIMIWSIDQDNTAGDSMNDLMGIGTANGVSEV AATSFKEQMANATLQNAIASSCYWSLCGGECTTGYFDATGARGQIVGFQQNSVCSPGE VQTLCCAPGTTMGTCQWEGFRGVGMPCSPACSDSEATIVARNSNSYQENDGGQIEDLT CTGGFQAYCCTGFVPSSITNSGNLVLYGQTSALSKRGGSNTGLSLYVRDHGLEERALP PGLILSGLGLGSLCLADLVPSLLAALPTFGLSLIGEGAVCALGAVAGAAAAVYVGWQI ISGIVGWIFGGSPSKPNVGVPTTVGTRTAYGQWSILDFNDGATTTSCDCEVTYTCRYG LGWDEICDNQRWAINKLLNGKTVYQPLTAQNGRKYSSWRAVQRISAYRTRAQASINNV ARCQLDEFPMGNLKESGNNSPQACRLVNGPANGRQGNDYKAWKDAQWRPCSAYRSTKC RINDDGPPATWAFGPLPAGRGSGSGKHFIDAYGFDEQTVNSLCWATYTYTAQPGVILT STVADHGFRVLDDDPMYGNAYGWPRQSWRVNPAPVASAQYRPFDSQPTIFQRDLLGND QYTSLEKYNDPAGVCHADLKFLGNGKQDAYLDLDYDNLYFVDLDGNPIDGRTCNIIYE DDGPRSEVRLVLDEDGHVVDMYMGDPDADSWTKEAVKSYNLDKVTVDPTTVTVTTGVL AGSESRPTFAASTTRSETRSGTVLSTVTHAPFVYIP PEX2_064530 MDKMLFSFLRLCFVLLTVWTTWVAADFALYENYDEDALIAGLAL SSTCLAALNTTVTCNETAVGLLGHGADIHFWTTTDVNNLCTTDCVSSLSSWKDNVATV CAEETTIQGNVVVKARALPLTFTYNSDLVCMQDSSSNWCFLDSQTWQGSDYIRWDPTM CFTNGDDNSTVALQCADPDFDIGDISDDMAALTNLYDKELFCNECFLNLYRQRLLDPW LPVTNFTDYLIDQFDLVQANCSTTLPYSTSASTLYVGTATATTTTSATTTTDSTTTAT CLGQMVQPLQNWLTCNDLCDTYNISTGDARVITGDYACYFNQATCFPLPCEIDTVWDT PSCDELATRYSNSTYSVTTAQFLSWNTNVQGSCSGIAAGQRARREKSAPGGTFPKPNA TITAPGATGEPTYYTAATAAYPTQTGTISECGDYYLVVAGDDCATVDLRFGLNYTQLQ EYNTYLDATCSNLWLNYDICVAPVTAQTVSTDVVILIMAARLQMESVARILPETRPAL VLSLELVVPYLVIVEAPVTTVLGQTAIAVLPYQS PEX2_064540 MDAQHSSNPVVPTGTADKDKHLANSLLEYRSIRDGDQDILQGEN VDEALAAKMALINDAIDEIGFTPHHWKLFCLNGFGYAVDSLILLIQSIISTQARLEFN PSYSTGLTIAVYVGMLVGAIFWGFSADIIGRRFAFNFSLFISSVFTIVAGASPSWVTL GLFVCLSAFGAGGNLVLDTTVFLEYLPGKEQWLLTLMAAWWGLGQLVAGLFAWAFLPN FSCSDAATCTRENNQGWRYVWYTSGALVFVLSILRVTIIRLEETPKFLISEGKDEQAV HVLRNIADRHNRPCSLTIEKLEACGQIALRGTPGSSWFMRLISPSEVFFHLRGLFATR KMALSTTLVWFSWLLIGLAYPLYNVFLPIYLTSRGASFGESSPYITWRNYAINNTCAI FGPVLAGFMCHSPWFWGRRGTMIIGAVVTMVFFFCYTQVRTANQNVGFTCAISFCLNI YYGTLYAYTPEVFPSAHRGTGNGVAIGLNRIMGIVSAVVGQAANTSTAVPIYICAALY IVMAIIAGALPFEPYGRRSS PEX2_064550 MASFSVFPFHVGEASNERIKLIPFNPEQHCETFFRQSSPYPELY KHLPILPPASAAELKSLFYNTPTNHILSFANPESFAFAIIDKTRPPSLEDPEGELAGT VSFIRTSPTNLCTEIGFIVILPPYQRTHVATNTVGLALQLAFESTENGGLGLRRVHWS ASTMNLASSRLAQRMGFEKVGIIPWHMRFIKGKINGKIGHGRELPSGSDPDDLWRDTL SLTLGWDRWENAAREMAAKAMER PEX2_064560 MDYDKIISNPQATRYVVKFMHQTGLLRQFQHVGIEDDDDDEPVG LAAMDLGVEDDGDDNHADELATKNTTTN PEX2_064570 MVTKMSFSQMRRGRSGITFLLFALLDFGSADTLPLTMRWSTKGF GPDGPWNAVLVELGSAREEIALYPGGWWTSYIILSSYCSNLTTSLYCYADDADISQTN AIPVYGKAHRSIDTMSISGTDIPNTNLITVEDGYQTYPGGKNYPLEVGTLALGAQGTN QTFGGIGGNIVTGWLWDVLKGIESYTYGMHIGSSSLSIPGSLMLGGYDQSRVLGDVSA QPHTGSSAPINLLDVSLGVAAGSSPWNFSSKEGLLSQGNSSLLGGTTIIAAPVDPYLY LPQSSCDAIAAELPYQRIITSPSYLAFTFTKDSTNTQNLTIKVPFALLNLTLDAPLVD RPMAYFPCYGTGGIYALGRAFLQAAFIGANMKIGATNWFLAQAPGPGYARIASETILA EDATTLVSSHNSWEASWSAYWTPLTSNGATGKNSTDSGNPATGATTSKASESSDSEGL SLGTKAGVGAGCGAAGLFLVILLVFWLVRRRRRQQQKWSPAQQASMGHMSNGSSVQYS YTNRAKPHEIMELGDNQPYQGPFEMGPGKTFSIDYQKQL PEX2_064580 MLQSSPRALRAIIAIVILFVIVESLLILTDKPTVVYFPGHHGGQ AEVGVVNWSRFAYTQYVTNTAYLCNSVMLFETLHRLNSKPDRVMMYPSEFPLDGNNTE PESRLLRKARDEYNVKLVPIKVQSRGSPRDTWAASFTKLLAFNQTQYQRVLNLDSDST VLQSLDELFLMPPCPLGLPRAYWLDPNERVQTSSLLLIQPSHFEFDRITTAINNASPS EHDMEIVNTLYKDSALIIPHRPWTLLTGEFRGENHTTYLGNDQEVWNPDEVASEAKFL HFSDWPVPKPWIEAPQEIIEKEQPRCRFNAKSGQKDDCRNRDYWLGFYADFAKRRADV CGYDT PEX2_064590 MYLTQAMTTKIEVYGDPSVHPQPEHYWGNVNSFGPRACYDEGKR AAEALCYAYREQHNVNIRVARIFNTYGPRMGASDGRVVSSFIASALASEDLKITGDGT ATRSFQYVTDCTQGLYALMQSGYNKGPVNIGNDREFTIKQLAELVIVLVSQMTGVSRV SIAYLPPLVDDHMVRRPDITLAREVLHWGPVIRLEDGLRRTIEWHINDRGSD PEX2_064600 MGDYTPEVAAAPVLTPSEKQVDITGIKQPSGVLYHQLRNEPLNI VGGRGSYLITEDGLEILDATCGAAVSCLGHSDERVHEAILDQLKKIPYCYSMFFTNSA AESLAKLLVDSTGGKMSRAYIVSSGTEAVEAAVKMAIQYFTELPVPEPQRVNIISRKS SYHGNTLGSLAVGHHASRRRIYESLLSKNIHHVPQCYPYRRMNTNESTEDYVQRLAQE LENEFQRLGPNTVCAFVAETMPGATLGCVPPLPGYLKALKAVCERHGALFILDEVMSG MGRTGTLHAWEQEDVVPDLQTIAKGLGAGYAPIAGLLINKHVVDTLNKGTGAFVHSQT YQGHPVACAAAFKVQQIIQEDNLLPNVRAMGEYLGQRLHERLDNHPHVGDIRGRGLFW AMEFVQDKETKEPYPPSKALSRRLHATGLKPEHAISLMPGNGGIDGANGDHIILAPPY NTTREEIDLIVDRTVRVIQEVLG PEX2_064610 MLNFGLASIDLAAKRQQRMLRTLGQNLLSIDAKGACDAGTIGAS NKHEREALLTAVVLQGIVVAQTADGVLEPHVKCASWLMEALGYFDEIPQNPIARMTVQ RYGMVDVMLAISRQRRPYAPQNFILNQPDQNRWDTTEPSFHKMTGCPQPLMRFLVRIA HLACDMNEALETNTDGGAILNEAFQLDTELRAWGSGYNGIPPSRCERTPLDILTECFY WTAHLLLARRVFRDQTCSPRVQHLVHVCFGLMDHLSTGCGPDSSLPQPFYIAAREAIT PEDRVWVRHKHESMTAYYREQQRNSAMELIEQIWDTTDKLRELGSGSGWPRGTELSIV DSFVQALDRGACFFIF PEX2_064620 MSSLTEMHYPTTGAIAPTASSSSPPASTPSPSTSSATTAHSSAT RRPPRKSTLTQQQKNNKRQRATQDQLVLLEIEFNKNPTPTAATRERIASDINMTERSV QIWFQNRRAKIKMLAKKSIETGEGCDSIPESMRHYLAMQFDPNKAGARDPFGRAGAYG PPSMYANEANPSGKVVISHFTCRSLTVGSWRRIGQNAMDLVVFYSPDKACMTYYINND AAGYKIEYPFAYIKNITLETGDPSPGPNGEPPRPGGLVVELNRPPHFYMDSSNSGGFY QCGDFTEEQQASQIMVHHLGGHPKVLSVQLAKLVSLESFQNRLAYNNSSFTMPAPMSP PFIQRPASQPNQFVAPTYMNLYQDSNHLGFNMPAARGHKRQRSRSVPVAVDISSFQSP MSSFHVPPPPPPFNHTEAGIYAPVPQSVHHLPTDLRIDTQGYGLDFRTNPMSATTTGS PSDFASPMYSNAGQGDSTPVASMAPQFNVSFVSGGSTDASGMGSHAASPYSSMSPADP LIANHSPPLSNMSHGSSDMYGFSQDQQTGFDDSLSMNDMYSKHHVNYGVSHESPSFEL PMHGLSAHPSPGMGDYSHGMANLDEINSQGMPTGS PEX2_064630 MAGSPNSNLRGFNHAVQTLLKQPTQFLPHLTIPTFTHLPENLGP HLINVKKGPQTQISTPENSPRTPTIRALVLDKDNTLCAAKTTSFPPQILAKLSSLRTS PTSPFNQTTNPHSILIVSNRAGSHPRFDGEVSELEAQLAHLRIPIFRLPAGTEKKPFC GEEVVQWFRERGVVESPHEIAVIGDRLGTDVLMAGMMGSWSVWCKEGVFEVGMEGKPE RNVLEKMEVWIEWFLREKGGYTAPLPMGWQKQKQS PEX2_064640 MGKLTSTIGIPIKLLNEAQGHVVTIEITSGVVYRGKLLEAEDNM NVQLKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRTRGQRGRGVGLAR GKATVQRARGQRGRG PEX2_064650 MNPLTDFHPTSFPVLSFNGYQGSPKDQIDLVIDDYHDIAREPAR VNALAVAWGLVLATYTNSTDVLFGLVRPCSSGVQPYALRLQPEDSVMAALSQGEDIIN ASDETVESAIKFNNIFVICKAGEESSEAKSNTCPLQINCRIQPDAIQIRAIFDGELLA LELLRTILFQLRHVYTRIIYNPHISVADVQGSSPESIEKILQWNAETTPQRVEKLAHH LIEQQCREQPSAPAISAWDGKLTFSELDEHASKMAARLVASGVGPDQFVGLLMEKSMW TTVAIFAVLKAGGCFYLLDASQPAKRLALMCRKAQPRLILVSAKHEALAEQLDVPIWV VPRDSETGEISAAESQQLAAVQPHNIMYAGFTSGSTGEPKGFAMDHTAFASGLTNYCQ QLSLSPQSRVLQYASYAFIVSLTDQLAPLTQGACICVPSEQQLQDDLLGAIRHHDANW LKLTPSVLRLLEPGEISGLKTLVMVGEPMAATELAKWQHSGVNLLSLYGMSENSKGCS YGSRNESGCDIRQFSRPLCATPWVVSPHDPDILMPIGAEGELLLEGPCLSRGYMDNPE QNRMTFLHDPAWLKQLRPESNSRFLRTGDLAKHNPHDGTLHLLGRKGTGLVKIRGQRI ELAEIEHHLCPQFNTQEPAVVDVVIPSDDTAQNALLVAFVPIGKQQSTLHRDGLVEGL FALPTQDFRQKARNALSNLHQALPSFMVPPAIVATVALPQTATGKLNRRVLREEASKL SRKDLLAYISHDSAHQDPTTPQEIIIQTVCSQVLNLPLATIGMQSNFFDLGGNSITAR ELVTKCRQSGLHITVADIFRASSLLSLAQCHQVVDTSVPTEAYDPFKAVRDDFLANLP ASLAVDQIEDAFPTLEEQNTMASTHMLDYHLYELNGPVDASQLHHACQAVVDKHTILR SIFIPFREDILQVILRHVDVPFTIHASGDQSAQIWAKSFCEAKLKKTYLANEPRVEFK LVQDAPNHSILIIRLLHAQYDAIGLQKLVSDLWAEYDNQEARIESDFAAYARECFRQR TSQAYEFWGNLLQNSQVTPVPLPTIAVECEESVGFEREMPLPTPPAGITMATVIKVAW ATVLQEWTGSSDVVFGQLITTRSLLLPGVEEVLGPCTNTIPVRIQRTLKSETPHDLLR AVQSQHAESIGFETIGWNDVVDNCTKWSTGSKPGSVVLFQNYNKSVRTQFGQLSCQKS TQFFNLPPEDVVWLLVFPTSTTALFVIESSNSILRKDEVDPLLDRFCEVLLELCSKQK E PEX2_064660 MFRRHSISELAFLQMAKDTGAFQHVPDNRISVRPVNLYHFIPES QIQLVEDKVDSQLLKSFLLSPPSELNIQDQIASIGEAIGRWLACFHTWGRSQIEEGPL EIFRRNEDLYCKTPSPLYEAVANQCEDEMLRQVVLAALTKKDQRVQGVIHGDFSPRKY ALSSRIHSHMLTKTNSILIQYSSLPAGTELTIIDWETITYENQLHDFANMMAVIYIQH HFSGASSFSSLIQGFVRGYQDLDADLFAEALILIGVWMLFFRQIMVGPGLPDTSQTDP KGKLKALAIDLISKGAKRNVGTPDGDLIEFLTSA PEX2_064670 MYIHLELLIRIKIRFLDTPEVKTSAIEWLQQYHAAGDALNPDVS VPKFYTTDCVMRFPGQPPLQGHDAIKGFFKMQFSLLDSMTHTIGHVDVLPDRIYQEAK IDYVVKGDPEQKVIKIDGLAVFGRRVGEERMSFFTVYLDPRPLLERKQMVAAMGH PEX2_064680 MAVAHNCSTSQPLNQAAWALTPRARPFVVEPAPYPSPEPNEVVV KNHAVAINPCDYMLQDTAFLDYIPYPNIFGVDVAGEVVEVGSNVTDLTVGQRVIGHAF GMDSTYTRHAGFQHYTALLSSLTCPLPADVSFAQGSVVPCALSTAAAALYQKEDLHLQ HPSVNPKPTGKTLLVWGGASSVGSCAIQLAKASGYQVVTTASPQNHEQCLRLGASKVF DYHSKSVKDEIVQALSSTEFVGVLDSISKRPTVQMCVDIVHALKQDKKVMTTNPVPEN VSLYGIEAKAVLAGTIVENEVGKAIYRDFLPEALEKKIFQATPEPLVVGHGLESVQAG LDRVRQGMSAQKAVVTLCFIISSMYIALFAETFLFGFIVPILGYMLENRLHLDPSHTQ SLTTALLTIHGLVSLISGPIIAHFADKTPSKKTPLLFALTGCLTGTVLVACTPSRRVI QGVAGSATWVIGLATITDITSKDKMGQVMGTARSFASTGVVGGPMVAGVLLQLFGYWP AWSVPMAVLVLDMAARLMIPSQPASPSESPASVPSATEVTPDETTSLLSGSSDIDQAD ETGPSVACSENASSRGFYSIMLRDPRVLSSLLNSLTTSAVVAGFDTTLPLHVRNAFGW KSLPAGMMFLCLQIPAIILGPVAGSIRDRHGVRSITVSGWVILTPLFVLLGMPGDGRF PWASGEAGGKAIHLASLSAIGLFLCLVRGSGGQQMSALAKDLQAKNPLIFGAHGGSSR VSSLYGVSFSLGLMLGPLICGSLVDVAGYFYMNVIMALICLVNGASSVFFMEGKSPKN NTNASA PEX2_064690 MLLKTETTEPTFLFTSESVGEGHPDKVCDQIADAILDACLTQDP LSKVAIEAAARPGLIFVFGVLDTQAKVDVDAIARAVLKDIGYDSAYQELDYKTCKVMD HIERRTTPEAAAPLVFLSTSDTEAAGDQGIIFGYASNETPQSLPLTIELSHRITRQMK TARLDGTLPWLLPDTKTQVTIEYARRKNGETVPLRVHTIVLTAQHTPDVTVEELRREV FEKVICKAVPAQYLDDQTVYYIQPTGDLGVTPSGKFAGVTGRKIVVDTYGGWGAHGGG AFSGKDYRQVDRSAAYMARWIAKSIIHAGLAQRCLIQLSYSIGVAEPLSIFVDTYGTG KMTDLQLEKVVFENFDMRPAFIAKKLGLTKPIYYQTSKNGHFTNSLFPWEKPKDLIL PEX2_064700 MIFDSLLPLPSLPQADVFSYIFRHGRRDYPKDRVLYQVDGTEET LTLGQLEQRSLQLARILKAQYQIQPQEVVAIFSHDLIQYPIAYFGCVAAGATVALIPV QKQQNETDIVSHLKLVNAKLILTDQKLLSLTNKALSQYREIPVLTLDRGSASIPNLEE MLAESRCRDDNHFIPVFELTTNAQAEEYTGFINRTSGSTGSMKSVLVSHAHFIATMEA TRLTVPSTTNPDEDVWISPLSLGFFINAKLHMGLNILLGIPVVLMRESLESSNIDVIP RHGITFLFVAPPLAAKLASDRSDTDLSSMKWILSAGSPISEGVRDALSCRFDGLPLTM EWASAETMLLTIQTEDESSRRPGSSGTLVNGVQAKVIDTETGAPCGVRESGELYVRNR LARFRGYKDNEVANRAFTSDGWFQTGDYGYIDEDSNVYIVDRLKELIKVGEGYGSHVS AAELEGIIFGHPAVGSVVVVGCRDEAAQLDRPTAFVVLKPEFREKPEQAKEDIERYAG EGLTGLQCLSGGVRCIDQIPVTGFKINRRALRSMA PEX2_064710 MIGPVVRITPNTLHFRNPQAFHDIFSPQNKTVKDQEFYHHLGLP DSSFRLEGEEHAARLKLMSPLLGRNHMDDIQTIVRRNVQRFCDLLQCSMGNEKPIELA LGYRGLALDIINEFIFAVIPDNLRGLENEKFRNPLSMSTYYSFDWTMWLMRNFPLTCH FHDFASYLPSSLKFSYDQRNVMTELMTNLLHYNLSSPEMNDRATLIGNMTKPSSARNG KPLPESALLDEAIGVMHGGVLDISNVLPYGTFHLAQDPEAQQKLYEELKGVWKNPADP IPDHEILRNLPYLKGLVKETLRFTHGVISGMPRIVTANGAQIDGYSIPPGTIVATTSV YVHMDPTVFSNPEQFSPERWANGDPQLERSLVPFSKGRRMCPAQNISYMEISAAFAAV FRRFEVSLYETTEEDMKYKAYASIHYTGRPLRATLKPRLD PEX2_064720 MSTFTEFFLYAMIVPVMPSALVTRAGVPFEHREYWVSVLLMCEA AVSVICCPIFGFLVDISGTRQLPYLFGLSLLAASMILLVFAKTLSIFIIARLLQGGAT AMVAVAGLALATDSFDKDRLGTAVGYLGSASALGFVLGPFLGGLIYTKFGYEAVFVMA AVLIGVDFILRILLVEKKVRQGWIEADTESPDENQHIAGNDNDCPSINEGGFILLKLI QQPRILTTLWALLVIGIFFSAFDATLPIFVESTFHWSPVGAGLIFLPSAFTSLMGPYF GTICDHYGPRAMAFTGFILLSPTLICLSLVGHNSWPHIATLVILLCLTGLFINVGLPA LYVESQAVLDDMERERPGITGPKGAIAQAFSLQTMAQFIGLFFGPLWGGFIEYHFGWK TMAWTLGLLAFVTAIPMLWLSGRTHNGNDNIGGETEPLLGDRLE PEX2_064730 MESFFQYQRIKQAVRDQLAETKEKCSCEGYALGSPHSSSCQLCD VPFSDPPNKFSLQETLPTESLIIVDWDSPNDPLNPANQSVSRKMFMTLLVSLIAFSVT AASAIDACGIRQYSEEFNVSEVVGSLATALFLVGFGVGSLLSGPFSETFGRNAVYLTT MILFLIFIMAAALAPNIHSHLIFRFFAGFFGSTPLTCAGGTVADLWDPLQKTYAFPAY AIPSFLGPMAGQIIGSYIPIHLGWRWLEWIMLIMGGATLVVILLFQPETYGPLLLYWK AKILREETGDKRYKAPMEMKHATLSRRLLLSVYRPFVLVYSELIIILMSLYLTVLYIV LFTFLEGYTYIFGQTYGLSQEITGILWAGMLCGILLVGSLVPVVYSWTAKEYKKTSTI APEIRLWYAMLGGAPAVPISLFWMGWTSYPSISIWSPIIASALFGYGITSIFIVTYMY IIDSYGGYSASALGFLVFTRYVVAGGMTVAGGPIYRSIGVHYTLTILGAISTVMATIP YLLFVYGPTLRKYSKYAVNIGAE PEX2_064740 MTDSAPASIPATSAKPKVKNIPNPRRLLILTPTSQSLTIIPPLL HSLTGVPVVDPPQQPAPSPTATPSQPTSSSTSPPPTSTFAGYTTHSPLRLETKYYTTE VPVWVDEIPLAVHDPATQTTPTPAPTAEQWKTEFLSTEAEIVREAVGALVVCAHTPSD ATPPPGSNANADPAERPDVRALCDLMRGIGAVKERIDEERGGLGDVPGVFVLIGSRKG AAGIGAGQQGSKNPDAELGLGVDDEDLGGGDAAPFSVGWWEDQFFDMGLLGWEVVEWD PKEVLEVETRNQYGEREGMPRIKEVLETHDWSMVGGDSGFDGDNDPEIDSDDELQDQL LGLGGSRGFGDEVHELEREMFGLRMAIERGGGDGDETDDSDHDNGDDEIDVESMEALM MRMQAIKDMSSELPEGERKRFAAKAVQDIMREL PEX2_064750 MLTAQSEIEPIAILVHPQQPLSYLERLIQSELPPIKGNTDKLRP PAISFIALQHDDNAIKPKKRIEDEIDLDAHQAKEKDFIPGNDGVGGSKPLNGRSPTQH RRSREEDVETYSYPRKTNGTPPRNGEPERFVRWSQATEIGDFIRDAARAGEFIVTIEG APSGLSQIRVTVPSFNERTYYLRMRLRKLSRRIQTMADVKEECDALAHRGAQRVAIGG FGILSIWWFTVYRLTFETDLGWDTMEPVTYLVSLSTLMGGYLWFLYHNREISYKSALD FTISARQKKLYQLHKVDLQLWESLIDEGNSLRGEIKNIAAEYDAEWNERADEQDKRVT EVLKSDRRQKHEKKKGSDDKDDEHDD PEX2_064760 MAVGPRVSKEEFMHALGLNPQDPHHEQYYRAMRDEAIIVYNRMN LDTANLLDNVRTDPATRPPFFWHHIRPDCQRWAILEICHNAPPLVRGLFERGATNGEY GPNWVAGWLLYSVFRSRDVRNNRNRRKGEVGGSAGSESDKPTRQQETTQPKKYYDPVR NGTL PEX2_064770 MEQSERTARQETETDAIRPSGEMGKWGKGKTRNQIDITQAQGSE AEDRDLRDVLRG PEX2_064780 MAPVDTKRAESAKQGPPKLSDYKEILDESTFEQILEMDDDEEDR DFSKSIVYGFFDQAEVTFKKIQTQIDNKNLDELSALGHFLKGSSATLGLIKVKDGCEK IQNFGAHKDETGLIDQPDTEVCLKAIKKTLDEVKVEYRKVEKLLRRYYGEEVKDEEEK PEEEEEVKEQKKEEKPKEEAKQEAKQDAKEANETKETKEPTKEASK PEX2_064790 MVHYHLDFQPRSFKRICYLWIKFLRAPFMSLVEYNRGISPSTDG STKKMHEMNGLTPRRTCCKRTG PEX2_064800 MASTKTSSAPRSGSSGLTKAYLFTYNTLNLLAWGTCVVYTASLL PAHVSTKTLPKVFGQTFSPLLLATQSLALLEVVHSVVGLVRAPFMTTAMQVSSRLLLV WGIMAQFGGEIVGAGQNTQLGDYAYLGCIFAWGITEVIRYGFFAITLSGNSVPSWWTW LRYNTFYIFYPIGISSECTLIFQALGPAGELNPLFRYILIAILVIYVPGSYILYTHMI AQRRKVMRGKKRAD PEX2_064810 METAKNAVNYVSETIQGGGAEASKETNKHVAKDSDASLGSRATA AKDAVVDKKDEASHNTKADVHKEATKH PEX2_064820 MGKTNSALNAFITGIPDDKLSGFKDIIYALYKDTNFTLVHEGPT TSYPQCHDIYIQANIDSALKKEAKINVAKALVPTDGLWSPQQIRQALLSSSAR PEX2_064830 MESQESQEPQVHGLEWEELLFGSEPRWTLEPEIAAIKQTVQSLR PSNTVTVTFLTQGGFNKIYNVSIDGEMFIMRVALPVDPRYKTMSEVATMDWVRRITNL PIPRIIAYQPSRYNLIGFEWMLMTKMPGKPFAEVCRFLPFAAKARLVRKIAAISACLF RNQLSGIGNIYGDYSVVEHSTSSEQIPPPIELANTKTSVLAKESGSDDGSAPTRKNSG ASLFDLPDGASSEATLPDVGRIVSMKFFWKSHIHQDVHRGPFRSSKDWITACLSFDEN DCYSALDKHSGGDLDSDAEEEVENATRTLPIIDKLRSLLPLVLTTDDDDPEPSMIFHH DLSRHNILVDDSGELTGLLDWECVSAVPVWKACNYPTFLEGRPRRSEPNPGRYTPEAN GETSETYFENLWEHEATLLRDVFIDEMRNLDAGWMEVFDKSEVKRDFDCAVQECDSVI SAKSIDAWIGDISAGISNPRNLHHRMYCLDGLPS PEX2_064840 MYYILYLTSLCRRRNWPEPIYNAYISNSGYTCTVRVNNREYRTD SICSNETLARESAAMRAYLICRNFSVNDGMYPAGHEHGGAVQGMRVAIGAGRKPASED DVLSLGSASGSGSGSDSSRGGSWSGGSSPVRVGIEGDGRGGFGGRV PEX2_064850 MTTMTTMNTDSNQPRPGIPALFTQPPPIRDPLITETVDLQNATV EKCLPFLKGIHSSQKDFNDHGVPALQRDLHTGFLYDALEDYPEGFVAMDASRPWILYW ALAGLAMLKEETTRFRERVIATLRPMQNPTGGFGGGHGQTSHIAGSYAAVLSLAMVGG EVAFGLVDRHAMWQWIGRLKQPDGGFRVCEGGEEDVRGAYCAMTLISLLDLPLTLAPG SQAREAGLESLTSGLPEYLSRCQTFEGGISGSPGSEAHGAYAFCALACLSILGPPEEI FNRHMDIPMLVSWLSARQSAPEGGLSGRTNKLVDGCYSHWVGGCWPLLESSLEGKPDN TEPPTNSLFSREGLIRYILGCCQGNDGGLRDKPGKIERDSKPSLPDRLECHKQQLLIL IFLEIFTEP PEX2_064860 MSNVDISSDGLIGVDYDSRGYLQHQSWPMAADQAPPRSEEAHGL SPLQTGGHPLEQSVTQDPNLMVDWHFQTLHAPHHHHLSYSSEDPSSAPQFTTSYGMPM QSSPVDLMSGQAQMSAGLLDGSYLPMSAPVDMVPFTYQDFQADLMTFPNGLPDVSYAP HPVLESSSPTDTYLEVRSLSSSDNGWSTIDHRRSLDFGYPEQGVFVNPTQTLHDRSLS ESSYSTSYGSFVDITNPIGSPGSENNMDLPCYNHHGHGHSHSYSHTHSHNHSHSHSHS SSIGMHGTSPTSSAIVLRDQSSPGSRSPSAVSPIGMVRPIPVPIKKSTSPARSAGSSQ ASASPPSRKPSRKSPIAAKTAETKVRKQSQTGKPETEKRVGKRKGPLKPDQRKQASEI RKLRACLRCKFLKKTCDKGEPCAGCQPSHARLWQVPCTRIDIKEIGYFMKDWKADYER HITMGFSVGNITGFSDQERTLFITHGYGQILPINAREVYVRDEQCFTVDWMESMQREP TQYDVETAKLSAGMEGISHAMLSDYLDRHIDGNGTFEKFVDDYFEGTPFLTQMLKTAF RFYFRTKLPVIRKALKLVVAYNLTMHVTMVEGIGEEEGFLGKIDHMGSKFAGKTMAPV MINFQIKCAMASMWRELQKDVLEELSALYSSVYSGDKLKNWPTIFILASILLAVWEEM QFDSHYRTPDEAAVNKFCTDMETTPVGVIVGLFQAISQKLPPFTEWDSQKHHHLLYSN PDVCNTMTEVRQHVEQHETYLRSRTGTKFNRGDFDCLSNKFVSRLVIRAN PEX2_064870 MSVTFEVFRGSKEGKIVADKTTRTLQPNEVYIETTHSGLCGTDE HYLSSGMALGHEGVGIVRQLGRDVTNVKVGTRVGYGYTHYVCGNCDKCLTGWDQYCEN KKEYGSHDHDLGSFSGGVVWDAGCVVPIPDGYDSADAAPLMCAGATVWTCLTEYGVKP TDRVAIMGIGGLGHLAIKLAAAMGCHVVVLSSSEAKRAEAFGFGASEYHVFRKGEELK DFKPVNHLLLCGSANVDYTSLVPLMDVHGSIYPLTVDFTPSPVPLLFMNVKGIRIQGS LVASRKSLRTLVQFAADKKITPTTMTFPLTKDGVETAMQTLRDGKMKYRGVLVRE PEX2_064880 MASELSVHEYYQIQARFPTKESNPDDGVNRKVFVRQDIDEWSGK KSWTPKKDSLTFKWLQVVYDIMIKEIIPQFQEAHQSSWREQAESWRLPFWDWARNGRV PDLAKYPTITVPRPEGGSVRINNPLFQFRMPTDKPMQSEGVGTENTWENDTEQEEYKN FGNAIGTSRWPDEEDQNPNSEGWRHGVVNNRKVADAFNSHEGYNDKNHGPAAEMVYRL LTVPMDYTTFASTNPTSKDQNVDEDLNIEYIHNNVNIDRLFAIWQALNPDKWMDNIPT DNTTIRDSFGKEHPVNGNTPLQPFRRDSEGNYWTPEGIRFPSNLGYSYPELLRWETRY RQEDGTLNQILFKENIIAIINRLYGVSRDLALDPKAPIPEGLEAIDGGLKIPDFAFSV RFLKYALGGQPFWVKLYLAQEDGIQTPLTDLIAEVYNFSQKPELDGSSVCGNCTEGQK SRVKSTAYIPITPVLYKLIRGGRKLKSLTRDEVLEYIRKRAYWRNEKELPRYEVEKLE LEIIGSSNDTKHFTNPATPPAFENFKKEPTITGGADGALDPELKQAKIDPPAPRPKRP RANLPLHGILRFQQTLKADSVILLESSSVDPVKPDNGIDMTQISIMDAENDTIFHISI RRAQGQIIFNAKFGGSWGEEERIDIDRRFNSEDGATILIHDQGDGFEVSIDWVHAIWF AKRAHGRTPQSIWYDIGNKEGTSALSEDLEVRTYPSMKALFLQKHAHEEEK PEX2_064890 MGKILKPTGVALLSILSYVQAYPSITIEHSQKTPFFVLAGDSTT ATQSSNGGGWGDGFLNTTLFKGASGHNFGHNGATTVSFRDGGDWDEVLATVRKVRDDH HPFVTIQFGHNDQKPAANISLSQYTRNLGRFVTEASDAGATPILVTPLSRRNYDNSTG TPHIVMSLANETMATINAAHRSHAAYIDLNEASTRYLNEIGPENAFTYNLKSTDYTHL NVPGSTLFGGIVAELIAQKFDALKEFGYLRVDGKLKNDIDSGIYYWP PEX2_064900 MEIESWEVLVHKKQLEDAAKIPNAWRISEELAKISQTSGMNVLD IPRQSGILSARQLEITEKYDATDLLAKIHCQELSAYEVTEAFCIRAAIAQQVTRCLTE TFFERALQRAKDLDEILSKTGIPVGPLHGLPISFKDCFNIDGVPSTIGFTSFIKNGPV NSTSPAVQILLNLGAIPYVKTNVPQTMMAADSHNYVFGRTLNPHRSNLTAGGSTGGEG ALIAMRGSVLGVGTDIAGSIRIPAICNGIYALRPSADRIPYGGQTSSARGGLAGIKAC AGPLATSVRDLELFMRLVTNADPWQFDSSVLFSPWRTVSSKSTLRLGFIQEDPHFPLH PPVLRTLISATEKLQAAENEIVPLNTLLIRDACALAFRMFSMDPARTPFKHIAASGEP TIPALASTSLQHSYMPYGYAPLTLEGLYDLNEERNRIKEEFRNLVMQAKVDAIIMPGY QGTAQPHDLFGFVPYTVLWNVMDYPSCIIPHGKANKSADKTFIRNVNYKPPYVADDIE GAPCCVQLVGRNMHDEELVKVAELVSKTLEL PEX2_064910 MVPLPDLSWIGTKNKFGLVTFILEANGESAEDEHSALEQYNASA PESVGEEHSALGQYTPGPERVSNGRSGWTQGLHSENTSQAQSRNPVYQNRSHQVPTNS PLSLLSGNGSTLTLREASLMRYFIQKLAPWADVCDIHSHFSTEVPRRALENNMVLQAI LALSARHDAILTNDSDWEASDYHGKCLKLLIAALDQAETNCDENMLITVVILRIYEEL ETNTDQQYHLLGSNRLVNLMARSASSGGVAEAVSWQFLRQAIYASVVQYQPLQLDMQN YERSSMFHRHDDAACANRIIYHCARILQVCCDAPGHIVAQDTWRQISDSVNEWNQTKS TTWQPIRYQASSVADGRPFPVIWMISPPAVVGMQYYHSACIFLTLSESPSHDMSDYER ARSRRVQEVAKAEL PEX2_064920 MIASAWQRATAKSDACSSSVTISSQSDADKLSSCDTFDGSITIS ASTSGVITINNVEEIKGALIAEGASELTNFFASDLDSVQGGITLSNLDSLTTITMGAL SQVSSSIIITGNPKLKTLGFQNLEKVEGQLELEGLFDSVSLPSLDQVKGRTTIIGRSS MSCSILDSLNSDKVFKNGYTCSSGSSGLSPGAKGGIAVGVIVVVLLIVLTLWFVLRRR HQRQNTRGVQSTIPPSSTPSVVVAHDEKALISQGSISPQEDTLHSEEPQTLLPRKPVG SAIFLDGRSVYEAPNGSTPVQEYHELDAGPVLSSHQRPINAN PEX2_064930 MSLGKKVTLNSGHQIPQLGFGTWQSAPGQVGEAVYEALKAGYRH LDLATIYQNQREVAEGIKRAYKDVPGLKREDLFITSKLWNSQHRPEVVEASLDACLAE LELDYLDLYLVHWPVAFQKGDSYFPLVANSIVEGGDVIIDDGVSIVDTWKAMTQLPKS KARSVGVSNHKIEHLEALINGTGVVPAANQIERHPVLQSNDLIEYCQQKGIHITAYSA FGNNMLDIPLLITRPEVKEVAESVAKRTGQEVSPAHVILAWSQVGGHSVIPKSVTPSR IRDNFKEVELIPEEVQKVSVLGQERKRYNTPYAANKPRWNIDIFGEPEEKAADHKVIL SV PEX2_064940 MKSFIMLCALAASAMGVPTAHKYQLHERRDFIPKSWVEGKELDG NVLLPVRIGLTQSNLDYGHELLMDLSNPYSRRYGQHLSTDEVHDLFAPTEKSVNDVRS WLESAGIAKDRITQSTNKQWIQFDANTEELENLLHTKYYVYSHAETGRSHVACREYHL PNSVREHVDYITPGISLREVTGVRRSADKQKRFVGGVSPILEPILLPIEKLLSEVSSF CSQAITPQCIQQMYNISEGHSATKGNELGIFESIGDVYAQEDLNLFFLNLYSKIPHGT HPALKSVDGGKAPTDLSNAGAESDLDFQISYPIIWPQNSILFQTDDMNYENNYTFSGF LNTFLDAIDGSYCSTISPLDPPYPDPADGGYKGSLQCGVYDPPKVISISYGSAEADLP ISYQRRQCAEFMKLGTMGVSVVVASGDSGVAGRGGDPTPSNCLGPNGRIFAPDFPASC PYLTAVGATEIPTGSSPGDHKEQAVTRFPSGGGFSNIYKAPDYQAQAVADYFDKAKLS YPYYESVDNSSFGENNGIYNRIGRAYPDVSAVGDKVVIYNTGKAVSIGGTSASAPVFA GILTRINEERLAAGRSTVGFVNPVLYAHPEAFFDVTKGSNEGCKTDGFPATEGWDPVT GLGTPNYPELLRVFMGQ PEX2_064950 MPVDQMLHLSIDCPMNNVAMVITPPPESDLNLENKALEQPIATG SLSRIYRFTPKPTLVLDGALRIIEVSNSHVEIFKRPRDQLLATNVYDLPLSILPAPDI ASLSGALGTAISTREVQVIKRVHVEQIDTLFSLSVTPIFEDDSLIYVLLEAQPTKHQR NRSNSMSGQTYLNETYKILVDTVRDYAIFMLDTRGHIGTWNSGAAILKGYTSSEIIGQ HFSVFYGRDDCKMQKPARELEVCLQEGKVEDEGWRYRKDGTRFWANVMITAIYQNGSH VGFAKVTRDMTERRATEARLIDAFEESAKMKSDFLANMSHELRTPMNGMLLALTMLLR TELTDDQREFGCILEDSTSVLLQVINDVLDYSKLSSGTFPLNPDVVNIPNVISAVVRN CQSALKPGVQIMGSVSDGFPPNLKGDPLRFRQVLQNMVTNAVKFTESGHVRVHAAYTI DEKDPDMYVISAQVVDTGIGVPEDATSTLFTPFTRFADTAAKRYQGTGLGLSICKSLA ELMDGAVGFHANPDGPGSVFWMTAKMARLTSPVSPVKQRLQPGTPEAFDNSASLQKIA PHKHILLVEDNKVNQLIMLKLLSSLGFKRVDAAWDGAEAVRMVKQKPLTYNVILMDIS MPVMDGLAATEHIRQMKLDVPILALTGNALKGDAETSWWIYYGNGADPEWLLIPNRGG CAPEYHIVSLKPSFDAIKARQLKQTRVSVMGERLGGRIVRLRISNHSPSSMRQKCRHF HLNLSNKIRQTDLETTFL PEX2_064960 MGECGQSNTNEMPFSQRPIVILGAGIIGCATARQLLLNGFPVIL VAEYLPGDQNIYYASAWAGAAWHAAGGITPDQRYFQAVTHRILLKMAQDGPEAGVSIV NAREYLEQQPAPDSAIWGKTVVSKFREMSPGEYAPNFNCAWAYETLVTDPTIHMPYLR KQVDSLGGRFIRQRVESLQELYGMFPESRVFINASGWGSKTLTDVQDDNCFPERGQNV FLATDQCNTLHFRNGKEYTYVIPRPLSNGVVLGGVKQQGNLSPEVDMNIARDEIARAH RLAPKIVPEHPAADKVSYIIGIRPSRKGGFRLDSERKGSRVVLSAYGFGGGGYAFSYG IADALVKMVERAERENVIL PEX2_064970 MERDEKSQFISQNASSDQGGIDILHDRDAEKILPYEANDSPFPE VRAVIQPVNDLTLPVNTVRMWTIGIVFTIVGSGLNQFFSLRQPSVNISALVAQLLAFP LGCAWAKWIPLGVLNPDRHFNIKEHALITIMANVSIGSAAATQVIEALVKFYNMPSQG GFEVLLCITTQLFGFGLAGMASRWLVGPASMLWPQVLSNAALLTTLHSHSNAIADGWR ITRLRFFLYVFIGGAIWYFVPGYLFTGLSTFAFICWIVPSDVVVNQLFGQTTGLGMSV LTFDWAQVVYANQSPLLVPFWAGLNVMGSFALFFWLVCPLVYYTNTWYSAHLPLLNSN TFDNTGIPYNTSRIMGAGGSIDQTAYRNYSPMFLPAGYAITFGVAFANLTGIFFHVAL YHGKDLWQQWKGTGKQDVHSRLMSSYRAVPWWWFAAVTVLVFALSIVTNEVWHTGLPA WGVLVAFVLPVVYFIPVGVIKALTNISSNQLNLLTEFIGGYAFLGQPVANMTFKFYGY VAVQQGLEFVADMKLAHYMHIAPRLLFVAQGLATLIGAIVQCGVTVFMITRIDGVCTP EAEGNFICPHGKVTYSSSLIWGAVGPGRLFSSGQIYSNLLWFFLIGPTVVVITYILGL RWESANYVSWPVAFGAMSQVPPATGISFSSWWIVNLIFNGILRRRKPAWWSKYNYVLS AALDCGVAVTTVVIFFCITLPAGSLSWWGNTVSKTTADGKGTPYMGLPVRGYFGPPKG TWE PEX2_064980 MEELDKNATPASGLPLAFHGTVIHSRSLDELEILENCIMIVGTD GKIQALQANVELDQINSIVSENGHVPDVFPVKYLKRGEFLCPGFVDTHNHAPQWAQRG VGRGISLLDWLNKVTFAHEAKFQDPEYAKRMYASCVTGFLQQGITTASYYGSHHGQAT RILADVCFEKGQRALVGKCNMNRNAPDWYRDPSVSDSLHETRELIHHVQKLDPEYHLV KPILTPRFAISCEPELLDGIGAIAREHPDLPIQTHFNEAKQEIEFTRQLFPQFDTEAD LYQRYGLLNDRSILAHCIFLQEGEMRRIQELGCGVAHCPIANTTMQDFMVAPVREYLR RGIKVGLGTDSGGGYSSSILDAMKQAFIVSNAQQMLSQGRDPALSLREGFFLATMGGA QVCGLDDRVGNFAVGKEFDALEIHTTDLGQPGVMSPVEDEDTIQEIFEKFLMTGDDRN IVKVYVSGRSVKG PEX2_064990 MSTSGNKDFRDQLGKFRLDSINTYTPTWPQPPPVHHESIDVSNH ARSKRVSTACDFCRKRKKKCDFRYPNCSACTRAGVRCTIPPPGPQVASASVPRDQLEN LQKRVRWLEEVVRRKSGLSVAELPTGTPVDGEGDPDWWYQVPAMIATGGNRPTSMPSP ADSGGSSVTAGPSEAATGVGTELPNIGEIFRDQLEHRRPSVARPSSAPRVMRLSSLEE AERLAGQYFDSMGYQYPFLSRPEFMANLRHIYTGGVPSPETHHSYHIVIAISLLIGSA DPNQAAEFYHASQETLPLALQNEDLPAVRALLGLALYTMFATAGPSIWHVLGATMRLS ISMGLHKTRSYSSVAEEEMAKRAFWSLYNLDRLIASTLGRPLGIADDDISVGLLRELN DDETEAPGASAMTIPFQVTRLRRVFSRIYQYLYSSLPRLSPQEAIATLGRFRHEVDDW RMTAPVYPSALLYSTSYYDYLYYTTLLLMYRPSPRNPTPDITSIISCGESSIQVIRSY WDSYSVGKLKWIWLTLSQVYFAGITILWCLEQNARSLRESQPAPWHPEEQTMRRGIQA VVVLLEEFGKRRPGVDRLAETFRHQSTVIFSQMAYQQHQLEQQQHHHHPQHQPQLQPP PPPPSLLPPHPMSLAAPPPPPVPLAPVLDDVLLVDGSGTVPMIDPQMAEQLFYSYNWF QEEMASYYTL PEX2_065000 MKINALPLLFATVASASVQGFDISGYQPNVDFAGAYAAGARFVM IKATEGTSFVSSSFSSQYTGASSAGLIRGGYHFAQPGSSTGAAQATYFLAHGGGWSDD GLTLPGMLDIEYNPSGATCYGLSQSAMVSWIKDFGETYKKTAGRYPMIYTTADWWNTC TGGSTAFSQDYPLALARYSTSVGTIPGGWPFQSFWQNSDAYSFGGDSEIWNGSEASLK TFAKTAA PEX2_065010 MSLIKYATEADGPGLAKVNVQSFQGRRLLEELFPEASLTRMQEY KIIVGMKHLANPNMHVLKIHDPVSGELATYSRWQFPASFGPSLVTLSEKAAFFAKDPI PHAPRPMNEEVLNVFKKLLEDGRKRYTTEHDIILDLLATLPEYQGRGFGSAVLKWGME KADASQSRIFLEGTPEGVPIYLKHGWKILEEVIMDYTLFGSVGQESFFLMMRDPVPQ PEX2_065020 MAHLKFAILDDYQGIAPAYFTHLESRVDISSFPETLDPRDPAQH DALIKRLLPFDIILAMRERTPFAAKTIAALPNLKLLLTTGTRNLALDLEAFTRSNVAV AGTEGRPPGVNSTVQHTWALILGLSRNIARDDAAVKRGAWQGSLGINLSGKTLGLLGL GKLGSQVGNIGALAFGMKVLAWSTNLTQEKADEQAHAQGLPAGTFAVAASKEEFFAHA DIVSVHSVLSDRSRGIVGAAEIANMRSSAFIINTSRGPLIEEQALLAALNSGRIRGAA LDVFDPEPLPLDSPWRTIPWGQDGRSEVLLSPHMGYGEEELLRGWYRETAENLERWLN GQELLRKMN PEX2_065030 MAPSADPIPVTPTLANFPPPTHPECLRVERSTKAFASGAYSLVD LPAGAVFAKITTATPGKKAYTSVQTSRDTHIELNSDLVYCNHSCAPSLVFDMGRMEVR VVDDHPLKAGDALTFFYPSAEWDMDQPFQCTCGAGDGICRGWISGAKTMSADKLNGYW LNGHISELLDERKAN PEX2_065040 MRFGMPGDKQFEWEYAKEKRADGQKINLIVFRAVEEKKGKIKET QRHGIAQLVRGEDSRTPGTSRSSAGIGDELQIDEAALQSLELDEAVIVATCLMLLKEI DRRRMIQFAMIAGAGGS PEX2_065050 MTTTILDPKTKEMEVHSSALSIRSNSITRTSIASPSSFCPSRIL TIKARGIRAFRLPLLSLQTEILI PEX2_065060 MIQQFSRFIKSGAGLERTLRLIQCVSQVVAALTVSSALAVQLTT VKLQLALARRYFRFFGFIDSFQRMSVLLGKDGFSSVAGMLELAKCTCFGLYFVLEDMT TLHAMGVYAVPWNDRVMDQANTFWFYALSFSVAGAIWALLIGPAKQPSKKNGKRKNQK TTSEKATPVKPTAASAQVKQIMVDGCDLLIPLELLGWMPTGDVVVGSTMVVSTLLTAQ EIWARV PEX2_065070 MPSTVELASSFIEGAPPGELADVVADVQALTSEGEDIIPSLLPA FKRYNETQLATVKLPGSSQEVIVSGFNELEDNRYFDPESQTSFEVDHTTQTASGAQSY AWESEHADLIKSLLKSFGVHAREHYPNSSYGVYPIENDSAIAIVLVANRYSPNNFWNG RFRAIYQLPVSSSSTLTGNIHVDVHYYEDGNVALNTTKPINIAIPSLSAESIVSRVAA AERDYQEALNRAFVQTSEGVFKGLRRQLPITRQKVEWEKVGGYRLGQDISGGKGR PEX2_065080 MAQVRPPAPGRSTSSHGFESKLSQQLAQPHQTDPEHQLQPSRSP KSRSLSDASRPTSSTQPSTGDLSRAPSKDDNAIPALPATPRRSSASHSNLSLNLPSKP AVSPSLTNLAPLSPKLDPSHIYGSPGSVLPRRSRGLDFSRACTNLHHSTLAESSPDSS PTVGGRGMAIPQRRGSLGATSVPPFSTSGPADRTTISSSMSSVNMMESDTSSSEEEDE SMADRDDIMINTPQATRISAPSPFASNMQSPGNEWMGGYSQAAASLMSFQRARFRKGR SRHSSSSASGNSTKPSPTPHSPPIMKSVEHSGGYFAPRQTAHSRRESLSFGTRDLRLS DMSDDSESRTTRSGSPIPTPHPEGGGPLGVIRRAVTRRGSLLPKTKTFARIRAALMEE GAPVDSDMKREAEVVRQVRDTEPESTPALGEFPSLQPSTSIDSTATEDPAVKAGMDTA STDTFGKQASRNSGGVEFWNSFDGRYRTPPPVRQGGPSSIADEDMFMDMTPSTTLGSV TAESFKQRSRSSTPHAPGMPTIGEICRKRRRDDDFDPNLFKRRAVSPSVSVQSSPVMT HSHNVNDMGPNIWGPPPKPGLGAPFSERLGSESGNRTISHAGGVKRVGLQGMTEASDG FMNMSID PEX2_065090 MDPEVLVIMIMLCLYEIVDKCDQQWTIHLKGANDLIRLRRKQQM ALSQSTTPSDPVTTFAEQFFAFQDVMGRTACAKEVLFGTDYWKPDERNIDLWMGCSPE MVSILAKITDMSRTRRQHTSDTDKASFSLRAASLERQLENLVQEVGEGDDEILSLVAD AKRLAAMLYLHCSLYGAGPTTPLVKSYVRQILRVVSDLLDRKSLVNVTWPVFVAAVEL DPSDDELFPESEIKSGSGRAIVLHSLATMADSTISNIARTRAVITKLWQTRDSDLING TALQSQCNDWEWHVVPISNAMSLA PEX2_065100 MPSSRKPKVQILLSVDFDAVSGFLGTGASATTNLADYSSGFFAA QVGVPRLLRLFKKHGISSSVTWFVPGHSMESFPKETKAIVQSGAEIGCHGYAHEGSSQ MTESQEREVIAKCVQLATDLTGEKPRGWRAPLYQLRTNTIQVLEEFGFLYDSSLTHHD SSLYFIPRVSEPKAIDFSPSKSASTWMKPLPAPAAKTPQTLVEIPCNWYMEDMTPLQF LAGTANSHGFVSTITIEENWKSRFEFLYSEALEKSIEEDSEQGFVFPLVLHPDTSGMA HVIGMIDRMISWLKEQGDEVEFVTFGDCAAEWKKNNA PEX2_065110 MSDTHPFDPLGPQEITKAAKVVQELLHGKSFNFRVITLKEPPKQ EMIAFLEREHLEQPQVSRPTRIAYIQGIVRGDSGSNELTELLVDLDRCAVIQQEILVG KHSFIDSAYMKDVENACMADERVQNEIRKLRLPPGASVVVEPWAYATDGMNDMSERTS MCWFYMRLVDNADANYYAYPLDLCAEVSEHLKVTKVYHLPSSESERVHEEARPYDTCK VHSTAMSEYHPSLRPPPRTTTKPYQVVQPEGVSFKSHGNLLTWEKWTMRVGFNYREGL TLHDIRYDRRSLFYRLSLAEMFVPYGDPRAPFPRKAAFDLGNDGAGINANNLSLGCDC LGLIKYFDGWHNTCSGEPLKLPNIICCHEQDDGILWKHTNFRTGNAAVVRSRILVLQT IITVSNYEYIFAFHFGQDASIHYEVRATGILSTSPINIGDNVGYGTIVAPGVLAPYHQ HLFSLRIDPAIDGVANSLQVEESHALPFDDPSVRNPFGVGYTTHSKIVTEEGGLDLDF NKNRTFKIINEAKVNPITGTPVGFKLVPCYSQLLLAHPESFHAKRSEYGSHAIWITRY NDEELFPAGKHTMQSLGGQGINSAIAQRRNNPDSKSTVRNEDIVIWHTFGSTHNPRIE DWPVMPSEKMVVALKPVNFFTGNPSLDVAVSNQEDNKSRLVEPESSTCCSPIQM PEX2_065120 MTSPRTILVFQHDASLHILETTVMTVFPFDVLEESSRLLFKDAS EEHHVVVTQKTIFHPQGGGQPSDTGVLKGPSGTFTVTAVRTDPNGQVLHLGLFEGSSS AFRRGESVQQAIDVEKRLLYSRLHTAGHVLGASVRHLLEKEIENFDELKASHFPDSAA CEFQGSIEGKWKDSIQNKLDEYVRAKMPVQIEWWDEEDFRSRGLERLIPDRSLVPAGE KSRVVNIVGAEVYPCGGTHVDTTDLCGPVTVKKISRKQGNSRVSYVVK PEX2_065130 MPHNGSGNGSTPILQRVGLAGAAARFAQRTYAADYIALGFLVVG WVLIQLFVNPFHRMFSLDNKSIQYPFAVHERVPVNNSVVGHLRWSDSFPGYSRLVRNV SRRCAKDPSDSTWAIRGFDVDIVRNGHNQKCGGETTSGLVIALQALPRYIEQCAGRMD RLHRIQPTHFTRGVEELP PEX2_065140 MADENQQRAVNTAFAPPPPLWKHFTRENIDRVEQIKAEASKSED GRLNKNKQWSAAELRALQLPSELRYLVPPDIPEGQYSVFGELQTLSTTLPSLQEQGIE QLYPEPPAAGTEQNSQPSPPLNHAYYLLKISKSLLLNFLEFTGILSVSPEQFESKVED LRNLFINAHHLLNLYRPHQARESLIMMMEEQLNHSREEMKQMDKVKAEIESVLEQLQA EGSRVSGTGSEDDTDQAKALREKTDEHSRLIWDLLDKEN PEX2_065150 MGDQISVPEDSPQSFEANTTASSSPSNTQSPDSSSFRVHFAGKE LGVISLLTGTPFLFPEGREWIKTRTGQHVAIDKLSPARAPWDKERGQTFNTVMMNMNM NTCNPYELPDWRTVQVYFQAYTSSKVMRRIFPVIDPELFEETLKTAYSQSQSTLKYGQ ASARVCVIAFLTFVSRLPNVKDIVKATSPTTSPIDHDILATKAQFLMPQVLQESASLD AAQALTMLIVFELSSGNMRATNYYAAVAARLIFMLGGNLFRGDGMSANERSQKKHSQL RNLFWICYTLDKDLALRTGQPPTIADENCELTLPPGYLDRAFLDVENEEAPWYGPVFP FDLRLSMIKSRAHRELYSVSCLQKSDAELLKSIRELDDALEEWRLSVPPRWRPTMSFS PETSDPHMVEASRSSLCYLEAAEHVVVDGVFWTLIFYPMSALLTIFCSILQNPLDPHS REDLGRLKVATVMIERIFSRKLHANEVVHFKLVADFIIELKRLAECAIDKAWAEQRAA SN PEX2_065160 MAVTSISTPFDCTLPSSSSGSSIKKPIKAVSKPCFGCDCGDEEC DCCICIVM PEX2_065170 MWLTRELHTTYGPAVRIGPNVLDLDIPESIKTIDNIKSDYLKVN ISLTAHIDDMISYLCQRLEEKFIDRSNPTETRDLGEWIADYTWDVVGNATFRQLVGYL EKGCNFDKTLRIANFAMDFAMDYFSLHLMDRFQNKDTHYHDPSKPEFL PEX2_065180 MDQQRFLQQLQIILDPSQGNLKEATGVLQKEFYNKPESLVFLIQ ICTSHDDQNLRQLSSVESRTLVSKHWLKVPADQKPQIREQLLRSTMAESSSLVQHSIA QIISAIAKIDLNDGEWADLPNLLLQAGNNGNAAERAVAIYILFTILDTLGEGFEEKFQ DLFNLFNKTIRDPESAEVRINTLMSLSKLAMHLDSEEDEAPVKAFQEMVPAMVAILKD SIEKQEEDHIMQSFEVFQTLLGCDPALLTVHLKDLVILMNQISANTEVDEDVRTQAIS FLMQTIQYRKLKVQGMRIGEELTRTALQIVTELGDAAPGDDDITPARSALGLLDMLSQ SLPPSQVVVPLLNALGQYFNNTDPDYRRAGIMALGMCVEGAPDFISTQMKEIFPMVLQ LLADQDPKVRQASLHAVARLAEDLVEDLSSEHARLMPLLFQNLASAMQEYKGEEEGPT LDIIKAGISAIDAVVDGLDEKDVSPYQAELVPILHNLFKHPDFKIKALAAGALGSLAS SAGDSFLSFFDESMHLLQEFAAVKDSEDELDLRASVTDSMGEMAAAAGPERYQPYVEP LMRATEEALHLGHSRLKESTYIFWGAMAKVYGEHFAPFLDGVVKGLYDCIEQDESDLD VDLGSAARDLVGQEVTFNGRKVKVASADDEDDGDIEDVDLEDEDEWDDITATTPLSLE KEIAVEVIGDLVTHTRSAFLPYFEKTIEHVMPLCEHPYEGVRKSTISTLHRSYAMLFA IAEENGQMPKWQPGLPLQVQPAKEVQKFGEILMTATVKMWTEEDDRSTVADINRNMAE NLRFCGPALIANETMLHNVIQMVTDIITKQHICQVEFGPEEETLEAGEESSEFDWIVV DTALDVVSGMAAAMGQSFAELWKVFEKTILRYAGSTESLERATAVGVLAECINGMGAA VTPFTSVFLKLLLHRLADEDSQTKSNAAYAVGRLIQHSNAEAEIIKEIPTILARLEAC LQMDVSRLQDNATGCVSRMILRYRDSVPTKDVLPALVNILPLKNDFEENEPLYRMICQ MYKWEDITIRELTPSLLPVFQSVLTGDEDQLEDERRAELIELVKWLNQMQPGAAPWVE QL PEX2_065190 MRLPRAIAAIVGLGSFAPMLVGAQGETKIHEKGRCAIRGHCGKQ SIFGGELPCPDNDLAQQPEDAVRQKLINLCGTKWSEGPVCCLDEQIDALSSNLKLAEG IIASCPACRDNFFNIFCTFTCSPDQSLFINVTKTEENSSGKRLVTELDNIWSKEYQSG FFDSCKNVKNGASGGKAIDFIGGGAKDYTHFMKFLGDKKFLGSPFQINYHTEPSGSDP QGMGALSIRPKACNDEDKSFRCSCVDCPDVCPELPAISPHEICHVGLLPCLSFAVILV YSIFLLFVIALASYVTYKERRFRKPERVRLLQDPTPSDDEDEGEVMHRGGYMERSHGV YKLNSVLSALFHQVGGACARFPGITISSSVFGVALLSLGWWSFTVETDPVRLWVSPSS AAAQEKDFFDQSFGPFYRAEQAFLVNNRPENDSRPLLDYETLTWWFDVESRVRRVISL DQALNFDDVCFKPTGEACVVQSVTGYFGGSVLNLDPDTWKDRLNHCTESPGDPSCLPD FSQPLKPEMILGGYEDTGNVLDAQALIVTWVVNNYAQGTEEEANAIDWENTFQAVLGV VQEEAAERGLRVSFNSEVSLEQELNKSTNTDAKIVVISYLIMFFYASMALGSVTVTWR SLLTNPSNALVQSKFTLGIVGIIIVLMSVSASVGLFSLAGVKVTLIIAEVIPFLVLAV GVDNIFLIVHEFERVNISHPDEEIDERVARAVSRIGPSIFLSALTETVAFALGVFVGM PAVKNFAAYAAGAVFINAILQITMFISVLALNQRRVQSLRADCVPCLTVRKANSFGFP EENHGGQDAESTLQAFIRRVYAPFLLDRRVKVGVVIFFLGVLTAGLAFIPEVPLGLDQ RIALPSDSYLISYFNDLDSYFGVGPPVYFVTRNVNVSERSHQQQLCGRFTTCEEYSLP FILEQESKRPNVSYLAGSAASWIDDFFYWLNPQQDCCKENGKLCFEDRVPAWNISLSG MPEGPEFIHYAKKWIDAPTDASCPLGGKAPYSNALVIDEKYTTINASHFRTSHTPLRS QDEFIEAYIAARRIADGISQEHNIDVFPYSKFYIFFDQYVSIVRLTGTLLGSAVAIIF VLTSVILGSIATGAVVTTTVVMIVVDIIGTMAIAGVSLNAVSLVNLIICVGIGVEFCA HIARAFMFPARPIMEKVPAEFRGKDARAWAALVNVGGSVFSGITVTKLLGVCVLAFTR SKIFEIYYFRVWLALVIFAATHALIFLPVALSYFGGGGYFDPASDGGLEANLASRGYR SSLVHDDYDSDEY PEX2_065200 MADIASLETDLTECKSQIETVALGLEVDPDNVELNSLKTELEEY ITVLQTQIAELKPTAPAKPAPKSGRFKDNGFQKPTEHPEEAPAVTTTPVSFSVNDIVL ARWVSGDHGFYPAKINSITGSSTNPVYLVTFKSYATVENLTAKDIRPISGTDSRKRKA DGTPGSSAPPSPALPHPGVISAAADINPALATQARNEPNKASDGLVRPAKMPRKVKAG KELEEGKNKWKDFAAKTKGKGKGAFGKKESMFRTGEGVNARVGFTGSGQTMRKDPTRT RHVYQQTDDDGF PEX2_065210 MGDYERFQQGLYLSPNQQDLLLAALSSNNPSQKPQSGSPQIKSS QSPDENSSNGLSAPPSGGFDNPHAGNFGFGDDESPFLDFTPDVDFDFPGSADLIGDLP GSADYDIGEKRKSLDGKSDIEGEDSGKKRRESEAKKPGRKPLTSEPTTKRKAQNRAAQ RAFRERKEKHLKDLEDSVEKLQKTSDMTNQENGLLRAQVERLQVELREYRKRLSWMAS GSGNAISAMSSNSIPSAHSKGAYGLQNNEFLFDFPKFGDLPGGHLFNGQANKNDQSKS NGNIPQAPGVLGRDNLHSPSSRSNSLVQSNKSKSNGTPSSATSAKALYSGFKSSANDT STSENSPSSSSDSHQSQMLSSNGTSPEPSLNSPLDGQYRELGPGDSCGGHVTTDGEKS FCAQLGMACGNIRNPIPAVRDNSRSKSQSVSSSQPTPIDGPTEGEDAQDLGIDWLAHQ NGGQFDPVLFGDWREPQDAVLSQDFGSFFNEAFPLPDLGSPSHNLSEVATDAAQPKKS LVAQIDSRLEEDEVVPGEDQSQMLSCTKIWDRLQSMERFRNGEIDVDNLCSELRTKAR CSEGGVVVNQNDVDAIMGRAK PEX2_065220 MHGWPHNLNMRFNLNSLGLGSLYTSVLSFYLGQESSSVTHEPAL PPSYPLAVRNPYLSAWMPSDRVQQLPSAEPQFWAGQELGWSVIVRVNGQAYSLMGVPD PEESDIRPATVHRAEFTATHSIFDLTAGYLTVHVCGARGQSIQVYSDIDARWTGRESR SQRDFEEQDGLIIYSLTVKDAPLYAEADDMALWGSAIFASRPSSFAQLSSLSGSPNDV RGLFAKDGELSGEDDAWGEGSVVALAHNLGKVTGGLSVNFVVGYEREAAINYLGEEYT GFYRTEYPTTPSALSFFLDDYSSALLESLELDRELSALSTAAGGPKYADILALSTRQA YGGIDLVIPNDSLDTGEVLAFIKELSSDGNINTIDVITPAFPIYYVMDPDYIRLLLEP VMKYLAAGRWHLPYTIHDLGAQYPHAIGHDDQEAEPMPIEECGNLLILAAAYVRATGD LDWTLQYMEVFQKYADYLVDNSINIADQLSSNDAAGPLANETNLAIKAAVGLKAFGEM SGYGFYSRVGEEHANILFQKGLGTDKDQTHFVLEYPDWPNTWKTPYNLFPDVLLGLET FSDAAYQMGGRFFTTVRGEYGVPLDNRQDWAKSDWNMWLAGTFETSTRDEFVDDLWSF MTNGKHNWPFSDRYVATSAHGNEPGVPILCRARPTPRPNFGAQGYPISNGAAAGPVPG ATPLLPNNGRVIQSGPTRILCIADVRGNLKSLNELAKQARADHIIHTGDFGFYDDTSL ERIADKTLKHVAQYSPLLPENVKRSIAQVSPQQSIKQRFPPEQLPLSELSMLLDKRIT LDVPVYTVWGACEDVRVLEKFRSGEYKVDKLHIIDEANSRLLDIGGVKLRLLGLGGAV VMHKLFDNGEGKTTIAGGQGTMWTTLLQMGELIDTANRVYDPSETRVFVTHASPAREG MLNQLSVTLKADFSISAGLHFRYGSSYNEFSVNPSLDHYRGKLAASKASFNDVWETVK IEVEAAISSNDGQKTLLENALDVVHKMPSIANGGNPFGGPVAAGNAAGQVDESAFKNM WNFNLADAAFGYLVLEVEGGRIATEMRAQGFNFAHRTGKPQPGGAPQPVSSGLPATTA SPAPTGAARTPVVAPQFGQAPPARAPIPQAQKGPRASPVPVIPKSISPQPPAAASAQP VGEEKTAAADTNGTAPHEKPSESPAPRGEKKPANALFVTSAESEQAVRDLISEEDRSK ITKIEKLGKYNHVVTFSGAEEAKAALDHLPIELKKPGPTPHGQQRKPNFKFFEDRSTR AAVGNAGTWQSSTRGGITTAQRGYQSASDSDGSRRGGFGGRGRGGRGSGERGRGGRGG GRGGFKSGPNDSPAPSGDKPVASGDA PEX2_065230 MTVQKLQVGMAGLGRVGKLHAMNFLQKTPRAQLVAAFTPDPAEI TWGRVNLEPHGVTLYTNYDEMLKHPGLQAVAIGTATSVHAEETIKAIEQDLHVLCEKP LSTDVEVCRAVVQAAKKKPHLKVMCGFSRRFDDSYRDAHAKMEQGLIGRPAIIRSQTC DKFDPSGFYVEYAAWSGGVFVDMSVHDIDLSLWFFGDEVLPKSISAHGITAVQPELKK YNDYDNAVGIVEFWNGKIAYYYCSRMMAAGQEDTTEIIGTEGKLTVNGNPQRNFVNLY HNGGITREIPHNFMGRFSPAFVQEANDFTAACLDNTPLPMKLSNAVKAVEIGSYLQEA LVSGKQIHFDEIGRRIEKAQL PEX2_065240 MALWADITDPQRHFETEVSARALKEPVLRYAIFAFSSRHLDRQD NTDVTEALQYHNRCVQLLIPALSGPREHITEDILAAVAILRQHEEMDGEDNQFHLTGT THILNTVSTFGSSGGLGEAAAWLCLRQDIYISLTSQRPLRTNLQSFYQSDVFQRNDDF AWSSRMVFLLANILQGAFTDSTITHSTDQIEEWYSTKPHTFDPVRSIPKGPEPDQRLP VMWMLLPVHVIGIQYYHIAKIVLALSESSQASSTYESLRHSRTVEVRSNTNIFRSALT KDLEECTPPSAHGPRIGTFQREGREHVIYCTSQFSRLGLRSSASPGPKGCGIAIAIHE CKDRLEYELAHSDIARTMARD PEX2_065250 MSATKEHKSTQFPLSIESPPSYNDVSGAVIINQEGKPQFLSPEE EVERHHRLQQAVREKMLGLPRTTKFEWHWGTSGMSEYTATMSEDPPLPLYTP PEX2_065260 MGKPRAARSLADQLADFEDPTPKDFDPEDIDNGQQSSDDEGEDQ EEVNTGREHYQTVGKAKLRKDDPLPLGKQYDGARVSRAALGAESDEDEDDEDSDISSG SEEFASGDDALAQESSDEDTEGGEDDDEEESEEDDEDESEDADEVVHKHSKKTRQDAR HGDTTGPSGDRDEIRKLMATDQKTIAATISSAAKADATKGKAVKQQRTTFDALLNARM KLQSGLTSINGIAAVLGSKNEADGEDPMNDDEEVVDEEAIKSAESAALALWSTLEGLR TALADATAKEGSKKRKRPSPASPTTSSASLWERMAELESESISHRRSVLDKWSSKVRG TSASLPNARGKLLGSTSGRQQTITAVLDAQVATEIGERPSKRSRTSDQQEPLYDDGAF YQSLLRDLVEQRMSSTDSMTSGFDNLHQLPSRLPIHPITGMRNDKVKRDIDTRASKGR KMKYNVHEKLQNFMAPDDRGSWSTRARDEFFASLLGKTASGLLGEGDEGASDEEDDVD REEGGLRLFRN PEX2_065270 MLVFPSAANSRGTRLFSTCRALRHDNPLGLPRSGTPPTFRSRRG LPEKRKIRDVKKVVAVSSAKGGVGKSTLAVERTIAKEILPVNLALSFARRGIKTGILD TDIFGPSIPTLLNLSGEPRLDENNCLVPLTNYGLKSMSMGYLLPQTQADSTTGELPMD TTPISWRGLMVTKAMHQLLHSVSWGPLDVLILDLPPGTGDVQLTINQEVIIDGAVIVS TPQDIALRDAVRGIGMFQRMEVPVLGMVRNMAYFACPQCGTQTRIFSHGDSHHHAHGE NHGVVAECKRLGVDFLGDIPLDARVCEDADRGMPTVVAEESAERSARRDAFLSVAEQV ARKIGLNWH PEX2_065280 MVRHIFSWLWAIPALAKVQLHSIHPQGHDEITYSFNIPDNTAKS GSGPIYFQMNSTRQVQWFALGQGMQMAGANMFVVYTSGNTVTVSPRSGIGEIEPLYNK GAQITILNGSGVHDGVITANVRCDTCLKWNGGTETVTSSSSPWIWAIKYGESLNSVSL SEVITQHDDHGVTTIDLKKATGGNSMNPFAQMARAPISSSEEDPGFAAFRRTVKRKKT AHAVLMVLAFVVMFPFFALGLHIFPSKWTVNIHGTFQLLTLAVVIAGFGLGISLARQI ELIDSYHTILGMIIVPCLVLFQPAMGVLQHRFFRKTGGKGPFAYMHRWFGRLMMILGV INVGLGFKLAQAPRGAVIATSVVAGIIAIVYIVIYLHRKSLHNKPTVHVTYDEGIQIV RQFLFYASKHPVEDLQAFTRQWAPSPHWVRTETITIPDEFLSSAADAVTKQLGPKGVT RVGGEKWWQWRGPSEELKGEWIEMRNHYNQTEGAGGHCNRVMLYVHGGAYFFGSVDTH RYMMQRHARKLKGRVFAPEYRLAPQFPFPCGLHDCMAAYLWLLKSYEPKEIILAGDSA GGGLALSMLVIMRDQGIPLPAGAILISPWVDLTHSFPSIIEENTGDYIPAYGFRHKPS PAWPPPNADDILEMKKISRQPIVTAEDVKKAIPQPNSTAEETAIRGYTVHESTPPPAE HVYPGHQQAPNPASLHAEPDNIHVVLDGKTVELKDQIQLYTTNQLMSHPLVSPVLQPS LGGLPPLQILSGGGETLRDEQFYIAHKAANPAAYPPSDVYLDENDPTRETLNKYEPTY VQLQVWDNLCHVAPTLSFTRPAKYMFRSISQFGSWALARAQNGEVEIVDDSALSAASS NSSEDEDVPEPQTALNSPPDAPGPSSVGKAGDPLPAFHQYMIRQRIDKRGHVFPLDPP SSYLVLKIPPARIGAINPLLIRMWLDAKKEWDIRFAKEKLRVQSRRLKELAHGFQDFD GECPPPSSLAARRAAPGVLPKRHAKKNYGMMMWSGWGSRHDERRMEMEKRAEESGRRS TRVSTDAGQAGAWSSTPATNPKTEKVPDKDLTNNKSQSNGYTAVSSDKKEQPSNCNSS TGRTSTDPETVSRPPSQISTGPILILPEVNNNKFTDENASTRALFHAAGSLPMKSDLS LAHSRYRPSSAAGSATGRSEMLSDTASTVGGDKDSVAYMNMASDTASTRAVIGARGII APIMAGENGRRSTDTLSVFSAAGRDSLSHRPEIPEREGFKSAE PEX2_065290 MLGKSSLAVVTLIAQALPGLGATIEREIVKVTTSETVRGGELAN IHLHWLDQPPSLIKSVYAPCDSEDSSAKGQIIGQFSIHDHPPQRLAWVVPDEAPTHHC VYIYGSDGANLTQKVLGRSSPVSLQKKSKKRSTADTNIPLLKDFDAQGAWFDGVAKIK DKVESSGGVASKGSPKNTSIAIVGGGISGLATGLMLDSVGIHNWEIIEASDRVGGRFR TKYVAGTQEWAEMGPMRLPHSVTYKDDNETLLYSDHQLTFQMAKILNNMNKNDLQWKI DFIPWIQHSPNELYAQGTRRHPDGRIPTRAEIKEDPSLKDPPEMSSVEYSNIENQMNK ILKDEKTLKSLQKDVWRAHKAAMDQGLDDWSEQAMMRHVFKASHNITDEIWTSSDYDV FWDELHHNSNLGLDGSKGSLGETEWLCIDGGFNRLSDAFLPHIRSRLTLNRKIRKLES VKGSDGHTRTRLSWYPNVSNRTFESKEYDYTIMAAPFTMTRFMDLPKFSSVLDRAISE AGLRFKSACKVALLFSERFWEKGDRPIFGGYSRPSSDSVGALYYPVYGLNESRPGLIM HYRGGDWSDRFVSFSDEEHVQTVLDSIVSLHGKQARDLYTGDYERLCWLQDEHTATSW CRPDVAQHELYIPAYHQTEHNTIFIGEHTAPTQAWVSSSLHSSVRGSVQLLLELGLVD EAKELNQRWMGRWIKL PEX2_065300 MTPTANTIYVVTGANRGLGLGLTKRLLERPATTVVASVRSHNAA TSLRSDVEDVAVGENSILYIIELDFSTAIPPEKIAQNFAAAVSTVTHVDVLICNAGFA APMTPALVTSAEDLRESFEVNTIAPLLVFQALWPLMQRSGSVPKLAVISSSVGSIADQ EPVPGGAYGPSKAASNWLTKALHAENAADGLVAFALHPGWVQTRAGDFVAKEWGYPGS PPVTVEDSVKGMLGVIDNATRENVSGKFISLTGEILSW PEX2_065310 MVLNGYGLGYCLWRVSTSLLLFLAASTISATSSVDNGKLFTVND INYYSGDVVSSIVISGFDSTRFDAIVPLTVIRTDKAVLNTNIIKETIANYSATDDVFQ TGFLEAVFLVYDGDYRGQIESGVQKALRGLGNKLAMASSSYTSGVHIKYAKLRHDIPN GPYFYSPRTGEIYQAFRLYSDHQLAFTEAALSDGVGGFKPLPATSSGAMTKSVAVPSR LYYTPTSSKPLAGLRLGVKDIFDLKGLRTPCVFSRSVSWCTLPGHDGKSFRTDIDD PEX2_065320 MTDGWSFQFYQLNMEGAWSFLGLQAKRDSWQAIATMLGSIVLHA QQACNSPLRPSLSSNQAPGSKRYSVASVAPRISSLQLAPMGEFMSGIQDALDADT PEX2_065330 MKSTIAFTSAFLATLSLVAAAPAPAAAETVSVSFDPKYDVGTSS LNTVACSDGVNGLVTQGYTDFASLPIFPNIGGAITIAGWNSPNCGKCYALHYSNGKID KTINVIAVDTAPGGFNIGLQAMNTLTNGQAEQLGRVDATYVEVEASACGL PEX2_065340 MRLKQTVVCAQCTVQLNRRPVLHYLGFVSQFTSKRSNSHVAQID RPIRVAIVGSGPAGFYAAYRLLAKQKDAIVDMYEKLPVPFGLARYGVAPDHPEVKNCE DKFTEVAESTRFNFVGNIDLGHDLPLAALKPHYDAIIFAYGATKDKELGIPGEEALHS VHSARAFVGWYNGLPEHRDLDPDLSGENAVIVGQGNVALDVARILLSDVDTLQKTDIA DYAIEKLATSRIKRVRVVGRRGPLQASFTIKELREMLQLPGVSFDPIPEDIFPPEEVV SALPRAQKRLMQLLAKGSANDPLTAPKSWSLDFLLAPDSLCWSPDSPYHLSHVKFSRN ELDPSDPHSPSSKVSPKHLSSGKRAQVNLPASVFFRSVGYKSLPLPGLEDLGIDFDTQ RGIIPNDGFGRVTSLSSKGELESLPGGSIISYLPGLYCAGWVKRGPTGVIASTMTDAF TTADTIVQDLAKRADSMSLLHAPGHSSGLGWDGVKIEAQRRGLRPTSWKDWQRIDAAE RESGQQKGKPRDKIGRVEEMLKVLD PEX2_065350 MGRSSPPFLYESPSAYGFKGPTDRGFNPRAATEASWTRPADKPK PKGPLVNLNRHPDTWGSFNTASSFTPMSHKTKDRVKYARSTQLALRVLAFLGALGSLF CSIVIKGAAVTVIWIIRAGPIVAILHTIYAIYHNSRSALNRPAGSQSSYGLFAALLDF GLIPFYVFTAFMAHGEWNSNAYHWSTLFNNSDLTVKLSEATFILAIANGALHLISLTI SVFLAVTFRKISRLPPDMNPLEDNLTTRPRKSHQETQMEEKHLSQSTLDSTLEDPLMG PPRSVPFMHTREQSSGGDSSRGSMGMLDEQRQSHSSFYQHRLSHLESPTEPYMDPSSP ETLFQQTPSQPYDFTSQTSAPEYRKVASHAPEIINATAQMRQLSSRTADRSETVSPLS DNWVAYSERSPSPMGETQDEAAAALRQSSSVYSRKTSKTTPSAGSGIRDWFAYGSKPE PSIGSAIPEDVRGEYASLAMHEFYGLDDGRREQDVGDQRMDIFPDPEDHRDDFDDERD GYIPFNPLMLNPPTPQPILTEKPENTDPVRRIVLGDSPNLSYNAQAQPVSVPHESPKP TSPKTRFYGDLDVDGKPGLARSREPSEQIVRKPTKLTKKRSSKMSAYEALKKNDNDEE NGYLSTRLPASPRATESDRKGRVVSNTGTDTARPGIAAGVGASLSSYGSYIAGLGVGR RRDVSGKVAEEGRSENVLDEEPAHNQNESHKQTPSSSSTRAAGWARFAGL PEX2_065360 MSVQYDSTETLLNATWVAVQLSERDVAGQIPLNYVTNPAVSLSA ACFGNHIYDRDDAAKCFSNLLAIGYRRFVVDLYWSVNRRTWSFCPVSVPVESGVAVSS GTSTTTATASADSATVTSSADSHGRTLYELGSYKCTDNLDPYILAEVLVGYFRETNSR LTVYTTYLVLNLHVAASDSTPDEPASAISGGQLPSGTELAGSILGVALENFIYSPAQL ASDRSNLNQSWYNVEEGYMPITEYFTVHENKAGEQSTPDGWPSSKYIQLAKRDRVLIE YGSIDSQLVDYNLSTEQNLVFPPGYLTSNSKTSAATNGSLTSGCLYEPGLTRVSQTNS SWAIYSPLPVSDGLSTDETMGSLSNVLSDITACGLSPMLNTTLFGQTADQQVEYYRNV SLSSSWAWAIGQPHDANYGGGDGDPKYDRCAIMDLTLDGHWRSTNCTEQRYGACRIGN SPFSWVLSDTTEYFSNVSNTCPPGSSFAVPRTGLENTYLYQHILSLPKTKVDLSSTDP TLREVFVDFNSIDVTSCWVAGGYGARCPYASDPQQLERRTVLVAAIAGIIVLIITALT FFVKCNANRRNSRRRKRVIEGWEYEGVPS PEX2_065370 MATPGQPSPEQMAAMQQQFAAEAAKRGMTPQQFAAKQREQLTAD AAKLGLTTEQYVAQLRMRAMQAHQQQQKIQAEQQGQAAPEGQQAPSPAPQQHQHTTTQ QVPVNPNNPPDPKAVAVANWLRSQNLKPRTCIMDGQRKDMFKVKRAIRAIESPAYAKA ASKKNSLLPPVTDRASAENVFKLLPLSLLALRVSKVDPHEGHNHAKPKNRTKGLWTVK IEQHQETDPMMHYVWLYDGPQWKQKAMAAAVVAGIFAVVLFPLWPMIMRQGVWYLSVG MMGLLGLFFAMAIFRLILFCITVFTVAPGLWLFPNLFEDVGFFDSFKPLWGWQETKKS KKSSKSKASTSAPAPVTAAAPSAAPPANAPSATTTSAEPTSTPSAVAARRGLTASVEE VEE PEX2_065380 MNADQPSIGERRGRRLAPLQTNFSRPAVQKRVQRPRPTEYPSTN GSEGPIPLQGPVKRQSSKTSLRNLFGHKSSRADPKLEEIIEAQPEPQTAILLDTTLSP SIMSPQTIDSNPTITSPTITSPSERSRTPSKSSRSKPDPKHANQEQYGWKPPPLFQAY PQSTKHACLPAPALTADSILRLHATTARSSTDDNPANPLEQDEAADAARKKREQKQKK HLRTVSGTINKVDWTQKIYVLATTGYILQYAGEGKHDRLPERMLLLGPKSVAFASDAI PGKHWVLQVSHNPTADDSAVPEPPKPRFSRFGFHKPNARRFSRNFLLVFENPDDMIGW LVAVRAEIEKRGGRKFTQEKHSDEDTMPQLKSKSSVRQMVKKDPHRISSLFLQPQTLQ SPTEEDDGQSVGAATWQSRRSSYVSVNRHSIIESRSGSVSTGRTEATNPTNGSASIGS DIRSSSFTSSNVPNSPPIGTGAFRPDEPLPEELDRIYTRSPPVSNHGKRQSLYTPLPP QPPPITDFTEEPQGDHRPPVPEALIRCASPPAPNFSVPSFSKKFVPRSGPTPIPYASP PSSINGFVRYAETDPNMSAFASPPQSPTFSVASSRHTDSSEARRILRPSNSEDALTKT VRLTQNTQNFSRVPITAPPTGPLPDPRASSRPLSLMGRPNMSRKPINATEVQPAPPPK DPIPRKRVSTVYPDNQATQSMLRRKSMPGLGLTIGPPSAPPPNCPLPKLPSPAPPIAN TTTPATSTCTTAPQMLSPWSPTSPTQRFYGSEPVRDHVEDRKSGAHAASNDPASDDPA SDAPASDAPASDAPRTARHSKLIKSSLV PEX2_065390 MASSAFQFAEGEDAQQLARDADALLQQGWAQDGDGMGITKTFHF KSYFKAVAFVNMIAAESASKKHHPTMTVRIGSVDVHWTTHRPRGFTQKDVTMAQHCDH GADLMGAVDPGQGLKCGPTI PEX2_065400 MVAVLITGATGKQGGALISSLIARNSSFEVLAVTRNAQSSSAQK LAQLSSNIKLVEGNMDDPAAIFRNARKVSKSPIWGVYSVQVAIGNGALEESQGKALID ESLKEKVRFFVYSSVDRGGDVSYTTPTKVPHFINKHNIEHHLVERSKNTDMRWTILRP TAFYENLVPGFFGKVFATSFKMALKGKPLQMVATDDIGFFGAEAFLHPEVYQNKGISL AGDELTYDQMASIFQQKTGKSIPATFQFLCSIFMASMKDMGYMFKWFHDGGYKADIPE LKRINPGLKDFRTWLERDSEFKC PEX2_065410 MIYEIDTDVDVSPKETRAADPNRSPVKPALKRKSAVLCPALAVL GVLNWSQDINFTTSEPSQSTEMNTVSMDDTLDKGYQTSFDLNAQLPDRWPAFDFESMQ FTEDTMANGLWPYPTEITGSSLIWNQISEFEPIQPVPTGSTSTSDRSIPGYLGLIQQT TETESCPPAPSGQSNSSSFERIWLDNANEDERSMSVNLDNRLYSPSQLIFGHKFMVGL GHEIRTDTNSLCQEILEHLREYPSLILDRDFWSPFIHHRLYRCSLGGMAPPMTDALAC VGAYASTAGSGSGFVDRVISQEREKLVRNFHSYTDTLEFCLAAMHAVCIYQIMGLFGD SFLPAAVKKPMFSNGSEERRQEFERSAELYSSFLLKMTRRLSSVHSKALQIHHKDETD WNQWKFMESLRRNLFFVHIINIMESKTKRLGENYFEPLNDNMILRLPLPAPERMWRAC STKEWMLARVQTLGRSIPSKSPESGGEPTLQTLGNVLQAVRAGKVNFASLLPLTRMIL ASAIISPPGTASF PEX2_065420 MQCLGIPIDHRLRFIIREAPSIDTSRTHSEHVHLLYEFGTSLKV DDSDYPLYDLSFALEMARPESKGGVLIALLQPHSKQDNSDGFLAGRRDCATLEAVSDL VTAVNNAKVCFDDTSVFDAIPLLDEAATSADISTIIADAHDVFADMVRVKNPEIVICC FRTESRNTLVRRLCSRGVGWSFHDEKSASNPIEAGLSSIRVNAFHPSYAINHYPIFCS LRRLLTLEFTKAFALLRHKWTEEPWMTSLRSECRQAARNTADGKSPGLLVAITFSISA KSYRTAKDDRNVWSEQYLRARWESLVASLETSFEKCFFRGIGDTGSEEIYDNLASSGI TWLCCDIAWILETLGPAGYERLELPSRCLHVFKAWCQKAWPKVQLQHNLSGSGGYYSH LELLLITSNQPNSLSKQLENRFYSLLRDLNLSYEWSRSESLADTYDAFSNSISQGCAF RRFAAAFEDSLESFLHYKLSQKKPGIRQQFSSLSLN PEX2_065430 MAINSEKPARDTVQAAEAAHAQAVVNAATEKLEEEDQLEEASPT PDEVVELTEDMCYDRLGYSFSEKKKWTIITVIFLVQTSMNFNTSLYSNAATGISEEFG VSMQAARCGAMIFLVLYAFGCELWAPWSEELGRKPILQASLFLVNVFQLPVALAPNFA SIMVGRALGGLSSAGGSVTLGMIADLWEPDSQQYAVAAVVFSSVGGSVLGPVVGGFVE AFLPWRWNIWIQLIFGGFVQVAHYLFVPETRTTVMMDRIAKNMRKTGENPNIYGPTEG VSFRQRFPPRELMATWIRPFKMFLTEPIVLVLSLLSGFSDALIFMFIQSLSLVYGQWG FNTWEKGLAFIPILVGYFIAWFSFFPIIKRNVKERRDNPESERAQYESRLWWLLYTAP CLPIGLIGFAWTSLPQCHWIGSMIFAAIIGIANYAIYMATIDYMICAYGPYSASATGG NGWSRDFLAGVLTIPATPFFTNIGGEHHLEYASTILFCISVPLVVAVYIIYWKGPTLR KRSPFAQQLEDARHQMQVQSRRGSKIPPASRASSYARSRQDLRIRPTLGSRGNSRVNS QVNSRANSRRNSINLNP PEX2_065440 MSPDLNSLPPSRSSSTSSSVPQRNMPAPATVPVPVNPNTASPRP SRGSSIGRLSVSERRRSAAGMNLNEIQGTSPGASIDLPASDHRDHRSSIGHAFRTASP SSHGGSPIFATADPHHQRAPSLGELHQELEQEQEAQVNRLLQMIRSQQAQLHQYQQQH NPQSTAAIDDTTPASERSAFFPPGPAAPPASNRLSISSSFSNRRNSRPSSQAASPNLR PLDSRGPEGVEPFPGLRDSPSRRGSRDESAFYQAEASSLARENVLLRQRIRELERQIG GLTTSQSASSATTTSGIASGQAGTETADPPAMNSTRDGKD PEX2_065450 MSVIQIHPSVDVIWWTDTDLHIKCPYCEELHRHGFVSYESALRV PHCGMPRPSYRFKFPNTYEINKTKARFININTLEDLESEAENGSEDETFLSGGISNMN LSETPNGPHQIEVTFADSTEQITFQLDGEEPFNERRILFAISDCVSGKVSSVRNYYLE QTEEKSIFLHGKNHQGDTCLIMASREENPVMVSLLLDYGADINAANKSGRTALMEASL WGRLESATILLSRGADRYLYDKRLQRALDLTHPTQQNRKERHTVAGGIWGDPSKDPIY KEATLNRDSDRREIARVLRGREVRTRADLELQESETTYHSFRRSPDGQSVTHYGQIRQ YPISSSVKTVAVLERGRPFPSIAAMSGWGHSEWPSTRVSGRDWTAMVLKLATIVGHTL SAYAAKDQGIQGQFQASHAEKQLIAYFLDRHVFLQQDKTPNPQFDEEIENQESEISEM ASRFSSIRQMHRLQKDRNKVVFELWNKDDKLLGDEYDEEVVKGLKTNVASLDEEIAVL ERRPEARQMRARERQIRLIERQKRLHERLTRMSAKEPERALRRATILISAPTHEVCED CLLFQNRVNHFFGLQIELRECTT PEX2_065460 MGDPVEAEGIGTVKLTLRGKFNQPLVLKNVYFAPRVGMNLISVP KLLRDRYSVVAHPQNVFVQRRGRTVGTAYHAEEDLLILRCHVSKRSRERVQLARAPTT YGHADSLEPQAVAMEVDDPQESSDAECAASTSELGGEAVILEEDACKGVDQASEAMWH ARMGHLNRGDLRVVLRQTGTPYRPLTQAQLLATPQCPACMSGKQYQKRNSRARRPRLH STRIFEMIHSDIMEMPIAKDGSRYVITFTDDYSRGSWAYAMRWKHEALQKFRLFAAWV HRQFGAQIKRFLTDNGREYLPIGTHLEPQGVEFDTSPPYCKGQNGLAERTNRTIRERI NTLLSDAKLSPSWWTELVDTVVYLKLRAPASILQKKTPFEILYGKPPSLLHLRRIGSR AWVLIPKEHRAKLGPRSSECRLLGYCEPNQYKLYEIHSGKTVFSRDVEFDERTPVAPL IEGERGNDLPDNAPLSPVFLPVVPPPSSALPTPPASPPDLAERLKTPSQHVETLPPVN PIEQEAESTQDLGYSIYGRRRRPSRRLLESLGKVYTAGALNTASARTVDPSTFHEAVS CPNQLEWWAAIQKEYASLLEHGTWEKVLRTDVPAGDHVIGCKWVFKTKANGTRKARLV IKGYRQKHGIDYHETFAAVSRMDSVRCIIASAVLRGWKLHQFDAVTAFLHGDVDSSIY MELPEGFEEPGYVCRLRRSLYGLKQAPRIWYQCVHRVLAAHGFTMAQSDNCVFYKSNC VICVYVDDFLVAAATSHEIDQVQRALKKEFRLNDLGTPRSFLGIQLDYHADGAVSIHQ HQYIQKVLSDFGMETCQTKSTPMNPKQVLNRRPDEEPPDEETKARFATAIGSLMYLMV GTRPDIAFALGTLSRFTSQPQSHHQVALQRLLRYVKATQCHRITYRSGQLIGYTDADF GGSVVTDGAYSTSGYVFQLAGAPVSWSSKRQGEVATSTTHAEYIGQYNALLHLQWLRT FLAETQMYRSPVTNIMADNQSAIALSRNPEFHKRTKHFNVKFHYQRAVLNAGEIGLQY VPTEEQAADGLTKPLGPTAFAKLCSLLGIEALETRRQQT PEX2_065470 MDWQQVRTYTDHLGHPVVLEQYVSPEYETDPDLETPIQVYSLVP LDDDHEELREYLMQTFSDDEVKPLFEIYSYRPRDGFACIEHNRMEIARRKQQHRSGVE NPLPLIARWNRPNRLSNIGFCVLVRSHSYRVGNMEEAEEIGEGPDLLYFNRTFSSTRA DADLAQHSPEDNEDLRSEAFELSIERVTEQMDIGQMIILDLFLNVSSYADQRYALDVD EGEPPGQDMPTEEQIRDQLGQETSVCGFSLGPAFQVSREADIVTVTNTPEGKTSDIQY VVHTSFLSHIRDTAGFSLLESTARLFTASVLSHLPVNKNLTLKFFIPKSNSWSAIGPA QNEVLESLSQQNQESQESPFPIGALHNILSQEDQPPTAKRVTPRGPEKYLYNWLPYES GTFTVVLDRAKFVSENGVYFYKNHCSKVNDSEVLSDNTNIVRGVDMSAVARRLGMVVL DE PEX2_065480 MTNASEASAEHFVANFLPLYQGPSVKLRIQPSNKEYVISKSLLC AESPVFSKMFNSEFLESQQQTATLEETEDDVSVRSLEALFQWLYQRTVRFGIEDPGEH ISAAMELARLADKYDIIELETTMAQYIKNILKYNPHPQNNSSWRHVDSNTYYLTHDHI ASASLLPQGHPVRSVLAAASVEGFLRSAKHKFSEEVHAYPSFGADLLQETRLVLHRVE PLRAATFEDPISGKRSELNSNVFNWE PEX2_065490 MSSYSGSTLNSSESDVEDITHSDIESEYIPSETSEDREFIVSDS ESLSFVPNELSEPEGPSYTILDDIVSDVTTEQSVKSLPALQTLRALVIMGIQRSDQTA PAASYHTENPLVQEFGQLQVLDDLIRLRAADIVQHPILAYPRSANHAASYDYYSGQIL NGMINQMFG PEX2_007740 MSCLSPDASSTITSRPKLTLQTTSLPRTFGTSTTGLSFSFAAAP ASSPTVRNTFKNAYEVASPSSATSPTKPSRYNKPSSPYILHPNNNIFNHRSPYQLPIG VRSILRNSPLEPSARRRSGSISAGGNGPNGAGTRRVFFPAKKQVSYRYPLEEEIRTER YTAQHLDLVTEEEEAVQAEADTNSNSPSETRPAPFQNLEGEKETSDSSPSLSETSTSD DTSADEGVRGSSLSKMERKKRRTMRTERQVRAVALLDGFEADGSSTPQTPMQGRAKRR REWKWTLGPVDLSGDKASNDFGSENVSLLHAAQGNSGSETLRVSTDFAPSH PEX2_007750 MATGQQPKSPQSRRVGEHWSGANPIPTISNFMQHVDAEKKERDR RIDEENKAKKETAARVKAQKASGEQADQGDKKDGDVVAHKPREVSQAKMRTVTDPTTG KDIGVEDQDETSMETVKNPMLTVPNANLGKPTTVQTSPDQDLAEYKEKQDITAPPDPI AEGTTSDVPIRGEKTNVLFHPTPTVSYQPMFDSLQKRATSLCIGIPIAIIILGRMCGG SLWGLVPLAACITSGVWLWMQEVIRSGRAMEWSSEKLRGQMATANLLPESVEWMNSLL GVVWGLVNPEMLTPVADTIEDIMQVSAPKVVENVRIAEIDQGSNPLRILSMRALPDDH VQNLKDNIHEENRKNKDPQEAAAAEEGGSYYNMEASFAYHASPTGQSASSKARNMHMQ LVFYLGIRGLFGVPFPVFVELIELVGTVRMRFQMMPEAPFMKDVTFTLVGIPHVRAGC MPMFRTGVNVLNLPLISNFVNSAISTACGMFAAPKSMTMDLGMMLTGDDIHKDTLALG VMWIRIHRAVGLSKQDARGSEGGGSDPYINLSFSKYGKPMYCTRVITDDLNPIWEETA ALLVTPELIKADENLSIELWDSDRNTADDIVGKVELPIREMLQHPSQMYPQVSKLQGM DEGSEMPGQLHWEVGYFGKPKLRPELRSDGKKKDLPKNLRGDPTFEDEKGAINNEEED AVMHTPPDPIWPSGIVHIVVHQIVNLQLANIKGSEGNRKGKEYEPAKPYGENTEEEGE DLPTSYCKVLLNDQLIYRTRAKAVSSKPIFNAGTERFVRDWRSAVVTITVRDQRYREH DPILGVVPLKLSDIMQTSSQVTRWYPLDGGIGFGRIRISLLFRHVETKLPPTMLGWDV GTFEFVGQNITAKEYGRNSKIKLRTGGSIGKVTRHKCHMQGSDAVFDTSDETFRDSLR MPVKHRYRSPIVFEFHNQGKRSAAAYAVLWLQHLIDNEETEIDLPIWTTKMGARLTQN YVTEQNWKAKETPGLEDLTEVGRLQFRCKFSPGIDESHERFVVDNDSRETFETWEACL SQGVRSRTVDIEVPAEVQEKHEQSLIDGRDILKQASPAERQRWVDHNGQDWSGAFGQD PRAYTDSIGRKVAEPGRDQPRHDPYTPPPLNDHASRRHSFQNGQYHDEDTSESDDELE PLTNGPSTISGSAGAGSSLSQSNMSTSQVNRANKHSEQRQQRGIMQWRPARNATFAKN EAKFALQKMKKKIGVGGLTGREPDVETET PEX2_007760 MSFASTSTGNEREMPVITSQLQHYESLVRDIYPKFDAELAKVVD QALKKISSLSLSSPTVAKVPDETDSSTRTPSYVDHTVEDFNGDMSLQALGFTSSNPDG QFEESRRPSISSCAIFMDNTGIPPIKDLDVFAYPSQAVADELVDRYFQVAHASFPVVG KEIFLSQCRSFYSNSTTHPGNKWMALLNMVFAIAARHFELSGDQSQLDHNIHMVYFAR AWRLYTSENVLLENPNLQQTQVEGLISLYLLSIGQANRAWRKCGIAIQSAVAMGIHLR SESTSITHVSKETRYRLWWALYLLDTLLCVMTGRMPRMQQEHCTTPFPVPYKEEDFRD EHVMRLILDIQSRGRLMASLLSFDPLIESNDPLVHSPSPQPTSQSASPSQQLLQANVS LYLLYSIDLAAVMREAIEILYSPEAGRKSRNDTELAMISLNSAADNWFARLPTTYRFT EARDDRSFVRQRTSLAFQYYSTKLVISQPALRSHMSGEVLPTEFDTPMAIICLNAAGQ MLDLLPNKPNISWLLGCSPWWCVLHYLTQSTVVLITQLLTQNQLRTSERSDSLERVQK ALRWLSECSTKDPSFKRAWNNLTELLPSQGLKVS PEX2_007770 MADMGIVELASHDTDNSPLYEDPEQGLLGHIVAANDTAALQLYH ASLHTRVFWEAYSPSYGHPFRVAEDHGSCDALRVLLLIYLTDPFYRASPEYPPLGEYL ACLKFSPIHVACEAADRDFTLWLLSVKELNGGDAPLLGRLDRDVDCGGRTPLICAVGG LRLGGDVERREAFIYFLLDELGCQVRESDIYYSSVRGREEQRREEGRAAVAGDADSDD TNAEVEEYEEEKELKYSVLDAAIPHASYRMTARLIDAGADVHAWQSWSDSYVWNSSEC IIQEGTKGVTALHIASLYGNLEGMRALVDHGGVSVAEMVSRADDHGRIPLHWALLGAA DEGPHMVDAEEGNDPEEIPAEDGMTPRSRRMVDTVKWLLEANPDTINARDRQGATVFN YAVKSRAAGIAGILAVLKILFDAGPRASILNHIPNEEFDPVGTTTGMTTVLHDTVDQR YRRLEKLRGERFTEPIEILLAHGADARLCLHRLCAGNWYEPISLVMLDRLLESPTNIN DTDPDGGTAMHYLVRYLNQIEAARQLVSRGADVSMVISREIRLFMRL PEX2_007780 MASLALGLGIVVAKSLVIAIWTAIIAPFRGQKGGATAYKHIALS FTRALFRNASMEQIQLALTPALALKSYEAHMKQQKASPNILVLPEDTTAFWLGNPAAE RLIIYFPGGGYSLPALPNHFSHVEALFTDIKQHGNSIGVLFLAYELTPKARWPRQLQQ AVIVLRYAIETLGKRPSDIILQGDSAGAHLALALLSHLAHPHPQKIVPRFSVDEPLRG AMLLSPWVDFRTDHASSRENADRDVITAQTLNSWARIFLGQTVEDGYTCPTKAPAGWW RDLPVSRIFVGAGGDEVLLDPIKQTAEKMKAELPDVSINTPWIAVQGDDIVVESDLVR GKHRKVRCNFASDSDQSCRECLARGLPCRSQEFPEPENSRESDRTYLNERMARVESLL EKLLIRVEGGSSQQHDSKSLIDSVETSPEGSPPIVTTATVTPAPDNAPVLSLFDNDAL GFQRSDTAASDFTPYGTINRDWGRLRRDLIALIPSQKTLKIISEASSSWWLMRMQLFN DDDKSLLSSSEGELRSSHPSMVGKAILWIALCLQQLPSEFDLASLDLPCLPWVLVEEC IARVSTLVCSDDSIVSCIDGLECLVLQGMIFSNDGKLRSAWLSYRRAADIAQIIGFHR AEPAPNESAEYQHRATFIWRHILMVDRHFSLILGVHGAISNTAIDLYQSNPSDSQDLP VHNESVLNPLARIAGSIIERNQIFTEVTPAMLQMTQGIDTALQAFEPPPLASPDNVPP GKCTERSVCFLSLHYRLWYYQLMTWLHLPLLLASGTDNFYNYNRQTCLHACRSIIACY VNIRRTTENGFDSRILDFQAFTTAMTIIVSSLGPFGPLDLTKEDYLAIDLVVAVLEQL SKSVPPDKISTRALHVLKLIRAIGMGTEPPPLDESEGHQYENGRPSRIKLDIPYFGTI YLERRAILDQSKNITDATTSPPTFPSTSPGQYYPSSSWMGTIPDRGYANQQVAETAQW ADTSLSFDLPTEFWALNSQFTFQPPFLADYDVNWDYWDMML PEX2_007790 MLPPTLKYGRRQAVGRLLQQWIENPESDPSMNICPIIPSTLKFK DLKKFKHLEIETDMSPYLPLQIEHEFGGLEIMGPTGGAWEKIAIVESDAPLDPKHQDI STWEGQIAPGLLIVEEIKRTTGVFMSEVCQAIYQNHFPIDTLNYVYMLDVCNTDTRSF VRDELYTRSNGLTWPDDQIRDWVSGTPEFEALLGTKLGQTVAYLVLGAFRRGTRRISR IRIYHSFEALQLQFAIEEIEQVVPTCNPTQSSSARSTRSTSRRQRKIEWRKAQRQWPQ PEX2_007800 MRASAISALACFVAFTAAQTFQRLGGCPDLGCIFPPDQVDFLAG QYFDIRLEVHSPVNGSEARVGEPDENFTFTIAKKGKGKGKDSDPVTATEYFKIEEPKL EKWDFTWFEDRFAEDADKPSLVNVTSKIYRRIALYEPGEYEATLTYYGGEKTVANWLV RDLPTKRRAKNVVMFIGDGMTTNMITAARLIAHRSINGKYMTKMALDKFPVLGHQMTH SMDSFITDSANSATALYTGHKTTVNALGVYVDSSSDAFDDPKFETIAEIFRRQHPKAG VGIVSTAFLADATPAGLAAHTSDRGEYDHVIGSYYEGLTDYEWTNWDGPDVLLGAGAE DFLASEEKRDYYKLFAEKGYNVAWNNTALHSAPSNEKLLGVFQKSNLATWLDRNVYQS NLYNQSNYPDGSGRDADDLPGLKDMTLKAIDVLDKRHRKDGWFLMSEAASIDKQMHTL DYDRSLGELLELDDTVRATIEKLEKLGQLEDTLIVVTADHGHGFDVTGSVDTEYMAAQ EDDRSKRNAVGYYENSGLSQYTVAGSNALRYSEGVHFPAQWDPRYTLHAGVGAFPDHR EDYRVHSEGPRTPAVKDGKKGYVANYKDAVSGFMVNGTIPVDAAQGVHSLTDVPVFAR GPCQELFGGVYSSIDIFFNMAECLGLSETKRNGKPPHGGKHN PEX2_007810 MSAPEAPHPIQDYEVYNESTDMEKKAYPVEPTDDPFGNEESGEV KYRIMPWWQAGTLMVAENISLGILSLPSAVATLGIVPAFIIIMFLSGISWYTGYTMGQ FKQRYPQVHSMGDAGELLLGPIGREIFFVGQLLFIIFLMASHILTFSVLFNTITNHGT CTIVFGVVGLIVSCLAALPRTAEKVFWMSIISAISILVATIVTMVSIGVQAPADVQND IVATPTFQEGFLAVTNIVFAFIAHVSFFGIMSEMQDPREFPKALAMLQVVDTTMYVVT AMVIYCYAGPDVASPALSSAGPLMKKVAYGLAIPTVIVAGVVFGHVACKYIYVRIFRG ERSHHMHQKTFLATGTWVAIGLTTWTVAWIIAESIPVFNELLSLISALFGSWFSYGLP AIFWLMMNKGNLFSSTKKTALTIINVVILGIACAICGLGLYVSGVAINESSSKASWTC ANNAT PEX2_007820 MKSISIVVAALAVGAFADLHTQGVCIDKPAKGVEVYNQAATEQA CTAYKNRNTGNKQWDKCPDCTLKNEQDLLYYCESQGWHIGGDELHYYCTQHGASDSIA W PEX2_007830 MKIDLVFLASLCANSAFVSALPTEASELAYVLLEDGTTQTINKA DLAASLPGVSLSPPTDSLPKFIETGSNSSFVSRLSKRGGAQFIITLPDEKFLGWDVPM STIVHANQADATASMAAGQSLANSISVGASYGVTVESFLNVGATINYQTTQTATLTGT VTMTIPKNKWGAIVSNPLTYRRRGYVFNGQPGSGHYEYFQADSFTSDSFTYGPNTLNW VKGVVTTCLGDSYPLKRCVGDGSLE PEX2_007840 MPGVPSSRACDACRQQKKKCDESNPKCSRCTRLQIPCINNGARR FKFQELKFTPSKPQEHHTGSPAIIVAPHTAYKADGSQPRVTNPKAKRASHNKARNGVQ YLQDPEKPPKPQNAAIWAFPVVANHIPSLPDKNSKELRSFHFFVDVTAPSLGAAFNST FWKTEIPRACHLDDAIWHAIISLASAHESSVSTVPVATSTTPENLHTLKHYKLAVQNL LK PEX2_007850 MSSSEKDESSLSLETHPRSHIPNWKWKGTLAVLILTTLINGYDV SNVANIQPALYKAFGNITLLPWISLSFSLAVFAVLSFSRKILYCFDMKWIYIASVLIF MAGAAVAGAAHNLPTVIVGRIIMGVGGAVVYQSNLTFVAVFATPEETPRLFGLLSATW AVGLVIGGPIGSALASNRHTTWRWAFYMNLPWVGLSLAAAIICLPRKYLGPDIPLVSR LMAIDPIGIAFNMAAPVLFALALEFSGPVWDWGSGASIATWVVFGVVLVGWIVQQCWC IGTTSEQRAIPLHLLGRVDLLPLWIASGCAGASYAITLYYTPLFFAFARGHSAMEQTV RLLPFILVFIAVVILVAGLLPILGRYNLIYIVAGIATVAGAGAMAGTLSPGVSESQVL GLEALIGVGLGCSFQHGVGVSNVINKNPRDRVDSAVMFNMAQMGGIAIALAIAGSIFQ NVGYNLLTDAIGDNGYSEKDLREALAGVSSAVWQSGNPKVLARGIDALATVIGREFYL VVAGGAICLVCGLVMRWERLDYGRHKSKDVET PEX2_007860 MSGPKAYLNASSICTVTLNNLMQSWFIPALVALAISGRLLLSVV LTWRHAQRARRIGCREPPLYPSKDPFGISTLLEILDAAREKLLPQLAERRVNFLSRQH DRYVSTFRMYQVGRENLFTADPKNIQAMLATQFKDFGLGDVRRNVAHPVVGNGIFTTD GESWSHSRSLLRPQFTRDQMSNLDREERHVHNALHAIPMLPDGWSSAVDIQAIFFRLT LDSATEFLFGESSDSQIAATQKEPGQASNDTFLYGFDQCMWYLAERLRFERLYWVIYN REFQKCINIVHAFVDKFVHSALIQAQQQEEEAQDIEKVPSRYIFLKALTGTTKDPVIL RDESLNVLLAGRDTTASLLSWAILLLARHQHIFARVRSDILEQFGTYDQPQNMDFASL QSCQYLQHFLKETLRLYPIVPFNRRCATKDTTLPCGGGKDGTSPIYIRKGRTVMYSTY VLHRRKDIWGEDAEIFNPDRWIGRRVTWEYIPFNRGPRTCIGQQFALMRASYVLVRLL QRFDRIEDVHSERDIRYGVSLTSCPADPVTVRLHQAELDV PEX2_007870 MHLNKFQPTDVRFVCGLSGYFHKDLQAVKQSPNYDPLADNIAPV TPGFKKVVQAGEVISILLRLQNGAVAIGECVDVIFSGTASRDPLFIPKEHLSLLNKVV RPWLLECDVTTFRSNAVKIDQPWPELGNKRLHTAVRYGLSQALLSATALANKCTITEV VAREWKTTISQRPIGILASCHRNDQLQLDRMIMKQVALLPHASFVHVSDIGPGGETML DYVQSVSRRVQERGEPGYRPRLHFDVYGTIGDAFTDAEIPGFLEKVEQAARPYDVLIE SPIVSSSKESQIRRLHQLKKILASRRINVGIVADEWCNTLDDIRDFADADAVDYVQVK TPDLGSIHNSIDAVMYCVKENIGCCLGGSANETDISARITAQVALATQPQFLLSKPGI GADEGLMILTNEMIRTLALVDDD PEX2_007880 MNSETTLAEPVPPPYSSFSTAKKRYIVATAAGAGLFSSLSAQIY FPALNTLASDLNVSASMINLTVTSYMIFQGIAPMFIGDFADRTGRRPAYIICFIIYIA SNIGLALQDSYAALIVLRCLQSSGISSSVALSAATVADISTKQERGSMMGFVMAGNFM GPAIGPIVGGLLAQYLGWRSIFWFLTIASGVFLLPLLLFLPETARNVVGDGSSPAQPW NRPLIHLFSRHYGERQGPSDKDSLDQPGSSDSPKKTIEHKLQFPNPLKPLILVFHPNS IIILLVTGIIMGGNMTVLSSITEIYTAQYGLDELEIGLCYISLGTGSIVASVVTGRLL DWNYRRMAANKAANSTTSEQDTTEDSIPIEKARSMITIPLVVLGSLTVLAFGWVLKYG APLAAPEVLLFFVGVGQTGGFISTSTLLVDLHTANPAAATAANNLVRSFFSAAASAAI DPMLTAMGRGWTFTLVAFILLATIPFLLLLCGMWRQKKDSPERSED PEX2_007890 MAAENFDSVLTVTIIGAGPCGCAFAADLASRGKSVMLYAHPDHR GGTDMIEKNDGWLNAAGEISGKFQIKTTSDLYLAIRHSPFIVTTVPSYGQDTILTLLS QFDLRNHTLIINVGNFFYLSARSKINAHAILETDISPYATRIQGDTVFIKGCKKTLSI WAEPPNTAQVEQLDPEAELGLRHKVEMIFSQKLVWCQNLLEVGLNNVNPVVHCPAALM NAGWIEATKGDFYFYAQGMSPSVSRVTERVDKERLAISHAYGLEITPITAYMNQNYNN DREYSDYHDFASGSVVHNKTKSSPSSLNHRYLLEDVLYGLVPWYELGLKCGLASPTIR ALIEMAAVVSGFDYFEHGRTLKSAGLGDATKEQVLHALGGPVDKTHSAYAVLSDLPIG SRIELHAPLQTAQVVA PEX2_007900 MPEEPPVTLSGPLGGALASASDAEKNARFDTSGRNGNAPLKLRS CVVCRSRKVRCDKRAPCSNCRRANIACVRPPTDRPPRWARHLDRLDSAVSSLQASQEP EPVAEDVMERLHNLESLVQELRIQLEQAKSVVNSAAEGSSGVGSPEGSAHDRQSNPSS INTTNVQTKFGRLVLQDSNRSRYVSSGFWSRVNDELDGLKADARGLPLGESDTSDDEA SPDMTPSTQELDRTPAERHGFLFGHNLSPFSPNLTDLHPLPSQIPFLLDVFSENVNII FQIVHLPTIKNMVRDWRAREMKGLTPANEALMFAIYYAAVTSMEEEDIALNFGATKAE LNLKYRQGLEHALARADFLNVPDLVLVQAFAIFLTLARRHDSPRFVWMMTGLLIRMGQ ALGLHRDGTHFDYLSPFEIEMRRRVWWALCVLDVRSSEDQGTDYTITKSSFDTKIPLN LNETDLDPESKEIPQARDALTDMSVARVTFGMCELTRQMMAHGFKDEAPSLEEQGRLL QQIYKGLEQDFLQYSTDSGNIRYWVIVTVARLLMAKMTLLIYLPLLFSSPNEDFSEEL RTKLLVSSIEVAEYNHALNNEHACRHWRWVFQTYTHWYSIVYMMLEISRRPWSPLSER AWVALHSPWLIPNQSHMERNLRIWIPLRKLMAKARKHRDLEFEQLRNDPQAVQRLEQN YCIAPIPSSTGPFPPGSNSADLFLDQWRQLVAHSDKPKHHTLAHGLAASNLSNPTMGI ASTTQSNVHPDPNPSQTFYPAFTAEPRYLATEERQFGYEVSNIESTSFDTVMVPSSGI RLGTENLPAPSYSHFSMASAAQFDGQLATPAVVPWLWSEEGISTDLTSTPGDQADSNM GMDEEVNWYNWAASAKDIECDGVTYSSRPAT PEX2_007910 MTSNIESVAFLGASTGVGLAALKRTLAAGHKCIALCRDPSKLTA IFPSGSTPNLKVIKGNAHDITAVSQCLQADNGRIVDVIVSTIGAKPRGMTVDDPDVCK KGAATLLDTLAQLRSTGITGNPHIIACSTVGFSRFGRDVPVAMVPVYYLFVKVPGADK VVMEDRFAKSGETFTIIRASHLTDGESNKTIRVGIEDPKTGPESRTVGYTISREDAGR WLAENLVLKIDAKYVNKNVTVTY PEX2_007920 MASKTPQVPSSLENLPSEIRRHILSMVNVDSLKALVQASPVYFH QYRLDRKLLLCQSLESTLGSVTADAYAVHRSNSIGFSGKRTTEGVQAFITFYRTIRSQ AWSSPLHKVVSTRGVTSMIKFHCSIIQPLMRCFVTQALSKLTKVKKGSQIEETLSRTE ETRLMRAFYRYQLCHNLYSLETREFYPSADWLSISNRIQSDFLCIFNPWEAEEISCIS YFSERKHKQVRDNLYLHMDHMDREKFDFNSDVHDKNRDSVLKTPMPRGLEMLHFIYVK IESRHNMVTRMQSFIIRSLSNLLNDPHYAFDGHLQRYQQCAQPENSLIFQGDQCFTLP PLAWTRVCGGGGYKYLFQGFPPSAFRQWGWVMWDAARFERLGADHLMMQQWNEESRHH FGYPYW PEX2_007930 MEVNTAPPAYEQDKIAERKDMPQFEEEGQLKAGEVHDAFGNEEY AEIKYKTLKWWQCGLLMICESVSLGVLSLPAAVATLGLVPGVILIIGLGILATYTGYN IGLFRERYPHIQNLGDAGEILLGKFGRELFGTGQFLFCIFVMGSHILTFRVMMNTVTN HGTCSIVFSVVGMVLSIVLSIPRTMKGMTWISFASFLSILCAVVITMISVGVQSHPGR VIHATVDTNLYTAFQAVSNIVFAYCAHVAFFGLIAEMESPQDFKKSLFMLQGFEISLY LTAAVVIYFYIGSDVHSPALTSAGPLMSKIAYGIAIPTIVGAGVVNGHIGLKYIYFRL CAKSDLMHQRNKRSVGLWIGLGVACWVVAWIISEAIPVFSDLNGLISALFASWFSYGL SGIYWLHLNRGEWFASPRKIFLSILNIGIACFGLALCVLGLYASGTAINKNSNSNSFS CANTDT PEX2_007940 MTPQPPPISPSSWQPLPQPLSDIELEGMLDANEPTQWMQEDHSF DTNTDYAFQPFPSDLSDLEWAQWTNSESHLIPTADAQPGHEDYEELKISEILYESAPP LAGEYVGQSLSQQSLTNVSQWLDGAHRPPVSCAYCRRNRLQCLIIRTTPANPNPKNAC SSCVALFRECSLAKGEKRLPSGFETFSPVLGHLHGVPEDGNPLPATTIDNSEERKEPK QFLRKGARVLREWFYQNQEYPYPTDAQKTQMAHETGFSQKRISTWFANARRRQKQKIQ SAGLSSTSRTRSGSPMVTSTLSSLTPMERWQASPPDDEPVPEAAIQTAIASSSIESDG SIDPFQLDRSTMGFFNFDQTSSHLASSVSSIGSKASETSDSASSAWSYHSSADTGLPF PLLPKQTKPKRIRGRQRPAGDYHYQCTFCTQSFKKKHDWARHEKSVHITLDSWICTPN INDVQQAFELQLSECPFCDFLSPTPAHWEEHEFQVCADKPAQERSFSRKDYLWQHLRK FHGCTKAPVADLEAWRGSGANVESRCGFCGCSLSTWSARAEHLTDHFKKGSRMNQWEG DWGLDASALSVLRNAVLPSQRAVVNMPA PEX2_007950 MADESPAQRSARVRRERREAKIKEGGSARLDKITSLSGRTPQTE REEASPSPQPQRAISASPSPAPQIPNPQSSASPQPDMQSPEAIRAQQEAFFSMLRQAA PEPGQGVHPDPQAPNGLQAQQEAFRAMLRQSVQDQGQAQSPGDAEDPTIKLLNSLMGA IPGGDPNAPPGAPAGNQPAPGFSPAAIASMLGVPPFLANMLGGATPPTEAEQKRARIW KTLHTVFALTVAVYLLFIIGTSVALFGSPPPKPATAQNPFAIFVTGELLLTGGKVLLD GKSGGMGMVVQLFRDVVRDGSLLLFMLGMGTWYNREWQTTAY PEX2_007960 MSSRRSIYFNPAAANESIASPQIEGLTAFHQSFPNYAPTPLVQL PELAAELGVGHILVKDESNRFGLPSFKVLGASWGCSRAIAAHLGLPSTVSLDELSSRA KEFSIVLTTASMGNHGRAVAFMARLLGIEARIFVPRSLNQWTRDLIAGEGARLVVVQG DYDQAVQEAVNEARVGQGVLLIQDTAFEGYEDVPTWIVEGYSTMMHEVKNELARLGLA GSLMITPAGVGSLAHAVAKHCKSQSPPISVVAVEPDTAACLSSSLTAGKPVTVQTSST IMDGMDCGTVSSTAWPDMQRLVDACVTVSSYESHSAVQYLTSNSVAAGPCGGASLAAL RRLAVSNEAASLLNKDSVVILLSTEGAREYPVPKDVAVDDVVGLTQTLTQINSSNPTL SVTDGVGETEIANYLAAWFAHRDIEYHWIEKVAGRPSLVGVLRGSGGGKSLMFNGHTD TVSLSSYEADPLSGSIGTKNGKEVIFGRGCLDMKGGLAAGLAALAATKASGRVPRGDV IVAAVSDEEDASQGTQDITEAGWRADAAVLPEPTQGVIFTAHKGFVWVEVDILGVAAH GSDPVSGEDAIMYAGSFLQALEKYQSQLPVDNLLGQGSLHCGLIRGGEEPSSYPDKCT ITVEFRTVPAQTEQAILGDISALLKEIAEQKAGFKYAEPRITMSRPTQKVAADHPFVE RAIACASAVLGSKPAVKAGPFWTDAALLGAVGIPSIVYGPAGEGLHAKEEWVEVESLQ QFEKVFTRLVQDFCN PEX2_007970 MYSTKRATRALHSNARRFSTAIRLQAPSGLKINSERLWNTLHES CEWGAEHRYGENPTDTGMARLTLNDDDTRVRRWLAAEVQKLGCSLSVDQMGNMFARQP GRLNSAAPMIAMGSHLDTQPRGGRYDGILGVMAALEILRTMKESRFQTNYDVGVVNWT NEEGARFPKSMCSSGVWAGAIPIQKAWDLRDIHDPSVTLRSELERHGYLGDIACSSDP ATGYPLGAHFELHIEQGPILPENNRSIGVVQGAQGYRWLTMTVHGKDAHTGTTPFSAR QDPLLASSRMIAASHDIAKRHDALASTGIIRVPSNASTNTVSSQVTFTLDIRHPQDSV VHAVQDECLKAFEAIALQDGKGVSFDWTLDTDSPAVKFDDNCVASIQAAADQLVGREK SMLMTSGAGHDTVYTSKHCPSAMIFVPCRDGVSHHPTEYCSPQDCALGTQALLEAVVH YDQFRVEAEGQK PEX2_007980 MSDGPIKPSKPAVVHEAHEVDTFHVPKAFHDKHPTGTHLKDLDE YKKLYEESIKSPNTFWARMARELLTFDKDFETTHHGSFENGDNAWFVEGRLNASFNCV DRHALKNPDKVAIIYEADEPNEGRKITYGELMREVSRVAWTLKERGVKKGDTVAIYLP MIPEAVIAFLACSRIGAVHSVVFAGFSSDSLRDRVLDASSKVVITSDEGKRGGKVIGT KKIVDEAMKQCPDVHTVLVFKRTGAEVPWTAGRDIWWHEEVEKYPNYLAPEPVSSEDP LFLLYTSGSTGKPKGVMHTTAGYLLGAAMTGKYVFDIHDDDRYFCGGDVGWITGHTYV VYAPLLLGCATVVFESTPAYPNFSRYWDVIDKHDVTQFYVAPTALRLLKRAGDEHIHH KMSSLRILGSVGEPIAAEVWKWYFESVGKEEAHICDTYWQTETGSNVITPLGGITPTK PGSASLPFFGIEPAIIDPVSGEEILGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYL NVYKGYYFTGDGAGRDHEGYYWIRGRVDDVVNVSGHRLSTAEIEAALLEHPAVAEAAV VGISDELTGQAVNAFVALKETNASEQISKDLAMQVRKSIGPFAAPKAVFVVDDLPKTR SGKIMRRILRKILSGEEDSLGDTSTLSDPSVVDRIIETVHAARRK PEX2_007990 MSFGFGGFGQNNQSSGFGAGTGSGFGAGTGTTGGFGSTASPFGG ATAGTSGAFGGGASNTSTGFGSGTGTGGGFGTNTTAQQPNSLFGSQPRPGGFGTTNTS NSGSLFGGGTQTAGTTGGFGTGGGFGSTGTTGGFGANASAAPTGGSLFGGAKPTGFGT SATGTGGFGTSGGFGSTAAAATPSTGFGGSGTAFQGALPNCEGTGGTPFSPWNEKDTS STNTTNHYQSICFMQPYNKWSFEELRLADYQQGRRFGNGSGQAGGFGAPAFGNATTGG FGQQNTTATPGAFGTNTTPFGGAATTAPTGFGTTQTAGAGFGSTAANPLFGGAAKPAT SLFGGGTTPAASSGSLFGGATQTAGGFGSNTGTGTTSAFGNTGGSMFGANNQQQQNKP AFGAAGTTGGSAFGGFGNTQTTTAANPFGASTATASPFGGGTQQPATSAFGGFGGAAQ QNPAQTQPKSMFGGGGFGAGTQQQGTGTGLLGGAAGTTPAAGSSLFGGAQPQQTGSSL FGGAQQQPQQAGNSLFGGQNQQQQKPGGLFGSTPAPAAGGFSGFGASQPQPAAAGSSL FNTQNQQQQKPGGLFASTTGAGGSLFGGGQPSTAQGAGSSLFGGQSQQPQAGGLGTSS FGASMPGQQSQPVPGSFQASLLDGNPYGSQSIFSGLPAPGGSAPGPLATPLSSSMRQK QRTPLPVYRITPNAANRLITPPKRGYGFSYSTYGSPSGNTGTPSGLGSSLLAAGGGSN SLRGSVNGSIGRTFSKSYSTSNLRKTFDPEADSILSPGALQAGSVRGNSNLKRLTINR GLRNEILSTSTRTSPAPLITNGEDAAQSADKLKKKVSFDSNTSGLNGGDLVRVEPNSP EPSAEELGFLRSVRKSGSLNGFDAAGTSDRPETEAARRELAVVPESAPQTTNGATVKR LTFIPGGDPRPGEYWMQPSRAELSRLSRDQLRQVVDFTVGRQNCGSVTFNGAVDLTTV DLDNLFSNIVDIGLRKITVYPEESVKPARGKGLNVPSTLRIENSWPRGRDRKSNSPVT SGPLFDKHIDRLQKVGDTEFVNYETETGTWVFKVPHFTTYGLDYDEEEGESLDQSTMS AQGPDHPTPRAHFDQSTNFDQSTTFSIDESFVGSMIGVEDDTFDFKKRKLVPGSFASQ AMPVEDDYFSEGETESFLEEGSTGSTTENDDVVVTESQQSGDSVVGSDEADEMDMAGA FPTPHRTVERDDYQSTNGDLDTTYPISKHFGSPAKPQLDLSGDWAEQLQRTISPRKQN RDALREMQANAFIDRNLVNDESPTTIATSPAKKGFSNSIDLMNSLFQQPRKQAAPSPL KASKAPPKGFEWPYNKQPKTFAGDTTQMSESDAAFHDSFKPRWGPMDSLICAKNDMEE TILDVKQTWKESFSVFSEERDITVMTYNQSGEVKEMLDVQRLQSSIQRIDGTPFVRMA KVDLSQFPLSPSTRSQSEEERLVWQLLNILFNDDIEDDISAGVPPRLRQQFAHRIKKD RLTRLWEGIIREKHSQKLDLIRSPVERAVHLICSHRVEEACKTLIDSQNPHLATIVAQ IGRDATSQADIANQIEVWRQNNILSEIGEPTRALYELVAGNALRSEGKSGGALEDRAS SFGFTERFDLDWFQAFGLRLWYCTAEDEPIEVAVAKFLEDLATGQEPAFPHPSHQAST QTVLQPGSDTLGRESPLWVLLKAFSVIQGQGTQSIQFPASFLPESVSGDRLSNRLSFQ LHHVLAATVGQNQAIKIDQHQTDQLVWDFASELSAGGKLDQALFVLLHLSQANDRKRA VQETLARFAAQLPEPFAADGSSHPAWQYLITELQLPEAWIWVAKALHARDIGDAAREV DCLIRGKHWNDAHATFCRIVGPSTIIEGDYRTLEALVSGFGDGPERKMRGWSSGGGVY EDFLRLVNSTSGRRDPTRLNRLVNALVAMGDRVQGSSLEGLEERVAFKEMSRAVAGWI THEDVHSVESSAVLGLPLTGDARVLQTAEMSRRYYGVIMAGGY PEX2_008000 MEPQQIPEGSRDGNTVTVPGPFYNAPVEHIIRRVDSGYSPGLTQ VSVAAPLIWTSPGGANNVHNQPEATVEGSNSPPATEPRIDAMEAAPSDSQPSFSAFKK YEKLFIVIMVTLASFFSPLSGQIYYPVMPTLVRNYHLTPELINITIATYMIFQGLAPS LMGTFADTGGRRPAYIIAFAVYTAANIGLALQNSFAALLVLRCLQGAGSSGTVAFGYG VTADIATTAERGKYIGPMAAGVMVAPALGPVIGGLLAKFLGWRSVFWFLVIVSGGYLV FYVLAMPETARKIVGNGSAVPNEWWRRSVIQWWSKRQAQSDEEEQIGAEGPQKQSPHA KRLRFPNPLRSFAILLEWDALIIILYVGIVMFSNIALLTSTPNLFGPLYGFNELQIGL CFLPFGVSSCLGAVLYGKVIDYNYKRTAQKLGFPVDRRKGDDLRNFPIERARLQTVFP AMAIGVAAFIPYGWVLQQRVHLAVPLILQFIIGFCFVASLNCLNTLLIDLFPDKPATA ASACNFVRCCLGAVGAAVISQMLNGMGWGWCFFFLGLVMAVGMGLLWVENVYGMGWRE KRLLKIEQKKMEKEARAAEIQVEGKSDGREQEDTNGPDANAGVTGGANTRSNQ PEX2_008010 MISRAAAPSTSSLSHLSTRSLRAQGAAARSFASVSEAPPVKHYG GLKDQDRIFTNLYGHHGADLKSSMKYGDWYRTKDMILKGDDWLISEIKASGLRGRGGA GFPSGLKYSFMNFKDWDKDPRPRYLVVNADEGEPGTCKDREIMRKDPQKLIEGCLVVG RAMNANAAYIYIRGEFYHEATVLQRAINEAYEAGLIGKNACGTGYDFDVYIHRGMGAY ICGEETSLIESIEGKAGKPRLKPPFPAAVGLFGCPSTVTNVETVAVTPTIMRRGANWF SSFGRERNAGTKLFCISGHVNNPVTVEEEMSISLRELIEKHCGGVRGGWDNLLAVIPG GSSTPVIPKSVADNQLMDFDALKDSQTGLGTAAVIVMDKSTDIVRAISRLSTFYKHES CGQCTPCREGSKWTMQMMQRMETGNAREREIDMLQELTKQVEGHTICALGEAFAWPIQ GLIRHFRPELEARIRQHEKELGAAPFAGGWHPNSRAEGKLISPGM PEX2_008020 MAASSEQVDPSLKGHTDLVNWFIQHGGTIEKSVRIAQDASRGVH LQVKADWPEAIPKETRVINTPIEVSMSWYNAIGYESPRGSFPKHGVDLPRTWIDGVGP EETFAFFLMGQYLRGTEGFWYPYIRTLPQPGQLTTPLFFGEEDVDWIQGTGIPEAAVE RIKIWEEKYDSGYLQLGAIGFPDCEQYTWELYLWASTIITSRAFSSKVLSGAVQPDDL PEDGVSALLPLIDLPNHRPMAKVEWRAGDKDIGLLVLEDHSAGQEISNNYGPRNNEQL LINYGFCIAGNPTDYRIVHLGVKPDSPLGEAKARQLELFPQVAKNIEDHYYIFNPFYP LLAPETTMEHSIFSPALFNALTVMESNTRERKMLEITEDCIRIPPGYGNSHSIYAALA QISFELMAHATNLKASAEHLPLQPTTLNQTHSQIYRNGLITLDQAALVIATWTIARGR EHKRGESWEDTKVLLHELMARVPAGLLSDDVMSRIRVRILERPSLITKNGELFRLGEL FSLLPAEMQEPAQTCFQHALGVASQAVPSISTDPQTMFATVICLLVATYNSPEARSRL SSRLNQWFTFLFEQYPPPSDTSRSIEIGGEEGSETLRQFQEYTSTERPMLWASGDGVN WLTEASGWLDPDWLQWAWTVAGSEMVMIPLDPFEILKMEGSLSMLKQACFYVPQE PEX2_008030 MFWRFGGYANISTIDTLLDKPDVTLEELLDEPEIIQELKQLNTK LIEYLREDHVLKRLMDYVTAPSLVNDEEEKDEDDAKDASEKDKDAATSAEQTDTDEEK ERDPLRDILDPEDLDKVEKNRLKHAYIACEILSSETWSILESMMANPSYLQDFWGFLR RSPPLDSLQASYFTKVNETLLDKKTEEMLEFLKTLDGIVPALLQHVDNPMVMDLLLKI ISLEKAEGGQGTVDWLKSQNLIPNLLCFLSHDRPASVQTSAGDFLKAIITISANASPN DQSCIGPNSLTRQLVSTQCVQQLIDAMLKGGNPLTVGVGIVIEVIRKNNSDYDPESLG GPDTMLTTYDPIYLGTLLRLFAKHIPQFMALIQSSQHTIHDGNKLKTVERGKLNSAWG GQIEPLGFDRFKTCELMAELLHCSNMGLLNEPGSDEYVKQRDEERERLIREGVFDPHQ DEHSGVDCNDTTADFANDSAFDSGSPEDIKITEVPHAGEEEGFEDVGASGVLVGAKSG ADTTTANSQPTETVSETTPPETTAPEPASSEETTNPSESSTKPIDPSSPTIGLPDQVD GMHLENEPQGEQPKPGKDSQEPVASVSQDVPAPLFSTEQASESNPTPADQSEEASSLT AHVEETSILNDGGDEDSAEQYIQLDTDGRPVVGDYLKIQFVENKVVPTILGFFFRFPW NNFLHNVVYDVIQQVFNGPMERGYNRALAVNVFDTGRITTAIVEGQKRSDETQRTKQI RLGYMGHLTLVAEEVVKFSERHPPELLSRSVMESVLNPDWIEYVEQTLSETRERDNAI LGGVRPDMSVGSRQTSLNNTNSGQGFSNSNALADAGLNGGIGGSTFQSFDLAQGSASG GAFGGGGTSLLSGFASSSDDEDEEMEDPDDRDSANTDQNDTDNVLTTSASQPIPILPP PPAPLSLGPSRARRQLAARLAAQKQQPSENEEGDGEDRGAEGSGDRESDWPSNPFVIA GIDDDAEGGGSAFPNSDFGSANAKHSPTFPESGFSPPDSLSTNSSEDEGDGHAESVRR QVRVPLEVEDDDDDEMGEMVGPSGIGMMDSDDEDEAIINESLGYSNLSGPGRYNSFRR SRAVSSHYEDEQNDSSDGEDDGLVEIVVPGRKSSTSN PEX2_008040 MFTRLSIFSLLASTLAAGLSYDNIDKAATPRAKELLKYVQSQYG SHYISGQQELSSLEWVKENTGVTPAILGTDLMNYSPSGVARGTKSQTIEQAINFDKQG GINALVWHWYAPNCLLDTDKEPWYRGFYTEATCFNVANALSRRGNGTDYRLLIRDIDA IAVQLKRLSDADVPILFRPLHEPEGAWFWWGAKGPAPFKKLWDIIYDRITRVHNIHNI VWVCNTADSKWYPGNEKCDIATIDYYGDAGDHGALGDKFHDLQNVTKSERVLALAEVG SIPDPELQAKENVPWAYWMTWNDEFIKDGKHNSQQFVKDTFHNKRVVTLDGTTKVKN PEX2_008050 MAPFSSLRATLTQAYPPTPAFTEANVPPGSQNGRIFIVTGGNAG IGLELCKILYAGGATVYMASRSQTKAEAAIKTITEVSKSQSTSGKLKFLHLDLNDLLV VKAAAESFAQQEDKLDVLWNNAGTGANAVTIGQRTAQDFDPMIGMHCIATLLFTKLLL PQLRAAAASGESGKTRVVWTSSALAEAASPPNGINFDLLDKGTKSLTENYGTSKAGTW ILSREFARRYAKDGIVSVCLNPGFLKTASFNGTSAVIMFVLNKVILSDAIYGAYTELY AGLSPDVTLEKSGSYIIPWGRIRPNEATPRQDLIKSGDSKEEGGLDYGNKFWAWCEGK WNNHV PEX2_008060 MYEARSKAPIPAPQDTPLTKQQVDTRVSSIDVKLAALNSELSTY QSKIAKMRDGPGKNALRQKALKVLQRRKQYEAQRDQLSQQSWNMEQAGMMQDNLKNVM TTVDAMKTTTKELKKQYGKVDVDKIEQMQDEMADLMEVGNEIQESISRAYDVPEDVDE AELDAELEALGEESMFESSMGESAMPSFLQDEVAPPQFIDEPPEQHKVKEAAGGLG PEX2_008070 MNCPSRTDDTLENPSWNQSPPPFSPDTTTRNDFNGLANSRVHRR HAHSANAAGDDPLSIDARPNSQQDQDPGKEKVPSGEVIAADSGGDSPPRSSGSPRQPF FTYVTSSSLRCARTLVKFSRFIGPGFLIAVAYIDPGNYATDVAAGADFKYALLFIVLL SNLFAILLQSLCIKLGSVTGLNLAENCREHLPKWLVICLYILAEAAIIATDIAEVVGS AIALNLLLKIPLVAGCAITLVDVLFILIFYRPNGQMWGLRLFEFFVMALVLYQSCGIL GATVMPHSLFLGSGVVQSRLKEFDVNSGYVDTSVPLGSADGEVKYRPSIHAIRGCMKY SIIELALSLFTFALFVNSAILIVAGAALYDVPGGDNADLFGIHTLLSQSIAPAAGLVF ALALLLSGISAGIVCTIAGQMVSEGMLNWTIRPWLRRLITRSISIIPSIIIAAAVGKD GLDKTLTASQVVLSVILPFVSAPLIWFTCLNRYMTVRTEEPSEREGEVDVVTVPMRNN IITSVVAVLVWVVIVVMNVALLVLVGMGKA PEX2_008080 MAASTSGPQIFDDANRSPTKQSMFRAIMSSKTHKRNQSADDAVA PRPLQRSRPTENIPFPFTEEPGSMTGYRPLSEIVPNRDAGDHGVVSPKIGSKESKGAL HKKTKSAVSLKSLRNYMERKDGKSEETPERSEDLSPKKAKSSNSLSAILKRSQRGRKD GSKQSRDKENRSPTDLIDNMPSPVWSQDSASVHKEPTGRSRPNSTADMKRTVADEVSL YTPRGYGPSQQRNFYDYHQPSLTKSNDSKPRPKSDILTGNRKMKDILGLQRAPSGESD PVGRADSASSKSRGPPSPRKTSPPTMGKEEPKRLSRVQAAISAFNAKERDAEVHQHLN SKDLESEFEKLLDARNIPHNMRDKMRSLDTNIKADFIQKDRTENITPLSTATGDSRRG RGKESKEDSQPQDRKGSRSRSRSRGFTFTKGPSSPGKKLRRDSEASSRRPRSVDLSQP VGVYTTLSANASTASLADAAAVDTAADPSDFVHYLREIQKPEMVEVGKMHKLRLLLRN ETVHWVNDFITEGGMDEIVQLLYRIMKMTWREDHEDTLLHEALLCLKALCTTSVALAH LGSIEEELFPALLHMLFDEEKKGPSEFTTRGIIANLLFTQLSAAPAGELATKRAKQIL SYLKDPPPPVDNQPLAFIANIYQSRPYRVWCKEVTNVTKEVFWIFLHHLNVIPLPKSE DLFGEKTPVDTRPYNERHFPVPRPPVPAAPYVGGVEWDATNYLAAHLDLVNGLIAMLP TPDERNQLRDELRASGFEKVMGGSLRTCKEKFYSSVHDCLRTWVAAAADDRWPYTFVR EGPPRAGEPGSPTKSPKKSAPGSPRKGLIDERPPRLELALDFSDNRPAAGPTTPSSDL RSWL PEX2_008090 MFRRALTAASSAHASPTSTIASASTCKLQLTRPSWQLSPSTTRL FTTTPFTAFTASPPTKDPSPFRLSANKTESSPTPSFEDVYYSPYQPKRQWPPDMSKLS PKHQFRLERKYRRRAALKYARPKFMKAVTLGQWVVIGFVIIYSVLFMEWDTEDTAFHA IREKFFAGLRATFSSAPPPGPRRDQKDNAVSEES PEX2_008100 MSSSYDELPPRVDLLRLMIQHPLPLLAPGIIDPASMVGDEPTKQ AQAVLDKLNTALARNDPEALEDCFWKDRAYWKDQLALTYHLRTFKTPDIISASLLETN KLRAIKGDITIDGGAMFIPATPVLQFIDCGIVFQTSSPAAACKGKIVLLPVKGANETI EWKIWVLSTILKELDLQKENEPLLYSPGRQLEGIESFMTEVFIIGGGNAAIALAARLK ALGIESVMAERNAQAGDNWALRYDCMHFHVPTSLCHLPYMDYDKKLLTPHRLSKEDLG SQVRRYVDAFNLNMITSAQIQWTEYDPSTKRWMVKFQTPAGQRTAVSKHVVMATGIGS QKMSIPSIADGHLYRGINIHSEQYKNAEQLKQNGAKSVIVIGSANTAFDILGDCHAAG LQTTMNVRSPTYMVPVEYIDHPASLGAYDAGVERADNLFMTLPTFIDAQLTCNLFAHF ASQEPDRYGALAAAGFPVLDSTNPECALMQNLIERAGGHYVDIGGTKLLEEGKAGIKA NVEPVAYTSTGLRFSDGSYVDVDAVTWCTGFADKDVRETAAGILGGSPSQTSGGAQNG KNSMDGEANGKQKFGPHEIAVRIDATWGVDSEGEIRGLWKRQSRLDGFWVMGGYTQQH RWHSRTLALQIKAAIEGILPPAYLDTPMSTK PEX2_008110 MTANPSTVVDINLLSEFLSDNQVPDLSTTSPVDCIVICASAVLH SAEVLFKTLQQRPSLTNALVLCGGIGHSTELLYDAVKSHPIFSRITDEIQGLPEAKVL ERILDEYFDRSLITREGCQILLEPQSTNCGQNASFSRKVLDEAGFQAPATCIIIQDPT MMLRTKASFEKAYECAQSPVSVISCPVFVPQVQLSRNGVIEYSDTSPPSELWSQSRFL ELIMGEIPRLRDDKDGYGPQGRGFIAHVDVPSHIEAAWSRLQVVTKSSR PEX2_008120 MSNAQQRLNQVSSHFGPGGKKGAAAILEKRPDDIVVTCALRTAL TKGGKGGFKDTAGADLLAGVFKAVLNKSGVDPSAVQDIAVGSVLAPGGGATEFRAAAL VAGFPESTAVKSLNRQCSSGLQAIADIANAIQSGMIDVGIGAGVESMSSQYGPGAVTE FSDLLESHPESANCKVPMGVLSENMAKDRGVTRASQDAFAAHSYQKALAAQKAGLFDE EIAPLDVKWTDPKTGEEKTITVKADDGIRPGITAESLGKIKPAFAKDGSIHAGNASQI SDGAAAVLLMKRSTAERLGQTILGKYVAASVVGVKPLLMGVGPWKAIPVALEKAGITK EDVDIYEINEAFASQCVWCVNELGIPAEKVNPKGGAIAFGHPLGCTGARQVSTLFTEL KRTNKKIGVTSMCIGTGMGMAAVWVSE PEX2_008130 MATLNEQLATLIENYSPCDVSDALIKLQKTPEGTTARAGYLADL GRNETMPKIAAPATTFKFLGKDASPPSVATENPQKHGFLPGKHWVDHVGEFQDSDPSG AGSIVVIEQPENQYCAVTGGIMATRMKALGIKATIVGGRVRDLKELKATGLPIWARGT STVGTSAEAKAGARDVPISIDGVTVSPGDIIFCDPLEGVVAIPRELLDQVLELMPKLT TMDEQAKEAVAQGMSVTDAFKKFR PEX2_008140 MAQKPTYTDQQLELYLNRIGYSHSAQSESNLLQHLRQNIENDAL SALCNLQRRHLAAIPWGNSGLHYSQHHTISLNPQSLFEKMVERQLDGYCMENTGIFYI VLRSLGYLVYATGGRVSHAAVKGLDNGLYLSLGHMVLIVIIGGEKYMVDVGFGNSCAT APLLLQEGATATAIAPSEMRIVRESIAEFTDPSQKIWIYQTRYNPESKWLPQICFSDV EFLPQDFGVMNFSVSQSRTSWFTQVFVCMRMILDQSGTEIIGQCVMSGKEVKERLRGK TETLQVLETEEDRVKALAKYFDMHLRESEIQGIRGMISELK PEX2_008150 MGVPFEALLPYGIIMTMFGVTGYGLHYVKRFANDGKKARWNQDL WDRQMMERDQRITGSFRGQSSNHKAPTGFEVSNPWKIENRIY PEX2_008160 MAATVPPANDEPGKLDPPEDPADSDLDAEGEEETDLYEMDQQLQ DAVHRAYTGEVDDDGPEEAADGNNSSINGLNGEDDDDNDEAEPVGAVKLPDDDAGSEE DYAESETADADADPGFEANDRNASEPESSDHESEAEDWEAESNGGEDVETDIRSGSNC LVCSQDEEHDPSEDFEEWLTCVVCGDHSHRQCAREQEAYDESDEPDTITNSATEPGIG ALALPKELLPAHAGTHDEGFHSIFNTGVDDEMLNSSRSLRKRKASSIEAEEHTPVLRK RLRQTSFRSDHPILNDAALEGGDGTADGDVHSPARTRSVRVRRTRAVDREHCRVVARQ FGKLIIGFRLDETKMSKITGSQIRPQRKKKKAPKPPPVAPEPQAHFVPLPPISYNSMT LFDRETDDAKSKPYGGILSEIEQDTSRTLPTQFDRDRFEQARLKAEDEWQLKVKEAEL NGEATPHASQKVSGPPSKIKSINFGGYEIETWYAAPYPEEYSRNRVLYICEFCLKYMN SDFVAWRHKLKCPAKHPPGDEIYRDRSISIFEVDGRKNPVYCQNLCLLAKLFLGSKTL YYDVEPFLFYVMTEYDDLGCHFVGYFSKEKRPSSANNVSCILTLPIHQRKGYGNLLID FSYLLTRIEGKNGSPEKPLSDMGLVSYRNYWRLILSYQLRDLKTPVSIADLSDRTGMT ADDIVSALEGLRALVRDPITKTYAIRLDHKYYNEVISGWESKGYVQLNPDALLWTPYI MGRSNQSHFDRAPIHTVAPREDPDEDEDETEARAIDYDGNVRMVNGSDRDTLADSAGP PSTLALRPSGLHHSTAGKSEPPAIPNPAAGIPPSRFELWPPVPPAAPPKRRPGRPSGS TKLNNMSMTPTAPRSNGRNTPRRPSALAMVTPGGSIRRGRSSILTDSPAAEVTLSQAN GPEQKQSEIVDEEAVADEDVENTAPAPKEAADASEKEPERVNGDVAVKEPVKTNGIDA AEPESSEDKKAAAPRTPEKKTNSISRSPGTAVSRRSNDNTKTPRSVNRKTLVEKVHVV IPAEPGSASKSPSKGEDQKAVANTNGTDADSDADADADAEIDAEYEVDGDVVMQT PEX2_008170 MSATFSDASLSREAQGSDFDLIIRQQPNRARVAGGKEKERKPID PPPIVQLRVREEGTYLAQHYLQSPYYFMCCSLYDATEERPVPVAPSTALAGTLVSSLH RLKDVDNSDGGFFVFGDLSVKIEGEFRLKFTLFEMRKDRVSYLKTVISERFTVSPPKS FPGMMESTHLSRSFADQGVKLRIRKEPRTMLKRTTRPDEFHQPVPTRSPERQSVQIPP SSSYGGYPPAARDYGYYGQQPPVKRHRTSIDYGRQQGIYDVDGRMARQMDPYSQPTAA MYAGQPATYQTPAAMQTYSTGQVVPDYAAMYPGMQASAGMQASAPMSQIPDPTGQSRS SQQQQAAVGQLMAMNQPGTPTPDSTGAMIAQGYARSGYPPTSTILPPLQRNRDYPQGT NGSARGYFDQTPQTNTPILPSQMVNEGDRFGSVAGPATFDHPDSPNGTPQ PEX2_008180 MEPTMEATMEPHDSPLRNLDLWCDWSGFPEEPVSITIAMELQTY GHPNLILPILNVQSPNTVKTPSWVIRLKPDSTQYDTDTPPEKEARRSGHEDSDETEKY PANNGSVPDSGSIVVHDGPYDDDTQGVSRPTVDISGMYTQVDSLRSPASSDPRKSQVN SLGSPNSYSRKRSAKAASLPDSIPPDDEYQGTRHKLMMTKIDPLAELEPMSQDTSTLE NVGSSTSLHRRSDSLDEISVAPESIPLPQDSTPGLVPVSPCATSEHLSLNEKEVTVDN IPEPDETNISKIDLSGTEPLTEANLLHRNYDTLSTRGCNGSLSDTEDNDREKEDLLLS LESIGSPSFFSCSGFHGPPLYPTSAETIENHKKPKLILDNDILYVQAPPNVYSATYQI AIALKVRLQKGKSRDWWELVVSGLPRLAQFESGYLYFRTPPGQGMEFMTSSFKRHTLV ESCLMAQFYGGKSLVVPFRKCNAEYYGQLKDYKVNTVIRAEVADANDPSSYLIKYNAV CSVDLINHNFWAEKCKFNLIVHGGPEGEFNAMFAAKKPVINSVRLQPAPDSMGIGLSR INIISVPQALEMFIVSWEVTLPRGKAVTWLPWVKTTLSYNDAETILQEDYMIFGPNEI IPPKQQRVDTRSRFSCGQSGTFRQFEPPVMEPPYLQREALLSHKPKVNRPLFGKSPAS KSTSTQTVATLAKTPVAERKKPRRAARLWSLIKFFFQLLGFLASVHTIYFSYLLLHNC GFREVYVYDLVDHTEDFSSINMDKAGDTVLAGFDLQPEPIRFEVPQFVNTTPAAITPM PLRDRIDYLLGWRGPIARG PEX2_008190 MTKEQKMSKPVHEKAVIIGISGPSSSGKTTLARLLQRVFCGVNL KPEDSRLNTFIIHEDDFYFPDDKIPYTTTASGEKIQDWDTASAIDIPFLSQALHYVRE NGTLPPRLRSKEDQNGQTDSGVSDEIVQELRDTVSSRLRDGAAGKDTGSPTIAFLEGF LLFAPPEKEQHVLRSVHDAIHLPLFLPAAYELVKARREGRSGYVTVGPAPEPPVQTSA DGGDVDRDGKTGTDIDLEAEDDRPPQNFWVDPPGYVDDVVWPRYVTDHAWLLIAEDGG EDMKGGSQDLVEADLVRRIGDGTRARTDVGVEVAPGGGSAGMDVVLRWAVELILGYYL HRT PEX2_008200 MTERWQNPGGWGARHINDPAPFTLWDDVNRRYRGPTKEEYQWID NKFRQRRIFISGWCIGIEIDNPPNPLPLTLGCMPVMFVENIDHIPMSLPNALYSNPQA PDPCPHHHWPEMEFPTDADNIAFLKALELLANVRAVVYLPWWTVVELEYGDNRVYDCR SLPGTVAGRTAYYHHEEAPFYESMKTRTRHRQFEPAQQEEPPWKLLEGKYIKAGSWAE VDSMSSGLVSLLSYGKVFQKPTQGNAKIPFERWQSYNLQVCWGVVNEAISDSISGAQI ISCKNGAVTGFFDLFDGIHCLSAHLDDLVAEG PEX2_008210 MQMNHGSSGLPWFSVAPSSPEPDMKSDLEAMDAPLRPDVTDGLP DSDVKDEPLDSEITDEPVEPDMSHMTAEPLETHTSEATDTHMDQYIPAGILRKFSSQS RSPDSEIALLEKHEWIRTRSLDDSNNVQVFVLPNAPQKKPIKKGKRGETNNILLTGLK LVMSMIDSSPEAWNGLVGAHAGLLANTQPGIEEEESLYYIYNTLQEPKPNLDEIADPH SWRAMGELLGNKVRGLKTQLHPFQRKSAAVMVQREAQPALALDPRFQPWQGPTGLEFY YDRVSGSIMRDKILYPEACGGILAESMGCGKTLISLAVILATRGHFPKIPVEYQKLDN PIRSKTGTLMEMAAAAAGRFSLPWKDHFDRLLEEEHENHDNCRKACVAQSGSYDIPAR SDHQRAKSHFAESAKHIRLCSGTLVIVPDNLMDHWEREITTHTSDLKFLVLQNQDTPS TDELLDFDIVLFSKSRCIKENAKYNKNGRKPDAPILNLHWLRVIVDEGHNLAGKTTGM VDLLKNLEFERRWMISGTPLSELYGVELSIASLEVDTDDTESSPDNNEAAILQKGKKA GNAVNHEIRNLDKLGDMIHDFFSLKPWANNTKEWPRYTRMVGEDGIRRKSPSLRATLQ SLVVRHRYETVKKEITLPPLYNKVVYLEPTFYDRLYINIFLFTLAVNAITSEREGPDY MFGESDGKNKKNKKNKNKAKLTELIQNLRLAGFWWAGDDNVQSSVDIALEYLEENQEK MTVADFNQLKHGIQIARKAINSPGWNGFKKMHELGVFVRHFPEHARNMWALDPTEADH ELLLMGITQARRAQQFVMNHLTTPDPADGLAGEGIKVRRELVQRSQAVTFAHSGAPES ANAPVSKSKSKGHKQNQTSKKNIFRALPKTSPLAQTKLEGVASAKLRYLLDQVLKFQK TEKIIIFYEHDNVASWVEQGLELIAVKFRTYASTASMGSNFKTEHLREFRETEEVRVL LMSVKQASHGLHIPEASRMYIVNPIWERNVESQAIKRAHRIGQKRPVFVETLVLGNTL EYRMLNRSKNMTAEETKQAGDNPLNDSTMSDIIKNEPFLPMPDEASAGMAPLAYPLGL FDQHKLPQNPRPVLESDTDFGSTVVMGPSAKRRRIGFAEHDQVMGEGAVDAVPGPLQT VPELNSTSEPSRIGFTEREMVVGDSVEAFGASGSVQFVPMHSGSDLSGNGVQTRPSIF GPF PEX2_008220 MVVAHNPGLANPEISKIIGEQWRSLSEDDKSNWKALAEEEKARH QQQYPDYRYQPRRYGRDGSARSSASGIGNNPSGSSHCSRCGGRLMNAPASPMTPFTPS SAPGYRPPSNFSVSSPHPNAMPPRSVQGREKDPNPVPKSAKLDHDPRSRQRHWEEPGG RSPDNKRRRVSHGAFKPTLHPSYRDHSPGNGIPESPHPMTPWSARPDMPPRHLPMLQP QRPYQSVPGQPHPDPSLKLPPLQTATPVMTPMTPYPQEGSSVEATVMTIPFLNKIKVL AKISPPLLPSFREGIPPPRGPVIAVDGQDPDLVQTAVEYLNNLLKKETKYHVRVFDGP EIKAPRPSSAEAGQMGDATVDYLNTISAWHRISDEVVGFVKSTSRAGSVDARSIATDE EIPASNVSPRTLIPKTANMHIHSPAQSSENGSETSAITSGSHYGVPIALVPRYQLTTA DAFACSTPIGDSYAPLDHWQWMASLWRACVGPDITVYIRECSKEELDRMGGNPVEVRL QDARTVVLRKVAGRDLEEKALKRMGFEIEDFLTQ PEX2_008230 MELELIPTQTVDVTFLPGFKSTGDKYKDSVRILEEWNGKLREVA REWSHGTVYDFDSNAFIIDRIRDWQLHAAGIEEDNDLGKNLDLGWENVVEPCVEGGFQ VMMSKDKKQTKCIWVSQLTGSHGGNQSTMGLILLNPKGAPWKRHNRRGFAA PEX2_008240 MKLNISYPANGSQKIIEIDDERKLRPFMEKRMGTEVAGDALGDE FNGYVFKITGGNDKQGFPMKQGVLLPTRTRLLLADGHSCYRPRRTGERKRKSVRGAIT GLDLAVLALSIVKQGEGELPGLTDTVVPKRLGPKRATKIRRFFGLDKKDDVRKFVIRR TVTKEGKQDYTKAPKIQRLVTPQRLQRKRQRVAIKRRRAETARDAANDYAKLLAGRVH EEKAKRDELRKRRASSMRK PEX2_008250 MGRIKKVASQKHEATISPFLQEFIARATSLPVPELPSLLNTFPK LWPFPRGDLYHWINVLDRFDEILASAVDKYFLNTGPQTQLFTRSVLEESYSADESKKP AEGVDAKLNALGYGSEGDRELVEAVLDFSRLLLEKCGNRSLYSSSDRLGDLLNTTSLS LLQSTLRLSLCLAQRYHSRQRGTHQQSVLQSHYALDLEKLQKIAAPFPRPVIASKTPF AASPAVLTKGKENTAQTKINANELVSLVRDDDGWEEWGDVRVLYYPSGEQARTTSEFG QAEQGSHVPTTPTPLRRSATHPTHHSNLGSNGDESPAVSGGKTEEATRAGKVLELPHS KVSTAKVEDLLAANLPHLPLESKYELLQKLRVAKALTASRATREQILSIKALAVTNLA HVQPESTFQSKVLQSDLEQPKRLQLAYQLAEVVHLGASGDLEVSRSVQTLAIQALDAL AKHKARAVDVCAALSVNVNHGILMFLTRKMVNELGPENNDPDDAYYDEWRDALLALLR TLPSSSTRTPETLVAAGLIPMFVDILNLRTEKSRRVYSRIMEFLDTFVHAVRDALGTL TTAKGFDAMSDLIDHETKTAFEKVNRGDGFPAQYKNPSIDYQIPYFQQQTLRWMFRFV NHIMQHNGGGFDRVLRNLIDSPQLLTSLRLVFENARVFGSHVWSNAVNILSSFIHNEP TSYAVIAEAGLSKSLLAAVMGRELKVQEKPPAVEPEDRETEAETDAEPAATQPPSAEA ATQSEEKQKDREYAIVRPQNTPLAPGIMPAADALACIPGAFGAICLNSSGLDLFQSSD ALESFFEIFENPEHVKCLKDDAELVRSLGTTFDELVRHHPALKTSIMSAVLVMAARVN ILGRVKAWELGMGTKMWTQDADGKTTLLGDVFSLFREIGTAVDAPTDDPASFGAPKLN VNTLPNGAKLVVGDLDHVLPSPGPDFEPKDKDAHGLSATDYLFPALRFLGAFFENQTN CTSFILAGGTEFLLDLATLQSLAFDFHSTNANQEITVLVHMLAETKPHLVLPSLVRRA QAAVDNLSAFWTAPTGSGFFTELIKPADSKNPALEPTAAAQSGTFFVRHLNAVLLLTD VLREVFASPLYQTRPGQSTSIFGQANLADHYCSLISSLSNLLASCVWEGILLEKNIPE KWLKATQASAEKHGSGKSKPAPVTGIPQEPSATSGAESQQESTSAPETAPAQSGQAPK EDDTEVDEQCPAFKNARTLRYLLSALPTSITGFLHNLGLGIIGKRRTDPLQKQKANAI LVSDAIAEGILRQLQFNPANSSDSPKHRFAYLIVILSHFSHLLYEVSADRPSPNYLTS VLVSFNKNNGLKVLKDICDVFLGDIKSLPSAESIPDQDKELADRLASGYGGIKIILGL FADLTAGKFIVDSSQTQALTSHPERDRDRPDYFQPGQLLVELRMEILPMAKDMWNSDF ATQSSSPIVKLLIDIMRCSLDGEYETGAARKADTPPLLLEMPKKPFVVHTERATALLE KGYMDVDLNKEALYRCNNLLMAAEEYCKAQQWLRAPPRVPPGPDDIKTGVPESASGID SLDDTGLGEVQPFNSANPLDRSAALQMLLAQAAGRPVADAMHRSPFPGGDIDSDMHDG LARALDNVLNDNDDMDSDDRGESSNQRRPESRNTGASSSEPTGSSQGEPPKRRHMVTI EDLDAERQNIRSNLIDRALDILNEHHDVSFELSDLITSAIKKHSEPVNFRRDIGETLV QSLVSLQMENFQTAGKKIAAYAHILALTLQDHDMYLATLEELKDCFSTFLGFIKLPTP EKADQETFPWVGNVLLILEKLLSDDCQPPKIAWTMPSLDDPNPSGDEPARLEDPLVSL EEKTQLFEALVEILPRVGKDDTLALSMCRVLVILTRERSIAARFGEKRNLQRLFVMVK QLSSATNDKLQSAFMLILRHIVEDEATIRQIMRSEIVASFESKTTRQMDTNGYVRHLY HLVLRAPDIFVEITNEKLRLLRYDNQRPQVLGLKADKDRQQKRGRPSILLEEHKPESS TAGEASASGETSTPEDADKGKEDKGKTQTKGAELKAPIVENPDGVIHYLLSELLSYKD VEDKEAGPEFSEQAADQSDTQTDVEMAVDEPTPSISSSAEAHASRGSKKAEKPQFKAD DHPIYIYRCLLLQCLTELLSSYNRTKVEFINFSRKADPLATTPSKPRSGVLNYLLNVL VPLGTMDHDESLAFKKRSITSTWTMQVLVALCTKTGEFGGVGRRRTEPKYEDDEPELA FVRRFVLEHALRSYKDAMASTEPLDVKYSKLMSLADLFDKMLSGYSFTNDAGFPTSTR QLAKTMFEKHFIPALTSSVADVDLNFPSSRRVIKYILRPLNKLTQTAVLLSENSDIPI QGDNEDDEISSATSVSDMEDEREETPDLFRHSTLGMLEPRHDEEESSTEESEGEDDEM YDDEYDEEMDYDEDVAEDDGEVVSDEDDDGMGPIEGLSGDAVEVILDEDDDEDEDEDD EDHDHSDMHDDMYDGEIGGDRDNESLEDGGEDEWESEEMTEDEEEVEMMNQFEDEMAD IRQSNRHQGDDQHLGDLFRALNGSGVDDIHGDALGGDIHEEILDDLDEDGLSFLRLLR QLPLPQLRPDLLTPGPVEDEDEMDELDEDMDDFDEEQGSYGDMEGEFNSPDDFLTSLL TRLEDDDLLEPWGWEGDEPPVARGHPQSRFRGGPPPAWATVTEIMPGRQSGLVPIQPY HRVHRTQIPSRGNDDGINPLLVRTDRPDPAVPPRTTADPFADWGQSMDTAAGRVVAMD SPISFMNAIMQAIGGQAAPGFGVVTRPDGIHVHVDRRAVLPGRIQDMLGIPRGAGPPT RTRGDDPHTAVKFGLGTTRNRWQEEARIIFGNQHTERTLRIINSILRLLVPPAIEEEK QRQKLAEEERKRLQAERAEKERQDRIAAEEKQRELKQKEEEENARLQAEKEQQEAERQ AAGVDEPMEDVQETDTAVETAGPSTQPEAQPTEPTRRVYTNIRGRQVDITGLEIDSEY LEALPEELREEVIMQQLAEHRSQAAAAGEEDTEINQEFLEALPAEIREELLQQEAADR RRRERETARRQAAAAGGAAATASSTQPAEEMDAVSFLATLDPSLRQAVLADQPEDVLA TLGPEYMTEARGMGGSGRRMAQFGDMSAIDHRQRIEPAAGQEPKKEQRRQIVQMLDKA GVATLLRLMFMPLQGNARHQLNDILHNVCENRQNRSEVISVLLSILQDGSVDSTAIER SFSHLSLRAKAPGTQKTPQSKRTLALQTASSVSSEVTPIMVIQQCIAALSFLSQFNPH IAWFFLTEHDSASAGKLKALRKGKGKENRANKFALNALLSLLDRKLIMESPNCMEQLS SLLSSITQPLTVLLRREKERQEEGKGKKPERPQIEESADQLAEAADSSMDTSMTDAPL PTVETPGAPGQETAEGEEATSAEVKKSEDSKEPAEDEKRKKRTIEPPVVPDHNFRLVV HILAARECNGKTFRDTLSTINNLSAIPGARDVIGNELVTQAQALSDTILGDLEDLLPH IHQAKTGTDMQGLALAKFSPASSDQAKLLRILTALDYLFDHARADKSKDIEPGSAPKE DVLKKLYESATFGPLWSKLSDCLTVIRQKENMLNVATILLPLIEALMVVCKNTTLKDQ PLSRGSRELSVNSTATADAGLSMENIFFRFTEEHRKILNELVRQNPRLMSGTFSLLVK NPKVLEFDNKRNYFTRRVHSRGAEPRHPHPPLQLSVRRAEVFLDSFKSLYFKSADELK YGKLNVRFHGEEGVDAGGVTREWFQVLARGMFNPNYALFIPVAADRTTFHPNRLSGVN SEHLMFFKFIGRIIGKALYEGRVLDCHFSRAVYKNILGRSVSIKDMETLDLDYYKSLL WMLENDITDIITETFSIETDDFGEKQVIDLKPGGHDIPVTQENKEEYVQRVVEYRLVE SVREQLDNFLKGFHEIIPPELISIFNEQELELLISGLPEIDVDEWKNNTEYHNYSASS SQIQWFWRAVRSFDKEERAKLLQFVTGTSKVPLNGFKELEGMNGVSKFNIHRDYGHKD RLPSSHTCFNQLDLPEYESYEDLRQRLYTAVTAGSEYFGFTKPFIMSTNSIKLLTGNS HPELANLVADRLGIELTKIMVLQYSNQETSVTIGESVRDEDVFILQSTKPNDINDGLM ELLIMINACKTASARRITAVIPNFPYARQDKKDKSRAPITAKLMANMLQTAGCNHVIT MDLHASQIQGFFNVPVDNLYAEPSMLKYIRENLDVSNCVIVSPDAGGAKRATAIADRL DLQFALIHKERARPNEVSRMVLVGNVKDKVAIIVDDMADTCGTLAKAAETVMQHGATE VNAIVVHGILSGKAIENLNGSCLKRIIVSNTVPLGDKQEQCDKIHTIDISPTLAEACR RTHNGESVSFLFSHTVGDYLRVWRKTVK PEX2_008260 MAEESNSSNKLAQIEQVVTAALRPLPTQTGDGSYVQEPTVTGLA KDLLHFDLKDAKTLAEVAKTAVTGEAVNDRDYVMERVIQLAAGLPSTSRNGKELTNTF LTQLWGDLEHPPISYLGRDAAYRKADGSGNNTFWPQIGAAGTSYARSVRPKTMQPAAL PEPEALFDSLLARKDFKEHPNKISSVLFYLASIIIHDLFQTDPRDQTKSLTSSYLDLS PLYGNNQKEQDTVRTFKDGKLKPDCFSTKRVLGFPPGVGVLLIMFNRFHNSVVTQLAA INEGGRFTKPDESKAQAYATWDNDLFQTARLVTCGLYVNIILKDYVRTILNINRTDSV WSLDPRAEIKDGLLGKAPAQATGNQLSAEFNLVYRWHSCVSARDEKWSEDLYKELFNG QDPKQLSLQDFTGGLRQWESKLPADPQERPFAKLQRQADGKFDDNDLVKIFEEGVEDP AGAFGALNVPDVFRGIEVLGIKQARSWNLATLNEFRQYFGLASYQTFEEINSDPYVAD QLKHFYDHPDLVELYPGLILEEAKQAMTPGSGLCTNFTTSRAILSDAVALVRGDRFYT VDFTPKHLTNWAFNEINNDVSVDGGQVFYKLVLKAFPNHFRGDSVYAHFPMVVPDENK KILTSLGKAKTYSFDRPFYKAPALFINSHSACEKILKDQEGFKVVWGEKIQFLMENSG RPYGRDFALSGDLPANAASRKMLGAALHRDKWESEVKAFYEDITLKLLERNSYKVAGV NQVDIVRDVAVLAQVHFCANVFSLSLKTESNPRGVFSEQELYQILAVIFASIFYDVDV SKSFQLCQTARNVAQQLGELTLANVELVAKTGFISNLVNRLHRHDILSEYGVHMIQRL LDSKLPVKDVVWSHILPTAGALVANQGQLFSQCIDYYLSEEAAEHLVEIQRLSREDTP EADELLVRYFMEGARLRCSVALPRFVTKPTVVEDNGEKVTLKAGQEIICNLVVAGRDP VAFPDPDKVRLDRDMSLYTHFGFGPHECLGVKMCPLALSTMLKVLGRLDNVRRAPGAQ GHLKRLNGLGGIAMYMDAEQSSFSPFPTTMKIQWDGDLPARRE PEX2_008270 MAADKITLVVQPRGKPIRKLPKEIEIPLNASSEELHTALSAASG CSIHRMRITKGSDHSVIPNSINTTIEDTGMRNSSVIYVKDLGPQIAWRTVFIIEYLGP LLIPALFLFPLRPLLYFTFDKPLPSPSDLQLLVCLLLSVHFLKREFETIFVHRFSSAT MPARNIVKNSAHYWILAGFNIAYWVFRPDAAAATSTPNQALVYAGLALFVFGELANLN AHYILRDLRRPGTTERGIPSGFGFSLVTCPNYLFEIVAWLGIYLVSQLNWSVLFFVFV GGLQMWSWAWKKEKRYRKEFGDKYKKKRAVIFPGLA PEX2_008280 MSSYRRKQLKSVAVLAFAILSLLYLLHYLYSSASTSVVAPVGTS GVVIVTLLDHQRYSESYLKKIVANREDYAKRHAGTGYTNFFARASDYDEAVGDAPMSW AVAPATRHAMATHPRSAYFFHLAANALIMNPTKSLKSHILEKDRLEELMMKDVPIVPP DSIIKTFAHQKDQDVDLIITQDAENLNPGSFILKNGEYARFFLDAWFDPLYRNYNFAK AETHGLDHIMQWHPTVLARTALVPQRIMSSYSNDSPGASLDGTYKDGDLVIQFHGCED TEGRDCAHELEPYYKLWEKKTQND PEX2_008290 MVHTFGIGEWLKKLTTVTKASVGVIELGLSRTINLFYAKWGCPG ETYFGELSMDLEADLSMDATYAYYLSATFIPPGKPETFAYFGMELGAYIGLHVEGRVI AQTQTERKKIIDNLTYPGLAMKGIAAVGPTLDIYGQPQDNDLQAKYSTLLGLESKTES PAPGTVEPVFHAGVAVDTQIDILITPQASIGIKIGGGKIVTGKTLMDVQLSGYVDTDL SFQAHSDYDTSDDAFHYRFGAYLYYNIGYKAVAKILSYIDWATGDRKAYWPDKMLKLY EKTVAGAQRHENEADLSGNSTMSVLEPAADIFRRADITTLNPKTPMFTKKSHCPAGAS GFQLPELRFNCGFLGPYQGVNEIRDADNDLTETLTYDVPGLCDNIVSLMPLRSKFTFA QHEKWNLLTKRRYGDNKKLSWAFKRDYETSWINAQTPLTPADWSNANPPTWDKPNYEG PLTMDSVLCAINHFNQDDVYKLPGVKVESDGKPIGKQKEYNALCKRTKGSGIRHAEAW GIDYVMARCLIRFQNSASGSSSTSKRDTQEWDAWKVESIEYVEDMNNADMAQLLGPAS LDGYEGKGNLP PEX2_008300 MLLSLVKFGILSVFLLAQEAVAIQLWNNTDGFSDDVPAAGRSAL TFDVKCANYLVTARDVANGAALVGNLESHYCTEECHDSIDNFQRSSHLAYGTKAYALF KNSTARVVPGDIVNGLMWAYELSCIKDSTGYCLAGIYNHTKTACSECTLKYGAVMASS GYGRKQFPPNVFSSLLSSCNVPASSYTYVYTSSNPTTTPESSGVPSSATATPTSTCTG KTYIAKEDDTCKSISEAQSISTDRLVEVNHLDYSCSSLTSGTALCIEKNCTVYTVQAN PEX2_008310 MPQKITVAVAQARTLSTLELTLAALKQITQHAASKGVHLLLFPE AYLGGYPRTCDFGTAVGARAPYGRDQFVEYFRAAVDLGDTPAGAGDDWVERKLPIAPG RDHRGDGTREFLERLSRETGVFIATGLIEKAGGSLYCSALYVDPLRGVLGKRRKVMPT GSERLVWAQGSPSTLKAITTELNGVKLTIAAAICWESFMPLLRQSLYSQNVNIYLAPT ADSRDTWLPLMRTIGGEGRTFVLSCNQCVRYNELPSWITEQGKSTEEAPDRYISRGGS CIAGPLGEVVAEPIWEVSTDDAADGSSIENGLVISEIDLEDFDGLDLNPPPF PEX2_008320 MIVTIVSAMMRYCVMAQLHLAESEPQVPNPAFSLEVQTRRRVFW SAYALDRFISWIYHIPNNIIDEHISVELFSNVGDADLHHEGSEDSRIQIETPSQKTHV SPALHLFRCRRIQSRIISTMMRSDFREIDASSTWREHMLGELDSWRSQLRLLSDVASK SYTSDRWVGMAYNYTILLLHQPTKENVCNGFGDRSVPACVQIAMTFRTFQKDRQTAQL WPGLLSQVAVGITLLYCFWATPPQHQTIAYRSREVPDALRACSTALAILAERWVQAEP LRDVFDVLAKEVPLHGTAEEDPPPRRISAESISYIQSQMPLLTSIIMHRGVLRMIREM ITEDFPRSLDEDFHHQLPASQDQTMLRGLGGHMCSEECPFFHEPTHPGLMAGIGAQTF SPLENGIGSAYGVDDETLMYPLLFGSAEF PEX2_008330 MAGLHTRSAMAAPFSLASAFRSLSIATPKRSFSTTPATQSVPKL PETAPVYPYGPSQWYKQADSGLYGGASIRFGNKISKGRNAGKTRRSWKPNVRRKKIES EALGESVFIKVTRRALRSIYKAKGLDNYLLSDRPTRLKELGPFGWNLRHQIMQTPAIK EKFAKQRKAFGVPEPPSLGKWMRDHRLEIRNKIETLDIDAMTKPRGSPRSTQSYAR PEX2_008340 MVQISEVKGNSRENRTAAHTHIRGLGLRSDGTPENNADGFVGQG AAREACGVVVDLIKSKKMAGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVGSEI YSAEVKKTEALMENFRRAIGLRVRETKEVYEGEVTELTPEEAENPLGGYGRTISHLII GLKSAKGSKKLRLDPSIYEAIQKERVTVGDVIYIEANTGSCKRVGRSDAYATEFDLEA EEYVPVPKGEVHKKKEIVQDVTLHDLDMANARPQGGQDVMSMMGQLMKPKKTEITDKL RQEINKVVNRYIDQGVAELVPGVLFIDEVHMLDIECFTYLNRALESSISPIVILASNR GHTVIRGTHEISAAHGIPPDLLARLLIIPTNPYAPEEIKTIIRLRAKIEGLNITEPAL NKVAEHGSKVSLRYALQLLAPASILSRVNGRPGAIEEADVAECEDLFLDAKRSAIIVD QDSKNFLS PEX2_008350 MSNPLDTDAGSEMFASYENELKLVQADLNQKLDQIAEASGEQRK SAIGIATQVLEEATELLDQMRMEKQNIPSAARSKVNIRFRNYSTDIDEVKRKLKSLSD DRQALFGDRYTDEPQDEHLEQRQQLLSGTDRLERSSARLQQSQRMALETEDVARGTLG TLYEQREQITNARNNLQQSEGYVDTSIKTLRGMARRMATNRIITIAIITVLVLLIFAV IYSKFH PEX2_008360 MASPFLSPYAVGEPDTDLLLITVRFSASIPDLQLDVPEPETTTG AGLKQLIRAELPKPLSFHRLRLIYAGRGLEDTTALTVSLKLPPSPSRSPRPAADQPET TDDNGKGKQAVRDIRPRLYIHCSIGDIALSDADLASEASVASTILLQQKQQKASAGKK LPTKDVSSLLPTFAQPRHSQPQPQSQPTTTTPAPRGFDRLLSAGFTAAEITALRSQFL AMQSVSRTPDTMPTGDQLRDLEDRWMDEGSTAAQVQGLGGEGGGIGDDEGGIGSGSRG AMDDMLWGAVMGFFWPVGCAMWLRREEGVWSWRKGVAVCVGVIVNAVFGAMRIMN PEX2_008370 MQTATAYRPHSLPTSFPLPRSTGGANTSISAEFPPSDFKSYQSP SQSTLSNVSLREGEAQKPKPTSASPATSKTSPKPRRPLRARKAAMKLSPVATEQLRKL MAQPEPKFIRVGVKNRGCSGLAYHLEYVEKPGKFDEVVEQDGVKVLIDSKALFSIIGS EMDWQEDKLSRRFVFRNPNIKEECGCGESFMV PEX2_008380 MDASPLTQQSRPESFKPKIVQLYENLFKAADDADPSEGFWRELF LLPPDRNQLHAILDQLSPDDTIGLQIQTQHFFVRAVREAAAGVAPADSNALDTLTVFL TSILSKKYTNPSSDVITVLAGLDEVDHVISDFVAVLDRIIRNGSSFDVRLKAIKTAIA MTSGAYKTSLVSYLTHRDLFPSLMKYVSDSDTPLQVFDPFVLLGLLANYNKFEFQNPY QLRLDDFVNESSIEKVAKGVGLACNRLRNGYIAVQDDIPEGWSLTNTLIFFGLRALAP GARDKANPPSAEEAKAMFADLPAQEAAILMATYDFTNANKLFGYHLVHLEPESSEEES PFSSFLSLSSYLLQHAYRSPRVGHYAELNLFTLRILVEDPTICKQICSENVKRKVRIC RQKQPYLPVVIGDRVLATVIFDIAIDTITHNLRRRLDVNIYSHTIAITLRLLTYLSKN KIRLSYHWSELWRTLLSLMRFLTTYASDLTSNPKIQTLKTSLADLIAFCVSGGDTFLP DPASYDDLFYKLVETGPIISKFRHAYSFSRSNNAAAGVTASKAPDAISQNEQNSAAID TLQSVSTHFYTLLFHSEGVDAAAVTVATPKADEPAPVALPSIKKKNLSPREVHRIIKQ GYDTLSIQPPEGLSAWAKWREAEWKSELKRAARCAVDDARQLVA PEX2_065500 MTLHQRRSNVWQYIIPELASENRYLMHMLLALGGIHMVKQKADA NRTVVFEDSDTVDLAIIMAHHQRGLEGFREDVSRISPSNAEAVFTGSMLVVAFAFAFL EIQDLNPLTGPINGRSGAMTDVFPTNTIPRLNWLYLNRGVTSVISDQWQVLKTGRLRQ ILSLQHSEGVWVGSSSNAPSRLSRCSPRLVKFADGARQAVASIKASLNMLEHPWDDLS SCSGTPTSQPSPPTTLNWAMDTHNETIRILESFYSRILSVLGCASTENPTDKEIQLDF EEAALLSWPNLLPSAFLALLERSDQNYLRGLSLVILAHFYLINTLVDTWYMRGSFESE IPRVHALIGALNENDLLTFMLWPNEVMSLPLNCTL PEX2_065510 MLTSLSAMSFNPFVAHVHPGANPVPTLPRALLRKDLLDQEQTRK KTDISYQILSSHLMKVHYSIASSPCQ PEX2_065520 MGFNKDGQAVYELYRYTPSLAAAVLFTVLFVLATLHHFYQIVRT RSWYFIVFVIGGVFQIIGYICRAPAHKDKENIPLYSISTIMILLAPPLYAASIYMTLG RLIVHLDAEKLSMVPVKWLTIIFVTGDVIGFLMQAAGGGIMASGTLSSINTGEHITIG GLAIQLVFFSVFIIASTIFHRRIRNNPTEKSVSRSSSSGVTSMATWETIMIGLYIASI LILIRSIFRLIEYAQGNDGYIISHEAFMYVFDSTLMLFAMIAMSIFHPSRILSQPTKP PRASRRSRSANKESPLFPEVEVQDPRSYFGLPGGYLAPGSGFFAPKNRTEAPGSYLAD SKKLTTDFFLTIHNQLSTKQIENTSPDKIMKTSATTIITVLIVAAEGVYALPSTGTTP LRNEASKQYILIGSRAITPMYLNDPEFAAVLANQFNILSPENKMKCSETGRDHGDRNS YEALGLEVAIAELDDHTLDNAIEANIYGTVFSESPNAGITNLSIWGFTDKHAYTWVQG AKPLMFDQCYKPKSEFYATHAALTNFVNRS PEX2_065530 MTSIRSDDGPGGFAFYHYNPSMGGAVLFTILFMGTTFHHIYQMF KAKTWFFIPFMIGGLLEIIGYIGRALSSKQTPDWTLGPYIMQTLCLLLAPALLAASIY MFLGRIILVLQAESHSILKKKWLTKIFVTGDVMSFLLQGAGGGIQSSGSLDSMKTGER IIIVGLFVQIIFFGFFIVVAGLFDWKLRKYPIPRCFDRHIPWRKHLNILYATSLLILV RSLFRLAEYIQGNTGYLLRHEIFLYIFDSLLIFIAMVIFNIAHPYEITQFLIDSANYE LTRAGNEQAAKPHGVYRGV PEX2_065540 MSLSLDETRTTKSEDAGSTAQPQHQNLTEGNPTAMYGFKLWAIF IGICFGAFLMSLDIFVIATAIPSITSDFKDTSQLAWYPAAYSLTTCALTPLAGKLSAT FPLRWIYITFFSIFMVGSLICGFAPNSNSFIVGRAVAGIGASGVASGGFIIVLTVTSD KAKPLLMGGAFTQKATWRWCFWVNLPPGAMTLVAMLLFFKLPSIQRDQTALQRIKNLD LIGCVIFIPAIFMLLLAMMWGGTEKPWGSVTIIGLFIGSGVMLILFVGWEHYKGDGAM IPGNLIVRRTITFSVLFSFCHFGSLGILNYYLPEWFQAVEGASPLESGTRVLASVLAQ IVGTISSGILARKVNFYNPWLFAGPLFMCTAAALYTQFTAFDTPSSHWIGFQVIQGLG VGMAQQMPSLIVQLAVHDKPELMPAAVSLNLFFQYLGATVTQVIGGIVFRSILGKSLD DHGLNATQIALLSAAGTAGIRDITNANFPTLLHPVLESYNKAITSAFFVAVGTTAAAF CFAFGVKWTRIHAAKSTDPEADEVEQK PEX2_065550 MGSKTAENESQGGGNAPSSSTMANVTGGEPRGAHWSRDGDGTLG EGDGDDDADGDNIPCALTLPLNSQAVPLTSSKKKRKRTKKKSSVLKQSSPPRIPLADL FPDRRYPHGEAQIYESMVENTTRTPAEEVRYQARRHIEDDTFLNDYRKAAEVHRQVRR WTQESVHPGQTLTEIAVGIEDGVRALLDNAGLDTGEGLISGLGFPTGLSLNNCVAHYT PNPGQKDVVLDSSDVMKVDFGVHINGWIVDSAFTMSFDPTYDNLLAAVKDATNTGIKN AGVDVRISDVSAAIQEAMESYEVDINGRTFPVKAVRNITGHNIEQYRIHAGKSIPFVK NNDNTKMEAGEIFAIETFGTTGNGYLFDGPGVYGYGKDPSAPKRITSHLASAKSLYQK INENFGSLVFCRRYLERLGVERYLAGMNNLVSNGYVEVYQPLMDVKGSYSAQFEHTVL LRDSCKEVISRGDDY PEX2_065560 MHDFAMPPVIVRILIVAPSIDRCATLRATTASQGIALAAAGSAL LAMEGVARLAEDLCKIKRKDSSRCQCDLGDQSVRHVLLECPLREELRTEMAEKLFEAG VSAMLGEEEVLKESKAAPIVAKFMIASGLLGQFHSVDSVATGKEKGAGDGNLESNQGT TSARDKGSTT PEX2_065570 MKHLANAATVLDYDWSVPATQHRWGLKQPGVKYTKEIPREEKGR IAPAQHVEFRRPNARVSDHANDAVGNVQTNAYTASLHSPLGPESSFSQTPSIQDGPPS SMRTSPMSQAFPLVEAGSSSSPLDWLATNYLPNPSRIAMLVEEYFNNIHPLRAFAFIH KPSFLQRLDGETSKEYHNHALLHVICALGAHETTTSLEPKFVLLAGTQWAKIAQRLIL ETIDRVTIESLMAAVLLHDYAVRLGNFANAFMLSGLTTRMTQALQINLEYNTDILCQD TENGLPVTAKESRRRLMWSCYIMDALVGSGVDQLTLMDERDIKIQLPCNERNFTQNVP CITETLYPGSWLKILPEDSDTKTLLPNMGIMAYFIRHISIRKRVLRYIKHLGDAMVPW DPASEFSLLDSDCRAWYESLPASLQFTPDAMYIRKDTSQLGALCLLHCAHYQTVCDLY RLGAPALYKLRAAFDFPPEQRDFLRHLQQVLFDAARALATIIGQAAGHGPRMLADSWL PTIAYDSCRIMVYHLTQILDPRSDNAKALALATIPLLRSNINALKLMGSLNAIASSLS SAAETMLDRSGIESELVSQNIIPDDPYQSTEEEDTSDNLRETPVQSAPDYVLNPLTIY RMARKSIPERHAPERAGTTSALRGNNAGDIDYPPCEVDSGSGVESGQAELEVDQSSLE ELQTLFMSDLGWAWQPADTAVGSGTEGAGLLPWAGGYPITQTQPWLPVFPFPQQS PEX2_065580 MAPGLVEATTLPTLSSPVKLSVFPDGFKTSGQHPPIYSLVRPYA DFPKTHTGPTVWKAEDYRENPELWTHRFTTEEIKEISTASDQFIQTGTPLTGISKANF PLPNLSERLELLRQDLINGKGFFRFRGLPVQKWNLQKCATAYMGLGTYLGYFVSQNGR GHVLGHVKDLGEDPTKTDRVRIYRTNARQYFHTDGADLVGLLCVAKSLSGGESDIVST HHVFNVLQERHPDVIRTLCEPNWYFDRKGEMSEGEDGWIRGSIFYLENDNGTSSPRVY ARFDPMNVTSLARFNSGPDACIPPLSDRQTHALEVFEKICAELSLHMILAPGDIQFLS NTHVFHARTAYTDHPPGAVDEDGRPAQRRHLMRLWLSAPESEGGWKLPYHDTLDKKRG GIQVNDTPPVYPLDAE PEX2_065590 MVFPYGLCMILLTSADLCTGSFMFTTVAVLHRRLPWSKMLVHWA VTFVGNFAGSLFVVAIIFGYGNSFSAEPFRSAVISFATKKQVTPEFHMILLRGIGCNW LVCLACFLGIQGRDLASKVIGIWLPIFAFVSLGFDHVVANMTFIPLGIWLGAPNITIG LYIWKGIIPTLLGNIIGGGIFCGQYHYPYSCIECLG PEX2_065600 MSAKDDDSGRRIMQILEQNPTKNPSDYSDQDIDLMRRVVSYCKR HLAQEERSKQDTSSKSYRSLKNWGHDSLKE PEX2_065610 MQDPTMSKPDVVHNEAAEPQKGDKIMDNSGIVRVLLTEEDSKRI CRKTDRTILVVLAWVYFLQVLDKTVLGYGATFGLQADTGLTGNEYSLVGSIGPIAQLA WQPFSSYLIVKVPHKILMPVLCLGWGIAQTCMAVCHNFNSLMAARFFLGLFEAGCLPL FGVITSQWYRRAEQPIRIAAWYSTNGLATIVAAALSYGLAQIKSDVLKSWQIIFLVVG LITIASSPLVYWRLDNDISTARFLSEKEKAQGVERLRANQTGTGNTEFKFSHVLEAFL EPKTYLWIGMAMLLNIGASVTNIFGPLILSGLGYDKYRTTLLTMPFGALQFIIILLAS YLAQTARVKSAIIAAFMLPVIAGLAILYAVARTKSVQSQLLAGYYLLAFLFGGNPLIV TWIIGNTAGTTKKSVIMSLYNAASSAGNIVGPLLFNEKDKPAYHPGLRACLGIFSTLA AVVLIQWANLWFLNQQQARRRVQNGKRAEIVDLSMQNDYHDMQDSLEEAGHEAVQVGD NAFQDLTDRENDEFVYIY PEX2_065620 MTGNLQHTGEPIAIVGSACRFPGDATTPSKLWDLLKAPRDVLSE IPESRFSTKAFYHPDGLHHGTTNVRHSYLLSDDHRLFDAQFFGTKPVEANSIDPQQRL LLETVYEGIETSGIPMENLQGSNTGVYVGLMTNDYADMLGRDVQNFPTYFASGTARSI LSNRVSYFFDWRGPSMTIDTACSSSLIALHQAVQSLRSGETDVAVAAGTNLLLGPEQY IAESKLKMLSPTGRSRMWDKRADGYARGDGIAAVILKPLSAALANGDHIECIVRETGA NQDGRTKGITMPNPVAQADLIRTTYARAGLDLSKPTDRPQYFEAHGTGTPAGDPVEAE AISTAFFGEAAKYHRDGDQEDPLYVGSIKTVIGHTEGTAGLAAVLKASLALQHAVIPP NLLLNELSSTVLPFYSDLEILQVAKEWPELPKNTPRRASVNSFGFGGANAHAILEAFD ARLLTRGRNTADGSSVAVSPFNFSASSEKSLLANIAAYAAYLRNHLDVKPRDLSWTLN CRRSTLPVRLSVTASSPQELAAKLDEAVVSSAITPSTQTGSIREPKLLGVFTGQGAQW AGMGAELLKSSPMFSDCIARLDRSLQELPTEHRPVWLLREELGKDKSSSRIGEAAFSQ PLCTAIQVALVQLIRAANIKLTAVVGHSSGEIAAAYAAEYLNEEDAIRIAYYRGWSLQ YATDQEGQKGAMMATGTSFEDAKELCEMPSLENRICVAASNSSASITLSGDADAIDEA REILEDEKKFARLLKVDKAYHSHHMLSCAGPYITAVRKCGVAIQRPPSGSATWISSVY GDNIDNVKDNLADTYWSNNMVKPVLFSQAVTYAVGAAGPFDMALEIGPHPALKGPAMQ TIQEVSGQTLPYTGTLSRGKNDSEALSSALGALWIALGESVVDFAGFEMKAMQQQNLP QLIKGLPSYSWDHDRVYWHESRLSAAIRTEKEPFHSLLGVKCPDGTENELRWRNYLHP REVSWLAHHQVQGQMVFPAAGYISAAVESVIQKYGLGSVQLIDFHDVVIGQALVLEEN GGVETIFRLSIDQVQANCVSASFSCHSDSNKGSSNMSLHASATLQIILGEPTHDILPP QAQPQGSFLDLEADRFYNSVSDLGFGYTGPFQALSNLRRKMDEASGHIGVPEDSGIER PLIIHPASLDGAIQSIMLAYSFPGDGRLRTLYLPTRIDRLRLNPTACVTLAPPGVDLR FYSAVTEARFAELSGDVDIFSADGRHTLVQLEGLHTTPLSPLTSKNDVPFFTEVVWDV DRPTGRQPDKVEEMLPQDRSLGMDLERVAHFYFKKLENSLQHSDRANATWNHTHFLSY VEHCTTSVANEEHKFAKPEWANDTIDDVAPILDRHSESIDIKVLSAVGKSLSAIIRGD TNLLEILMHDNMLAEFYSKTLGIDTYLDAVAQAARQISHRYPHVNVLEIGAGAGATSD RVMREMGSSFASYTYTDIVDSQFDEARERFSYYQSRMAFKVLDIEKDIGEQEYEEGCF DLVIAPLALYATRKLEATLTNVRRLLKPGGYLIMLELTDPDVMRFGLILGGLPGWWLG YEEGRTLSPCVSEDDWEVLMQKSGFSAFEALVSSSKTVPIPFSVMVTQAVDHRINFLR DPLAANHIPLGVDSLTIIGGKTPLTADMVADIKTAVRPHYGNIYTASSLGDLISAKLP VMGTVISLIELDGPVLKHMTPAGLNSFQELFKQSKNVLWLGHGAQGENPYGNMFVGVQ RTLAMEMTHLHIHFLNLHSLRDADANIIATKLLHLEAAEIWDQSGQLDGILWSNEREL VLENGNFKVPRFHLDSGRNDRYNSSRRLIIKDVARDRSVVTIQPTETGYQIEEKDLRA SPSFPDDVNIDVTHSLLRAVRITSSDSYFLVVGKNTWNGERVVALSHTLDSQVRVPSG LAVRCGDSEHLGVRSMLTLYFHFLALSMLQQLQSGKTLAVLDPDFSLSPVLTKYANEK GVHLVLLTTKEGHCSWPWIRIHPNSTRREVLTKLPGIISRVVNMSGSSDVTNLLKTCL PPYCHFESESTLTANCSQSLYTSDRGQLAMQLQNSWTRAQCDLMPVNMHKFIALGLED LILAQSPLSQQSLVAWGGSQLAVQVRPATKQVKFSKDKTYWLVGLTGGLGLSLCQWMI GQGARYIALSSRNPNIDDQWLQRMAANGCTVRVFPNDITNRESVQVTYRNISDSMPPI AGVAQGAMVLQDTMFIDLDLPRLEKVLRPKVEGSILLDELFPEDTLEFMVFFSSMAAM TGNPGQVAYNAANMFMASLAAQRRSRGLAAHAINIGAIVGNGYVTRELNMGQQSYLYR VGHSWMSEQDFHEVFAEGVLSCLDRVGSAELCCGLRIDDDESKSWVSNPMFQHLVYKS SSLVVAEKKGKYGVLIKTRLFEATSNQEVIEILQDGFVLKLQSALQADPNKPMLDMSP DELGVDSLVAVDLRSWFLKELGVDMPVLKIFNAASIRELLATTAEVLPESLIPNLMTG DQAAKQPGPHTTNVLNKVASGFPVAAKASRTDLPVELKFALPDATNAYSGSSTTSLIT GDSNSEDNDDTSSSVFTDNSEMGPAKREIQRTVPMSYGQSRFWFLDHLVEDKTAFNIT PTFELSGRLRIEEFANAVQTAAQHHEALRTFFFTDNERNHMQGVWTRSSLRLEHVAIS DETEVKQASKQMEAHVFKLSDGEIMRVRLLSLNPEKHWIIFGFHHINMDGISFEVFWS DVEKAYEGQPLSEDGLQYPDFTMRQLREYEEGAWAADIAYWRAQYIEIPPAIPLLPFS LQPMRPKIAQFGSHTAQIRLDISLSDSIDRCCRMFNSTPFHFHLAVWKTLLLRFFDME DVSIGLGDGNRTDADILRSMGLFLNLLPVRFFQQPCQSFGESLKEVRNITQDAFGHSR VPFDVILTELNVPRSASHNPLCQTLFNYRPKVEQSRSFCGCVADGALLSGGETSFDLG LDVGNVGAGETLVHLSAQKSLYGMEHAEILLGSYVNLLRSFLQNPATRVAWPELHSKD DLQEAVAVGRGMFNYSLIIVDEVALRYPERVALKSHDGNSLSYAEVQRRIDAIGHELL RNGVGIGTRVGVFQSPSADWICSLMAILRVGGAYIPLDKKVGMNRLAMIMKETHAPMV LVDTNSMSDYAHLQTTAKPINVCTLNGSPARAVRNMAVPSLTAVIMYTSGSTGVPKGV MIAHSAYVHQVQSSSDVWKLKQGSETILHQSSYAWDASLWQIMVSLCSGATLVIASDL TRGDPVALTRLIASENVTCTLATPTEYLTWVRHGRPHLINSRLSTAICGGEFMPSGLI KEIKNLHRPDLKLINAYGPAEISVACSGSEVPYSLLDSTSTSPAFALYTLPNYSVYIV DENLNPVPIGVPGEVVVGGAGVAQGYLDGPKTTERFSNDLYASKFFKEKDWTTIHRTG DRGKLNCDGGLILLGRLDGDNQIKLRGIRINIEEIETAIVSSSAGAITQAVVSIRSDA TQSEGDQFLVAFATMATTHDSENAVQFLARLSQELPLPRHMRPAAIIPVENIPQNTSG KTDHVAVSELPIPLISSQLPDDSTLTTFEESLRQLWQQALPRELSKFHSISSQSDFFH VGGSSLALVNLQALIKEKLGATMALYQLFEASSLSAMAARIQDISRPALELDVNWDTE VEVASNLTSSLYGDSGPRRAFGVKTVVLTGATGFLGKEILRRLIQDGKVSLIHCLAVR NRSLGLHELFAHPKVHLHYGDLGAPQLGLSESEAVSIFSCADIVIHNGADVSFMKTYQ TLKLINVASTKELVKLALPRCVPFHFVSSAGVARLASQESFGETSVSSYPPPSRPTDG YIAAKWVSEVYLEHINRQFGLPVWIHRPSSITGTDAPELDLMGNVMRFTKETQKVPDS SSWSGVFDLISVQSAASQLLEAVYQSSVAELGTGSLNYLYESGETKIGRDEIMPLMES GSGQQFQIVSLDEWVNAAEQAGMSVLLGEYLRRASDGQVLLPRLIKSAGFEDVRE PEX2_065630 MAARDEVPSNQTGIIQHEGGILQITHGLPIPELGPHQMLVKTAA VALNPCDYKMPLRFPTPGLWDGCDFSGTVVALGSEVAAQGRFRLGDHVFGAVQGSNMS DPLSGAYCEYIRTEPDLTFHVPRGTDLANAPSLSGTAIATLGVALFWSLQLPGTLHTP ASKAEDVLVYGGSSTIGLLAIQMVKLCGHRVITTCSPHNCDLVTSYGADLVFDYNSST CAEDIRAATKNTLRYVLDPFAEAKTLRMCYAAIGRTGGRYCALEQYQESLCSRKTIKH ELVMGGAISGRGVELPDPYDIRPRPEIGVWARSWYAELQELIDNGKLRPCPIQNIPGK FDGILEGLDMLRNGRVSGKKLIVSMTTK PEX2_065640 MAVPDFKDVPDLTGTWVLNRKLSTDPDDVFVLQGVPWVVRKVLR HARLSLQICQTTSLSAKGTGIPNEISELAGNLKPVITLCMTQTVNPGGFDSEGSYPVD GRPQDVSLPIFGDIQMQLQFVDKSDIIEDSIREILEVGSLSDKVIQELARNASKGWDA EVIWGFEELDGKRYLTRNISTTKEQKKVIAKMVYDGPN PEX2_065650 MRSSTNAFPIQATGIPIPEPFQTKCLSYGKHESLFTAKNLPDPA WGNHPDLAAIIKPPPMTTRKEALSSTQDIRKSLLGLKLALLEDLELFETGSMLLQSSS LLYENSHLPIETLDLPIYRLLDHSSWLLGIIQSSCGTKEEILDVTPATQRFESENGGY EDSWFILRDAGYNSMDEDPTTVSPHDSGYHTTTTSPDRMTNPPIPKCDIALWLGILEA HCSLVRIYRAIFMRLYQLFLIIPPTDAATILVLPKVRFGGFHLEGNLIVQVQSLVELS SKTMGELDQALKLRSSPAQPQEDQEFDPSETIRQKSWSASICEIVLAHEQDPCEMSLM EIMECLRQLVKDPVMI PEX2_065660 MDWWDAVITHPIVALGVVGVTYGVWLATYRLWFSPLAHFPGPKL AALTMWYEFYYDSFLEGQYTFRIAEMHRKYGPIVRISPFELHIDDPEYYEILYSREKP RNKSLHLTGMFGAPASAFGSVDHRRHRIRRQPMNPFFSQQRIRQLEPMLRGMIDKLRK GMRVWKERDTPLNIYHAFNAYTTDVVVEYSMGESFHYLDDPDFTPQWSKTIEAIVQVG VQFKQFRWVFSLFELLPRWLVMAINPHIGPVIDQKMESLRLAKAIIDSQSADGNSADD KVSVPQGTLFHALLDSKLPPEEKAPGRLSQEVFTVISAGGETTAKNLTTLTFHLLNNP DKLQRLRDELNRLDPDGTATLVEYETMPYLQTSVMLEGLRITNAVATRLQRSSPDQVM TYNDWTIPPGTPVGMTSIFMHHNEDIFPNSQSFIPERWMDLEQRKHLEKYLVAFSKGS RQCIGIPLARAEILLAIATIFREFEMELYETTVDDVRIVRDMFNGHPRKGSQGVRVMI TDWNGRLRQSEDSP PEX2_065670 MPTDHAMVELNSVRVPGSAVLGSVDQGLAIAQKFVHENRIRQAA SSCGAARYCLDGSIERARARKIWGEEKTLADN PEX2_065680 MSDMVSHNTSRNASAAHDDTKTSANAISTESDNAFQDTKEADAE QNHADTSNPGELKRKLKSRHLQMIAIGGTIGTGLFISSGTAIAESGPAGALIAYIFVG SIVYSVMSSLGEVATYIPIPGAFTSYAARLIDPSLGFSMGWIYWFNWASTFAVELTAT GTIIQYWDPSLNIAIFIGVFWVFITAMNFLPVNFYGEVEFWFSTIKVATVIGFIIFAI CIDVGVGEQGYLGFRYWSTPGAFATYSPQLPVSVGKFVGFWAVLIQAGFSYQGTELVG VAAGETENPQKTVPSAIRKTFVRILLFFVLTIFFIGLVVPYDNARLTTATTNASSSPM VIAADLAGVKVLPSLINAVLLSVVLSAANSNVYSGSRVLTGLAHEGFAPACFGWVTKH GVPYVSVIFTALFGLLGFMNISNDAGQVFTWLVNLSSVAGFVTWASINACHIAFMRVL ANRGISRDTLPYKAILQPYLAWYGLFFNILIALTQGFTSFIPHFRVTDFFVAYICPIV FVVLYVGHKVIFRPSFVSAADADIDTGRLHYEKEVDAPKPWYWRVWGWVTK PEX2_065690 MEPKEYPPSFTSRSTSFIPSDQQSDSRIILDDEKLPPVDGGVQA WLFLMASAMLEALVWGYAFAFGIFQDYYSTHEPFKGSENIAVIGTCAMGIAYLIAPLA IVLMILVPRIARWVSTIGVVIMCLSLALSSFSTSVMHLILSQGIGFGIGGCFAYTPTI LFMSEWFDKRRGLAFGIVWGGSGVSGIVFPLAIQWLLNQYGIEATLQISSVALFILAV PFLYFHRPRLRTTEIAYHRLNFRFLYNKVFIIYQLGNTLEAIGFFLPTIYLPTYARSL GASDYMASLTVILVNLFTVFGSVIMGFLSDRYHITTCILMSTVGTVIAVFFVWGFADT IPPLYVFCIAYGLLAGGFSSTWAGVSHEVQKANPLADETVIFPFMETGRGIGNVASGP LSEALLRADNWRGHALGAYGTGYGTLVVCTGATALIGGLSVVARQLKWI PEX2_065700 MAGFLFLVALSLGAAAMPASSGMSNIKNIVVLVQENLSFDHFAG GLDYDSSIDGPRNPQFCNPANVSSPSDQVCANPNAQNIASDDPNHSIAGGNMQVFGTY HPLAGAMSSMDGFISEQRASYPKDDLNRAAEAINYFHPDHIPVFNTIAQNFVLFDRWF AAVPGPTNPNRAYLTSGTSHGHGHNDDDFLNSALPQKSIFEQLSEKGITWKNYENSTQ SKPAFLPDALFYDWTAKNGKDNVVPISQFYSDAKAGALPQFTWINPECCSYMSMHPPS PINMGENFVKSIYEAVRNSPQWNETLFILTWDEHGGFADHVSPPTDVPAGDSLTYTET ARDGEQYTFHFDRLGIRVPTVLISPWVSKGLVQHKPSEGNEFTHTSILKFVSELWGLD SLSPRVDWSPSFGNLVTNRFRSDTPEKLPDAADF PEX2_065710 MYGLKQLSLLSLMLLSVSAEKNSKRTIVGIETADKSRGIDVPLN DCHAIEEEDVLTVSLKKPCRLFTGPDCTGHNTFLSPGDHSSKDPIPVIESIFCQSSF PEX2_065720 MGDNYTIHKVSLKRTRQACGPCRLGQHCSYGPQPSRVKPHSVSA RAQSQETQHSSINEIQGDISSSGRLQRIEERLDMMALLLQEGLPRSNSLREVSSDTQL NDDRELQEASYDDLEIMDCPKSHSLASPDSLPPEVAFALVALTSRLPRRSRGPLHENR PDGKTFAERAWDLLSSQYKDGKMGLSFLQGTFLMAQVDFADGKAHRAYASVALGLRVI QSVGLNKEEDSYRTNEPEIETRKRITWAFFMLDRTYSASRNYSLSLSDKQFTLPFPAL ETESLFSENGPLTHGSLHDGPGKQGQKVDHGILVCLLRLFSLWGKSTEYVFEPFAESS LPPWQTGSALAVLESEWLQFETHFADAHRYINVDFKSCAREDPRPRTYLSTWVCVQFL FHSIQGLLHHPFVIMTKLRNFNGNLSATFLQKSFETSLLHSRWIVRFIREMSDVNFET CDPFLGYLAAIAATIQLEHTGNKNPQIALLLNKEFQILVDFMTELSVYWENMSVLVNK VNELAARHQNYGSLYYNQEGFSGALSKMPTPSNMPRMSAEDEGLMWEILDFGCSSGGD KAMNFGNLAIPQDIQANEGHPAQRRPSSRIQRAGQQNKNDQRGRMTPSVPNLDEISES INEGPLPEWPFQARDGGDMIGAAMPDIPDWMILGDYMAEHLEHEGYQLLKVPEVESPY PQLRSVAIYGGAKSSFGLVYFFATLNRNDSKLHLKSTQKDLVQVHWIIRDRGSTLPNC DTVASDNLSEPPGVCTKGLEDTAQYGSNRRIEKLRPENSVLFAHGAGLFGNQLYNTAM LVLLNNRPRTARIADFHSVAMSPLWHAQRICSIALHNDSREFWDPYILASFLLAARWM THESQQHEVVHAFDRIRTVSGWNAHNSLQSL PEX2_065730 MSTFKDSFKLGETEGLPTPANDNSAVHPLPASWYSSPDMHELER RAIFSRKWLLTTHKLRFPKTGDWLRYDIAGYAFILVKDREGNVNAFHNVCRHRAFPVV TEEGGTSRIFSCQYHGWSYGLNGKLAKAPGYQELEGFDKSKNGLLPIHVHIDVNGFVW VNLDAGEKPEISWEDDFKGIDLQPRFEGFDFEDYNFDHAWEMSGDYNWKILADNYNES YTPSLSNLISYAVDTKDGKSTSEEISNGLKTASTYYFPNAAMTVSPHFFFMQRLVPTG PTTCSVRYEIYRNKNSSDDDFEMINQMYKRMMSENKDLCVETQKNLNTGVSVNGQLHP TEEGSVDFQTVVRGLIAEHHKREEDTGEQFWPARQRLPKEEAKKEDPMSGRETFLLKL CPLKSAMPLYYNTQRVPGRAIKPQETGNGNSEKCYPLTTRPPSIEPSTVNDPFFLGFG YEDSTSHPSSSAIAKAIDLYFEYCHRQPIWCFDREDVSDPSYLSEELVCCLLALTSRF SRDRDHLQHYGDSARSLVMLRMANGTVELETIESLCLLSYSSFLDGDIHLGRFHLGLA LHLCRSATLDLESSHAGEGPMTERKKRLFWSLQCLEQSYGQQNGFLCIPSEIMRTFYV ASSGDRAKQDGTEPKPPPLPIDDLGCSKSSDLGIWSLAAHFGWVWSRVRTYVSDCARN RLKEPWRHDSMYAMVLSDLTEIENKLSQCHRYDSVKFYERTAEELRSSRNYWTPWLKL QFTYHCILTVLNHPFLYIVASQYNDNLAIPNAFWRRSSELVLLHATWLVRMIDMVSEK KMRLIDPFFGHAAAIAATVHLYYCCAADPRLKYKSKVDFTKCRRFLKSFVSFSPACGI LDQTLDKMTRIASGSENIDHDWEPAKIHLSIPLMWDVLQVNCKPKPHEVSTGGLLHPS LTPTVSTEEAEDSPASTLEVIVAMSPNITVNTADGGQAAHIPPTMPRISSTPTSSDLD LGEKLVAPADSLMTNTPWLWTDPSQFVDMENNVGYPDSESTLGNIDGFSTWWDFGNL PEX2_065740 MHNFEDRIDEITDQLIQAAENVGFFTLTGHGISKEDIESMFAIS KTFFDLPDDVKAAVPWNPNNVGWEKNSQIRPSTGQPDCKESYQLQFGENMNDLWVKYD DLPGFRTASLDFMNRAQRVSECLMRCFARGLGFPERFFIECHGISRPNSQTTMRLLHY FELPEVSDGKVYHRAGAHADWDFLTLLFQRDGQDGLEICPGREVVTEFGIGDEWTKVK AKTGEIVCNIGDLLMSWSDDRFKSTFHRVKAPCEPGDYYGDRYSIAYFNQPCKDSLIQ GPSKKYPMVTGAQFTETAMKRNFAALQQKLKTVAAA PEX2_065750 MKGASDKNMVSQDVVVEATDQDDWDMQRLGKTQQFKKLPFLFDL RFHNDIDGHMGVNSAVSFGRAILREDTGNNGECRSTSTYGLTDGGRAGMVYVYIGSFV GFFAAVISMAEIASISPTSGGQYHWVSEFAWPRCQRFLSYLTGWLSVLGWQAAFASIC YLCGTLIQGLLVFNYSDPSGWVYGFERWHGTLLTIAIAAVGTVVNTWGYKILPPMEGL ILAIHLFGFVVVVVLMWAMSPGKASGESVWKEFTNSGEWPSMGLACLVGQLTPIFSWT GPDAATHMSEEVQNAALVVPWCMVSTALINGALGFIMLITLLYKMGDLQDVLAPASGF SFLPAVNHATGSVAATNAVAAIILVMEVCSAIGILATVSRQTFAFARDDALPFSKHLA HVNRRTQIPICSVLVSTIITVLLSLINIGSTAAFNAVASVMIAALFTTYILPIAAVIR VRFQPGGIPPSRFSLGIFGLAINVFSLAWLCFAIIFTFFPTANNPTPVNMNWSILVFG AVVIFAIVLYIVHGRRVYRSPVTQVRKVE PEX2_065760 MFLRTSSGVLRLSSWLRNDGLHIRFPLRWLALILAAIGGLGVFY VFAVPQLLRFRFRAGLSWYDLGVQGFGPDRNYISFDQESPIVETTPPNAECDSRYTFL APRGDSIAHPGPMILNSAGELVWTKWNGGTTQDFKLQRYKGEDYLTYWQGDTADGYGR GSWYMKYVVSPIGIYDGDLHDFQITSNDTAILMIYDPIPMDLSSIGGPELGWMYDGMF QEINLETGELLFQWRVSDFYHPSDSYYPIGDAGQGRTSGYDHSHINSVDKDDQGRYLV SMRHLHTVACIDGTTGDVLWSLGGKRNDFTDASDGAATDFSWQHDARWQGLNRLSLFN NAAYNNDNLSAVSHGMIVDLDTEEQQAKLLQSFHHPHDIMAVSQGNIQVLDTGNVLVG WGHSAAFTEFSPNGDVVCDVHFGASAFFTFGRVVSYRVFKFNWVGNPLTIPDTAITPE SVFVSWNGATEVAEWRVEAWDGEDLRNMTFTAVDQVPREGFETEIPLTSTVDSFFRLR AMTSNGESLGVTELLQRLPASSDEDSPHISPWALGAIVFVVLSCLICGVYFAIRRRIR QEFGSSGIYQLVSHKDENEGDESAISNPVEEKHYYDTSTSPTDDSNDDPKNEVSGAAL AMTVPEELPRDINGWKWHLTSVYILASTFLYALDAIVMADLQPVIVSELGGIEKLPWL SVTFLLSATVTNLVWGYMYGHFTAKWFYILKISSFHSRIFLNLVTWNKTI PEX2_065770 MENLFSTTDRHFHSTRRRLLASPISDTSLHQHEDLITGRVKMAV WRMAEETQTRGATDVFKWWLFMATDIIGELSFGESFQMLEFGEANLEQVSTFQPIRTT FPNLLHLGKYLPLPVFKRSIAVGKRMGMYGSQSIDRYKKMITENPSNPKKTLFTKLFD TEKDGLAHEEIKKEAQGYIVAGSDTTAVTLTYLVYAVCGNSRIRDKLVAEVATLSEPI HDNDLRNLPYLNMVITETLRLYTAVPFGLPRAVPSEGANFNGYFLPSGATVSTQSYSL HRDPTIFPDPYTFNPERWENPTKEMKDISIPFGGGSRICIGLHLARIELRLASVLFFR EFPNAQRSEKEGMSEKDMEMQSFFLMAPKGHRCLIETK PEX2_065780 MTSPHPKGTGLWSPRKRSESVTSPKRIEPSEIGQGQLESRDRSQ SIAEVHQPPSTGFDPADRVFPIRSVVSVDPTVTPQYTGSVHSRDTISPTREGARQYSF IDERTWNQLHSQVSSNHLHPRDPEQAEPTIPTDIPRHTTHAIDDKTELATHSLASKVV QHPELYAKPARSENQSRTGSTASLSSHTQRRSAEDDAHVTARFKHFMTDGGHAVITGR DGEAFQYCEDEPIRIPGAIQSFGLMIALREESPNQLVVRIVSENSADFLGYSPKQLFE LKSFCDILSEDQADALLDHVDFVRDDAHDPSVDGPEVFNMSIRTADGKRCRFWCATHV SQTQKDLIICEFEREDDDVNPLNVDGLATPAVPTDTLGIVPTPDQLAASTINISQPLR VLRNARRRKGEASSMEAFSILTQIQEQLGRTSDLDQLLNTTAGLVKELTGFHRVLIYQ FDSSWNGMVVAELVDPNTTIDLYRGLHFPASDIPAQARELYKINKVRLLYDRDQLTSR LVCRTLEDLDSPLDMTHAYLRAMSPIHVKYLAHMKVRSSMSISVNAFNDLWGLISCHS YGTAGMRVSFPIRKMCRLLGDTVSRNIERLSYASRLQARKLINTVPTEANPSGYIIAS SDDLLQLFDADYGVLSIRDETKILGDNNNSQEVLALLEFLRVRHLDSVLASHDIVKDF PDLHYAPGLKAISGLLYVPLSTGGSDFIAFFRRGQLTEIKWAGNPYEIEKRKQTAGYL EPRESFTAWRETVLSQSREWTETDVETAAVLCLVYGKFIKVWRQKEAAMQTSQLTRLL LANSAHEVRTPLNAIVNYLEIALEGALDDETRESLTKSHSASKSLIYVINDLLDLTNT EQGQDLIKDETFDLESTFREAADMLYGDAKRKNISYTVSVHPDIPESVLGDQRRVRQV LSNVISNAIQHTDSGAVTTEMWRSASQTIPGHVGVEMMVVDTGVGMSHDKLERLFHEL EQVSTDDTYYPEEEEEDNTQSPPQKRVLGLGLALAARIVHNMHGQLAVRSEEGKGSRF KITLHFRLPEGTTCDGDADGISPPSVVIPATPMFSDKEFTLVSGGHESRDARRRSSES LRSGASSRSGHSGRSGKSHADRLISAMQEPPLKRSTSQDLDLRRLNSSSSPASASSNH AHPLVSPSAVKRSLAMQDPKSTLSSVTHTPPLTLQPLITPPPPGLENITDSGVPMGAL RMSQHFTSLRSPQIEPPKEDSSYFPRVSSVAETSQAPSSGPTSSAPPTSVPSTTDYDP LNVLVAEDDPINSKIIQKRLTKLGHTVELTSNGEACTIAFASSTKSFDVVLMDIQMPI LDGMKATRAIREFESKTPKEALSEKAIHNNRVPIFAVSASLVEKDRDSYVDTGFDGWV MKPINFARLNVLLSGLCDLSARQAATYTPDREWENGGWFDSK PEX2_065790 MSNSSSNHFTCPSGGTWYVCPNAPYYLGCCSSDPCTNVDANSTS PCPDVYPASFNTSIYDDILPNSCIGSANANWYSCNFTDPPFLGCCSSNACTKGCPAED LLAAAWSSSSRGQFALFQDEGTGDDDDEGSSGEGGLSGGAIAGIVVGAVAALVIVGAL VWFFMRRRNKKAAAMSGHGHTPFVVEGENHRMYPSPGSQYHNSQFSSPAGTTTGAGKD PKYMSTSSAGISLPSLSPGLPSESGRPISEIYSNSTVSEDMSQHKWAPGQSYGLGVHG AQKPEPIQELDSNVTEVHELDGLERNRP PEX2_065800 MRYTNGSILPGSCAHHFIRQNGTKNSPEQEDTSLILIGSGAAFL DCPRPPQYCASKWAMRGIMHSLRRTAFFYGSRVNVISPWYVNTNILSDEAFKHVSSVG VEFAQAEDATQYLLRILSVRSVNGHSFFVSGRKWESSGYMDLDLEDYTSNALIREIQE DQIKSAPVELGLLV PEX2_065810 MYLSPEQIDYTQFGTWRLRRDTSKRVKTVLVAKSDVSELRIPLS VIALSDPELHSIPDKPRDVIDRGIVRFDDAVSLLESFRETLMPCFPYIFIAPEVTLAQ LRAENPLLLLAILKVSSYKNAAAQQILEETFQTAVADQMIFAYNPSMDVLLGLLVVLA CRSTILQKDRMMTRLSYVEKHALELLSNAETASDRCLIQLVKLQQIFERIDDAVVTTA NPVREVDMHAFQTEINEYRTTLLAKFSDNSNYSASSAPRSHADSGLPPHLQSGHQPLD LSPFQVEFLNRGMTEAKRFFDYIFKFAPDTYRLISHTQWLQIGFNLVLGCKLAVTGAK YAPRSPHVRALCSALNMPHVLRAALQRIQWLSKDRVTVDGKQHSKYFYEAWLWHILEW FEQKYHLVPSEDTTQIPTGLPDGGLGPSTLANSATSQDVPLMGESYGATQVPQVDDTA LWPDFLWNISTDDILNGYMGFPDMSCPTLQPGHDVPLP PEX2_065820 MGSLEMDLNTYGVRCGGVEQLCTMIAVVSRELMNTAAYAFAPAV LVTPLGALSVLIGALMGSYFLREEINVLGKLGCAACLLGSVLLVLHAPGDKDIETIDE ILHLAIQPLFLLYCLCVAIIAIYIIWKVAPVQGRTNPLVYISICSSVGSISVMSVKAF GIAVKLTAGGDNQFTHASTYVFALVLVVTTLTQMNYLNKAMGQFPASLVAVVGFIISC VTLNKGARYVACFLFASGVSAVNSVILGWVSATLGQTPEKKAASISFINVVANASYIY TAYLYPKTDGPRYLTGMSANTAFGIATIGSGWALRWWLQNTNKKISRGALPSAGDVLY AY PEX2_065830 MDYLEVPKLTLDELQGPKLHHGLGELPISKLPVDEHQELKQHRN LDITKISKVTLEEGQEARQHDNFEEHRIQKVPPNEDEYDHPFRDSPITAALKVLAGGN ISIVEYGTQVQFRCVYEEVPVVVEWAVPDEQLSLASQILVEHDFPLLSTGTRRWLGHW DTGCLRHGLDRAGWMRVHLLPLSLVGLTLEDTTKVPSMFASEINIFTPKPPRYMISLI HHLQKFQIGDSPG PEX2_065840 MDVSGSEYQQPSLPSGTRKRKRSAGYESLRARKKRKPVLDDLRK VLVTYHGLQQMQRELNEQLAVCERQIVKHKFGSVSRKLRRSRYRSRPYVFPRLRSPLR ELVKIEEDLPTFWERLGEWLRRLW PEX2_065850 MAILYDFMPLLQAPNLAIPIAIVLIMQIKKIGCHWVNKNASHLP EGQYELNR PEX2_065860 MGRIIPTDFIASDRGGVVENRHSVHAAITDAAGNLLYAVGDPYR MTLARSAAKPAQALAILETGAVDKFNFNDADLAFMCASNSSEERHIARARSMLSKVSA SEADLRCGGHPPLSDTVLRTWIKTDFTPTPVCSNCSGKHVGMIAGAKVLGGGMADYHL STHPIQMHVKRVVNDVCGLGDDVSVWGLDGCNLPAPAFELHYLARMNATFAAAADAMA SGSGTSSRTKALSRVFHAMWQHPEFVAGENRFCTILMTAFQGLLIGKLGADGCYGIGV RQCEQTRCLGAEGAIGISVKIEDGNIGVLYLAVAEILEQLKIGEPEMRQALSIFHHQD IFNTVNVQTGKFLPCFQVRPASRS PEX2_065870 MSGIINPHPSPNEEYAEYPLPIIDNPDDRHNLEREVTVDATHYN VGLEPPIRPRSRSHHRVRFHSMSLRRNEQEDASHPSSRPLLPLTDRNEIPLSGTITPP APTHSRRGSGLTNAHTPDQLSESEKHDVVGEMGRITSARDRFRATGHILRQKTTRFTE RLGRPTDEGEPLNASYIPDDFDGSIPLEELEARRARHDADRAHALESGENIIEPPPSA EAHRLVRSMTVVQDQLRKRKPRGAYQRSGQTTPEGLFSAFTQRRRSSGLSGGSGILSQ LLKLQAAQNGGYSRPESVITDDSDSETQVSSGISTPKKGSLSPPIPASLPASGAATPR KEKLKWYKKSAHRSTSSLVNASMNLSTASLPAAVEAAPGIHKKRKKNKRKTRLEDEIR VTVHIAEIIARHRYIMQLCRALMRYGAPTHRLEEYMQMTARVLEVSGQFLYLPGCMIM SFDDPTTRTAEVKLVRMVQGVDLGRLADTHNVYKNVVHDLIGVEEATQELDEIMQRKP RFNKWILVLVYGLASATVGPFAFTARPIDMPIIFCLGCIVGLMQHVLAPRSTLYSNVF EVTAAIVTSFLARAFGSIMVTRNGVSEPLFCFSAMAQSSIALILPGFMVLCSSLELQS HQIIAGSIRMVYAIIYSLFLGYGITVGTTIYGLMDGNATSASTCSGLDVYGSVYVRTF PFVAIYAVFLAIVNHGKLKQMPVMVFIALSGYVANYFSTTKLGTQSEVANTVGAFTIG VLGNLYSRVWHGHAATAILPGIFVLVPSGLASSGSLIAGIKYADEVRQNLSNNGTSTA TGALSETSVASLGFGMIQVAIGITVGLFIAALVVYPYGKKRTGLFSF PEX2_065880 MSVQLIVSPSLGQKWICLLAILQSQLSGLMHELQRDNTATFSFL EGDVDSDPGPGIAGYYDGPYYSYYRFPRTFSHEDSDESDILEAYEQLSETVALEGPFD GVLGFSHGGTLAAGFMIHHAKTNPNEPALFRCAIFINSLPPFRMEPGNRPVVDEGLEG FISIPCVHIAGAKDPLFEYSMALYQLCAARYSTFAVHGKGHDVPSDKKNVAIIASAIR KMSSQIL PEX2_065890 MSPQTSVNPPKRTISEDGLTNMVAGSCTPIAIVGIGFRGPGDAK NVEKLWEMILTGREAWSPIPAKRWNSAAFHHSDHARHGTINVEGGHFLEDDVSAFDAP FFNMTSDEAAAMDPQQRLLLEVAYEGLENAGIPMTKIMGTRTSCFVGSFSADYTDLLL RDPECVPMYQCTNAGQSRAMMANRLSYFFDLKGPSVTVDTACSGSLVALHLACQSLQT GDSSTAIAAGVNLILSHEFMSTMSMMKFLSSDGRCHTFDEKANGYARGEAAGCLILKP LAKALHDHDKIRAVIRGTGSNQDGRTAGITLPNGASQESLIRSVYIRADLDPSETDFV EAHGTGTLAGDPVETGAIARVFGTGRPPDDPVRIGSIKTNVGHLEGASGVAGVIKAVL MLENCMFLPNRNFEKINPRIPLDDWKLKVQLYPEPWETTRPHRVSVNSFGYGGSNAHV IVEDAQSCLSGWGLKRDDRIPSTTTKSHDEKALCSSLGIPRILMLSGFDERTCVQQMQ ALSNHILDKRDEVDNQKFLDDLAYTVNERRSVFPWKAAVVGDTLSGLATSLSQNVKAR IAVRKPALGFVFTGQGAQWAGMGKELLQAYPVFKKTILGIDRFLNNIGAPFKVEDEIT KSPQGSDLNRPSLSQTVCTALQIALVDLLKSWNIHPDSVMGHSSGEIAAAYATGALSM NDAMSVAYYRGAVASQLLNDQPNRGAMMAVGMSAEEVQPYLDSFQPRQLVVACVNSPS SVTISGDILAIDALAQTLKEQQVFSRRLEVGVAYHSPHIEQVAEKYYSLIEHIQPRGL DQIAGDKRERSGSFFSSVTGTMFPLGGLDAQYWVSNLIGQVKFANSLRSLCFETNGHR ASHTGSSRIRRAGAAQKPSVDCLIEIGPHSALSGPIKQVLQADAKLNAADITYISILT RKVSAVTTALGAVAKLTSLNYPIDLTAINRPVGTEISSTPQLLVDLPTYAWNHTRSYW AEPRMSKMFRNRTSPRMDLLGAPDNMACPFEPRWRNHLRTSELPWLLDHKIQGNIVFP AAGYLVMAIQASIQLNKDEESIAKYILRDVRIHSALVLDETSAVEVMISLQKSTQDQE QLYNFHVYSVSEDNRWIENCTGLVGAQKSIGLLGDGVEETDDYATVPLGTEVHGISVI DVQDFYEKLQRSGLEYGPCFANMTKARVTQDGACFAEVTVPDTLSVMPASFQHELLVH PCTLDTIFQTIFAALPPGMGIEEGPAIPVSIEEMVVSSSLNCSAGELMSICTHVRPMP NRDVTACIVAVSCNVKQFETEPTISLRGLRCARLERHEPASQAKDSRIIYQIDWKPDP SFLSSNNASFLFNNKDADQKPEPQTDYEESAVGFIRAALEEVSTTEAMELGTSHRKFR CYLQDVLERHDDKPSISISHLEDIHSVPMGRLLPVIGNNLVAIIRNQVDFSAVIEDDR LLDEFWDLFSADDSYQAAANYVNLIGHGKPDISILEFGVGTGQIAEIFLNHLLTLNES PYCGKYTFAHESAFVLEHTAKRLEEWSEWVECKPLDLSKNFPKQGFEKNSFDILILPH GLCTARCEKDALTKIHELLRPSGYLIAINPFDPKKNALKSLLFSALHCLSANEFCLGQ GAWTESQWDEILQDAHFNEVDAYAEQDSEKSHQFIVARKRKNRKSARKINIISMDNAG VARHLVTELEKTSCEVNVAHVNNVECKDQICLVLDTSESSLLAAPDEITFSKIKAMLT QSGGVLWITRGGTIEPVNPNAALAVGFARTARAESGVNPIVTLDLDAENPLSESQAAK IIVRVVATRFLRDNPDEDTEYAERNGQILVPRVLENLKANDTMNSINDTEAISERPFH QIQQPLRLSRKFNNPGFVVDLQMKELPVGCIGIEVHSFSLNKWDVQSTYLDWETDDTL GLECSGIVYKVGAGVHGITVGDRVACLGAGTARSFYHDRASAFQRIDDEMPLQVASAL PLAYTIAYYVVNHLSIVESNDVVLVHDAGSHFGQALLEVYLLRDARIFATVQSPDGKD LLRSRFGIPEEHVFISGKDDITKGVLRLTDGKKANVVVTFETPEDRILQSCTAPFGRF IQLRTNNLKSPLLSCPQNMSMSTVNIFELQKERTDLANQIWPKVFRLFVEGRLKGPSF TDTYHVSHIQGAITATESQQHVVVHMEENDLVKATLPKSTQPLFRANASYMLVGGLGG IGREVATWMAENGAKSLTFVNRSGLSKHQAQITVRNLVEKGIQVTTRACDISDETEVQ RMLHDLSYCAPPIRGLIQAAMVLKDVHIENMRLDEYRDVMGPKYYGTWNLHRHLPTNL DFFLMLSSISGVIGNATQAAYAAGCTFMDAFAAYRRGLGLSAVSLDLGTITDVGHLAE NKDLAVKMERQGFQGTDAPTLLSLIQVAISQSTSGGAQLITGLGQWKEMNSLGNFDAP LFAHFRYRFQGHGKSTALGDSIEGLKVELGGAKTVDQAAVVICDALSRKIASHLSIPV ENINPANPVSDYGVDSHVAVELRNWISRSMDSTIPILEILARSMLELSHKIASQRFEG TLE PEX2_065900 MGEVTGVSAEVEINSYLQNLLSDYPDLPLVSPGATNPFWLAAEN LLPHPRSDIHGISTSESMSNKHIEELPAPERTGESVRSRLAEQTDERVPPSYRDPSVV AGYTHTAALKIIESLEEQLPISRVPIDQAMRLNRQAMAKVREVSNTDEFRRCQRCPLL VATVLDLVVGLYELVLLSIQRPASEGDTVSLADQNNLPWPQSPFQQRARTGEMPRGGV ESRIGHQRRVGAPAFPVWTP PEX2_065910 MGDTLADKNQEYWNHASGHVFKDQWVHDLQKQIFSFLTGNVDWI GIQPPSSDNTRQTKLMDYACGNGIVSRSLHHLFSKCIGVDLSDGMLDKYRATAAELGL DKSRMSAIQGNLLSPIVTPTSPPLSKEELGGFDLVAICMALHHVEDIALATKLLAERL RPGGVLLIIDWATRDLSNETEQQASVQTTSFSEDHDNHHMHEVVDPKHPAAHTISHHS FSQDQIFSLFEQAGCGESQFVLADRLSLVPAAPSGQLQLFWARATKL PEX2_065920 MFALSMGMFTANLDSTILATAIPYFANEFHSIDDIGWYGSATFL KFAAFQTTWGKAFKYFHLTWSYLLSLFIFELGSLICAVAPNSATLIVGRAIAGTGGVG LCTGTFAIIGYTVASERQSGFMWNTEGSFIAVDWRERVWTGKKLVFANGVLDIFPDIT GYGECWGLGMSARHWGYGQHHGGDARCTDGPAVRYDRHHLYTHGAQERTEALEKTSHD KGIKVDSRPVTRLVKGPGPSDVEVGFEDSTKRIEGFLVHEPKTEINGPFAEQLGLYLT SDGDIKTAKPFYSTSVPGVFAAGDCAVPMKAVVTAMLSGTLVAGGLAGQLQAKLYSGE QKLTQTV PEX2_065930 MDGSEKGLGASSDGIQFDTESAMTIAGDETPGKESLNLKGNDWS MLTKLQEENDRKLAAGFKKQELGVTWQNLSVQVTSSEAAVNETVLSQFNIPTKIKEGR RKLPLQTILNKSHGCVKPGEMLLVLGRPGSGCTTLLKMLANRRGGYKSVEGDIRFGSM QPKEAENFRGQIVMNTEEEIFFPTLTVGQTMDFATRLKVPFHLPDGMTALEYQEASKK FLLESVGISHTENTKVGNEYVRGVSGGERKRVSIIECMASRGSVFCWDQSTRGLDAST ALEWTKAIRAMTDTLNLSTVVTLYQAGNGIYDLFDKVLVLDEGEQIFYGTREQARPFM EEAGFICREGSNIADYLTGVTVPTERRIRDGFESRFPRNASALRAEYEKSPIYTQMVA EYSYPDSDLARERTEEFKQGVAFETSKNLPKNSPFTVGFVDQVKICVQRQYQILWGDK ATFIIKQVATLAQALIAGSLFYDAPDNSGGLFIKSGALFFSLLYNSLLAMSEVNESFS GRPVLIKHKGFAYFHPAAFCLAQIAADIPVLLFQVSMFGLVLYFMVGLSMSAGAFFSY WIIVFTTTMTMTALFRAVGALFSTFDGASKVSGSLIMFTVLYTGYMIPKPTMHPWLGW IFWLDPLAYGFEALLSIEFHDKTSIPCVGTNLIPTGPGYENAQAYQACAGVAGAISGQ NFVVGDNYLALLSYSHSHVWRNFGINWAWWVLFVALTMIATSKWRTPSESGSTLVIPR EYLHKHIQNQQKDEEGQSSDKQVSQTKDEAPKLDNQLVRNTSVFTWKDLSYTVQTPTG DRLLLDHVHGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTEGTINGSIMVDGRPLPVS FQRSAGYVEQLDIHERMATVRESLEFSALLRQPAFIPREEKLAYVDVIIDLLELHDLA DTMIGSVGAGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAYNTVRFLRRLA DAGQAVLVTVHQPSAQLFAEFDQLLLLAKGGKTVYFGPIGENSKDIKGYFSRYGAPCP HETNPAEHMIDVVSGQLSQGKDWNKVWMESPEHSAMLKELDEIIETAASKPQATTDDG REFACTLWEQTTLVLKRTSTALYRNSDYINNKFALHISSGLFVGFSFWKIGDSVTDLQ SVLFFVFNAIFVAPGVINQLQPTFLERRDLFEAREKKAKMYSWKAFTIALIVSEFPYL VVCAALFFNCWYWTAGMTVDSSKSGSMFFVFFLYEFLYTGIGQFVAAYAPNAQMAAMI NPLILGTMISFCGVLVPYAQIVSFWRYWIYWLNPFNYLMGSLLVFGLFDREVNCKEQE FAKFNTPNGTTCGEYLSDFMQTIGTRMNLINPEATEGCRVCQYTRGSDYLYTINLKDY FYGWRDTGIVALFVISSYGLVFGLMKLRTKASKTAQ PEX2_065940 MVGTENTITEAELGMKMDLSHEEVVHMAELTDEEKVIEKKLRKR IDALIMPLAILVYLMNYIDRNNYAAAKLQGLEEDLNLDDTKYQTGLSILFVGYILMQV PSNMLLNYMGRPSLYIGFFVCAWGLVSAVTSQVTSYGGIVACRFILGLVEAPFFCAIL FYLSKWYTKQELAFRMSIFYSGSLLSGAFGNLIAAGILNGLKGHRGLSAWQWLYIIEG SITCAIGLVICFVLPDFPETWKLLSPEMRKVAQRRLAIEAGQADVDEGGSKSQFEGFK LAMTDMKTYVFALAYMCITGAAGFQNFFPTLVKTLDLPETITLVLVAPPYLFMVVYSL CHSVASDRLEKRFWFFIYPIPITIIGFVIFMKTDSFAPRYFSFFLMVFVFAQNGTLYS WLASSIPRPPAKRAVAFAFFNSIGNSASIWTPYTYLDKEKPHYSMAMGVCIALQIIGG LAALFLYFNLRMLNKRQERMENEEVQLSDKDIRRLQATADIEGIDIAAARRLQKGFRY VL PEX2_065950 MQSATSRPILRITTNPTLLRSLSSTPRIMGAGDIGSTKSGFMSE KDSFAKREAAHEAMYIRQIEMEKLERLKEKLKEQRKHMDELDKHLDEYTRSQGGEQN PEX2_065960 MQKSATASVQEPVQQPWQSASTSVADYPTGYPTSHHSNFDEEAP RSAFSVVEPPAELSTLTTHLTGHSTEDTFPDGGLQAWCVVAGSFCLLMGTFGVMNTTG ILQNYFATHQLASYSPSAVGWIPGLFTFFGLSISVQVGPMFDRYGPRGILIAGTICYV TGLLLLAESHLYWHFVLTLGVLSGTGAALLSTVALASVPQWFDRKAALAIGISMSGAG LGGVIFPFVLRSGFTNLGYKWTIRLLALLVLILGVLGTALVKARLPKGRSKSTINLRS LQDARFTWLTFGIFALELEVFAGLGLYPTYVIMQGFSTNTSVVLLAVQNVASTIGRLV AGGVADRFGRINTQTGLIALGAFAVFVIWLPFGNSLIGLYMFSVIFGLASGSFLSLAP ACIGQISRASEVGGRFGLTYSIVSFATLICIPIGGEMLDKVGKQAMVAYLGSVLIVSL GLFVMARWACLSYRWRWQAKI PEX2_065970 MSAAQCTRLFTRNIPQVRRQRQIQSPRVTLVGSPAPSHNPTIDS EVLPYRLYELSLKKIRKEAHAAEPDLRHVIAGVTMQKVVSSAVHDDLLHRVDMIETAK LPRRLPILPGADEPWEHEEIAANQAANPNAWDMESLDQALCEMERASKKGNVAKTVCF QLISEM PEX2_065980 MAEETRLCGSCNKERSKDTFRAPEAAGKEFYKMCEPCRIKYRNK SRVKRSQANRGRDWPYYLSKPAPQTNIGLNNSETGVTSAITSAAPITTMPEQQKCTHV DSGHHSRVTVAPPVVESSAQSTTTTTVVTISTTTVSKGMTETPTKAAKDAKTAQDSIQ QVKDEDLESLSSGPESAQQSESGAATNHRPELFGCLHCEKLRPWPMAGLHICLLCIRD WKWCAKGAHNQAKANFLWDDEEHDECYMCYFAGI PEX2_065990 MVGGTQLFVPDSRVRRDPSRQSRSCKVCRLRKVKCDRVKPCHAC CAHGYPSKCVYEHVPDEEARPISQAEEIRNLRAEIRDLRGRIDDKQDGSGAQDLQRLD KLESLFESIRSAPSPLVDDLVRDIRTAHVGLKKDLVPIGPWEGREAYEFYDYPSRPSS TLPARSRLLINSPVEDFNNFQGDDDDDSDFERMSLSSGSDSSGTISVMSMQRPAVDVF VERFVDAFSPEVDMKSGRAGALRAAAGIRMFSPLITDAFEAVSVAFFGRSVQNKQIEA SGFRLYPRVLRELQDALVDPEKCKAESTLVTVILLLAFESVERTTQSGVSAHIGAALA ARQPSFLAREEWKTIPWSAGTTQKDILHHLLDLATSVPGLLAASDAFKEAKVTSLMGT QEMAVKQSTLWNGIGELTNRFYQWYEDWVVAYPDGPPQEAEQHGDQGFPIFQRRDLRT GATFTPTRFTYPNLLLAQTMCVYYAVRLVLSSIDTRPEDRVSPLEQYDLGCGICRSLE FYILTAPGNMINRLAFPTRVAWEAFPDGGPERQFMVEVLQLVERRHALGLWGSSMPEL STKESSPLNTGSP PEX2_066000 MPSFTKLALSVLAATSAAAIGINDFSCKSSYNPVVLLHGLGATY YEDLNYMQYWLQTQGFCTYARTYGAYDGFPLLGGLKAINESAPEIAAYIKEVVEKTGK SKVDLVGHSEGAFQSLYVPKFEGVAHLIDKIASIAPPTHATTFAGIYDLAYKFGNQSR EVVGDVLDTLGCPACNDLGPDGPAIKRLNDGTPIAQPGNSITIIASKYDELVTPPSTS FVHEEGVTNRWIQDTCPLDPVGHIGEAYDLNVWHLVKNVLTSTPDKKFLCLLGSPGK PEX2_066010 MSEPDNKPGSESNIHRIQERWDSLNEMFQNTDPEPTEPVGSADD LEAEIRQAQAQLSRLKKQRELIDIKQKVAEEERELELARTRLLAVTQLELPPVTPAST PSRTEPKQNSKAGIDGAQKKTVAARSEQITAPASLTQAADRRPSEAKPTEPNPSPKRA NNLVSGLNLEQLRALAAATRSPAAQTVVKTESRSSLQPSARSFHPETSVHAPPVPNVP VYNGRALGEFKNFSMGLERHFDKYPEWYKIDERKVTRALKHAALNIEDEWKRHIRHLP AEKVTYASFCTFLIHQLQNGVYPDVARSRYMDSYQRPAQSVTDFSNWMQQWVPHFPNN DSERDRMRHLFEHLMNRVRNEADKTHLDFDNYYDFVEYLQRVEDSIGSRAESLGRRVI NPRKRPRSD PEX2_066020 MMTMRPSKCASLTPPWTMIYKIYGTSYTLLNKRLADGGEPDATI LTYFHERQALYFTKEEYKALWGDEPNSGERFGVENSREERSINASKEQLEEALARNPA VGIQSML PEX2_066030 MDVKSAPLPPCQVRVPQARQARQARQAHKAHKAPHRYARWGLPL AVAVLILLVWPVPLPSWKAVEPLDIPVTWEEIVPSQSLEYHNCGDDFQCARLEVPMDY QRTDGTGRTFALAITRLPAKVPVTNPRYGGAVLINPGGPGGPGTMQAFLAGRNLQLII DAEADPNETGEETGNKYFDIIGFDPRGVGSTTPPVMCFPDPVSQRNWELQVSAEGMLG SGPDSLQRNWQRTHALNSGCSVFDMSSLGADEPMMSYVNTPLVAQDMLTIIERHGEWR EKEGQRAQTAHNECHGLNEADEIMKRTKWHRGEEPLLYWGRSYGTLLGTTFASLFPER VTRAVLDGVVDMVKYYQGTGPNVVADADAIFDRFPRYCNEAGPVGCPFYVEGGPDAIR EAYWQLERQILNTSIPVMASAERGPEVVTWTDIKAIQRVAIYQPLLAFPILADRMTEL GKGNAAPMADFKHGSHFGACPSNKCSLAGPWSPECATSQDNMLYAMAAILCSDAEYLT TLSIEQFQAEWSSLLADSASLGDYWAQLQLSCVGWKAKAKYPFTGPWGAVTAHPMLFV SNTLDPVTSLHSAQHMSQIFPGSRLLQQESEGHTTLTAPSICVAKAIRHYFQTGTLPV VGTLCQADLKPLVGSPHHHEAITQSFNPSDRKLFDALMAEVHRSPLFPL PEX2_066040 MAPPTATETLANTISMQAAQSSQAAGAEKAKVKMQMPSMPVFED KMKEREYLKGRLAAAFRIFGDKGYDEGVAGHITLRDPVDPTTFWVNPFGTAFKLMKAS DLIQVDHHGKVIAGGENRLLNAAAFMIHSAIHEARPEVNCAAHSHSIYGRAFCSLGRP LDIISQDACAFHNDLALYSSFKGVVLAEEEGQNIAKALGNKKAALLQNHGLLTVGNTI EETVFWFVSLEKCCYAQLLADAAATGRGGQTVKIEEADAAFTYKTVGTPMAGWFSAKP LFDVIHAESKGEYLE PEX2_066050 MRFIVPFLAAAGLASAGLLETVVKRDDYWGGSVSLGPSKSTIIN AVTTLIPGPAPPTQNGVLFLWPGMSNGTGDLVQTTLESWSSNAWCGATTGQWCVRASV FGSFGQLDGTGSPVSGTDEVRIEYDLESDGQTWTQTVTNGQTGAVLSTYSHESGPYMR GYGTGTECDDNCSGTIAAQKYLNTVITLASADTTFGSTIASAGGATYTKVTSSQGGKV WTIKEIDIPSMH PEX2_066060 MGRVSIHVLLAGFIGYAFASIHHPAHGHGHRHRHLMPPIEHLEP RNMVWDHVNNTPDDVVVESITLTTTTTVYGNCAPTSTIHSTLTLVRHRPEPTSYTKDH PMHGLAYPEAYEDHWHQRELQPELILPVPTNTMPAQPQFNQTKHPAPRPTEAEAITTM FKTHTITKTATNTVKVTVYPGGSHVPLSNRLPGNPSSAEKAAVLADTLPKGSLEEQKA VPAKRPPPPPPHSNNGGPINAVLNLPSGVLPDIPVVNQILPGPKPDGPTSADWTATPS KGQFSTNKFGGRTPPKGKGTEIQYQGNVGKPWGSNIITVSPTEASNYKYVAQFTGSND EPWTVIVWNKIGPDGKLTGWYGHSALTFTLAPGETQYVAFDEDSEGAWGAAPGDHLPT DQWGGYSCTWGEFGFGDGENKGWSGWDVSAIQAQIANQPVQGMSICQADGKGCSIITP DAKKVVDAYVKSKKHLDGIGGAASPGPVRLKVVLDYRG PEX2_066070 MKASTVLGLFIAYAATTSAIPVKQRDQEVEITFVGAADAQFTQS IPTDGSIVSIENPLSISHISSNTAGVQCTFDGIDHSVTIVNGAQLVDVGPPQTQVTGS CQVQGSDSSPAPPATNPDPTGDQVHVTFIGAADAQFTQSFPSNGEWVQIVNPLSISHI QTSTEGITCIFNGIDHSVTTVTGTQLVDVGPPQTQVSGSCA PEX2_066080 MSVSDLTIDLPCDEDLWASGDEQEWKQKLASKSSPRQLVKLVGL LCNKELKKNQLEPLGPSALFVATCTTYVEERALMKQFGGYSSHPIFEVQTETIFNRLN KQTDNLLDIFPQVYSTQHAISPIVGNTAKLGHLFQIIRFIPYRTIYSSCGWWMRKPEA DMFTRQISRKLEEEPQKARQTLIHAAQLLHIVRTQQLPECFDSFLFLITSLYIWFYDR FVVARKRGEVAGPTHLHTLRIDRDSGHINLENWVNGGDEEEKLIHISGIGVLNGVAMM GPGGSIDTTILNDLHRSNTKHQPIKMAAVDYELIRNAIAQYSLAIDRKEYQNLAKGFT TDVVMRFPEPIGVLNGLPTVISAIERALENLTTHHALTTQTVKLTDEKTALATTYCMA MHFEIESQGERSVTGWGSYDDKLVKGIYDGKEDWRIVERTVYFHVPHTGDTSLLGLN PEX2_066090 MVVPTPGDFQSFSAVFNKRADHILEQRKSMTNDISIRDAMFRAA TYYRAADFYIHGNWDDPRIIPLWDKQTLCFDEALSRLPFPGYRTELPGPGCKISTIFF PASKDSEKRPTLIIGSGYDGSMEEMYHLHGAAVLERGWNVIGYDGPGQICSRRYQGVG FTHEWEKVLSPVVDFLETIPTVDMSKIGVMGISMSGLLAARAAAFEPRIAAVFSIDGL YNFVDTPVFDPVHGLASFAHLKDFESAAAVFNNPSIPTTARWALSHGLWAFNVKTPAE YLEKSKTFSLVGIADKIKCPVFVACAADDHFFKGQPEAMRDALGDRAHYHVFTADDAA GEHCHVGAGRHSNQVLFDWFEKEIVNS PEX2_066100 MASLHPFDPITPGEIQLAVSVLESAFPGAKLRYKRIDLQEPAKH EVVPFLEAERRGEVRPLKPARLLMALFHRLDNGSFFKALINADTKSIVSAKELPKDIQ GPLDMDEMMEIEELCLSHPAVKAEIEKLKLPAGHTVCLDPWIYGTEDAKETRRLFQCY MYIVATDHPQHNQYSLPCKFSPVFDGITRKLVRMDYLPGGADTQSTETQPWKPVPAVQ YAHDLLDEPLRTDLKPYIVQQPEGASFNVIGNAVSWQKWRFRVGFNNREGLVLHNVTY DGRNTFYRLSFSEMTVPYADPRAPYHRKQAFDVGDVGFGITANQLSLGCDCLGHIKYF DGYRTDAQGAPIQLQNVICMHEQDNGLQHKHTNYRTGAATVVRNRQLVVQMICTVANY EYIFAFILDQAANIELEVRATGILSTVPFENENGQTVPWGTNVGPGVMAPFHQHMFSL RIDPAIDGYKNTVYYEDSVPMPEDDSNPWLVGYTTEEKVIKSSGSATTSVDRHRVFKI RNDSIINPITHHPIAYKLQTMPSQMLLAHPNSFGSKRARFATRPIWVTKYQDDELFAA GEFTNQSKKSEGVEVWAARNDTVENEDVVLWHTFGLTHNPRIEDFPVMPMERVSVMLK PDGFFTKNPALDVPASSQSFNQSTLHPEPACCAAPQERAQVKL PEX2_066110 MLLLGLDFGGVTHPWNSATVICLIVFAGVLLGLFVVNEWKMVKY PVIPLVLFNHRSGVASFLVCFCHGYIFMGEAYYLPLYFQAVLGSSPIMSGVYILPFVL SITVSAASTGLFIQKTGVYVSAMWLGLVTMTLGVGLLINLEVTVNWGKIMGFQLIAGI GIGLNFEGPLLALQAIVGAENAATATATIGFIRTLSTAISVIIGTVVFQNQIARKGSQ LVSALGEQLASQVSGGAMANIEIIDTLPLDQKLVARQAIHESLRTVWIMYVAFAAVGL IAGIFVEGHHLDTEHKAPVLGLRDTDEH PEX2_066120 MVATTADPEKVLYYLDTALQCNELAFAPFREALAHLTPLNCDAV FAQSAIVTIIGMALPRLNAQHRGEPFSMIETMMTVFELLQGANKISQISKPWRQASIF SKYDWTESTALDPDMANAIAQLRMLNSSIEITDSVQYSVNQEAIDSLQDSFAKFTHAP HPAPILAWLTYVKREFVGGLRARQPFQLLILMNWAVLLNELGAHFWWAKGCGGELVTE LLSELKDHNEKWKLILQWPQQKIGI PEX2_066130 MNPKLFTWIFIGSDIGSIVLQAAGGGVVNAAGTDQAMVEKGNNI IIAGIVFQVATMSECGVMALEFFIASRKNLREGFQGEAGTEKLQQRIWFPEMAGGWGN DLMQNQMEFLASGNLGPTVLSELLDAGLTVTVLTRQNSNKTFDPRAQVEKVDYESRKS LKAALAGNEVVVNTLAFPPNAAKLPIFADKIAVQEHLMEVSQQSGLGYSILINGPFLD WGLKIGFLLDYYTLRRWRAKVQYSNP PEX2_066140 MFTTIRKKYHECQSTRELGQSLKRGITEIKSRFDQFYQAAGQEY EEGDPIARERTKDITIALERGLLRYLRGAQEHGTTLDLTALYKESEQGRIHTHAILED LYHRLSRPILPSFSMSINPTEPNHSGMEAANDVLFQGVDMAQEKSSPSGRIEQQDPIM HQPSRLPLPQSPNSSAALLNTTNITTPEYQEDSIDVGIPDIKNMDHILDATRYFSELD QLELQTAQMMGMENGPYTKLESLHDCIECLSNWQAALRYLQSQGFCGPSISILIEDQD RDGVASAVNISLSQVSALSQTISLPSNEDASKRLIENWIQTLLKVEEKSLLDLDLEQI LRFLCEILAIGIISFSGSHGILFKPQKLACLDDFVGGPAWILGKGSVPSQGMKISFTV QDLQELWGPISLVRATANSAPIIQTERGFIVPFPRERQSSLSISSSYDEVECHWMTEI PEFLSNKSCEVPILIGNTLRMLIGTMTDVGAGLVVNEKCKSSISIIGQQIASRLQYPG TSKSQYVSDGYDIQLVGGQYVTAGPMKKYKRIPKRTLKAMLIANCTKPDTRLVPLLNL RVGLEVSACTGNAQRVTLWDALRFSQTSTHSTDSPIYCAHNVGDKNCIISCWSRWQSV DEIDSLGHFPGQIKLLTGLEARRVIINSILALEHSGVDGEGNLQVSWPFYDSPLNCPV LPSTRKESHNWFRVIKDTRDSSSFAVFSQRCLEFPEKGIMRSCSAPCREEHSKPLKTT LLTRILTPTEEGTVSGLLVGAKFLVGEAHLTVTKAIKDQLAIIATVSMNPLNPLRDRL REILPDARAFDFKEHIWPDVTSGRSVPFVDGGSTSLGEESLKVLAGISTMLGEEEMLR ESKAAPIVAKFMIASGLLGQFQSVDSVATGKEKGVGDEDHHDPTKTKPNQETVSAGET GRTTQWPRARSAEVTSHQRTWRSAYAADEDDEAWRRDPNLFVYDLPE PEX2_066150 MATVLLILDVQNGVIDRLDNTEPYLERLRLTVTSARKANVKIIH VVTAFRPGYPENNPNNSSVPSVVSRGEYLEGHSSVKVHPAIAPASGEVVLTKRRVSAF FATELDMLLRCANARSIVVAGLITSGAVLSTVRQAMDMDYRITVLEDCCMDRDEELHR VLMEKVFARKMDVISGREWTEKLSIAEEC PEX2_066160 MLIVVGAPPSHTIASEVATTLAGAYLRKKSGVMGQPALSVEDER EVVERVERWVQHGFFPYHMLAKDIANVYFHNDQSYNQNRPNLNVGWQKGFLDRNPELA ATLAEVRDTMRLKGAHEDRRAWKFFEAFKDMKERFQVTDENIYAMEDAAFVTTIYRKS NAMFVRPTNQHHKREERAFSSVIHCCSTKGKHLRPYIVCRSQDPPQTRNIGQIQVSFN QSGWAEPNHAVDWLKTVFEPETRPRVRRRTWRILMISQRFRIVFPDFVSFCWDNNIAC LSFPKNEQKFFNPMENIAFGPIQKSYTDYMRKRFLDNNENATTLGVAEFTSWIHGELA SSTRVKEAADIWRQSCIVPLDENRLRNCLQGNRATAAPEDRSSLFDSHLDSDEHGHRM TSRRSPLRTSVPLPIITSTEAISRHSSPEYSPPSPRPSHKSQESQESHDSQESDNSSE SEEDESDSEHETVTSHHPITPCKTPRLPKTPRAKTPERGRSDSVMSSKKHRDILDKCI EGSPQTQKRYRDDLILDRGDLEKENARLKERVELLEQFAVKRPRLD PEX2_066170 MAVASVPITYGGDRMLSSVAALNGRVFDTDPVIAYMLLSMSQEE RLAYLPTYWTALIKSALLNHAVITEADDWKAASVMIPPGGYIENAWTLLWAGFLGVLW RIGFAGIKVGESPTFSLRTLLTSLIIQRLWFEFSGMTDNAKRNGLRGHKQYYYIFSLG TEHEHRGKGIAKAIMRDHQQTAQAANLPIWLEATTEGSRALYLSMGFEEIEEIRLGKG KVAADASLQSGGPGVSLWAMVWWPNRTPDAIP PEX2_066180 MLSTTSMGMISRFAAFTTLITVAKAWLPEENKSITSKDGTNLFK SSNGKIRGVNLGSQFIFEPWIAHNAWNEMGCDGQKSEFDCVSSLGQDAANTAFAKHWK SWTTQDDIDEIVNYDLNTIRVPVGYWLNEDLVDTSSERFPQGGLDQVKKLCGWASDKG LYIIMDLHGAPGAQTANNSFTGQYVSETGFYTDYNYERALKWLEWMVDLVHQTDEMRN VGMLEIVNEPVRNKGKALSMRSKYYPQAVERIRAAEKKLSIHPNDYLHIQTMDKSWGS GDPNEYLDDLTYMAYDDHRYLKWDTSVDPTHDNYISTSCGDKRDSNFPNIIGEWSLAV SDTVARSSNWDPSSNTDFYGKWFAAQVHAYEQQQGWVFWTWKAQLNDYRWSYQDAVKA GVIPKDLNNLKSVC PEX2_066190 MRFLPTLTTALLFAANVSGSPAAPSASAEAVTPVASVVEEATPT ASATQANNVNQAKVAAAETTPAANTAEAANTATAAAAAPATEEETTAAADTASANAAN PTTANPVESVVGGIKTALGASTAEPTESAAATAPTETADKSPAETGSSAAKTSAAETT TSAASATGTSSTKSTSSDSSSEILPDLKDALSSLLGGSSGGLGQLLSGLESLLNPSFL GNFADTFEYLSTGLAPPVDNQIRTLVGNANDLLTASFIKKTGSLIDNANSLLTEENTK EIGTLLQVANKLLSSQFIDKVDSLIDNANNLLTSDFVNKTNNIIDKASPLIDKAGPLI EDASGLLTEKNVQAIESLLTNANTLLTPTFIKETGNLIGKASPLIDDVSGLLTEANVQ AIESLLSNANKLLTPSFIATTGKLIQQAGPLISQASGLLTAGNVKEIEALLNNANDLL TPTFISTTGKLIKQAGPLISQAGPLITQASGLLTEGNVKEIESLLGNGNKLLTPSFIS TTGKLIQQAGPLINQASGLLSAGNVKEIETLIGNANSLLTTSFVNQTTSLIDEASELL SSDTIDSLKSLLVQLAPIIPELKGLLKPATIKAIENVLSNANKLLTDAFVTDTNTLVT EAVSLLTPDLVKALKSLLSDLTPLIPELIPLIPELKSLLTKQTINSIETLLNNAGDLL TSDFVKDTKSLVGEAGDLLTPEVANGLKSILKDVIPLLPELNLLLNKSTISAIGSLLT NANTLLTPKFVNETIGLVDSADDLLSPPIVTGLKDLLGDVIPLLPDLRRSLLNTTIIT DLGYIVTNATTLLTPAFVGETADLVQNADGLLTPKIVTTLKEILGYVPDLLPEVTKLL KPSVISDVGALLENAHDLLTPKFVNETTLLIDDVTSFLPLVQELLKAL PEX2_066200 MGAGFLGEKPRQKRGIYYTSPQEAKMRNKANDGMARSSWKSEMG ANDKCE PEX2_066210 MSSSEDPCPACKWTSDQQRRCAYESSVRLFHGASNRGYWSLGSK FFFSRNAGNIPPAMRSSTPTSSKRIPPSPTQQAYRSGPRATSIFRSSSGCLGYR PEX2_066220 MSEENERTQKADDDDVSSSGTESDNTNPGQDSKNKTQKKSVLRA LEATLHEIKVKKKLVEKLPLKDLQCLLQKTEDQGEPTALHMLAKMAKDDLPRESHLRP LVDYLVKGLGELLYEKDENAGLTALHYAISRKNRIIVRLICQASDDIDRMLEIPSRNM SKNCIHMAIDKADDKRSTTMARMLVGLASEETLSAKTDDGNTPLHLAIAYNRCTGNRL ALVQDIVNRCDSYIISKTDGGDFNNAGQSPYRLLQEQKSKEEERQKNKGGREEDRKEE KEKTKLNKDKRKEGSGRDGYNESTKVFNDAKLLPQENTKPLRRSSTIVGTKIPPKAPA QPEKAIGSQISGVVLDNPAVAGHTPGVMGNDATSQATKTQSGVPVTEVEKILQFLKTH YLRTRDHDAAIEILYGSADMTKDAQKDIYLDLRDKSDLTLKGIKRITSSLKFEDTLQF VDFPQITKTEDFRTTEAPKANMKKRQTNSNYGGRTDMIEVFKLLTGVRRILEVIVDDL KEPAQSDSCIESTLRDKGVEIWNWQKIDMCSEVIRTAAPDVKTLHLYWSGKNAVLRGW SEKDGIPQLKHLRKLILHIQQEVESTLRIKENVNEFERRIKEHIIEARGKILAEEKAE EICSAIYPVIKKKLADKIPENQVDNYIKAVADGLKENLEAKIASAMADLATKTNILGS PEVTPDEEAKNLAKQIASEIAGDINEDTTASMAKFISGEKGSEGFKDISKEIAIKMME RLTKVDVEVVSPQKLAGRSSVVSNSTPVVDEPQKHEWIQIMTDFRACLYNIENSVKPS VSEKIRNESGPIVVALIDDGVDIDREELNLGNGRKIEGCSFCPRLSDIKRRVPYYESS GGHGTIMASQIHRICPWAELYVLKLHDQPDSEQRRITAKSAAQATVKKKVHIISMSWT IAVPSTSSASLTADFQELERAIKEAEKQGILMFCSASDKGAHQGDTYPSKPIKSIFTI GAATAAGRRDAIVGDMTKVDYILPGTLVEGEEIPDSVVKNVKYFTGSSVATALAVGLA ALILYCAQIRMMRAKEEKGQYKLESGHFDQLKKHDKMKQAFDNIGTTNDNYLEVWKVF QKSTKDFESQTADAKFARIRDMVATLCTHF PEX2_066230 MAEPAFSKIENYPSDEDSDDETSFGLTLEESGEDGGYHTQNDPK NPWQRQTITERRGPVDIRCKSREVIHGRLSPESSDEWEYATFLVYDFFFNAMQRFRRI ASVNITFEFSSSEPGTPGPQIYAVSPFGQYSMMEVEQEETYMREGEAKADVSPVTGAN LGGSYKWSREISRTTTHDTRLIGNTITDKYGREIGVNWALLENDETNTGVPSFMRTAI LLKRQQNTDFECSVKIKTTADWKTELKRFSASKGKDDPILFDPELLPTNNLRKDYDTR NLGESAKPEDCIGVTFYTTLGNVVKTRVQGDEKGVANVTVQSVSEGLA PEX2_066240 MVKSTTSSRRSVSPEHIGDGGSSKGTIGGGTETIGEGLIVHSRP PSPSTDTPGIDIVIVQGLDLIHLSATENNNDFEPSEWIQDYRDSLRLSRLTVFKYNAL EVLDGNNTRTALHRKAVHLLRDLVKLREDEMTTEWSIIFVCHDIGGIIVKEALALASF EYQKWGNVFDYTRMLVFSDCPNGGSTTQDIQIKLSQLVFSSSKRASTMAPSILFSINQ LAYAVQEIDGLFIDSKILFQSILITLFPGPPFLESSLGLNLEKSFFGEPYNGLNEYLG KVDIRLTLPNNQYLQLKRTLLSLSSPIEPLNTTPDILNSGLVELQTYKEWMGYRGSQV LHVYGTDGIHQAGIQIFHSLRSHERVESSHGMVLYFSFDNTDDRRSTMKDMLCTFLAQ LICNAPKDLSSQIDAYFEQLDEEHGWSTLDLLQWYRAFLQHDVTGNVFCVVNHLDECS EDDQKSLLTLLSTLSESGKSAWKMAITTGKVSEPIFSLLSDWPSIDVDGIVLDDPAKE LSRIDDDEGMCLLRLRPDIQFIGRSLDKEIHFASSLPPLARHIVVEQARVHKEWPQDL PIQTIMSGKHENDDSSKETPRVVEMFLDALLRRIPDQVFVQKLLTWLLYAVRPLTIWE LATAMSLGSIDSEGEALIISEEFEVQSLKQKLEDWFVGIVEIKLNEVRFTDRRVRDIF MRRHQPDSPAFVWTGIQDSAQYDITKSCLEYLSLGSVQLEMERLYQGPETQPVHSDDR RSLCGYAILKWAMHYSRIQDSSASSKLLNAYLVSALSNSWIKGNWALSNPFTRSRSIP ESSYSVLAGLGILGPVESNDSKEREMALVEAARYEELTSVSELLEPFDFPQSVLLDAI IAASHSGNERIMLQMLSKIPTLETSSMDDRIIYLLYRAAKLGLAEFVEQLLAKGCPPE PVGEVGIYRDKSPLIYAAWKGYTATVHAFIEHHANVEFRDKDGYTPLNLAAVSGSAAT VKMLVQEGHAELESRNDGMTALYFSCHMSMHQTAAVLLELGADPDMGYSNETSYPRWS PLILAASEGYVECVRVLLEHDAEPNIPGPWGTDTPLRYAAIHGHAQICQLLVEHGADP NSPLIRPPILTEVILRYSGGSAVSTQQDMIELLIGLGADIDKEGSDGKTALITAVKNG ELEIVRLLLKYNAAVNTKVFDNDDEYPGWTAMSFAADGGNVDIVRALADADADLNHKT FDRELAPLHLAISNDALRILLEYRKRIDIDQLTLSGDTPILRLQRTDVPNDNLKRLIN AGASLNLQNNLGDTVLTIAAYDNNLDIACYLLKQQDIDTSIYSPSYGAALHQACRRGH TEMIELLVKNDADINQTLPIIFGTPLQTICGRWDHNGSSASMRHMEYLLSKGADINKV GRTLGTALHIACCACPPEVLSFLLSNGAKCDTEDAHSRRPIHFAAFRGIEHFKIILEA GADIKVRDGMKRTPLHWAVQRGREEVVRFILAELGKECIDEGDIDGWTPLCWAVRGTP SFTFIPDKSGSEDPDQIETIKVLLENDADKTRYGSIGDVEWRPLKIALYSGVHRDVVS LLSTPDARHKQRRSHGESWMGPDGDTRPADLKDGKICDSCLWAIHGLQYHCQVCDDYD LCHKCYARRDIIHSLDHTWREEGPEYPDASGSESGRDDSADESTEMSDSDSDSDASPH YSSDDDIGSEKRTNGVDDSGDHSDSDNS PEX2_066250 MPTYPIRRRPRECKTCLPCRASKVRCDRNIPCGNCTKRNFTCSY GRPSSAKQPLPTAVTTASSTPLQQPTFVSPTIPPYTSSAPTIDGSYAIDHPSSTSADP DLPDIIDISQAEWDEINTKMVAMEQILGSLHSLFQTHSTHKPPEPKPESQPTERKDST RSEGVYGSNVLKTGAVHIGSRSALVDILDKSKRSEDTAQALPQDDLLAELALGNESAA YPFVDLWSSDPFTFNIAGVCAVIPEDGRCLEFLGFYQDIGSVLYPVLSDIPQLERQTK RLLDNRRRAGGVYKADANGLVKPFGMPLAFLSLLFAVLASGCQLSGIPESDRELTSWV YVSCSYQCLRMLNYVSQPTVEVIQILLIISNVLSYNMNAGASYTLLGMTERMCLVLGL HVESTGFSIAEQEVRRRVWWTMAFQNSHFSLAYDRPSITMVSQPEIPFDPKSMPGHRS YFETLCRIVSLALEVLRSRMYPGSSHIRNNEIREYKHQIHRMLAEATPHLRYRDKCQT LAEHIERTELHLHSSYLLSVLCRVSLDPHAHLEPPRRAMIREDCISSLINTIAAFVEL HEINSHCSRSWISLQRSIASAFLLVANDDSPQTWQLIDRLEMVLADHVYADGDMDQNN RTDSAKHLSSSLRALREIREAFSARTNTPTGQLSSTTYSPLVLPSPPSLEPSPNMPST TNAGLQPVEGVSMRNILGRVSDVMLFPSMSGDKPVV PEX2_066260 MLPNNEVRVPRPRFAQPAPRDITAEFTKAASNLNTGQLVKDENF TLFEAVGALEIMDSKMDSGYIAPGENLAQALEEDYDVRRPLTPEEVVGLMDQLLCHEV AWHKGHPLSQTLFTSIYLDKLLWPVPRTMDDARFDRVPSDSPLVNLVLRAYCLALVKA CDFVHVRVATEYYYEEEDFVSQLYNRNLLSAFDISHFHRLLDQAVAWVAEQTDIDQKL KDAIHCRLVFRHEFLSALHQDIEVLETRSAENFTSCIAQLQPLTETVALGKPVPDAFS LKIQRKLASTVPPRPMVNITSDDALAHLKRLCQDAIDMQQMLDYTGPSNFKTAIWTLL SRKPQPSIYIRCLLQALIVSNMTILGAVPVKEFLYDELAELVLPANILLESNTDEVEV PSDPRFQIAQKMDGFMKRFAQPFVDTVRCACLNRCRMRRTLCHTLVDWDNLQMEAEEI DLELRTLSAEPPLQFQGGEPTWSFPLSSWAYHQKLVQFRLILQMGFELSIYSPEELPG MYWYLSHVCSTHLGHIDRIRTFTVAARKRDLMSTGDRSGSSSQKASAFHRSFHALERL TTHIIAVDAFAIALHALYVVLARHNVLPSASSPQAYSTDRLRYEIRMKPFIPITLPEL VPYDEYQREAALEGDSDATILERASKAITEARKAWEATLANGAFIEDPSAATQPPPSA IEEDWKRDVKNTMRACIGTSLAVEAVKKALSTASSTDNKPLNLEVVVPEVGSKSRWHD WWVVPQVSEKKSKA PEX2_066270 MTAAWKAAGLTYNRYLAIAARTVRRSLKDGPRLASERRGQMDLR FAKWENGKQGDVKSLAQANNEALAKAAEK PEX2_066280 MPPTRKKAGGRKRDNEADLAELERLRKQVAEQSKALEAEEARKE EESQAKRQVSSRRQRTLVRWDVDTDIRLLLAIQYACNKSSIKIPWKDVAEVMGEKFTE GAIVQHLSKLRTKREEQDKPNPPPLKRAGNGHHKTDASNKKEAPAKADPAPSTRNAKR RRRDQSDYESDYESENSVYALKKKQFAKSKKKDKVFVAPKLRTKREETDSDDSQKLVC VGAEWLRDFDGDDDREDDDESSISEENENSTESSQATTQATKKSKMVKLKLNREEFAN WERRLSDSATSREPVTPTNPPHVTANMAPRGPAYYPYMHADSTAPYSPIMATPFANNS GASIPYPSNGPSPFDIVNRVPNGISDAGPAAAPSAVSGEVSAFDEMNLAAAYSQFDMA PEMQFADQFGAQGNFPMTGYTETSGFENQFISDSELFANLPNVEPPRYLGYDGEDTKE F PEX2_066290 MQRALSTRTSLLSAASKRAPLGRSTLNLQQQRFAHKEIKFGVEA RASLLKGVDTLAKAVTSTLGPKGRNVLIDSPYGSPKITKDGVSVAKAIQLQDKFENLG ARLIQDVASKTNEVAGDGTTTATVLSRAIFSETVKNVAAGCNPMDLRRGIQAAVEAAV EYLQANARPITTGEEIAQVATISANGDTHVGKLISTAMERVGKEGVITVKEGKTLDDE LEVTEGMRFDRGYTSPYFITDPKSQKVEFEKPLILLSEKKISAVQDILPALEASTTLR RPLVIIAEDIEGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDLAVLTNGTVFT DELDIKLEKLTPEMLGSTGAITITKEDTIILNGEGSKDNISQRCEQIRGVMADPTTSE YEKEKLQERLAKLSGGVAVIKVGGSSEVEVGEKKDRVVDALNATRAAVEEGILPGGGT ALLKASANGLGGVKPANFDQQLGVSIIKSAICRPARTIVENAGLEGSVIVGKLTDEYA KDFNRGFDSATGQYVDMIAAGIVDPLKVVRTALLDASGVASLLGTTEVAIVDAPEEKG PAPPGGGMGGMGGMGGMGGGMF PEX2_066300 MAAMVDTARPALVSDADLMQARAALQHQQSPEVSVPREFISLIV DELIYRRESHSFIAEIEHERSNLRKELRKHTHINEAFQKELREIGEIITQVAHGDLSQ RARMHPLEMSPDIATFKQTINTMMDQLQVFSQEVSKVAREVGTEGVLGGQAKIEGIQG IWHELTVNVNAMANNLTTQVRDITTVTTAVAKGDLQRKVQADCKGEILLLKNIINSMV DQLREFAFEVSRVAREVGSDGVLGGQAVVHGVEGTWKNLTDNVNRMASNLTQQVREIA DVTTAVARGDLTKKVTADVKGEILDLKLTINAMVDRLNQFAFEVSRVAREVGTDGTLG GQAQVENVEGRWRDLTDNVNTMAQNLTLQVRQISNVTQAIARGDLSTKIEVHAQGEIL TLKETINSMMDGLGEFAGEVKGVARDVGVRGKLGGQANVAGSHGIWRSISEDVNTMAD NLTSQVRAFGEITEAAMSGDFTKLITVSASGEMDDLKQKINKMISSLRDSIQRNTAAR EAAELANRSKSEFLANMSHEIRTPMNGIIGLSSLALDTDDLQAPVRETLKMVHNLAIS LLTIIDDILDISKIEANHMIIEKTPFSLGATVFSVLKALSVETNEKALGLVYTVDGEV PDYLIGDAYRLRQVMLNLVGNAIKFTDHGEIRVTVKHAQDDKCASDETAFQFSVSDPG IGIDESKLGLIFDKFQQADGSMTRRFGGTGLGLAISKRLVSLMGGDIWVTSHMGEGST FSFTCRVKLAQPPSSFAEQLAPHRGRRVLFFDHGLARDFPIAKVLIELGLEPVIVTEE QLECGQFKSNWGCTFDAILIENLEIATKLRACPDLQPIPLVITAHTVSLALRAAGELG IASYITVPCRPIDLWNGILPALGNRTTRTPSGYTRSLAILLAEDNDVNQKVAVRILEK FNHNVTVVENGLQAVKEVKHNRYDVVLMDVQMPVMGGFEATGNIRQYEKMNGLPRTPI IALTAHAMLGDRDKCIQAGMDDYLSKPLDSSRMMQTILKCSAMNLASLPAIEG PEX2_066310 MSDEKQPPITTYPDTKTIKENYADVTLRIIEDHGNEFEPLTPER EKKLTRKLYLHIVVLVSIINLVLFIDKSTLGYAAILGLFEETGISKSQYNTLNTLFYV GYLVGQWPGNYLMQRLPLGRFLSGTLFLWGVIIFLHCVATKYGGLVVLRLALGAVEAV VVPAIEITLNMFFNREEQSFLQPIFFTTCLGAPIPAGFISYGLLYSHSNILPWKLFMI VNGGLTILLSIWTWFCYPNNPAEAQFLSLEEKVHVIHRVHASHQSSIEQKQFKKSQFI ECLRDPVSWLFALQAFTLMYSNNLNYGQQNLLTTSLGVSDLGSTLVSAAGGGFGVALC IVAHFALKFFPKYLALHSLVWCLFALAGGVGIIFIDWSRKLPLLVCMLIAENTYGITY IIALGWTTSSAAGYTKRLTRNAMFMFGYSVGNLVSPQIWVPSAAPRYYDAWISMICVS WVGTPAILGVIHFILARRNKERKGWIAGLSDGDREGCVEQVDESGVVVRRKVDLAMLD LTDLENKLFIYPL PEX2_066320 MDHPKANISPSTEISPVTIPNLEEPDLERNPEKSQDVEISMTTE KPHTSQEREGVDNTISMPKKPVSFKLAFVGLAASLFVFQVDATCLGVALPTIAGELKG SSLESFWANLAYTLCGLVTQPVWASISNAFGRKPPLYTSMVLFFVGSVVFATAQNMST IIVGRVLQGLGGGGIDLLVMVILADMTTLEERSKYLGLMAIPSAIGNIMGPVVGALFA TYATWRWIGWINLPWLGIGTPLLFFFLKLRPVPLDATLARNLSRLDWIGMALVVIGIT IFIVPISWAGSLFPWASWQTLLPLLLGAAVLVIFVFYETKPAVPIVPHRIFHSKTGNL TLVGGFIHGMIMVSLLQYLPLLYQAVELQTAISSAIYLLPTVIISVVVAAVSMMMVPL FGGYVWILRLSWVILTLGTGVLALFDVGSSTSVRYGLPILWGQGVALLRLNILPMQAS VKNAEDTSLAMGQFLAIRLFGGLIGLTISSAIFNNVFSASISDTTIQLTGALAPLKDA SNAVNFIDHLRSLELSSTAVDQVLRVYLDCFRTIFYTMTGLSGLGLVTSVFLDEIALK GQDLGNQRFEE PEX2_066330 MQVEGVALVLGAGSGIGRAVAYTLVTQGLTILVCADVNLSQAQE TATSSYAVAGREGYEATAYHVDVREEAQVRALVAKTKNRYGRIDICITTAGTPAANQT PISDMSLETYRSMDEVHNIGCFLVVREVIRTMISQEPILTRRRNQTRGSIVVLTSLAS EGAFLGVGNYIAAKHAVKGLIQTAALENARNGIRINAVAPSYVSGPMMDKFLDEAPDV KDVILGDLPMRRLADPEEVANAVVFLASQGASYINGHTLVVDGGSSLQLSNQPFS PEX2_066340 MPWTLVEQSPNIFSRPLGPNEVFIKLVSDPGHPLGREHWAINYT ATVSPRGAFTALSGSPDLLPTLIRYCWLHLRFQHPSLAAHPDSNSNVVYTVPDSADAL TEWASQTFTVETDAQSADEVIRTIAPAADARLYYIPQSSELLLHTAHWRMDGVGGLFL LGQLVDLMASHADTLLSGKLPDPFNSFQWGSEIARLSPPVEEAGNMPLNATDEQKAVA HGAVGTFALAAGALGVPYTGDASSAPSGTRAAELQFSPTSTSAAVSAAKARGVGITAA VHTSLAATNFQHAIPEHQGRHYTSTIKQSLRPYLPEPYSTPAAAAGLFTSGWLVRVDA SGSWEENARLYQAEYEKGISSEYLKAHREYASTLVEVIGSLPAPAEPPSDIDISSMGI MEKYLDREYGTAERGFGITHAGVGVEIISRQGVVFVWTFRDQLTLRLVYNEAFHTPEQ MTEFLQDIQADLLKELRVAE PEX2_066350 MSSAESDGNRQPGLIALCVVMLTLAVVSVALRCWSIWGSRTHKF GYDDAFAILTLPFIIAESALIFYWISLGLGRHSATLPISTQLAGPKIIFAAAYLYDAC ITLPKLSVLCFYHRVLKRGATWVRPTLWAVGTLCASWLIGSWLATTFQCTPIHASWQA VPDSKCFTQWKFFTGTAAPSAVLDLVILLIPMPLLWSLHLTKGKRLLLIGLFICGYS PEX2_066360 MEHQALTPARQCEPIAIVGMGCRLPGKASSPSRLWELLVSNQTA HCEVPSERYNAASYYHPDAERPGSINSTGGYFIQEDIRAFENAFFGINNLEAMSMDAQ QRKLLEVTYEALENAGVPLEKIQGSNTGVYIGNFTNDFLNMQFKDPDSFSRYSATGLA LTVLANRLTHSFDLRGPSHVVDTACSSSLYALHSACLGLDAHDCDAAVVAAANLIQSP ELQMIAVKAGILSPDSMSHTFDESANGYGRAEGASAVYLKRLSDALRDGDPIRSIIRG TSVNGNGRTPGIVQPSVEGQKAVIRAAYRRAGLDLGETDYVEAHGTGTKVGDPMEVEA IADVFRHRTGRPTLIGGIKPNVGHSEGASGLSSLIKVTLALENELLPATVGVKTINPS IKLKEWNVDIVTANQAWPVSRVPRASVNSFGFGGSNSHAILEAVPMELLTNSCRDPPV GEDAERLYLLPLSARSETSLKQMAGNLADFASQSAQHIQISDLSFTLSSRRSKLATRG YFVESQASLDKGLNMASLKLRDSDSEGPFPLVFVYTGQGAQWAGMGKELLSHSHVFRK TIGYLDSCLRALDSDHAPAWTLEGILRGGEGCDIGAAEISQPLCTAVQIALTDLLNDW GALPEIVTGHSSGEIGAAYAAGAIDARQAILASFFRGTAVAEDYTEGSMAAVGLGKDQ AQAIIEECALRGSVTLACANSPESTTVSGDAVAVDKLLKTLDEKHIWARKLKTGNKAY HSHHMKIPGPKYEALLKRYWHTVNGRHDSNGTVDDLTSSVVMISTVTGEPVSTNQVGT PTYWRTNLESPVRFDEAIKTILARGSYHLVELGPHSALQLPIKQNASTLEQSRYIYSS SLIRDQDAWLTTLQLVGSLFLHGHDELEYHKMFADRSQSRVLVDLPPYPWDYSSPTLW SEPRASIEVRHRKYPRHDLLGSQVTGGSTVGVTWRNVLNLNEAEWLTHHCLGPSVVFP AAAYIAMAVEAMCQVAGLQLKDCPGVELRDLNFIKALDMDPEQKPTVEIFSEMRSMKI SNLTNSDKWWRFGVISIDSDSQSTLHMNAAVRLAETSPRTTRQINLDNSKMQQDAVRV WYDKFTQEGLNWGPRFAVMEEVFRDRARTAHVAAATTHLQRGEAFGQYIAHPISIDAM LQTAFVATTSGWVSKLRATVPVAMDSVYIAPPSALDMDIDKPWCIDTQSEKVGFGTVK INAELFNSSGQVLVRMGQVRCIAYQGNRQTETTEERSPLLRVTWKPDLSALTNAGLGE YLNRFGQNCGFKELADENVLRLAGALDLACHQRCNSNILELGDQTRISETVRGLLRVD SSFRRYSTYSRGVVADGDLVGAEVFSETVGEQKLDRSTGIPQERNFDIVLVPSVDLWT PALSSRLTPGATVIMMGDALSDDVTWTKVIKASGSFPVTVSTIGGLPSKGKVDKFPTI VVTRDHNITDLDRQLQDVLQENLGSVVNIVELSQVNIATVPDRSFVISTLEQQQPLLS RIDEREMAQLKSITDRVAKIVWVVNGGFVDGETPDFAPILGLSRALMLEQPSLQFAVL DVDDKSASSPDNLHNIVNVLDRLIYEPEPDFEFSQRDSVLHISRWEPDDCLNETFRLK QNKQTIDLPLAIAGRCELGIKDPGQMDTIHFVQKEYSSALHPDDVEISVKSVGMNAKD LYAISAKVDTKDASCSCECAGVVTAVGDNVSEFKTGDRVVAAAPGHFATVERFPSWAV CKLSEEEDFTTASTIPGVFSTAIYGLKHRANLQPGETVLIHSAAGGVGIAAIQYAKHL GAKIFATVGNESKKAFLVEKYDLDPAHIFSSRDSSFLPAIMEATSGQGVDVVLNFLTG DLLRSSLQACADFGRFIEIGKRDILDHGVLDMSTFGRNISFMAFDLSSLYLSNKEAHH QLWKTLLTESLDLVRSKICEPCFPIKTFDASDITDAFRHFSLGTRLGKTTVSFQDPNI KIRVIPAKYETVFSAQKCYLMVGCLGGLGRSLSKWMISCGARRFIFMGRSGFDKPEAK DIVDDLRAQGAHVTVIRGDVCRYEDVERAVEAADCPIGGVIQAAMALSEALWSSMSHS AWHTTIGPKVQGTWNLHNALGQGRDSQLDFFVMTSSISGTVGTATESNYCAANSFLDA FARYRNRLGLPAISIGYGMISEVGYLHEHPDIEALMKRKGIHPINEDELIQIMNMALV NQRASTWDSRYDHLAGSHLLTGVEFIGLHEQRERGFEGDNHVFADPRAALFTASFARR AAGKSETHSAAAHLPKEIARALRESQDTAPIIDRLRAVVSKKLSNLILLPESELEADR PLGDFGLDSMLAAEFRTFIFRTFEVDVPFVVLLKRSTTVNTLTGLIVQGLQVSD PEX2_066370 MPLEFKTRRIEIKSTHYQKEIVDRADSCDNDLPSTTISANESKR WHSRYHGLILQNLGSLMILPVTGSTQADVMCFFKDISIKHLNEYRPCEPWRKTLMFFS QTVPPVRHAAIALALIHRNYLDRHSNNGVYQPPSLKDPLPDRAPLFHYNRAIQLLLNT ENGDSAEVTAVTLLVCYLFTCFDHLAGDNVQALKHLRGGVALSSNIDNATLNTCTYDD TQSSGVHAIISQVTRQLRRLDMQAAMFLVDWTPVNIQETFMSHLALFDSTFRSLDQAA DHLQVLVAQVMRLRHTDQQISPAGTIPELPSSLKDIVLGQMETWSILFENLLQQGSPS EPDFGTDPLVSLLRLQHTIAWTLLSGYGPGREMDYDKFLPQFQKCVALAGEVAADHQR YSGSSRSTFTPEIGFIPVLYIIGVKCRHPLVRREALSILRRQRIREAVWDSISTARVV ERVIEIEESGAWEDQMVQCMEQIPVWQRVEALSYTYIPRAARLDITYTFCAREGIHIE SLMVERGESYHAK PEX2_066380 MVYGFDNIEPSANLTWTPCFDGFGCSRLEVPLDYSNRRLGTTSI AFIKLAGKNATVESPSIVINPGGPGNSGVDLLLSYQDSIGQMFGEQYNFVSFDPRGVN NSGLRLDCFSKDAKARSAFYRLHRTGASNISSTSLEEQYYSSSIYGEWCNNAVENKSP HSYYVTTPAVAHDLLTFTEAEAEMAGQSPSNAKLWCYSVSYGTIIGSTFASMFPDRVG RMILDGVMNAEQYYNNYWTDNVDQMDEAMETFSTFCHSAGPEKCSFWGPTPANITARM NSIIRQFQNHPVPLSGIIDSNLPTMVTYSDLKTLFLNTLYTPLAGFPSMADILHQAER GNFSALAGMYDQSTPITDARLAITCTDSYRRNKLTTIEEFKIWVEYMIFKSKYIGDIY PTYLEGILCRSFRPELPDSMVVQDEISILNMPTSFPILFASNTIDPITPLESARKMSS RFAGSVLLMQEAVGAYLQGTVPSDNTTCPRQYIPFIDAFSL PEX2_066390 MKDKLATKPEIYDSLILDFPPGCRRLTPGPGYLEALIEENVTFI GSGIQRVTDDGLIDDKGNFQQVDAIICATGFDYSWSTEDTPITRHNGITLAQMWDPTP EAYMAVGVPNIPNFFMYLGPSGSSGSGSFLAMLEFVVEYIIKCTKKLQREYFSSMEPT MEAHKDFSKHVDKYFEKTIFNYKCKSWFKKNEDTGRIVGIWPGSSLNAERAMSNPRFE DYQYIRMPEMKENMFNWLGNGLTMSQEQCEKTIQYLDELDIPPTINHGPRPELPAALA CQI PEX2_066400 MATLAERQPLAKGGHARMPDRLPSTFISSTVPCVSENTVILAAT HPTVSTACPKDDGWFISDFYAFNYLFKGLGMDQTWITAADPRKLVEKYGPYLHGNPYE DRKVCLDKDMLDQQQITPVTLVRSSEMIDRVLSEAKRASELAKRHEAPLLLLFFCHGL PNHHLLLDDGTSHKGLSIVNLKGVLEPDVRMTLVSTACYSGGWITTPELNHTAMTAAG GEDNLADGTSNAWCVSQSIGRSCGSVFVSTLLETLSSATSPLLEEPGLDTSLSDPQQS LQPDDPNSLQTLSYNSFCDSVWSTCEDRITRLSNFQNFKFCAQNDAWEYSWTGRTGIP LSHFRDRWDGLKSYPYQGPSDIRDLRNPHPKNKTFLEQGPNKIASANQVVDEMTGHIA HGRLKGMARIFHQTCPGEWDRGKDVGFGGTLRAFYERDEYQERAPMFEAAIRFRWEAA LLADYILELFDLPAPSKEICIMWNRFLWIKHSRETMPNLEARWPKMYNSLSGHFKTPC LREQGPPFYRPLHYLVAALLEADKPEDETSAIISGIGNFMSTVRMFHHQRLFEDQEVR GRGHEWLKSIGRRARKSLSPRKRTRHSTSVSC PEX2_066410 MEEFANELFGDGFCEYPLLLRPLVGTVILVVWSVKFAFYFMLPA LAAYAILLGATVLIVTSNVNLLEVEWRNRDEHGTQNNRDDDNWARGNFEHRLEAGEIE F PEX2_066420 MPLPFECEPFYMRPLLMIKSITGGFFTILIYFVIPIAVAAAIIF AIGLVFFVILAAVFGWAPSSKEIRAKREKEKRERERKELESDQNNREQEQKEDQGKID AYLFTAPLPTTASGPGGTYDLEKRLEIELELLGEMVVARKERLATLRTTHSEDDSSYL EVLEKLE PEX2_066430 MKGAIFAAAALVGSAMADGVHRRHDAFHQRREASASVWSSAIVT PSAGLEPEETCGCTTKVITVYGSATLVPVETVAPPSSTSTSTSTPAPESTSTLTSTVH STSTSTLTVTVSASSSAAPVSSSTAVASTPAVELPTPGVSTFSTTGVYTIPATTITVH DTTTVCGATSTEVPSGTHTYGGVTTIVKTATTVVCPYATVKPSGTTVTSVIETTTYIC PSAGTYTVVPPTTTTVPTSTVLVYPTPQTIVPGTYTQDETTVTVTRTDYTYICPVATS SLASTTAAAAPTTVAATTTSAAPVVTSTSSASSSASSSASSSASSVVVSSSASSSSVA SSTSAVAEATGIPTVLGDGQWGMTYSPYTSGGDCKTKAAVLSDLTVIKGKGFNLIRVY STDCSGLEFIGDACKELGLNIIMGVYISSSGISAAQEQVSAIVKWAEWDMVKLIVVGN EAIQSGFVDASTLASFIQSASSSFKSAGYSGLITTTEPINVWQAHGAATLCSAVDIVG ANIHPFFNADVTAEQAGEFVKTEFEVLDKICGGKDVINLETGWPNAGDANGVAVPGVS EQATAIKSMVKAVGSKSIFFSYLDDPWKTPGEFNVEQHWGCSGVF PEX2_066440 MSNPSKSTDSEHARLQNDYGADYWVRNSAQQHRRATAGRGLFAG LQDVKHYNVDHGWARRKSETAQPGILGSLWSRLTGL PEX2_066450 MSASALLKSRVRRPSYLKKLAKAEDLIHHFPNGTYIGWSGFTGV GYPKMVPTALADHVEKNSLQGQLKYNLFVGASSGAETESRWARLNMIERRSPHQVGKE IAKGINNGDIKFFDKHLSMFPSDLVYGWYTLNKPKPTIDVAVIEASAITENGGIIPGA SVGASPELIQMAEKVIIEVNTSMPSFEGLHDITGSDLPPGRKPYLIMAPEDRIGTNYI PIDPEKVVAIVESNYPDQTLPNAPEDEGSQAIASNLIEFLKHEVKHGRLPPNLLPIQS GIGNIANAVVGGLSKGGADFKNLKVWTEVLQDSFLDLFDSGNLDFATATSIRFSPDGF KRFYDNWERYAGKLLLRSQQVSNSPEIIRRLGCIGMNTPVEVDIYAHANSTCVMGSRM LNGLGGSADFLRNSKYSIMHTPSARPSKIDPTGVSCIVPFATHIDQTEHDLDVVVTEQ GLADVRGLSPRERARVIIKNCSHPDYTPILTDYLDRAEFECLRKGMGHEPHLLFQAFN MHKNLQEKGTMKIDSWE PEX2_066460 MSDKHSLNYNRLAPERKASTGARDRSRAIPSPQSESPSCLSYFY FSTGSFLLRGVVDSNPRDYELQLFDYQILTFSPLLDPSNPSNLPSYFSPTGVRARIMN SGNDNWHSSGGPPSHPGIDQMNQQPRPLGSFSQNPSQQGQASQASGPVLPPPGGPYHP SQSGGHSLPGLAELSQTHGNPHQPSAYGQHPAGPSHNSGHSLPGIGQAMQHASPQLNR ERERDSREREIIERQRQEEMAHRERERERDHREQIERQLERREQPHHPVQSHTGSIPL HQPVANKVPNSIHGPGGLLSTLGGNPQNGHQGGPQSAAGLFGPQMQHGEGTPRSYMQH PGGPPGQPMMAYNAAGQQIPGNVAALAQGQQPILNDALSYLDQVKVRFVDQPDVYNRF LDIMKDFKSQAIDTPGVIQRVSTLFNGHPALIQGFNTFLPPGYRIECGTEDNPDAIRV TTPSGTNTLSMPRAGRPFETITNAPPSGDPHARSDFYRDQSRPGWQQGQQQDQQQGPA SGSYSPGSRMMGGMYQQDGPGPAQEHHYGYPTQQEQQAASAMTHQQDHRGVAQLQGAA SAASAGLSRASLMGVSGAGPNSSLTQPMNNLAGVGTGMLQGAQDLNKRGPVEFNHAIS YVNKIKNRFSSAPEIYKQFLEILQTYQRESKPIQDVYGQVTQLFNTAPDLLEDFKQFL PESAAHAKQVAAQRQAEEQAPLSNLRGEPAGFTSQTPNRDVKMPPLGQFNVKDPKESK KRRGGPGGVGSISGPAGSEAARLSEASRGGQGSQFGNATKRPKYHHGKPSVDMANVSP TLIPALPEPLPPSVSMTPSQEEIAFFDRVKKFIANKQVFSDFLKLCNLYTTDLLDRHI LVKRAEGYIGSNAELMSWFRRFMSAEEPEDKIIDPKANPESGVVNLAHCRSLGPSYRL LPKRERQKPCSGRDALCNGVLNDDWASHPTWASEDSGFVAHRKNQYEDALHRIEEDRH DYDHHIEACTRTIQLIEPICQQFLYMSDAERMAFKLPQGLGGQSEAIYQRVIKKIYDR QRGEKVIRDMFLRPCHVLPIVLYRLKQKLEEWKACQREWDKVWREQMQRAYWRSLDHQ AIATKQTDKKLFVAKNIQQDLQTKLEDAQAGRNSGRTSPKFQAEFQFNDTQVLLDAVY LLFLYFDRNTNGFGADPQRLMNFIRDFIPTFFGLDRYTFNLYMDEVYNAINDDDDTYA NDDASNGSRKGADLQKLDLLREALERGGQAEKTLKEDGALNNHDEPPVVQVSSTVSES EDSFDVAELRWMEHPGKGNFNLEHEYTLNEKYKKKEHHMYSNLNIFCFLRTFEILYSR LLRIKEQEESAREQVRRGVLPKAAHDLCLIDRFPGDFFYDVDPKANLYKQIVRMCEEV IKGDIDQVHLEETLRRFYMQSGYLLYNLERIFSSISKFVASIFTGDSRDRSSDIVNLF FKEREKEETTHHQEIQYRKQVERLVKDGDIYRITFYPTDRRVTVQVMTSEDATLNNDE LTPEERWSYYVTAYSMRDPTEGVQFSKMRMPLLKRNLPPRLEEDDEYERYYRSLQHHD GLTIRICANNYTMLYQPPTHDWFWRSNAPIPEKDADADAIKAQADELAKEKATLREKR HDRFVEKFVNNPSWARGLSKDQVDESNQRFRSWVDGTLSDSPGPGREGSASGSGSGSA APANAEAEKHDGADVEMTDAEPTEA PEX2_066470 MVDRDPGTPTWKFTQCFGDKGDVEDITEGNWQPPSLYSAESGQS PQSASTDKRSAADIISTVEFDHTGNYLATGDKGGRVVLFERNETKKTCEYKFHTEFQS HEPEFDYLKSLEIEEKINKIKWCRRQNASHFLLSTNDKTIKLWKVFDKSLKVVAENNL SNELTPANPVGGGGMARAPRVSFKDPSALKLPRLTHHDTVVAAVPRRTYANAHAYHIN SISVNSDGETFISSDDLRVNLWNLNIQDQSFNIVDIKPANMEELTEVITAAEFHPVSC NWFMYASSKGTIKLADMRQRALCDEHAKQFEQEEDASSRSFFSEIISSISDVRFSNDG RYIVSRDYLTVKIWDVNMERQPIKTIPIHEHLRPRLCDTYENDSIFDKFEVVFSGDAE NVMTGSYNNNFMIYPTEEQKDTEIVLQADKSAFKAKKVGVPTPMSKGNGKKAGSRSGS PAGPGSRMKKETDADQIDFNKKILHMSWHPFEDSIAIAATNNLFVFSAL PEX2_066480 MTNQENMRGNPNPNISQTQSNTPAPAPLPSTSNTPSNQPPAPPS LATRIQTSATGLAKSAFRPSSDLANTLASSTSSKPAGPSSLPNTQTSRDLSTNTTPHG APSSGSVSAPGAQAFREYDTYTSTAGGFALPALTEDEFQGNVYGYERDTDLLGANTTT NTITTDTNANANTIHPHEDLQTPSSAWKGKARAHDPTQHQFETVWQRQWHDPQNTQTT ATSTTDGAAVVSLLSDTNFDPNFEDPTTVPDTELDFAAAPAPLSAAEMKMLDSFRRGL GLDEERAEGNGRLTGASLIPDIDVFLSQGLGAEGGIGIETGERNATVTATGAGSTSIS LRDAALRNLPDASNWAGIQGLGAGSGTENAIGSGPIPTSLRDAVLRNLPGGGDWVGAQ EPDLEVFLSQGVGAGSGIGVGTGEGNATATVTGATPTSASTSLRDAVLTNLPGAGDWI GVEKRYHDEVWGYLQPVLEEARAEIEEKEVGVEGLGVGEDGPAVRRLKMILMHMKG PEX2_066490 MTAPQVIVVGGGLSGLSAAHTIYLNGGNVLVLDKQAFFGGNSTK ATSGINGALTRTQTDLGIQDSVKQFYEDTLKSARDKARPDLIKVLTYKSASAVEWLQD VFNLDLTLVSRLGGHTYPRTHRGHDAKFPGMAITYALMQRLEDLAEKEPGRVQIVKKA NVTSINKSGNNVTGVTYTINGEEKSVDGVVILATGGYAADFSETSLLKKHRPDTFGLS STNGTHATGDGQKMLMAIGANGIDMDKVQVHPTGLVDPKDPGAKFKFLAAEALRGEGG LLLNSDGQRFSDELGHRDYVSGKMWEEKEKGKWPIRLILNSKASNVLDFHTRHYSGRG LMKKMTGAELVAEIGCGEAALKKTFDEYNLIADGKKKDPWNKRFFHNMPFQLDDEFHV ALMEPVLHFTMGGIEIDEHAQVLNGEQKPFNGLYACGELAGGVHGANRLGGSSLLGCV VYGRVAGDSASQHLFQKLLATGSSAASQRLGQISLHIDPNTPGKVSVEWGSAATGATL PALAPLAAPAPSAEAPASKPDPATFTIPETEYSMEEVAKHNTKESLWVVVKGVILDLT NWVDEHPGGANALYNFMGRDATEEFAMLHDDEVIPKYAAQTVIGRVKGETPSLEL PEX2_066500 MTIPTNTGLTVPLIINGHEETTPNTFPVTSPYTNTTIWTASAAT PQDAIRAVEAADAAFPSWSQTKPTVRRDILLKAADILEARIDTNAEYMRQEMGADVGA SAGFVVPLAVRMLREVASRITSICGSVPVVETEGQSAIVFKEAMGVILGIVPWNAPYV FGIRSAACALAAGNTTVLKSSELTPRCYWAIGRAFEDAGLPAGCLNIIHCAPQDAPEV VNAMIEHEAVRKINFTGSTAVGRKIARACGQNLKPCLMELGGKNSSIVCHDADLQTAV QGVLAGAFLNSGQICMATDRILVHASILPAFTEALQKALAAGAAASALPPTLVNTASK TRVEALINSAISAGAHFISGSADSIPTDSGVRMAPAVLGGVKEDMALWQEESFASVAA CMPFDAEDEAIRLANGSGYGLSASVFTEDLRRGLAMARRIQSGAVHINSMTIHDEPAL PHGGVKNSGWGRFNTDEGLNEFLVTKSVTWMD PEX2_066510 MPATVAQILAPYEYKPELVERVASRTVQPPITIVEPNPEWAQRF EEVKERIQKALGPLVLDVAHSGSTSVPSLPAKDIIDVDLTIKDAMDEASYVKPLEEAG FRFILREPRWHQHRFFVENWPKAYHVNLHVWGPDSPEAARHRIFRDWLLKSPSDLELY TKVKREAAEQAAIAGDSMMDYTQRKDETIHKILDRAFRDLGYIE PEX2_066520 MAPSKKGWQMIEIISVLVSLCLISLVLRVVARIRRRVGFDIDDY LSMISMVLLVAMLVELILWCAIGGNGSHIADLSPETLMNYWKIFLANQFTYFLLCPCI KISIICFYRRLFATPMFQKVSFGLNCLIAAWGTGIFLACAGQCRPLPAYWDSRVEGSC FDAQKFIIVNQAFNVLMDFVILVLPIPMIWNLQRAWQDKLALNGVFALGAFVCFASIY RIVVLFWISPTDPTFTVYQATLWTHIEPAVGLICSNLPIIRGLFPALKLKSSRNGTGP TYINTDYTNSLFLSKSSPRSPDLEYVKMYNAQVESKSPGHLGDDLHPRTINVQTDISI LPGNDSTTRLNH PEX2_066530 MASQKMTNALAGIETNPHQPTKLQQYTDILNEMVTTSTGHELAQ DLVYYLDSILSEEVSIVAARPLLDAFITVLQSLNPETKIKVGQHAITLLHTRSASVEE QDSQIREILADAYESQEEYTAAARALQGIHIDSSQRLVSDAAKARLWIRIVRYYLEDD DTTNAEAFLNRIKNLPSKIEDHDAKLYFKLSQARIFDARRRFLDAAQEYFDVSLAPGV DEGDRLTALSAAIRCAVLAPAGPQRSRSLARLYKDDRTPSVEEFGILEKMFLDRLLTA DEVAAFAKKLAPHQLAVTADGTTVLDKAVIEHNLVAASKLYENIHVDDLGSILGLQSS GDISAGEKAEAYAARMVEQGRLRGRIDQIDGVISFDAETLGGSSAGASNGTKLRQWDL GVQDLAEDVERVATSISDQFPEFATSQMVH PEX2_066540 MAEFEEVLKGFNGILDLRQAYAWNADSIMKFLRHVVTYGYLYDI QDHQIPALRAMVARIEMLRPPQGSMFASPGLEPDLSMGNVFNPGWDPTIPKRIKVASR PEX2_066550 MAQVKQDPDRPYFKQDPDSKDIVLGDIDEEDLYEDAGDLDFTQA GQNVWLSRLPRALWEHWAHLDDDEEIEVGTMRVEGTPNDIKRVSLRLHDRPDNREIPK DYTLQRQTVDPSGTGSHLTHNTYLFTEKDIPGVENRMATFGETRSVLYEAQKREAKRR EQGKRWEPYVRKTIPKHTALAGAVSEEFNCLPVENEEFRRISEKRALEALKPRKETVF IDKIPGKIIQARHALPTERGQFVQATRPSKGKAQENKSTRMPQNELLDLIFQCFREFK YWPFKTLKARLAQPEAYLKQTLEMVAHLVKAGDFAMTWELKPEATHSQYSNAMDNAKA ELPPGVDDFESEDDPASGMDQDDVKFEDV PEX2_066560 MSENDDLLAKIGQLAGQINRHKTQETQPANHQSQYVSRHTPSHP GWAPYYRGRGRGRRGAAAPHRHRTLVLNTAGAGSNTSANSSPAPISANDSAVVPTPSS TGNGWVVKHDRHMQLINPAVYDRKTQDRTKDMERTRKLREQKKTEYEKAKVLRYAQGP GAGAMVSTPANPASGHQILVNDVAFRVANGGSKLIRISNDPSTANNTPKRVTVADVSF VRSKNGNLHRLGAVAMKKNHTVKKRNELCKRFTTTGTCYKGPSCQFVHDPSKVAMCKD FLQTGQCAAGSSCDLSHEPSPHRSPTCMHFLRGRCANPECRYAHVRVTPGAPVCRAFA TLGYCEKGEACEEKHVHECPDYANTGACHKKRCQLPHVDRAGQIRKAAAAAASKADLG EDDSDPSSEEENYDAIDSDDVDSDAFDDTPEELIEGVDSGEMSQQQDFIRF PEX2_066570 MWVMENSLSIKYPVLIQRSARECRCGPRDSISVIGCIPYTEPCR PTRRAGSCPCQFVSTIPRGTHPSAATAESVRSLYIVHSTEPVIMIGLSPGRQVRGWAS PEX2_066580 MMAQYFQSEFYQQSPSTLDVAPEDDEMSVLDDKILDPNSEDHPD NRRASYDAPDAYSHRDSVWSNYSNTSSSQSQSNSHSQIGHAMLNSTGAPFMPVDGPQS VSYPQASWPMPRTDSGSCTPTVGYDQYATNGDQSAITPFSGGAVGPVGAMPMNPMSYR GGMAFATPGSVAMSPQSSQGWMPAPMDLAEAELHSRKSPNYRIALPLRRGDGIRKRNS RIEISPELSLANIDEAIRECTDEEKKKELKANKRLLRNRDAALQSRQRKKKEAEGNIE KLKQKDEIIAQQAAKLAQLEENNQNMQRAMKTLEYENHQLTAYNRQLTEHIQRQDMEK DETTLRHTRETANLLKRNSMQEEIIKQLERGVKPAQENLSGDFTGFENPTMENPSWDD FSMVTGPPLQPEYVQAPRPQVSQQVKVPEKSSNGSDLPISWNAFYMFLLVGAFFASKS TSLPGRSLPQLSEEYRAESANVLKAVLASSPGHELTHPHSINHATATGPAPATISGME MAQMSSVQPVPSNLDQMHNTLVMPTEEQEREQVFSMNADQYNSLTSFEDNSGDFKPQQ PSTLQQAFAAMRDTAAQQSRMHSALSSDVYSRSLMWDRVPEKVVRDFRRMVQGHGYDT PVKEEGIFHP PEX2_066590 MSFDPHNVDLDTADPTDIVCYINAGGNEYNGKLGARISAIFVIM IVSSAATFFPVLAQRAPRLRIPIYVYLFAKYFGAGVIIATAFIHLLDPAYGEIGPNTC VGMTGHWADYSWCPAIVLASLMGVFLMDFGAERWVELKYGICREDPEPMMATGGEARR VDSRVSARHTDDKQVKEIESQTSELAIERSVKQQLAALLILEFGVIFHSVIIGLNLGV AGDEFVTLYPVLVFHQSFEGLGIGARMSSIPFKKGSWLPWFLCSAYGLTTPISIAIGL GLRTTYNPGSFTANVVSGVLDSISAGILVYTGLVELLARDFLFDPHRTQDNKRLAFMV VTMLLGAGIMALLGKWA PEX2_066600 MKVPLIPEALLEPVVAEEPAAEEPAAKPLDESCFYIQVSAKHLI FASSVFKRILTGGWKESITYLQKGSVEVTAESWDIEALLILLRAIHGKYYEIPRKLTL EMLAKVAVIADYYECNEALYIMKDIWINNLEENIPATYSRDLVLWLWVSWFFQLPSQF EATTSTVMSLSENVIHAWGLPISDKVIGLMNKRREEAINNLVILLHQTREAFISRSRG CNFECSSMMYGALTIQMQSSDLLSPKPEAPFPNLNYKYLTQNVLSFTSPRWSVPPIEH FRGYATTYTHECSNSSFTSLFADLKDYIGGLELNSIDSF PEX2_066610 MSSIIETRQSLVGTWSLVEYKATHIDTGHITYPMGPNAQGFLMY SADGFMSAHLMRPGATAFSDKDRIKGTQEEQSQAMSHYLAYCGPYDLEEANGGITVKH DLKVSSFPSWLGTEKRRLVTLNGNNLELNTADAYIVDVSLISKFP PEX2_066620 MERTMHSRASQPLRILSLDGGGIRGISSLMILQRIMEGIRDAHQ LNYVPRPCDHFDLIGGTSTGGIIAIMLGRLGMTVDECIRAYRKVAERAFTPKAHAIIP ARPDGAFSARALEEAIKQTVTEFCTNRECVSRRRNSPSGTRCEHSDLPFRDHSCTKTV VFAVMKADVNAEPTLFRTYNNSNALDGCAIWEIARATSAASTFFKSIKVGRDKIEYID AGFGYNNPCDRLIAEAKEVFPGRSDLQILSIGTGLGNAVCIKNSRMSIIEALKKMTTS SNRVAASLDDRYGDNGQYFRFNVERGLEDIKLSDWEQTSKISEHTRDYLRENSKGIEK FVKTFGARGEQDGRGYDRVMNIPLVSPV PEX2_066630 MGSDPIEVEVEKEKPQGCDLLIDFEHPNDPRIPQNWPLAKKILV VVILSVFNLIGTISSSIMGPAQKRVAEQFGANHEVAILCTTLFMGGYIFGFLTFGPLS EKFGRKWPLIGGVAICSLLELMPALGTNIQTIVIGRLFAGFFGVSPVAVMGGITSDCF TLAHRSIAMPIVICLFFSGPTFGPIVGSAIVGSSLSWRWTIWVMLICGLGVCAIAAFV FPETYPPAILRKEAQLLRKKYKNPAIRSALEKETSGIHEFARVYLLRPFWLFATQPIL ALLTIYHSFLYGVLFLFYQAYPYYFGEIRGWNTSVDTLPLLGIITGVFIGTLGIIIYN QAYFRHHCYTSDGTFIPEARLPPMILGGVLIPIGIFWFAWTAQPNVPWPSPLCAGLFM GCGMYLLFIQGFIYIVDCYTSMANSAMGINGSMRSVFGAVFPLFATQMFEKLGVPYAT TILGAVSVCLIPVPVCFWYWGGRIRAWSSTKV PEX2_066640 MNSTTGQGQSSCRNHEDDFGEPIAIIGMAMRLPGGVRCGDEFWD MLVNKRDGFCEAPPSRCSREASSSPDCGDLREMKKGFFLQEDPACFDASFFSVPPHEA ARLDPQQRLLLEVVWECLENSGETKWRGEKIGCFVGVFGEDWLEISHKDPQHLNQIYP IATGSFALANQVSYRFDLLGPSMTIQTACSSSLVCLNEASQALRSGECSTALVGGTSL IWGPTMTDAMTKNMVLSPSGISHTFDADADGYGRGEGINCIYLKPLRDALRDNDAIRA VIRSTVTNHDGKTPNFSQPCPISQERLIRHAYQIAGIDAIHETPVFECHGTGTAVGDV IEASVVAKMTQGEVTYITAVKPNVGHSEGASGITSIIKSILSLENKTIAPNIHFKTPN PQIPFQDANLHVPLEPTLWPAGRPQRISVNSFGIGGSNAHAILESASTISPKSQNASL GISDPQLLVISAHTVETLRKRIAQIVDYVKNHPSRIHDLSYTLAARRSHLPHRAFAVV HPTKATIDDTAFTIFNTSSPSVTFVFTGQGAQWPGMGLRLLSSFPIFKEDIKIMDEAL QQLPDGPNWLLYDELRQESSISRIHEAEFSQPLCIALQIGLVNILRGWGIEPSTVVGH SSGEIVAAYAARAISMRTAIIIAYYRGKVAKPLEGSGAMVAVGLTPDEVVPYLTPGVV VACHNSPHSVTLSGDKDSIDRAIKNIKAANSDTLCRRLTVKIAYHSDHMKQIGSEYEH CISHHIEYEEAMLPFCSSVTGDTITDPRKLDASYWRQNLESPVLFTEAIQSLPTSGTP VFLEVGPHSALAAPLRQIFRILSARSPVYIPTLFRYEEDVQTQLLCTAGQAHATGLGV NLSSITELGNTLTDLPPYPWQHDRPYWSESRLSREWRQRAFPHHEVLGSRVVDTTDHE PSWRNLVTLDNVSWLLDHLIQGAVTFPGAGFVAMVGEAVQQLHPDNDSYSVRNMTFIT PLLFHEGDQIEMVTSLSPVEVADRVPSGWYGFKIMAHDGERWTMHCQGQVRAGSDHPP ESFPISSYKRSVPSEGVYRVLQRSGIDYGSQFQGLEKITADPTGPRATATVLGNRGLP GSRYSLHPTAIDQCLQLMGVACSQGLLRHLTTIYVPAMIDSLFVGSGGPPMSASARTK RGTRDGQLGDAIAMLGDKVVLSIQGAYLFALENDNIDSGTGVAGSLAHLEWKSGIDFL PLSALLSTPLDYTAQAMNIKALGQISVLFVLETADKIEDVEPASPHSAKWKKFIIDAA FNIKTSGRQSIYPESPQWALLSSSDRQEMIRKVLAETKFTFDAPLVDCTQVVFDNCIA FTSGESNPLEVLVKDNLLHKFHGAHTQYADWKPFLPLLCHSNPGLRILEIGAGTGSAT AMALELLKSPSGERMYSQYVFTDISSGFMAAATERFGHEQGMEYKVLDITLDPLEQGF DAHSFDLIIASNVLHATPSLHPALCYVHLLLKPTGRFLLHEICPEILTANFTMGVFPG WWLGEDDGRSDQPYISPERWDRELRAAGFTGADVIAYDHKPPYHVSASMLSRPVDEIT IPNDIWLLKSNSTPCEWASDVELQVRDKGYITNWTTLHETPPQGKFVVSLLDLQGAPI GSLPKDDYEVFQRYIEQAQECQILWVTQSTSHTCSDPIFGFIHGLARTLRAELLLDIS ILEVPAWDTASAKAVVQVCEKIQRSRAQSLPDPEYEFALHEGEIKVGRYHWTPLVEQL ASPPRSDSSRKLALTTYGLLDTLHWAEKEASYELNEEHVEVEMDYVGLNFKDMMVAMG FFGNKEDLGLEGSGIVLRVGADVTDITVGDRVVLMHSGVLASNVVVPHEACIKLPQGL SMADAATMLTAYVTVLYSLLEIGALKKGQSVLIHSACGGVGLAALQVCQAVGTEIYAT VGNEKKVAHLMEHFGLPRERIFNSRNTSFQPDLMRATAGRGVDVVLNSLSGNLLHASW ECVAKFGRMVELGKRDFISHGMLNMSLFEANRAFFGVDLAQVCKETPKILKSTLATFS DWYRQGKIGPIKPVKVFDAIDIVSAFRYMQAGTHLGKILVRMPTSVESLPPPVVKTFP TFRSDAAYLLIGGLGGIGRSVSTWMVEQNARELIFLSRSAGKSEEDQAFIQELETQGC QVICVPGNVTNLNNVKEAVATCTRPLAGILQMAVDLNDRLFLQMSHEEWEAALAPKVA GTWNLHHATLKHSLDFFVVFGSIAGVCGNTGQANYAAATTFLEAFTRYRRQQGLPSSI LHLGVVGDVGAASRNSRFLQRIQSIGLHVLHEGEVIDALAAAINISPVSGSNATGAMA MGLAHTRRRADLPKEIFLGGRDARFSIYPNLESTSDGIGGDSSSHANALKALLAQIQA DPSLTGKKETEVALMKELGKFISHNLTGQTQEEGPDSADDTEALAGMPVDSLMAIEVR NWLRRSLGLEIPTVEINRAGTLGGLVKVVLKGLGEKYDQRAT PEX2_066650 MDSEWFRGQVVFLTGATGNLGGCLLYKLTIQLPTAKIFVLVRGS VQKAIKAWEQSMPEQLEEIIATCKVQFFLGDVTQPNLGLLPDNLSRLQNEATVVINAA GDIALSRDLAETIPINCTAHLTLAALLDSFTQLQRFLHISTTYVSSFLPDGVVKEQVY PLYEDPVEELGRILVTGKSSYTKQFAAPYALAKHLAECLLLSGGHKFPILIVRPCLIS PAIAEPYSLYGSDGAIPVHSFLQILLGDSEYGPLKLEQTLPSHAACNEIPVDLVARTC LAHVAEGTTGVVHASADLYVSWSIGELLERMRRHAPEGAVDQVRKAGIEHGEVTAPEF YTMIQQFARDWKIECMRSAHLKQVVGPLGLGLGDHDPEIFFKLRIERLAKTLLERLES AIVASNKVYPNIS PEX2_066660 MISKVLPPCLHPTLLQVYLAWVDGWLFVSNGHDTAPKQLISLGE LASLFDEENISRLMDHTCLMQVIHSLKQHLKDGTVTLGGRTPPACEETNLLSERYNPQ VECTCEDTQPTMQQQNNCHSGQHRCHAIQSMKEVMETVIERKDEWHSIGLFTPTKLRL AVDELVNSNTDIQQPPTTCQGTESSSIPRIQAPDRRPNPSVDSNPRIGNQLYPTAEQL KICADAKYFGVIACGGSLCDEGLARAVADSCNDILIGDYCEAADAEGLKLLQQVGAAA MSFLRLCNMAGRATDWQFDNLSAYIVQCRVLGYYRDHARSRLPDGIYGSRMTGLGVHR HIDVAAFHGVMTASLGTGQEVTEEQYSRIVEATVYINDFVDLRGDTMRKQRENVILRG IRGNLCTYLDEMIGKCLDSAADVIESSELGALVVMGYCNWAIMSAHHKVYELLKGIRR VQKQSACEYLSVSQTMRYERLLEALRPYGTLGNDGPRVSKKRIEMDKTYGLCQGSPER HIAWLADATRSLLEPTTLRQIVDVVHFEWSGDVGAVDYCP PEX2_066670 MASTTIFRFVALSAALGQATATFNLNTSGPSWAYTTKDLANTTS QTCKDAYSASINCDETLLKIVGSMDPDFDPQASDLQAMCTMTCSDSLTQYVKNVKAAC NKDGDLAGIASGNKYVYQAPVATVGEVFQYNYVKSCAKSGSDYCFLTYPASDDWAKTD FPCSDECAVKFFQNAHNQPGSAYSFNYFALGNQSSYWEETYAGGWETVVQCGDGGSDA SSVSTSASSTTSGIVDISSSTSSINNKAAVSTTSTPTAVTTTPVPARSTSEATSTTAT SGASRLRASFLFF PEX2_066680 MEEPVPPLRTESRMPDTFNSATPLIEEEAFLSPGTFSSADDYAA NPRFLELQEELRCVLFSAVASLEPATYTTPAPLEPIQGPQPRPPRQSLDFTRVLSIPK IRLIFYLKNWITECAPYLDKFDEARHFGVHIPILAQGSPALFYAILAFSARQTERKAC LDKAYDSLELYQESIRLLAPLLQAKDPNVLVTVCILAVMELMSVSPRDWRRHVEGCAA LFDSFNVNGLSGGHLQAVFWCYARMELCGAIISNGVESTVLPLEKWVPAMPQASSKEA QEDIIRDSFCEKGRASADMHANWAVYLCAKACDLSCRRTRFLELGEVSDDARPFSEQW SRLWEELQYWLEQRPPAMLPIKTTGMGSGQIFPEILFAHWAAISGNQLYHAASIMMLD MRPVERGPPPSKPHFSSIWHARRVCGISLTNPHSGNLINAIQPLYIAGKLLSHHSEHV EVAKLFKIIERTTGWGALWRLKDLERAWGYEPGEISGVI PEX2_066690 MTIRSSTEVESIPRVQLAKKSMWQSIFDNPKVLAIAFFASFGGF EYGYQQGVLGQSLVMTRFTENFPTVVASSTATGWLTSVLQLGGIVGSLAAGILGEVYS RKYTMFFACCWVILGSYLYIGATAGNPSLLYAGRFFTGLGVGLFSGVGPLYNAELAAP EMRGLLVSFYQFATILGIMISFWVGYGSNNIGGTGATQSDLAWRLPSIIQGIPAVALA CGIWFMPFSPRWLVKQGRDQEAQDTIAWMRKLTVDHELVQMEYLEIKAEAVFEERAFA KASPKLADKEKKSVFMNQIAQYTNCFRSMDNFKRVCIAWLVMFFQQWSGVDAIIYYAS NVFLSLGLTGGTIALLATGVTGVVFLISTIPGMLVIDRFGRKPMLLGGSIVMLVSMVI VGVIVAKFQHDWPHHVAAGWTAVALIWLYIAGFGATWGPVSWTLISEIFPLSIRAKGA SIGAFSNWINNFAIAFFVPPMLKSWEWGTYIFFAAFLACGIVWVWIFLPETKGASLED MDRVFNSNAGEQDAELLREAQRDVGLTSFLERMSGNGGDLEKNERSQYIEKV PEX2_066700 MPRNPTPAAKQRVRTGCLTCRKRRRKCDEQKPTCANCEAKGFAC KYSSDLAFVSQREGVVSGASRRAYSSITFVDGSPLAAATKEKSKAPQKPTDDSQSDGF SLDELHSSAGGPDGPDNLEEARHPFRFQATLLSAAPNVDFSEPPIPFVGERGERSAPG TRYMNSAPYFGYRSSTSNQAVESRGALTSGSRETDLLRHFRYHVGPWIDTGDPELPFG LQVLLLSRTNRALQAAVLGLSAGQRLLVASPNSMDLESSQQFRKEAEDSLALEYDLTR YAGHTLLMLQDALPAGPQQWRSLLIDKIEHISDFASRAVGEELGDALLWLYFRLDLAG SISSSKPPLMPFRSLLRRDGTLLHHPQSTRPQTVNSEYKHILCLLGHCLALIHGDRQI PTPHTVASPELAAFPSLRQSHSLSQWTFLWSDCQKWYNERPVNVQQIVDIRGGEADQI DPDHDSSFPILIYTTPMALVANAVYHMISLLLLTHKPRLLKSLPGPRSVTSHIWHAQS IAGIAASNDSPEQWDPILVASLLTIAKEMTHESQQAVLLKRFSRITATTGINLDRETE ALQAGWNLARYEEFDDEPDAMIS PEX2_066710 MTSPTSTPPSPKKPQITIIGSLNIDFVTATPRCPGPGETLTATS LTVSAGGKGGNQAVACGRSSFTSQTTQDVSVNMIGAVGAEDPYYTTLLQPALEKSGVD TTSIEQSTVAQTGSASIIVEEAIGGENRILVVPGANHAGLLSDVGNILAALKSYPSSP DIIVLQGEIPRTTTLGLLEYFNDVSAEDRAKVVFNPAPVFPEGIPLSALRGTAVLIMN ETEVVQMARSIEGLPIGDVVESDDLRPEELAPQFHERAGVEIVLITLGAKGVYYSTKT GRAGIVAGVKVAKVVDTTAAGDTFVGYFASAVARFLATGRSLAAFDDEVEGAVSKANA AAALCVQRRGAMQSIPFAYDME PEX2_066720 MADPLTVVGAVASTIQLIEFTAKVFDRLNNYIRHIDEVPETLRQ IKLHLPLFIETLQRVQTFIDFGYFNPRTSSVLKDFVEESFSQMVLLDDLLLKIIPDKG DSVIKKGRKAIFSLKEESSLKKIFENISGYIEKLLLFQSSVTSGHAMIHTQSLLKMHQ SHTAYGSLVPGSPIPTDARAMGYTSEPVMKLDGDARLKSISNYSKRGKDRRISYFLSL SRFGLLWALQVDLNISWGNKAVSILPGLHFQQLVKNTSPGLEIFMRCMMQKMDTESAS QALIGLFRRGVVSPHDVFPDGATWLESFANAGHEWGHRYPGTVGDSSLNSSAYTELSL GNADVDPFLLQFLAECSKNAYDEIERLVQDPRSLRGTNLLRQNPVHVAVLRPNALAII VRVFGDLDMPDLAGKTPLEYAAAYGSTESILILLKSGARPWELLSEAVAFFRNKKTFS ESFVQHQLKDLMLETMHTGDWNSNIIHIFLGLGVDPRIVSRDGDTLLYFADSAANVDA LFPTASEYINDTNNDGWTALMTSIGNRPLEVSKAIVHRGCNINHQDTMGLSALHIASE LITRSVKSVPNKPDYESIRSISTIFAVIAMLIAEDADPFSRDKCRCACSDGGCSPITL MLDRIPSIHKSHYLEPSGYLWVLEWLLTLSDLRGEAIARKALLDLIRFKEFQKAELTH VCISDHEPNFGDYFDGDEIDEIMDEEKELIWQLEETMEKWKQKIDYLPIEEAWLDMLN EFCPVNYKPPMVGPWWSSFVGTNYDKSEQAALPSFIISAPNPTGSSFYVDKISDVYRS HEFFPVDPSIAASPRAYIAWVESIYRYGASDDYPIEINYEWYEKRKYWANRQFEVLRD CGIFEIPQ PEX2_066730 MAIQSFKTLVIAVGGTFPNLKQADLKALIEKNGATFSTSVTDDC THLVTTEKDVEKQTTKYKQASQVANCHIVPLSWLLESDQAQKPLPESKYSFGQADQAD QADDDTQKTAYASASVPTDNKRTLRKRGVAAVSVENDADKTTVDTKKNGANGKAKSSE DKKPATKKRGADEDPVKNGSNKKKKDTQKTTTKAINIPIDEGFEQLGKLKDPKVYIDS KGLIYDATLSQTVAANNANKFYRVQLLVGANSKYFAWTRWGRVGEHGQSACLGTGDLA DALQHYEKKFKDKSGLRWANRLNTPKHGKYTFLERNYEEDDEEDQPVKKEKTIKEEDD TPMANSALSKGLQNLMSFIFNKQNVLDTLAAMSYDAHKLPLGKLSDRTLKSGFLVLKE ISELMITPSLAQAKHGEPYADAIETLSNRYFTLIPHVFGRHRPPTLNTNAQIKKEIDL LEALTDMDVANEIMVSSKEDDDTHPLDRQFQSLGMQEMTELDHKSSEFIELENYLQNS RGETHDMSYKVVNIFRIERQGENNRFNASPFANIPNSDRRLLWHGSRSTNFGGILSQG LRIAPPEAPVNGYMFGKGVYLADTSSKSANYCCHHNSRGMGLLLLCDAELGAPMLELE HSDYNAGAVAHNEGKIATLGKGSYIPGGWKDAGCLSPALQGVTMPNVNIGMDQIPQSG LYYNEYIVYDVAQIRQRYLFQVKMN PEX2_066740 MTTTQTFTLNHQQLGSLTGRLIDHQHADGQPVTEHVVHFRSVPY ATIPARFSQSVLLDHIPDHFDNRPHGDFTKYGAACPQVPQPTGRGSATGGLVPGEEPV TYDEQTCLNLTISAPVKNLLDETDPSLPKRPLPVLVYVHGGGFIEGKGHVSALHDTTK MTELAAHESMDVVIVSIGYRLSWQGFTACYDLLEEARENGEPAFNYGLRDQRTSFLWI KKHIAGFGGDLDKITAFGESAGAASLFMHACSDVPLFNRVIIQSGSPSVVGIWTLDEC DAYYHRLLSYLGIAGETRAERLKALREVPVSRLVDFIRDNNISTMKPYLGPESEFFSQ QPFWMNQGEILANCPWIDEIMIGDDSCEGFGLLLGLSEISASPYIAQVRTILGDESAA RVLDAYEIYEGMDEGLFWQNAIILMGDLILSEPTHSTAVSVARSGRKRLYRWQFGLPN PFHGSPFSYTTGHHFVELMYQFMTLAGRLPTHRNHFLRRQGEEMARSWIRFANGLPPM PGAKPYDLEDGSIMICDILHGWTVRTRAEDEAISQNDPWGPRRYRQWEVLSEEFKRAG RTEDWDRSENEKIEAARNGLLVFDIQQMRGD PEX2_066750 MELTFLEELADGASSLNVSGLTSSQSQSPASQISRRDAAVRDRS ASPRGERPSASSLQNLVEQGAELFIAPDVGPAAEDDEESRRFACDSHPVATLMEQNES RLKEGRSQKGDVGAWLDAEEDSIDQDETPGSSSQTALVTHIHPDWLPPKDCQEALVDI YFRRIHPLLPLFDEEKVRAQHRAGSLPPRLVQVICLVAAKDRGAVPFLCLGPNSNPIP LEKFSNILYADAMQNISRRAEKKVLAIQILALLSLHEWGSTGSEDCSLNLAQAIHHAQ TMGLHLLRPDQNSSTSSKGLFWCLWSLDRWNAAMNGRPLMIHDGDMSQGVDEVISTFQ SSFIVWLRIADKLGEVIRFYRPIMKGVDQSELDLPSFEDIVERCEAWDMSPDLLGTKF SVI PEX2_066760 MPPKKAVEAPTNNVVAFGRVKSNLKMGCVGLPNVGKSSLFNLMT EQSAAAENYPFCTIEPNEARCVVPDPRYDFLCKVWNPPSKYPAYLQVTDIAGLIKGAS EGAGLGNAFLSHIQAVDGMYHVVRAFDNDEVLHVDDSVDPVRDLDTIQSELCKKDLDI LAKAKIAEEQIVRKAGGKFKMLPLFDETTAKIKELLEQDKPVRDGEWTTPEIELINEK LRLITTKPVIYLINLTMKDYLRQKCKYLPKIAEWVKAHGGQGSDIIPFSVEFEEKLWS LRDDKPALEAFMADIKVQSRLGKITTEGFTKLGLQYYFTAGEKEIRCWPIKRGALAPQ AAGVIHGDFERGFIKAEVVAYQDFHDLCNGEKSMGPIKSAGKYRQEGKSYVVQDGDIV HFQFNVAPPKKK PEX2_066770 MPAGNHAFLFDIPLPSKIFNTATGANHQYHTYRVEAIIERRLKS DFMVSQPTLEGHSKENIQYRLSITDRNVPFGCTFPVECWFAPLLKYAKLDTVTIKVIE KQTARLEATAAESVRHNMQFITAAQTHTVFSKTIDFSQGDESPVDDLSEIEWRFTTPV SLPQSLDACSQSISTRHLKITHELSITAEFRDESGNVTAEITEIMPFKIHMTPNVIGE NASIHGQDIQLLQGSQSPPPAYRDCFADLIVSAATQLDCCEHPMLAEFNSARSSSEQT ASIHIMEPAPRYEEVV PEX2_066780 MGVHVISKLDNRQHASFNLEVPAQRELSDSSVRIRTQLISLSSN NLTYARMGGFLRWWDAYPVSEDYPAPYNDSSAWGIVPAWGYATVEETTIPELSKGTLL YGFWPTINTPTDLKLKRGSPDGHWVEISDHRQQLMPLYNRYRVTPTSTPILDLTTAHN PLSDSAQDELDRMAWTALFIGGVAGFVLSEYVFSSNSTQPPIHPLGSQAGLQWTLSDG DLSSAVVVNLAASTKTARVFSYYLSRKPDSSAPLGLLHVTSAVSRIEEADRSLPTSVP SKVVAYGDVDSKGSAEWLASRKPSKIVIIDFGGRANALKDTLSLIKNHAELQSCKVVI VQVGNEQKVYSTEELVVGRAAMEELGKVQYNTSGVQDTILESVGPEAYFATRDAEWEK WLDERHLSEPGLQIVFGSGVSGVEGGWDRLCRGQVGAEEGLVYKMQ PEX2_066790 MASPAPGHRQALPSPPPAYLRSSPPFTPSQSPRTIPNRPHSLIL NRKPVAPASQSETSLVIQNSPRSPVKIAYGADIPDASSARIEGAYSGPTTPQLDLPEF AGMSLDSPVQPPQQSWNPADPPRRDLTQAPHYTHSHPYGHTPASSGSWSVVEPHKDEQ HGNPKKSGSSLEREGQSYDSSRGSLDSVAQASDSYEPLTYHHQQQPAGVPTKKSAPNA GDDPSASTDKLAVRRSRLSRPLSTYSSASDGGHRRNLSASPYLHAQSSPRNSSGTPEN RSSSFFDLLNTSYPQPGPTAQFDNSRLQASVGNNASLLSHKETFEMYLANVKKTDEPT VLYEFAVFMVNSMREMPVVDLEDTSPITRARLLRESKSILQRLADRSYPFAQYYLGDG YASGLFSKGAEDHDRAFPLFLAASKHGHVEACYRTALCYEFGWGCRVDGSRAVQFYRQ AASKNHPGAMMRMANACIAGDMGLGKRYREGVKWLKRATESADTQYNSGPYELGVMHE RGFGEDVFPDATYAAQLFTKSAELGHVEACYRLGDAYEHGKLNCPRDPALSIHFYTSA AQNGHPVAMMALCAWYLVGAEPVLEKDENEAYEWALRAANLGLAKAEYAVGYFTEMGI GCRRDPLESNVWYVKAADQGDERAKHRIATIRAAAEGSHPGVAGRNGATRNGGRLKKA ERKDKSPSTGQEPEVEKPAKQKRFVIF PEX2_066800 MSLPQRPGKPSPRREEGRAFREPSQRRRRDIDSGAYSDDPTSPS HRHRRRHSSHRRAMDTDEERMDRSGDVRHKKRMVKPERRKDDREQPNYHYRQKSNHMP TFPSTTGNDPLLDNRDGEAETNSSRSMDMKSKDGLYGARGNVNKPMERVPSRHRSKKK KRGSRRSSKGAADEQKRQKAIEQSGPPELWPTYCVVLTFFIPDFVLKCFGMPEKEQRT AWREKIGLISIIVMIGAFVGFLTFGFTATVCSSPPVRLKVNEVSSNYMIFHGRAYDLA GSKHPAVTGIPSGSNVIYDLPHKYGGQDGSFFFQQVNGACKGLITAQPDSDIPTNSNG DLGWYFPCAPFNQDGSSSPNLTTAYYTGWACHTSKAAREPFYSLRSDGDVYYTWEDTK NKSRNLAVYSGNVLDLDLLAWFDRTQVSYPKQFDELRKNPDVRGVDLTYYLQSGEEKK IGKCLAQIIKVGSIDTDTVGCIASKVVLYVSLIFILSIVGVKFAFALLFQWFLAARFA AQTTSMSSDARTRNQQIEDWSNDIYQPAPRVAETIIPDRLSKRASFLPTTSRFSSPYN VNSSTGSKQKPMYVTMASQNSTTRLMPSSNPGTMYKLSHSGSGGTLSADNSRHNPAAS RTSLVPPAQGQQDPGYATLSTDQDGLGPAGFIHEAVVPQPPPEWQPFGYPLAHSLCLI TCYSEGEEGIRSTIDSIAMTDYPNSHKTILVICDGVIKGKGEEFSTPDIVLGMLKDPV VPKDEVQPYSYVAVATGSKRHNMAKVYTGFYDYGDTSIIPAEKQQRVPMMLIVKCGTP QEATQAKPGNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNGLWNVTGIAPDFYELV LMVDADTKVFPDSLTHMVSAMVKDPEIMGLCGETKIANKTDSWVTMIQVFEYFISHHQ AKSFESVFGGVTCLPGCFTMYRIKAPKGGQNYWVPILANPDIVEHYSENVVDTLHKKN LLLLGEDRYLTTLMLKTFPKRKQIFVPQAVCKTVVPDSFLVLLSQRRRWINSTVHNLF ELVLVRDLCGTFCFSMQFVIFIELIGTLVLPAAISFTIYVVIISIVRRPVQIIPLVLL ALVLGLPGVLIVVTAHKFVYVLWMFIYLLSLPVWNFILPMYAFWKFDDFSWGDTRKTA GEQDKGHGDGEGEFDSTKITMKRWRDFEKERRLRSSWSQPHASTDPYPSQHETYSHY PEX2_066810 MNPSPHHSGTGLSSQEDNATALNPTLDSHTFSTDRFAAPTNMTS QIDHRLHDVGVAALAAVSQYPRAVDDAHRDSFMVSEVVNAVTSAGSPPDYRHLDSSGS LLPGHRGHFQQSGMGGVPSAGPAFVFGANPSGNVDMETIVRNLIHRTAGRQNIAIAPA SDIEDAQKDLVRDYESATMEDNPSPSSTSDDELEDFLRFYPDEEEYYYQRKERPTHEA EMPDCDLDDFYNSISYDDIDVDLGQTMGVSATERLENFADDQMEFAEPHPATLVHTST YERNFTIDEFIQRWMVSINVIPRGFHSESRIPPQLRPLSKMISWKPPQEVVRPSGWRR DFYDLQQIPWTETLRVTRSDARAVRDAWYTSYHNLDYSHISHAKHLPQHETFFRESSM HNSHKASIEHFQLRNLMSTPASNTVHFASNSKVFSWTPTTGDARCIIDMSQPDPESGF LGPVKISTMKTAHGLTIAGGFCGEYALRSTSGSDPGIKGLVTPDFNDGITNHVDIIPN RTGPSPRGVFASNDKHLRILDTETNIFIYDQELSQPINCTATSPDSRLRVVIGDSPDA WVIESDTGRPVHPLRGHRDFGFACAWSPDMRHIATSNQDKTVIIWDARTWRPLETIES DVAGYRSLRFSPVGGGPRTLLCTEPADRISVVDAQLFRSRQVHDFFGEIGGADYAPDG AEIWVANTDRHFGGFMQYERRQWGQRVGLTDLPCEWVTEDELALDSRCVLSKRERGLR FLRNLSDEEHDELIL PEX2_066820 MSYRDNQEYGSGGGGGASNSYYNSNEDFSGRNNNNNNNNSYGGS SGGYGNSNEGRSNEGYGNQNQGQGYGGRQESHGSGGYGNNNNNNNNDSYGGSSGGYGN SSNDGYNPNQNQNQGNYGGRQESHNSGGYGGNNNNNNSSGYGNNSSSNHHSSHNNNDD DDFSSAASHAQENHSSEDKSLFSTALNFLKDRQSSGGDIDEQQAANAHQAMYGSGSSS NQQHDSSTIGAGAAMQALKMFTGGNSGSSGSSDGGMDKNKLIGLAMAQAGKLFDEKNG SGGNVSGDKQSAVNSAAEMALKMYMKNQGGGSGGAGGLMSLASKFL PEX2_066830 MLLESASALLHGNYISAIATSIFLVLVSQAYYQIFINPLGKYAG PKFAAISRIPQLYHTFKGDLLEWTSGLHSLHGDVIRIAPDELSYATGEAWKGIYGHAT AGKPVTEKDPRFYGPSFNGAPDIIRGNGPDHARFRRNFSHAFSDRALREQQSLICRYV DTLVHSLQKTIKQDPNAKINMVRMLNLTTFDIMGDLTFGDSLNLLAGTGNLNWVSAVF VSIKANAVRRLARYVPWTSPIAQRLIPKELKQQSIAHYEASQELVDKRIDGDHTLQKP DIWGIVMSQKEELRLSRSEMYANSQVFMVAGTETTATALSGLLYHLLTTPEKMKIIVE EVRETFKKDSDIDMRSLERMPYLNACIEEGLRIYPPVPVGLPRIAPNEGLLVCGEHIP GKTVLSVHHWSTYRNAQNFRLPNQFIPERWIGDEFATDNKAAFQPFSFGPRNCIGKNL AYHEMRLILAKVLYNFELSLLPESIGWEKQKTFFLWEKNDLMVQLKARK PEX2_066840 MIRGLNSIYLQAEHVQKPQDIEDFLFFIKSWASWVSDHHILEET QMFPGFEKVIGTAGFLDTNVEQHHAFQPQLKILQEYSSHTGHADYDAKTIRRTIEEMA PSFHRHLSDEFNSLLSMEPYNEAALLKVYKNCVAEATKQDKVYCDLQTLEIERDY PEX2_066850 MSALPVITCGSANPQIFNAVKPLYLPEYEIIHNVISSNLGAVEI PALLQGQIPPIAEEPNRGTMNYSKLPVAVFAGALYSDEEIDEMRQASQGFSSIPWLKM NMSVPKPPLGPGYAEHVVERIKTCMKKIEAEGKLEQDGLWLY PEX2_066860 MGQSRRPVGGEKKSRFGRSKAVADVGDGRQAGGKPQVRKAAFES SKKKEIGVSDLTLLSKISNEAINDNLKLRFEHDEIYTYIGHVLVSVNPFRDLGIYTDN VLDSYRGKNRLEVPPHVFGVAESAYYNMKSYKDNQCVIISGESGAGKTEAAKRIMQYI ASVSGGGDSSIQQTKEMVLATNPLLESFGNAKTLRNNNSSRFGKYLELEFNANGEPVG ANITNYLLEKSRVVGQIANERNFHIFYQLTKGAPQKYRDSFGLQQPQSYLYTSRSKCF DVPGIDDVAEFKDTLDAMRVIGMSDAEQDNVFRMLAAILWIGNVQFSEDDSGNATISD QSVVDFVAYLLEVDSAQVNKALTIRLMETARGGRRGSVYEVPLNRVQASAVRDALAKG IYFNLFDWIVERVNQSLTARGSITNSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQI FIQLTLKAEQDEYAREQIKWTPIEYFDNKVVCSLIEDKRPPGVFAALNDACATAHADS GAADQTFVGRLNFLSQNPNFEGRQGQFIIKHYAGDVSYAVEGMTDKNKDQLLKDLLNL AQSSSNEFVHSLFPDQVNQDDKRRPPTAGDKIKASANDLVATLMKAQPSYIRTIKPND NKAPREYNHGNVLHQIKYLGLQENVRIRRAGFAYRQTFDKFTERFYLLSPKTSYAGDY TWTGDVESGAKQILKDTRIPTEEYQMGITKVFVKTPETLFALEAMRDKYWHNMAIRIQ RAWRNYLRYRIECAIRIQRFWRRMTGGLEIIKVRDQGHQVLQGRKERRRMSLLGSRRF LGDYLGVGNTGGPGEMIRNGAGISGSDEVIFSCRAELLVSKFGRSSKPVPRILVLTSR HVYIVAQSIENNQLVISAERTVPVGAIKSVSTSNLKDDWFSLVIGAQEPDPLINCIFK TEFFTHLTTVLRGSLTLKVGETIEYHKKPGKLATVKAVKDPAAGNVDSYKSSTIHTSG GEPPNSVSKPTPRAKAVAARPVTKGKLLRPGGPGGGPSKLSQAARRPVPAAQPLPRST PQPVAVPQPAAQPRIVPQPRIVPQPVAAAAAAHSRNESYSSVRSSGSARGPPPPPPAA PPASRKPSAKVKYDYNSDRANELSILKGEIVEIVSKEGNGWWLCKNTTTSAQGWTPES YLEEVAAAPAPKPIPPPPPMAPRATPSPIPNGAGAAAAAKTKPAPPAPPAKRPNMTGR KPAPPPAPRDSVASMQSGDSSGASGRGTPNSASNASLAGGLAEALRARQSAMQGKHDD DDEW PEX2_066870 MSTPPPTLGLRGEYNPELRKRDEWARLATTLTALGTMKEASPGP PKTDFSSLWGARDADFSFELIYQNRTLSTFDVLHQIHSIKLNALLLTPSRLNVTTRHQ TATAIQNFTEANKNSLGVIVYLMDAISSGNEQIDAVQSLGALHHCLSLGFAEPPPVLV VSDASYLLDCIGAYMKSVSRANPIACSCACAYIISAFEVFVFNPGQKVKLQVQAFQKT GRFSEKEYLRFQAFEPGESQHDIMNEHWTFS PEX2_066880 MSLTLKLSSLAIRTLSKPIASQIKAQAREHERFRKVCVSMAQAL HRFDMRLRLGSIRDTAASQRQAAAAAEARKHKPTAPTVRNAADTKAAEDAEAKAKAAA EEAAKPHHYRIRPLSESKAIESGANFISESFLFLVAGGLILFESWRSRNKESTRREGV EGRLADLEQSEQAAREALVTLEKELLQLRAKHGDLPKLSTKRILSPEIYEQSPEPDMP EVPQGLLSRISSYISFGQSTEKTTESTPNTQPTTEKAAPTTQSSDHSAAPASRTSSST SKSL PEX2_066890 MAGVFKDQSSTKTGSQYIVHNVQDVEDQHFTPHSGPSSASIVDD GQIVTQYERQSLIRGLGQRHIQMIAIAGAIGTGLFLGLGGSIATGGPLGALLGYLFVG LIVCCIQFALGEVSALLPVTGSFVRHAEILVDPALAFAVGWNVVYGCFISVPSEISAS VVLIQYWTDINAAVWVTILIVVSVVVAVSLISVYGEIEFIFAILKILLVIFVVILGLV IDLGGVPGVPRRGFHYWKDPGPFVEYIATGSLGRFLGFWAVMTNAVYSFAGVESLATT AGETKNPRQNIPKACKRVFARVSIFYVATVLVVGMLVSSADERLGSDSGTAATSPFVI AAGDAGIKAIPSVVNAVCLTSAWSASNQSILTGTRTLYGLAVKGHAPRIFLRTTKWGV PYMCVLLQVAFSFLAYMCVSNNAMNVFWWFVDLTAAGTLVSWITISLNHIRLLQALDK QGISPTELPWHNRITRYTSWFALVSCVVILLTGGFVVFTAGNWDPASFVSSYLDIPLV LLAYGGYKLIRRTEIIPLKLVPVQQAIDEANNDPENVPIKKDSIWQKMNILWG PEX2_066900 MEALSPRTTNQMIKPKLAMDRKAFEKNAVLPSNKQKLATSKSYA DPPPSIVSEPEDGGERYSIGAFLGKGGFAVCYEGALARNGRVYAMKVVKSAMPQKKME EKFRTELQIHSKMRHPFIVQFYRAFAFESSTYVVLELCPNGSVMDMFRKRRCFSLPEV RRYMIQLCAAVKYLHKRFVAHRDLKMGNLFLDHNMNIKVGDFGLAAMILSDKDAKRRN TLCGTPNYIAPEVLDKSKGGHTQKVDIWSVGVICFAMLAGHLPFQSKTQDEVYKKVQN LNYVWPKESESSNYIPEEAKDLVSRCLNLVDEERPDPDDIVEHPFFNMYDGCIPRQLD PSCRFNKPLWLKDASPQGDSVVSGYGLDSDEKLRAYVYQVDDPAQRYHSCKAAFYSLC GVGRKPDGTARKSVGRNCSKSAFSECDVEDSRGLRPIIPLSPDYVYRWPHDVEGDWCL LESSRKVIRSEESMLNSSTMSQRSVSIRPNPMAASRTNAALAAAQQRRMEGQSHAATL RQQARVPPISPRRGPGISDPAVLSSRPYRDIPQAEPKPLSAAEVPTGGLAERPIRTRR MVSESQATTLRSKEKDIAPQLAKSTSMPSGLTVGKTRSQSRRLAAADQELMQPSISTR ERQPSARPEERNVITRQTSLRSASRADLKASVGRGERQRGSPVEESARSSLAQSKSSA ESNGLGRPDSNGIARSNSKTASGRARSSLGLSPLFHTEDAYKLLPRTSLTDVNTDLRL MLTNLVNHAPSRRRGGVRKQPHAYVIKWVDYTNRYGIGYVLDDGSVGCVFKGENGQPA TSVVIRDGERHIRRKARSVVDGKQQPIYYSEADQLVPRTGNPVEFYENRDDDLLGCRG IRRALIPPSLFDVKSSKAMRVRSSTGTEVERADAEKIKRMKLVDQFGKYMIGALGRHG DEGIMDEDLPEHNSAQFVKFYQRLGNVGIWGFGDGAFQFNFPDHTKLVISPGRTRSSS PWIDFYHLSSSAARFFAAKGKMHPAGFDTRAVASDEAATFLSIANGDSINSTEDRIRD ILDANAFIQKIAFIKDTLRVWIKFGRLGGRPPSIESASADESLPDEAFWQGTQERSQP NSPGTKFVWVTVGAPDGDGHYRSMMLKDSDREPNRAPAGMEYDKEMDRLKDRLRALGR PEX2_066910 MADADFPFYRPGRSEHDDNMSRASTVIKRKKKVDEEKFALGRRG ILVFFTLSVLTLMAALDGTSLSVALPAQEIAKELNGTAIEAFWSGTSFLLCSTVFQPS FASFSNIFGRRPMIIISLIFFCVGAIISAIANNFTYMLVGRSIQGVGGGGLISLSEVI ITDLVPLRWRGQYFGILSAMWSLGSVTGPILGGGFSENVSWRWIFYINFPFIGLGGIL VILFLNLKLAPSSLVEKLRRIDYFGTVLFVGSMSSFLIPLSWGGISYDWSSWHTLVPL CVGGVGLIVFGFYETYFATDPIVPPMIFKNRTAVASFIGSVLQGLVLWCALYYLPLYY EAVKEYSPIISGIALFPETFTVAPSGMVAGILITVTGSYRWAIWIGWAFSTVGLGLMC LIKVDTSMVGWIFLNIVPGIGLGILFPSLGYAVQASAEPENLAIAVAMFSFFRALGQA IGVAVGGVVFQNRMFTNISRYSALAPMAEAYSKDAAGLVQVIKAMAEGADKANLKEAY TDSLRTVWIVCCGVSAVAMVISFLTEHYDLDRALETNQGLRDDAESINSLKDVEMRAD QRVVAEPRPWAW PEX2_066920 MTKLFITGVTGYIGGDTLATLVANHPEFSYSALIRTSEKAKQVK DKYPFVRIVIGDLDDSALLERESAAADIVLHTADASDHVGAAKAIIAGLVAGHTKENP GYLLHTGGTGILTWEDSDKDEYGNKSEHVYNDWDGVDELLNLPDHAFHRNVDQLVLGA GTQHADVLKTALVCPPTIYGKGRGPVNQHSRQVYVLANMTLRRQKGPIIGAGQSISNH VHVQDLSDVYVLLIEAAIAGRTDDGLWGHRAYYLTENGEHCWGELAQATADAATKLGY LPEAKTEALDPKSAKEHAGYESLSWGMNSRGRSQRAREILGWNPSRPSLVDELPEIVR VEWQKLQDA PEX2_066930 MAMTLFQYFAVVAALTFVSTATTPNNNDGTFTNPSAQVRPFFRY WLPDAGVDPAVVASDIRSAAEVGAGGIEFLAYYGYGGEIGGTPPGSDWVTYGFGSASF QHILQVALEAAKENSMLVDIALGPNQGQGVPASSDDEGIQWDLVPFVQRVSVNQTTER IPGWGEGQLVACVVASADRKTNVSMVGGPGSLSATPSQDSYIRLVLDERSLQDMTQNV SSDGILTIPSRLSSHELYLFSFYQKRTLHKALEVKSNRTDTILANGSFVVDHFSKEGA RTVINYWNDHIFTEDIRQLLVDVGNYGWEDSMEFQSNISWTPKLPDAFYHKFGYNLGK FLPLVMFGQNNIMAQPGNPGLVKAIFKNSETTNKYINDYRSALADGYGSYLTTLREWL NQDLGLQYSTQVSYNLPLDALTNIPLVDAPECESLQWKSNIDGYRQFAGAAYLAGKKV VSNEMGAVQSRAYSLTIPELLQSVNKAFAGGVNRVVLHGQPYSGDYYGTTWPGSTPFQ YVFADMYSPKQPSWMHGFNEAMGYISRAQFILQQGIPKFDVAFINKDSATDPNWATKY GRDDLLDAGRQYLQLYTTPSLTNIPPGYIYTYLSPDNLELPEASVHDGVFASQGPGIK TIIVTQDANITVSAIHRLKDYAAKGLPVILSGGSAQYYPTSSDGGVEAFRAGLQELAD LRVVRTIRSADIAKTLASHGISPRIRVQTNGTWHTTWREEKEFQVDYAFIFCDGGGAQ GKIRVTTDKFPYLLNAWTGERQPLVQYKRRAGDVVIPLTLKANQTTILAFSAQPWDDI YTPSIHIEAAPSSVLGYHVDHKGGVVAQLAHTINETAHEFLLSDNSTRTVPSTSAVQC PFQIRNWTLTAERWEAPENMQNASTIASIRNTTHHIPSLLSWTEIPDLVNASGIGYYS SQFAWHHDYQNQIGAYITFSRILHAVQVFVNGEKIAPIDPTAGTADIGAYLRDGENEL LVVVPTTMWNYLRSIFPKITESGAPPLLSRLAALIGLPDIVPNGLTTFSHNTVETIPK GTWQEKFHAFFRWMCTPLGACITVYGLNVVAWGGMLFLLMCNAAPAMCHPSCDSLDSS RRIWIEIDSQILNALFCVTGFGLAPWRIRDLYQWTFWRLGWSEGSRRKGLDRLAQIHK NWFLKSQQPSVLPIKAPSHDPETEQTTPTPLWKMDIVVWGNILNTVFQICLAVCMWAW NRFERPSWTTGLFVGLACVVAGVPGILMWLEKKRLKKASEHPGALLLIPRATQPILSG GVGGEYTSVKLES PEX2_066940 MGSSSKMGSSKTTGPGKDQAEESAPPPYSLVADGGLVAESGNVQ GDGRINVNLESRIARTLAKIIDLQQEDIHNPPPDYINLSKQVTSCEFKLNIVIQIVGS RGDVQPFIALGNALQKHGHRVRIATHDVFADFVLESGLEFYSIGGDPAELMAFMVKNP GLIPQMKTLREGEVQRKQAMVATMLDGCWRSCIQDDPITKQPFVADAIIANPPSFAHV HCAQALSIPVHLMFTMPWSSTKAFPHPLANLNSSSMDPRTANWVSYGVVEWLTWQGLG DVINRWRVSIDLEPIPATEGPGLAEALKIPYTYCWSPALVPKPQDWPPHIDVCGFFFR DPPSYSPPSELREFLQEGPPPVYIGFGSIVVDNPQKLIDTVVQAVVRAGVRAIISKGW SGLVGSANPNIYYIDDCPHEWLFQHVAAVVHHGGAGTTSCGLRNGRPTAIVPFFGDQP FWGNMVARAGAGPKPIPFAFLNFQNLTAAIQFCLTPEATEAARKLAVKMQTESGVTAA VESFHRNLPLDKMKCNLMPLQVAVWKYKKGKNNVTLSNAAVQILIEYQRIDEKRLQFH LVNPIIIEHRRWDPITGIFAAATTTGSSMLGSTADMIYNPYKEYKRGRSPNPSARALQ APVLPGSPLSREPSSTRLSAGDEGKQNSRAPSVGPGSETELDERRNGLSVAGSMVGAT MKGFGKFTGSYFKGVVVDIPHAAAEGFRQVPRLYGEKPKDYGAIQDWNSGAIVGGRNF VDGMTDGFTGLFTQPVNGAKEEGALGAVKGFAKGTIGLATKVPSAGIGLVAYPFQGIT KSIEAAFRSQGRKTIVSARLKSGYYETNRMQMTDEERREILLSFDRFLQSSDT PEX2_066950 MTRQFTNDANVQKTVHSDFDVEHILALLTVDEKIALISGTDPWH TAPIRRLGIPSIRLTDGPNGVRGTRFFNGVPAACFPCGTGLAATWDTKLVQKGGKLQG KEAIAKGASVILGPTTNMQRSPLGGRGFESFSEDPVLAGNMSAATIAGIQSTGVAATL KHFVCNDQEHERQSVDAVVSEQALREIYLMPFQIAQRDAKPMCYMTSYNKVNGTHASE SKELIKGILRKEWGFDGMVMSDWFGVYSIAESIQAGLDLEMPGPSYMRGKLVKQALGC GRLLPFELDQCVREVLKLVKKVLPLGIPENAEELTADTPETSSLLRSLASSSLVLLKN DHDILPFKKNRTTAVIGPNANFAAYCGGGSAALKPYYAISPLDGLRAQVPDVQYSLGV PGWKKMPLLSRLTKASNGLEGFDMKVYLEPPSKEDREIVDSLYVNTGDIFLADYKHPK IESNLFYLELEGTLTPEETSDYEFSLSVSGTGKVFVDGQCVVDNETVQTPGDSFFGSG TIEEIGTIKLDKGKTYRIKITFGTLPTRTFNVAGATSFGAGGLRAGGVPTIEIETEIQ KAVSLAKSVDQVILCAGLNNDWESEGYDRSTMDLPPGTDKLIAAVVAANPNTAVIIQS GTPVTMPWLNDVSSLVQAWYGGNETGNAIADVVFGAVNPSGKLPLSFPRRNEDNPAFL NFRSERGSTKYGEGVFIGYRFYEKCKKEVAFPFGHGLSYTTFKLSSISLQQTEEEILV TVDVQNTGNIDGAEVVQVYVSQQTPSINRPLKELKGFEKVFLRAQQTATVTVKILTKY ATSFWDEHRNAWVQETGRYSAQVGTSSAENPLSADFEVGQTTWWNGL PEX2_066960 MFVQALVCCEGFHIPLPPSDPRHDENHTLQESIYWTCFKSELEL RLELGVGNTTCLDLTYPALFPSPPKDLETQGETVWYFYLAEIALRRLGNRVLNYVYNC KPFGKSVDVATIREFEGQAHGLLNSLPALLKPDTPSAEAQDKDHEHSALRFILSGHAI DCFEMIYWPFIFDAIHEGLPHDPELVAFVRKGLHMYGSRIESNEAGFYYRHHARRGLV TLLPPNWRDGVGRVMQMLKYWSSEAKDIASQLEILEDLMRDVPED PEX2_066970 MKRIDITTTKYPLQVLADFDVGSANNVYQQMWTTSIRTLSNCMH DCYEDCPFYEQLQYAMDTRSSALFTYCCAGDDRLARQAIIQLHNSYSPEVGLTASRAP AHQLQLIPHFSLFWICMISDHFGYFNDAKFVRQFLPVCDGVLDSFARRIDSTLGLIHL SDSSEQWDFVDWADSWKPLGIPPAAVRTGFASFTNMLYAYTLKRISEVLLSVGRPGVA EEYQLRADATLLALKVHCFDGHLFTDGLASDADCDNDYSQHGQVWAVLSGAATGDLAY DIISECTAVSGSKSFTATSTAMSFYTLRAISMVGGSLYDERFHKFWGSWKEQLSNGLT TWLEDTVSQRSDCHAWGSSPIYEFTTEVAGVRMGTAGWKTMTFHPRVGLFPTLDVKVP FGLPGSSGIAHVHWESEGSTIKVSLSLKMNTGDSASIPIRVVFPDGHEEMIDGAENIE LTLNAN PEX2_066980 MAIWIWHPEWTEHSKDTAGAFVHFRKTITLTERISTPVNIHITA DTKYKLYINSEFVSVGPVKGDRHLWFYDELDIQPYLRLGVNTINVRVLRLYHATTHAT SFPRLHVPGLLIRNARLDHELAINIQTDESWETALDESTTLPTDILEDAFLHVYEKVG QCLSQPKWIPAKPLVFPTSHGLSAPWKLSPRLIPFPQYESAALKAIYNIESSQSRVEW ENALLGPDNTNSEGICLPAGSRHHLELEVENHMTALLYFRFKWPEHTGSTMQVTYSEC YEDLPDTVPWIRNKGDRCDTSKNLVGPKDEYIIAGIADKSFRSTLNYNPNTSDEDVFA PXDEYIIPGITDKNPRNALSYNPNSSDEDVFAPXF PEX2_066990 MFVIARGVLGCGTVFLGASGAPLITEIAHPAHRATATAMFNTTY SLGAIVAAWSTFGTFRIDGSAAWRIPSALQGLPSLVQLLGLYFVPESPRWLVSKDRSN EALEILSKYHAEGDQADPLVLFEFNEIQEALAYERSLGKNTWIESYLQFTRSGGNRKR LFMLFWSACFAQMSGNAFISYYLSPVLSSVGLTSSLEQTLINATQQMLSWFSSLYFAT LPNKLGRRVLFLGSGASIFLCLVGITTGSAIFAQDASNKAAGGAVVAFLYLFSPCYNF GLNGNLGLYIAEILPYHLRMRGQALFQLFSTCFTLLATYAFPIGLQNITWKFYIIFIP WVAIEFIVVYFIYPETKGYSLEEIAVIFDKERAAGLRNDLCADSKEVDVEHSEVVSK PEX2_067000 MSSHDDQIRPTRNLEAQSAFASTLVNDVEKAELSKISEVSDIQH KSLFGLVMETPKIHPGSDAVFAAKAQLLNQALLDMGMGLYQWMLFLMTGVGWFLDSFW IMSFLVIAPSAGNEAQFFFTGDNTDYLFIALFVGLTVGGTAWPMMSDTLGRKWMFTST IVLMGMGGLVGAGMPSFTGLCVVGCVVGFAAAGNQAVDVMILLESLPASHQYLVALQG VFWGLGQLVAAAVGWAFIALYTCGTGPDEVSTSQSMSSNKRALGHSSSSSSCHYVSNK GWRYVWWTFGCMTLFLYLCRFALNLHETPKFLLSRRRDAEATQLVKDIALYNKRQTWL TEASFARVDSTIDASEAELRTKSRSQALVSSLNIYGAVCLVLLWSVTGLTFVLHQTYI GNYLAAHGVAQVSATTVSRSYLYSRYLYIALCAIPGPLVAAILVEVKGLGRKRTGAGI AILTGLFMLLATVARSRNSALAFECIVGFLRSAGIATLTLYTVEVVPTTVRGYSLGVM GFFWGFQTGAQFGWHNVGKQALTSLPHDQKFIAVNMNYRLGMLGFMNHPDLPSANAGL LDQRMAMRWVKQNIAAFGGDPDNITIISQSGGGWAIAAQLGLYDGQTNGTFQRAIARS IQREPMFTTKELMLRNTVLSEQLNCTSADQLACFRSVSVPDLVDIFQTMSIANGTEGI FADKVFGYQASLGPTIDSLTLTDSVTRLFKNGKTANVPTIAGCVSDEGYDGWINAYQM NPTPKNTTQLDPSTNRITNLTDAEVYEVASFHPVSAGYGAVTPDNFFLDVFKSYWMAL GFFGEVGIFGSERMMDRWLSAAHGSKHIWTFRFNAPHKSISYYQTSAVDIANTENS PEX2_067010 MSLEVHPASPTDAPELTQVFYASFCSDLDKAMFPNTPDVTEWWE KYLRDEITRSIAGETSEVFFKVTEGSEGGPIVAFAKWKLPVPAADRDRHEEQIVWPAS SDKELCDLFFYGMEGRHEKWMGERPHYYLDMLGVHSSHQGKGLGSKLLKWGLTRADAE GVEIYLSASPAGRPLYQKYGFREVDTFSPYPDYVQVAMIRSPNGL PEX2_067020 MGDAPLPTEHVLVIFYPTMPAELKEVIRQKFPDAEVTIYEVQTG VPVPPEVYQRATVLATFTDLPDLKDSKNLKIIHTFSAGVDHLLQKPILQDTDIPITTS SGIHGPPIAEWTVMNWLVSSRRYVKGYEAQKAHSWDKSAYTPSLHDQVGKKVGILGYG SIGRQIARVSQALGMTVHAYTASPRSTPESRRDNGYIVPGTGDPEGSIPASWHHGTDR ESLRSFLATGLDHLVVSLPLTPETTRLLGAEEFAILSDSSHHHTSKPYVTNISRGKVI DQKALVDALNSGVLSGAALDVTDPEPLPKDDPLWEASNVQISPHVSALGVEYFPRSLD ILALNVERIARGEPLINTYKRGKGY PEX2_067030 MPIEALPQKTIRAIGSTSVISDPYSVVKELVDNALDASATSLQI EISQNTVDIIQLKDNGHGISPEDHQHVCKRTFTSKIRTLDDLKNVGGSSLGFRGEALA SVAEMSGVLAVTTRVQFEVAGLCLKYGRNGELTGSQRTSHPVGTTVRITDFLKHIPVR RQTALKGATKNLTRIKKLLQAYAIAQPSKRLSLKVLKAKNENNNWTYAPSADASLSDA ALKVAGTDVSASCIMKRLSSQNTAGNNRGSSDQKEHEAIAFLPKTQFDTSKINNAGQY ISVDGRPLSSSRGIGHEIAKIFKPYVRAAASKNQSPKSVSDPFLCLQIRCPRGTYDVN IEPTKDDLLFEDRDLVLALVENLFRDQYGEINGTETRIPNQGKEDAYKSDGGLGGFEL LMARKPATELSTQPSNPDNPFNEAVTHTPLSQKPLRSEYDISPVVPSSINGPESPDES ASARNKRSSFVNPWSISRINASFQIPRGGSNSPNQTSPINLSSGSVQGSIRRESESRG FQHSPKSDLTSSLTSRIASGSPVRRRRHHPQESTESSPDTNRVSSARRAERERDRERY GNGALDTWFQRTTQVSLQQSPIEDVTTQEPDSLLSLLARQRFESPTNTSPNVVHIDGQ SDGCSGSPSITSIPPETANDGPSLPEEQGDYIPESMDSGRGFPVLERWAAQLHEGVSH EEPSDLEKALDFERRKKEAIHNSRMRFKTNDKLPSSQSAPVLYSPHRSRYLSAKAALT SSQTSIGEPVSATTLSPNDPRAYLLRQERDRSTDGPSMNEGKARRLPTNRLPFERIPD GSNLHDLGLICSVDLSTGSNSLKCNVPEDLYTESDNQPTAFSASDLETCLPFWNERLM LIMKKQYKNKDESKPLSIQIDLATIISQHVQTAQND PEX2_067040 MRFLFYATVGMSYLETLLWQRASFVWKNSHLPSYLGPTLGQLIH LFILLVVNSLTVFGLFVLLVRSLWSIGSNTTTIETWEIERHATLVRRARVLGGYLEGP GGIRVHIKKQEYPYDIGIWANIKQSMGGSANFISWFWPLAATPDRQTGWAFETNDFED PGVSWPPPDPDRIPIPASARPSRNISMPTYATIQDEIDAFNRRKQEDMKRFQKSPGLQ RRKPFHDRYKKDDSAQSDSDESETDSEGDSEGEEAWRNAEGERLRDFGVDEEAEFYDE EDIPLGVLIERRRQQQSAN PEX2_067050 MASNTEGPKDHWSAEAYSASASFVHSAKIVGKLLTSLDPKPTDK VLDVGCGDGKFTANFLPAIESVLGIDSSASMIESANKDYGSAKASFRVVDCRYLEKET SIVNGSWDKVISNAALHWILRDESTRMSTLRGIHGCLKPGGTYVFEMGGHGNVAEVKT ALIYALVQQGIPIEKARETSPWFFPSDTWMRNALEEIGFKVENVELEYRPTKLTTDAK GGLEGWVRLMGAQFLDVLPEEKRDDAVRQICGVLQDVVTRGEDGSQWLGYVRLRGIAK KI PEX2_067060 MTEAPAQTSRPRRPSGAILRNTRPRDNNNLGSHEALELQEIQTQ EDNELNYSTPSASSGDEYRVVTRRTTSRALASRAAAQQRQQARKGIWGKLTRIWTHNV TLTVPHKSSRDYFALERTFLAYIRTSLVVSQQGVLIAQLFRLQAAEALADRLGFRQVG TPLSVACHCLAIIVALVGAYRFWRQQNAISRGKVYAGGWELNSVGILLGCITLTVLIV SIAIIVEIDIDPSIFLRRILGGHFQPHNHSK PEX2_067070 MESAAETVRRRPSLRGSIRSEDWPEEVNISLSPHRERRHISGER RNTGVTVLSDGRLDIKLDEHIPQLAGLLNRIQTTTAPPDLEELAGEPTPEPIPKDEGK DFPLKMNIVIQVIGSRGDIQPFLALGKELKAYGHRVRLATHLIFRDFVLEGGLEFFNI GGDPEELMAFMVQNPSLLPAFSTIRSGAIQKRRREMKEIIYGCWRSCVETGDGTDMHQ IKEDLWGDTVDYRRRPFVADAIIANPPSLGHIHCAQRLGIPLHMMFTMPWSATQSFPH PLAVIHQQDCKPTVANLVSYTVVDMMIWEGLGDLVNSWRKKCLALDPLDSITAPNLPG RLGVPFSYLWSPALLPKPRDWAEHIDICGFSVLPAKSDYKPLQEIDDFLKAGPPPIYV GFGSIVVENQVKLTQIVLEAIKNTGQRAIISKGWGNLGVDDINVPDNILIVGSVPHDW LFQRVSCVIHHGGAGTTAAGLSLGRPTIIVPFFGDQQFWGGIVAVAGAGPMPVPYKQL TVEKLTDAIQTALEPSTQAKAQEIADKMRKESGVKDGVRSFHRHLKLKTLQCAICPNR PAVWHIKQTSIGLSAFAASVLVETGAIKPENVVLNRASEYDTYRNPTGPVSAGAQVLV GAIANFVTGIGEVPYEIVSDFVTAGRAIGHTRSQSHPSSRFSWRKSRRSQDSDLDSEE EQYHESAEYHGPAECRGVGRPNGDNQDGISLDTNDDENDNVRHNKMNHGLPISATGRK EGMPINEGQLHEQILSYLESPEGHGVVYEIRTHGSRMSKKFLKTILWLPTDVTLSFSK GFHNAPMLYHDPMVKETPKVTGFRSGLAAARTELQDGFYYGITGLVTQPRYGAKHEGA KGMFKGIGKGIGGVILKPPAGLWGLFGYPLVGIRRKLQKSLGRTQELSIMASRIAQGL EDMRASTTDERAEVSRKWHLLEEEIRPSY PEX2_067080 MCHGGKIILSHAAFAVQPNCTNLVSDISGRNMAFTYNKLLTSHE KGKGFSMFLAPQ PEX2_067090 MFGYDQGVVSITLTMDQFLERFPEVGPNAPGAGFQKGILTAMIE LGAFIGAMNQGWIADKISRKWSIMVAVIIFLFGSALQTGAMSFEMLVGARFVGGIGVG MLAMVAPLYISEIAPPEIRGTLLVLQELSIVTAIVIAFYITYGTRYIPSEWSWRLPFL IQMIPAVFLGVGIPFLPYSPRWLAGRGRDEEALQVLCKLRGVDATDERVIREWVEIRS EVAYCKEVSIVRHPKCQDGSYTSRAMLHVWSYLDCFRKGCWKRTHVGIGLMFFQQFGG VNALIYYSPSLFAGMGLNYEMQLHMSGVINICQMLACFWSLWGMDKFGRRPLLFGGAG CMVIAHLIIAVLMSQYQSNWPAHSTEGWVCVAFLCFFMLTYGATWGPIPWAMPAEIFP SSLRAKGMAYSTMSNWLNNFIIGLITPPLVQNTGYGTYAFFCVFCALSFVWTWLFVPE TNGKTLEEMDSVFGDNTSSVETEQRARIEATIWAHAAGRSDGLEGTHQIT PEX2_067100 MSSVFEASPTFSIESDRLQISYFLPDSPEHCLFLVELWNSEEFM KTCGRTGIDTAEKASKFLRNRVHADYARNNYGMFLVSLKPHENASLAESKPIGSVSLM RGEPPNAYLAPDIGYAFLPKETGKGYATEAALALLDYAKRELGVDSVFGFCGKDDPHS ARVLEKIGLEFRGQKKLKVFGGRESAVWALPIMSQDLSVYGLDD PEX2_067110 MKLPSILSSTMSRDYTLADVYDEKHRHVEDAQSTSIETPLGGTI IRPFVSKRLCRAYLILLPIVFGLGIVLGCTSLSFFKRPEFVPGLRLRTPIPKEIFQQR LKIPFVPDNRYYGSGDAVDKAWKEITSGGDSIWLQNPSDYGLAKGISDPLNIDNTDER FYVLSNLHQLHCVNVVRRRFRHYESQISQPVNESEAITAGWTEHTDHCFEYLRLSITC GDFLVMEPASPPGTAPELTAGGLGWGVVHECIDFDALRKWQAFKRHESEKLLS PEX2_067120 MRFIAAQTVLAVALAAVASSLAINTEAVVNPEAPEPAWVWSSKR EEHANPKAPEPAWVWSSKREEHANSEAPEPAWVWSSKREEHANSEAPEPAWVWSSKRE EHANSEAPEPAWVWSSKREEHANSESPEPAWVWSSKREAEENADHDVAKPIWVPSTC PEX2_067130 MWSLKLRDSDSKYREINNNDGEDTSLPVSEDHRHWNLLLYIVIS ILATGWTTTTILYWKSSAIAPTFNGKFQLGTFTPIPEDVFKPVRKVFQPDERYIGNSR EVDHHWDDLVAGHDAVWIEDPDRWSLPEGIVAPFDHPHETDPPQHDFYVVSILHQLHC LNMVRFQFWQARDGVDLDTEHDAVKWNLHVLHCFEYLRQAISCGGDLIIEGSSPIKVG EGHATSVTGWGVEHDCIDFELLRQFQIEQERKYNLTWQHDFHG PEX2_067140 MWVTGIFGRKSEQCHCDTESWTTKFEEDLQYRSRVTFQPHSYFG GPPSNKTDEMWKRLSPPGDGIVELPIAAAKNLPESLPAPNNPETMLVYGVSVFHQLHC LNFLRFAYYPSSLQDMDEEEVEFHRDHCLDYIRQVLMCHADPTFEPMSEVGINGMGAI HQCRDYDKIFSWAYEHRSNKVHGSGYTGGRLTHTPGDLNDFEKGPHAGHGH PEX2_067150 MIASTKKPYFGLTGGWLTFWLTVACATDMMLFGYDQGVFSGVVV TPDFLEVHDLVGPTKTKVLSTVAAIYDIGCFFGAILAFTLGERLGRKKAILLGTVIIA VGTVLQASSYSLPQIFIGRIVLGLGNGINTATAPIWQTETAQAKWRGKLVILDLGLNV GGYCIVNWINYGLSFRGGAIAWRMPIALQLTFVAVLLVTIPWLPESPRWLMTQAREDE AMEVLACIEATSINDPVIITQRDEIKFSITYEQDNSVRWRDLLRRGKADNTKTLRRLL LGAGTQAMQQLQVLMNSVGLSDKMARLLTACNATSYFIFSCAAASIVERVGRRGLMML SGFGQFISFLVITILLYLAENNKVYATASVAFFFLYHIAFGMGMLGVPWLYPTEINSL PMRTKGVAVSTATNWITNFAIVEITPIGIQSIGWKFWIVWTVLNAVFLPIIYFIYPET ANRKLEDIDAYYRTNPPLIVVGDADAISTKRPLQFVYHEDEEVQKNAKDIAAGAVEYE EHVN PEX2_067160 MNGDRRLRRNQVACDACHTRRVRCDLVSSLPCSHCLRNDVDCKI TRKLRKRGRIARSKLANANRTHGVDAPPSPTEQRQTSENCSLASQSTVSSMDPTIPTS VTQSSSPGTNEIDALLTLCMEDCRSMPDDLQLQAGLGRDVTEECLPTAHLLNSLPQLS PEDNEPPLPLQEIWKGADMAPDTPSQGHHPPTLSQPPTNYHLPDNEPILRLSTKYPVL STVMPVLEKGLPPQLVFHLLELYFTSPFPNILHRSSFLRDEFRVTSPALLTSMLWMAA IDHRASLSIPPSQHRKICQFLGDLTRALLQSSDDASPKNQEPSLAGLHCSSMAVHPVM ENDVQLDQVITYIHIASVVSTEQEAAGMRWWKTAFSLARELKLNQEAEIVPDFDKQIN GLAQSFADGLSNLPKDPNFSHSNGTQSSLNSAFEQIHDSFEIHDQSYHVSTEEHNEER RRIWWLLYIIDRHLALSHNRPPEILDSECGNLLLPLDETLWQGGSVHSNSLHSQGLRC FHPAKQNELQVFPGFRCRDSSIFGFFLPLMTITGEVMGLNNLRLEDNEACKVTETEVL SHLEVYQTSLSAFMASSTVSAEVSNRPDMQLVQDHGWQTQTVVAYSSYLVQVLHTSLV GKWDWQFLLEDKEFWTSPAFTSTISHSLDAAFWLRQILQLDPDISFIPYLFGIQLIQG SFPLLLIVERLQDKSEEDILNACEIMMQATDSCLVTRNTYYQRTFRQLIRSAVSQAWG RPVSAGEIRRRRKAVFDLWLWIRRKTNWSTVR PEX2_067170 MSQIIFKAPTVLSRPYQIRSPCTRFEKLTSHPTSIRPSRDEIKH ERLSHQNLEVAVRSLHQDGLVVIENVIPHDCLDRLNKKMIEDAYSLQSRKGDSPFNYN PGNIQQDAPPVREYFEPSIFLNPIAIQITSTALGPRPKWTFCSGNTAMPPTAENPPTS QPVHSDADFNHPIHPFAYVINVPLTTMTPDNGSTEVWLGTHTDAGLHVQEGLHGERAS GRIKLDELEKRRLDRPPCQPVVPKGSIVVRDLRLWHAGVGNQSKEPRVMLAMIHFAPW YRNPMKLELADDLKPLIEGQTELEVPVDWVSKPKALSRYLNRGFRNAYDFNQHP PEX2_067180 MGSLSYILPQLVSSELFVTEGGIETTLIYRKNIDMPGFSTLPLL GTEEGRKTILSIYQDYVNIALAHSTGIVLETRTWRGSPVWSSTIGLSVAQIVDLNRTA VKILRDLRHMTETPSTPIVISGAMGPLQDAYKDSTDMYSFSQAREYYGVQIRAFAEEG VDMLCIMTVTNLDEATAAVRVAREYNLPIHVSFSIETDGQLRGGRTLEDAIREVDRLT ENYTTYFGVNCAHPRHIMIALQGMSDDVRSRIGSIRGNSSLKCHDELDNSVVLDRGDI SVWVQEFNGLLNILPGLKVVGGCCGTDEEHVDAIARRIHSFN PEX2_067190 MTSFTPQPLDASKLTYAYTTDPRDVPDEATALKSYETICTDHMI IVRWKKDIGWSTPELKPYGPLSLFPTASCLHYAHECFEGLKAYRGDDGNLRLFRPDCN GVRLQMSAKRISLPPAPSEELKNLIIALLHVDAAKWLPKHRAGDFLYIRPTIIGSNSQ LGMQAPTEALLYIIACYMPRMDMVAGGKRLLTSPQDTVRSWVGGFGYAKVGANYGPSV IATEDATSRGFHQVLWLYGAEGECTEAGGSNFFLIWRRRDGRKEMITAPLDDHLILDG ITRRSCIELAKERLGDRLEITERKFTIGEVLEASTENRILESFAAGTAWFITPISHIR HRDHDINIPMGQGNEPGEVTGKMKGWLGDIMYGRTEHEWATIVSEKE PEX2_067200 MPLGGSVTYGSESTDGNGYRKILQDILTSDGYIVDMVGSRKSGS MTNNENEGWRGFRIEQVTNKAKKSVLRFLPNLFTVNVGSNDCVQDFEIETAGKRMSDM LEYLWMTSSGSRVVLSTLLRNLDGKIESRVLRINKQFQEMAKVKAAEGRKIIVVDMHG PDGPHISDLADGTHPNDVGYAKMASIWHRGIHEAIHKDLIEEPLGSYGLQGYCI PEX2_067210 MGFALKRPDDAVGSAAPAIMIGLFVAFGGVLFGYDTGTISGILA MTKWREMFSTGFINEKDHLPDVTSSQSSMIVSLLSAGTFFGALGAAPIADKFGRRLGM IMESFVFVFGVILQTASTSIPLFVAGRFFAGFGVGLLSATIPLYQSETAPKWIRGTIV GAYQLAITIGLLLAAIVNNSTKDRDDTGCYRIPIAIQFAWAIILVGGMLVLPETPRFL IKQDRYEEATKALARLRRMDVDDPAVLAELAEIQANHEFEMRLGKASYLEIVRGSLGK RLATGCAVQGLQQLAGVNFIFYYGTTFFQNSGISNSFVITLITSIINVVSTFPGLYMV EKWGRRPLLMFGAVGMCVSQFIVAIVGTAIDSDVSNKVLIAFVCIYIFFFASSWGPVA WVVTGELFPLKARAKCLSITTATNWLLNWAIAYATPYMVNSGPGNANLGSKVFFIWGG FCFICGIFVYTCIYETKGLSLEQVDELYAKVPRAWNSVGWVPSVNYTEQLEYDAGEKK AEAATHLESVEAKAEA PEX2_067220 MTFAYPRSTGASSLPSFNQHQPSRSQNILSRVWESIPPAAKQWL PGSVSRGLDTNRESEGIKTTAVKIVKATFTIPNAIILLWIFSIRWGERTVFQDSINQC LWDSWEEWPQGATPHHIAFIADPQLVDPHTYPGRPWPVSTLTVKYTDQYMRRSFSLIQ DHLEPDSVLFLGDLFDGGREWATATSSSPEPRWKKYKDSFWKKEFGRFIKIFLDPWEK LVKPPVDGRGRRMIASLPGNHDLGFGNGIQEPVRNRFESFFGNPNRVDVIGNHTFVSV DTPSLSAMDQPDPLTGSSPTASDENRSMRPIWKDADDFLDKMAIHKAKAETDELRMLQ NQTEGHHIFDYRIVDAVEPVIHQKPEPTGVGFPTIILTHVPLYRKPATPCGPLRERYP PASLDEELAEDEPNSLRIAGGYQYQNVLTQTISTELVSKAGPNVVQVYSGDDHDYCEV SHREFNGSPNEITVKSVSWAMGVRHPGFVLTSLWNPIDPKTGKAIQDGSPPTIQNHLC ILPDQLGIFFYYLTILALSLSILLLRSIYRILYIPESSPSGAILPLSERRFPPHHQYH TSGASSSTLSPAGGLASRNGMAASTRYPGTKSPQEGYRGTDDEFGAVASKDKAAWRPA SATRSRSTVSLIVRDLYHSVKFVAQVVLTVYVIVIWRW PEX2_067230 MGLSKTNRILILLVIDTAFFLLELITGYAVHSLALVADSFHMLN DVLSLCVGLWAVKVANRETTSNTYTYGWQRAETLGALVNGVFLVALCMSIFLEATQRL FEPQEVQNPRFVCIVGCFGLASNIIGLALFHDHSHGPGGGHDHGHDHEEHDHDHDIEA GDHDHDHDHTHIADESESTPGATAGFGGPNSLTHSTTEPSRKRRDTQTRGPRRYSTST GRGFVSPDDIPVLPERLRQGIIAASQYRNEHSSDSENGHDEDEIPSERSGLLSHRDRT TNYTDEEGAPVKVHDHRDEDVHKSHNHAQPKPKDQKKGHNHDLNMRGVFLHVMGDALG NIGVIVSALIIWLTDYEWRYYVDPGISLVITLIILASAIPLCKAASRILLQAVPPGMS IDHIKEDIERLPGVIGSHHLHVWQLSDTKIVASIHLQVDTEIKGEGSERYMRLARQVR RCLHAYGIHSSTIQPEFAPESDTEDNGQASSSRDTDDHVPSRAGSVREGDPQACLLEC DTNCARGGQCCPKK PEX2_067240 MKFSAQILLPFFLALAPSASATSPSDLQAQIGHHVIFSYPGLEP PTHLLDLISEGKVGGIILFGENVGDNLTTTIDNFQETYKQSPYYSGSPLFIMTDQEGG KVRRLAGGPVESAKQIGQAADPEAAATQMGKDAASTLETFKNNVNLAPVLDVYREAGD FADASQRSFSNSSRVVATCGSAFISAQQSAGVIASAKHFPGLGAAAAGENTDLQPVTI DLTLEELRKVDMAPYTKAITAGVDMVMTSWAIYPALDPIYPSGLSKTIIQDELRGRLR FKGVTITDAIEAGALQAFGDQAARGLLAAQAGVDILLAAKRDVTQGEDIYNALLTALE DGSLDKDAFSTATQRILEVRKRLV PEX2_067250 MVGRDGTDEITALHSEEAQAYMQKYAIGRVHGKWENFLPPIQGG IFRTLVTKDDDKPEYFSSSGQSTPPSLVFDTEETTDELRLRRMGNDTPISQASLSEPE PKPEPEQFKYTEASTAHAISSNLSKYPRLDQMSQHEVISKYRQLDEKLRSEGLYQCPY SSYAVEFIRYSTLFFLFLTCLHYSYYALSSLFLGLLWHLLSFLVHDAGHLSITHGFHT DSCIGIFVADFIGGLSVGWWKRNHNVHHIATNSPEHDPDIQHMPFFAISPRFFGSLSS TYYDRVMPFDAAAQWFIKRQHYLYYPILLLGRFNLYRLAWSYLLDPKQSPRKGSAWWH RYLEITGQVFFWYWYGYRTLYLSIPMWSSRIAFLFISHMVTAPLHVQLTLSHFAMSSA DGGVHESFAQKMVRTTMDVDCPPWLDFIHGGLNFQVVHHLFPRLPRHNLRRAQKYVKE FCDDVGMPYVIFTFTRGNKEVIGRLGEVAEQLRVMEECRKVAAKDLIEGHHSH PEX2_067260 MSTYQNLDDAICLLALISDCPTGREESTQETEAREDDKELQSYA NDEKFDDLEDPTMLGTDPGHEQYRVELKNQFLDRLAETLARFKTDPKSKTSNDAKHVS AAMMVCYEYEERVKIFCAKNEGLEKEDDDFLASWKLCMESIARKGYASDEDTFAMFNL VADHQWPRITTYLKSLKRVFQSNINSASVKSMPKLSLLAQQVSIMPSLRSREWEEDNG FLFAIPLHYSAPANRSAPADELTNAKSNTTCTGETLSNIQGSVSELFEKIRRLCQPNT TSEDETSLLKEVMSHMFDLWREPRARFMLKTALRREFIQQKEREALLFLGRVCYAAYI YVEIAKVSRSFQSIEIVSVSHTESQGRGRTQRRHKKTQRHTAGDEYANILAVIKALGL TFNPSWKFYLAKNAARFPGLRKSKGDKEFHHAETQLLAYFEYSMSPDDRNQSHKYIGC SRRCCWLCYALLRAHEYFGVRGTHETLLYRWNVPIPSTTGSGSLPTQLDLAMERLLME LKVSLQTLFNSPGRKNVDLKAQSSHALSSTGAIWQREPEYHNTSYRGFQHLMMMPTAF DNGLLIAPIHDKPGLYQSGSFDIPNHDGQYLYQGWAIQELENVFSIETLLRDFNNIPN IGDPEWVRFGFCYCTSRTQMELLAKAYIQLTAHASLSEIATAWKSNTLLDIMKAKGIG ISALVSEGIYPRQPSPETIGIYRFMSEVSHGLSGCPSCSCKNARCKFHSKDEPLLCKQ SEVDYGFHSINTYERWRLLNFYSDLFANPKFNPQKMQEATRHPDVHALETYIESLIPE FRRITWNEHMTDGMFPKINSRLKFQGGYPSCECFIHKIPVSEGVECNVNIEIDWLRAQ YEERSREQITQS PEX2_067270 MGAPDTPGPSDEAIQHDLDQARVNQETVDLERLGRERPKCFTGA WSEISFCLSIFMSQILAEYYISGSNVLLPTLVKELDLPEASTIWPSTALSLVVTSTLL IFGRLTDMFGGYLIYSGGAIWLTISSILCGVSQTWLMLIICRALQGFALAAFLPSGIM VLGSTYRPGPRKNIVFSIYGACAALGFFVGIFFSGLSGEYLTWRWYFFFGAILSAITA ALSLFSIPRDYSEKRKLGIQMDWPGACLFIPAAVLIVFAIADSSYAPQGWRTPYIPLF FVLGLILLGVMIYVEGWVVKNPLLPGDVFRVKYMLPLVIALLFLYGTLGIFLLYAVLY MSDIMGASPMQIVAWAVPMAVGGLILSVGGGMIFHKVPGTILMLISCLGYVGSGLFFA VIPIGGNYWAFVFPAMICGTVGIDISFNLANVFITTNLPKSRQGLAGALINCTLHMGI AVMLGFADIVQTQTSELGTRDSYKAVFWYQTGLAILGMLIVLFFVRIREAKSEFTLDE REAMAAEGNERAIEV PEX2_067280 MPSYGPPSALRTVQYYIFIFASFFLSLCFLAVIFVGALIHTAGT AISETRLRWSGHNPDTRRSFYTEEQARRKARASADRRWALRQQKRDVDEEQGPEECQE CPSLEGGKDPVVGDVAYYARRVGLDVETFRVQTEDGFIITLWHVYNPREYEALSPEER RERGPEVFTKPRMPNASRPHSNRRYPVLLVHGLLQSAGAFCTNDEDSLAFYLCKSGYD VWLGNNRCGSHPEHTTLSTDDPRMWSWDIRHLGTLDLAALTSRVLYETGFEKLGLVCH SQGTTQTFVALAKEHRPELGEYISVFCALAPAAYAGPLVSRPYFRFMSLLSPAMFRVV FGIHSFIPSMMTARRLLPPRIYGTLAYWVFSFLFDWSDTRWERDLRHRMFQFAPVYVS AESMRWWLGSDGFAKHKCILSTDDELFQETNASPHMRDPVSNHGDNPGDACLGTPAPS PWYGPRTPPFAFWIGGSDALVDGRRLLNRFQSGREPHVSLVHSKIIEEYEHLDVLWAM DAIDQVGREVSQVIWTTVPDDARQICRTPRGLNIDMVDRG PEX2_067290 MSAAMGPLLQQLQALPARSRQGLNNLRQRIFQQGERQPKEANLL RTSLGVHRPVWITAGGGLYTSIAAVYLTMRYLKRAAR PEX2_067300 MSRTTEDTPLLLESTADELGSPNDEQHNPIVERHQQRCAKTIFI LTWSSALLAVFMLVLNLIIIFISLTLFGYIRPWAPEGTLIALFLTLLAAGLISFLNLA RLYLWNRPIWLWLNLILDLAIAVFSIGSGVSQLGLDGSGWDSDVPLWFIRTAQVLSWL AVVFALIHLALFLVRCFLSFSYRPWREYRKWTLPRWRFNIEFKVKVIRLSDNADVLPD VATYGTLIHAEVAAQRV PEX2_067310 MKLQLLLTLLPAVLPLVHGAPARRDTPNPGLRGSESLVGYSPDN KGGSDSQSDIKYTLLPGQKEDPKIGSYLDFEKDDNPQPIRGPTGSDDPGPRNYYYDRI NSDKLAPPGTDHGQTINAQWPMGNLSFPIKNMANTYIFPKRLGIDGAGWARQENKNVM PDATLMAGVDMRLAPAGYRELHWHVAAEWSLVLNGSCRIQAVNENGETFVDDLTAGDV WFFPPGVPHSIQALDDGVEFLLVFDNGDFSEDNTFLATEVFLHTPREVLSKNFGVDIA AFDKIPDDELYIFKGTPAPKDIQKQNVTTSAGLVPRTESYSYHLSEQPAHEVAGGSVK IVDPLTFPIASNFSAAVVTVHPGGMREIHWHPTSDEWTFFISGQGRATLFTAPNAATT FDFTGGDVGYFPKSNSHYIENTGDEDLVFVEVLQAPEFSDMALGQWIASTPKQIVADT LNLSEDMLNNLKTEKQYVVAGTSS PEX2_067320 MDVNAQFMNDTVERRRLQNRLAQRKFREKRKRRVVDNEVLETSQ DPSQSTSTANNTGDFPINSLPPFTNALSTLPGALSPIEIPIASTETGLADNFNLDAID QFLYETSHDFSYSFSDPSPVQSNLVGTNFTQSKSSDPASRNIPPNSTRIDHSRSLSRS GDNNSLPLHRRTSDSNIRPGSPGPQPPQSHNEDRLITSARDDEGWLSTLHIAAQKGHE RIVRVLLLRGNMDANNQDSDGRTPLTHATIENHESVVRLLLSNGARIAVYDCDGRSAL HWAVLHRRLEILQQLLEHRAKYERNLDIDVYDNSGWTPLHMSVDRAFEAGILMLLQEG ADINAKAHKCPYTGKILPLMDRPR PEX2_067330 MAPTIVLITGANRGIGKGILELYLQKPNHTVIAANRDPSHPTST ALADLPKAEGTTLEVIKLDALSPTDPATAVKALAAKGITHIDILIANAGIALSWPKVS EVKVEEIQKHIDVNVYGFIHLYQAFRPVLKEAHTPKNFLPLQNGAYASSKVALHWYTK AISVEDPWLNAFPLDPGWVQTELGNRGADSFGIEKAAITVEESVVGVVKVIDASTLQT HSGKLFKYDGNEEPW PEX2_067340 MSVDVEVNDAKALSIRTSAKPAALKVGYVTAYWGAKLKKAKLTR YSNAQVRRLQDKTKVLAQAPQGFHVILACRSLEKGTQALDELKQEGIATGNVSTVHLD VTDETSVRAAANTVQERFGQLDVLVNNAGVASRHPDIKTRLQLCMDTNVIGPAVVSAA FRSLLLKSPNPYSIYVSSGVGSLALASDPTSKVFRGPSNGEAYRASKSALNMIMIQDW VESRDTPLKVFAVCPGFVRSNLRRTSEEERSGWGNASDPSISGNTILGILEGRRDAEV GRLIHKDGSFPW PEX2_067350 MPPSSAETDRTVYDHETRNMVATEPGAIEGHLNEKAPTTASSSS EKLQSSDPATDMEKANPAGNDASVAVPNLVDWDGPDDPANPRNWSKTFKMANVLLVSL SVLYCNLATTMFSPGAKLMKSEFGFKSDTVEILTITIASLGFAVGQFFVPPLSEVFGR VPVYRVSSVFYLGFTAGCARSTNVAEFLVFRLCTGLSAASYMSTGGGTVADLLPKEER GVAMALFTAGPLLGPVLGPIVGGFVTENLSWRWTFYLILMLAGAVTAASFIFMRETSA VIILKDKATRLRKETGNPNLRAKGDKQTPISRLIAHAITRPATFLVLSPVLFLISLYI AFNFGVTMLLFATFPTVFETYYGWSVGVSGLAYIGVGIGCAIGVLVFANLSDRLLHAE NGNFRAERRLILMMWVSPLFPIGLFIYGWTVQYRVHWVVPIIGTAICGPGAVVISSSA QTYIIDIFGPQTAASAMGALTILRNLTGAFLPLAAPSLYAHLHMGWGNSVLAFITISF IPIPFLFYWKGEWLREKFPVKVS PEX2_067360 MRLHSHGTNYVGSFHWAAVLDSISELRDHYEEEEEARLLAANDH VLHESPGPRLLYEPVQTTKADLLAAIPARSAVDRMVARYFNAQGVVPEILHSGHFLRE YEKFWQEPNAASIAWIGLLFSVMSLSTRYQQSIEGSEDPETPVRVHMFQENVIHCLVL SQWTRGGDYVLETLINYLTSELFLSKDSEIGLWLVQGMIVQLALSLGYHRDPQNFSSI STFAGEMRRRVWAVIVQIDLRLSSQMALPRLLKLQQYDTAEPRNLFDTDFDEDSTELP ESRPETEVTPVLYSLARTRIDQMNGLVSDLVNDIREHPYMEIIDLDQKLQEAETSLSP IFRWQPLSQSIMVLPQIIMHRVLLQLAIQRVTIWLHRKYLTPSYNPAQFEYSRKACIK SAMRIIEFQQIVDEETQRDGLLYPVRWMFTSSRLKAVFLLGISILCYYVQLTKTHSDV SLGEETDNSINDLLQNVYPLWLRLSALSPEARRVVQLLHPLLEMDGEENDQTPLATPN LVSPFIPVSGDMMSLDQPAWGLYEGKHKPLCQEESPTFLLSSILTNIESVNLFPLDFM KNFSSMPTFPNSSDFRYSDGLMSSSSTAVVSMADLLLTNTTEFDQWMNVSFH PEX2_067370 MPPPPPPPKSVLGRHRLLAPSASVRVSPLSLGGMSLGDNWKGMM GECTKEQAFELLDTYYDLGGNFIDTANMYHFGQSEEWIGEWLQNTGRRSEIVLATKYT LSPIFGKVVQQSNYGGTGTKSMRVAVDDSLKRLKTEYIDLYYVHAWDFATSIPELMQS LNTLVQQGKVLYLGISDTPAWVVTKANAYAREHGLRPFSVYQGRYSAIMRDLERDIIP MARDEGMAIHPWGVLGSGYIRSPDAVPKEGGRQTPHVKTGREEQVSAALDTVAKRYEV PITSVALAYVLQKAPYIFPIVGGNKVSHLKSNIEALSLELSTEDVAEIDKGYDFDLGF PHNFLNLAGFMTQGPQHVSFLSGLGHFDYVEAQTAIKPRK PEX2_067380 MSGLRPFPRKTAVAIAVVGVVGLCAARRASLRNEAFAESPKACG GPEGHRGRGGPGGPGGPGFGPGGRMGFHTLRLESFEQVNDNTKRLRFQLPDPASNAGL SLASFILTFHKPKDAWFPVIRPYTPINNFDEPGYIELLVKKYPNGRASGYLHSLQPGD TLNIRGPMPGYRLKTNEFEHVNLIAGGAGITPMYQLIQGMLNNPDDKSKIKLIFGVNT DKDLVMKRELDAFENKFSDRLKVVYRVSNPVEDSPFTKGRVDKELLETELLGPKDSKT TKVFLCGPPAMEASIFGSKGWTYSQKGVLEELGYSKDRIHKF PEX2_067390 MAPLCSNQTPLRLAREAATLSKLQGEQWEEKKAFVLNEGKIEIK TFSEQVRVNNTPRVDTQLSDDGSVQRTLMLCVPPVSSAMGHNSTKI PEX2_067400 MNWTRLEISLTGSEWKAMMQPDSLKTPNKNVEIAIFGVLEDAFL VGDCLSSHNLFLQDPGFAYDTLYKNPHKFPFTDFSDGDSDSDISEADDSETLPIHQAS GKLSKSSLQYVLDNLHQHEYLHPVEPDEHLAISLFPHQKEAIDFMIRRETGQSTSSIS LCKTQTDNNGEKYYRHAITGDSVLEPPVGPFGGILADTMGLGKTVTTLSTIVSTLNHA KEWTEKQTNDGSEKRRAKATLIVLPNEVLMDQWLNEIRNKFVPGTFAACRYHGASRKI QISTFMDYDIVLTTYGTIKVDFSEKKNLIFRTEFFRIVLDEEEMFSTKFRAFLRAILG HIPQEKGLVFSAWTKSLDIAAVMLRQHNIGFARVDGSMSAPQRQQAFKSFKTSKDVNI LLMTIGTGAVGLNLSIATQVHILEPSWNPMVEQQAIGRVVRLGQQSPVVITRYIMKGT VEESVVSRQDFKLKVAMNGFERSSSDESSDDIGTESDAS PEX2_067410 MSKTMATGGLFSFQRVLESFKSQLGPSLAAEFEMTSLADLKRSI AKIERKQATERRLQNMGRLSNFVEIMDNYGKVIEIFLNVTDVLAFVWGPMKFLLQVAS SVSEAFNELLTTYKIIGESLPLLEKCEGLLDGNPCVQQALESMFHDILEFHRTALTYF RKPMWKQLFQATWSTYKMKFGPIVDSLKRHKQLFGDRVTFTQLEEIRSIGLKTSEDLE KQRKSEEMSQLREVQCWLNGADVVTDQDTLTRARSGNPQAGTWLLRHELFRSWKERNK NPILWVNGIPGAGKTVLASIMIDDCLKIQSKCTIWFYFKNGDMQKDSFLSFACSVISQ LLEKNTDLLPYVHGEMCRKGKRALSSESLAKELLELMIRNSGEVCIVVDGLDECSKVE RRKVIEWMRLVIEPSQHSTSDYIQCVFISQRDGITAKALRDVPSLQINTKNTHNDIFA FVSSRGLDIKNKFHLSDETMQSIVQLVMEKAGGMFLLAKLVMNNLFSQISPVALFKEL EAKEIPTQIEEAYERILNNILVEHSLRAHALQLLAWLVCAKRQLWWREVQGAVSIDLE TEDVDPESRQWILDFRDICDSLVEVRTDGSLELVHSTAKLQVPSKFLVQSKLVNVRRE ELNMARLCVGYLALPGFETSQPDESILVLINHGYYSFLDYAACFWSLHLQEGLKCSID RETAVSLVEVLSKFLESHYRSSEKEINIPSSAHELERRLQEHDAWSASGQFLDAFVST QKQLCCFSEDATSNDSLDIPCVIARIRKLLETTASGNQDDTKVDPKDELFCKENFQDS RTYRMHKCIRPSPFQRESSESMKFNVMPPEMATQSFPGSNMRPPSSNPAFPGAPDTSP FDLDFSALENPDVLDNFDFDTFLNTDADATGFGFDPFLSYSADGVETGAREL PEX2_067420 MAPQLAPQHLTANPPQAGTPQERSAMPPPSAPPVIGPAGRGQPT SPQTASNALPTANQTNKPARKVKKGPKNTRKHPLPTLSCEEKELRAVVGVRLTLPVNQ NPTPSFSASTEDPVSSLPTFDSFSDLDSDDELNCLVIFHPATSAVHMADKCQRVNADR GLQPLQSTPQAANAKTQIGTAAKGAKGATGKQTSKKRPSTEDTTEARATATPQRNQPV AAPGAQGMAPQRPGLPFTQEHLAQITPQQRAQFETHMQRHQSQNRERPTRKAYAYLTR AEGRYDQIA PEX2_067430 MDNNNLQTSTLNGDGNTITRSSLTNCTINNSSVKRSTLSDCVLS KMEDVSRIAAQKSHFHDAALVERSDITNSTIQTQSSVQQSSIIQSIIQNKSTVKRSAV TDTTVSNSQLQRATLTNCVVTECVIERCDFQGLVLKYGIWKRNELVGRTGNRDPIFIR NYGSKDGQSASGLVRSTISGLDPSLLARQDVCPPRYHVNSDAGGDILVDSGLDLPPSY TP PEX2_067440 MPSNSQNVGIKALEIYFPSRYVPQSELETYLGASTGKFTIGLAQ QKMSFCDDREDLYSMALTAVSSLLEKYRIDPNTIGRLEVGTETLLDKSKSCKSVLMQL FGENTDIEGVDTYNACYGGTNALLNAINWVESSAWDGRNAIVVAGDIALYDKPAARPT GGAGCVAMLIGADAPLVLEPFRGSYMKHVYDFYKGDFKSEYPLVDGQYSNTCYLEALD NCYQRYRTKSLAKSGGLPSGDEKSQGSFLDTFQYFVFHAPNCKLVSKAYGRLLFNDLR TEPNHFDDIPAMVRDVEYTASLTDKEVEKTCIGLTKKRFSERVQPSITAPTNCGNIEE LQNKRIGMFSYGSGLASTLFSFRVTGDTSGIAQQVQLNERLEARTAVSPEFYNEMCNL RETAYQQKSYTPMGSVDSLAPGTYYLVHVDEMFRRSYEKKPAV PEX2_067450 MRLSILSVFSLVGAGMVSALPQESNLSMKRSDTSECVGPLLCCG TLTTPLDPVVDPLLLALGIDAANIVGSIGLLCHGYEESSCPTKPQCCTEANLLGGTLA LGCADLK PEX2_067460 MPTTSLADLVASLPSEDTWGPSTSADNMLSGVPYAPFSKGDKLG RMADWTAETKERQNQRPQYNRGFRDQQVYGAGTSNLFTVAAAEDESSFSVVDNTRVQK RGFGRGGGTVFRGRGGQRGAANQRGGRGGFQRAGPNVGRGQQSGYQFSDRGGGRGGRG GRRFGWRDYDKPQRIREASVNIRPDWKMLEEVDFTRLSKLNLDAPEGEDLETYGFLHY YDKSYDKPPVKNAERRIQALDRAAYNVTTSQDPVINELSEKNAATVFATSDILSMLMC ATRSVYSWDIVIVHQGDKIYFDKRDGASFDMISVNENAIDAPLEAAEAAGKQEQINTP NALAMEATIINHNFALQTLIESEKSKVSFAKPNPFYDETEETEPLASKGYKYRRFDIS LERDEEPVSMVVRTEVDAVVKGGPTGSEDQQLIIKALNEFDPKAQGSGGALDWRSKLN SQRGAVLATEMKNNSAKLARWTTQAILAKADGMKLGFVSRVNPRSAAAHVVLGVAGYK PREFASQMNLNLGNGWGIVRTIVDRIRALDSDEPAEKVKKYVLIKDPNKSVLRLYSVP ATTFEEDDEEIEEEKEEDEKSDEADE PEX2_067470 MVEEPQTPSNKGFGAEIFKIFGGGGSGSAPSANAPKKTTRDGQQ PKRRGPKPDSKPALTRRQELNRQAQRTHRERKEQYMRALETEVSRLREAYTTEIAEAN TSIQQHKEMMHSIREENELLKEILAANGIQYEADLERRRAERPPMMPFHPGPGTVTGS STASQSAPLAHSASNHNTTPPTTISSGMSPRANGMDHSEVAPAIGYASHQQVYHAGVG EHSMSMDHSSCLPVDTMQPMPAARGGVFETDPQLQVDFILTLEGPCREHTDYLCRRSV TEADDEDMPFSGHALMATCPPPSYIAKTTHEQPYPHKAPDLPHANLSTLLNLSRQLVT EGQITPIMALQCLKNHELYTTLRRDDIKIIMDTLNTKVRCYGFGAVVEDFELIDCLSS VLGTKVDLSMSGTADDSMYG PEX2_067480 MIQVPGSIPESHEILVHIAAASTAQDDARYRAQVAAILEFQCVS RQPLMEENESSTLTDADNMYPFSAGAAVQSPVLSTTQHEASPILREALRPAYATLSSD DRLLPEKDGSAPRKHIISNHPDSLESLISVIPDSQPGIAELVRAEQSRAELSSPSQLA PPPKRRRTAPIELDPAQAGLIADDCATDQPAGSHRVIPIENQHHGPPTGFPQTPIEIA SSDPGKGPDGSMAHPTTPSHHMPTNTPTPTPNHINLSTLPLEIHPPRPPISTAPFTTH ITPTLSMLAERLKPVRTYKPSTQTRPLDPLERGYWLVHLAIENPQNRDRDSPDLLPDP DSNPKSETTNHIQTSTTKPTPNPNPKLEENTQTWPSPLFHAFWSFLSDFVGKDGRAGW GVWCILEAAAPAPSTLSRDTHVSLKVYAWGEVAMHVYLLLFLASERQIRGMGVQWRDS REEVVIQMP PEX2_067490 MDSYDGYGSPGRSRESDSFTGRATGESYRRRSPASQDRRRARPR SRSPVIDRYEPSERRSHREDFYNNSREHAAREREDRRRAPSPTVANIDRYVPGQDSSG GGKRPIPTNPMPNPLTLDFQVGFNWFAEWWRLEESVNEEKERAKNGGRRVKGEREARE DREKERAQIQAAYDTYKVDLQIRLARLFVQQHRNEEWFKERYVPEVRDPLRTRLMEVR QGAYEQWEHDIDNNLFRDFTLEGIYKSDSDGAGGVVEKEEGETTATAETMGVLDLLPV RGGDLRDEALAQPALLIKTLAPNVSRSKIEEFCKEHLGEEDGGFKGLSLSDPNPSKKF HRMGWIMLHPSANTSTVERGDGRDEEFENMDQDGGANGGVTTSPAEKALEAVNDKTIH DAVHGDFVCHVGVHVPPAQPRKKALWDLFSAPERIDRDLELAKRLTSKLDSEMNVTVD GFTKIEEYVDDLRGKGELQPPATGPVSAKKQRNGYSDEGDEGEIEEGEEKEIPEEEDV DDEELAVKKKKLDLVVEYLRRVYNFCFFCVFESDSVHELGRKCPGGHLRRPRTGLSSQ AKEVARASALGQPFPAKKKEPSEEGEDLSSPIQEKRGQRHGSKSEQQLQRAFNWVKTF EDKLLQVLEPENVDLSKLGGKPVDQAIEDELLKYMKQEDDSKYRCKAPECTKLFKAEA FWRKHVEKRHGEWFEGIKNDLALVNAYVLDPARIAPSRSDANSNGHFPLGSGQGHTGT PRGFSLASLPYAPNGNMPTFPLNGVPGMMGAHAGGWSGNAMGHDGGLHQPGPMRRGQN RNNRPGPYDRRRFNGNGRLSPPRGMPGMYGGGRLPPPGVSVPAGHPAASMAAGNPFPD SSSGGPMAPREAVQGRSLKSYEDLDAVDASGSGELQY PEX2_067500 MSGLFNSRWAPGSGGKQRYPASQNARPWPSNNPNPGGNSSIRPA TITSQDGRLLPPAEELARFMKIVARLRWKLPFLAEGYRLATLEMSDVVSADDVAHAEI MFKIDFHEYYALLERAIVHLLAVFNISVTSSPRGPPDNGNGIGRPVGIHRYHANVLEA LREQSTPLSPVLGGGTVYLQLQKAKELRNRWKTADLTTEEREKQGERKDIVTLASFDF EGIISDIFGGLEESYVRAKEHVDKCIRPDEATGEGANSEADWGFMVDAMDWEAV PEX2_067510 MEYPPQYQQAHGQHPHAPSHIPGPYQTGPPNAGPPVGSMASPTN AQAPIQPHSTHQSSPIVPSQPHYQQAQNGPGGVHQQMNFPQGYGVPAPMAQGYGISPT QAAAMATAAASGQFYPLHQDSMAGQMPQGSRASPRMASMQMKQDRDPRSPPQMQNQMP PMGSQVQMPPNQQLSQRRMSHVGSPNVQTAQPVMSHVGRAPIAAPLPQAPVQQNQPSP DMVPGGNQEESPLYVNAKQFHRILKRRVARQKLEEQLRLTSKGRKPYLHESRHNHAMR RPRGPGGRFLTADEVAAMEKREEVTGQPVTFEDLSKPLPTENGGGQKRKSSEVHGDGS NSSKKTKVNASADGSEGDSAEASDEDG PEX2_067520 MGSSYSYESSWSDEVTSVINNHAKSIDKHDEILHELRSWCERLG NEIRAVNASTTQGNNTQNERLSVYLEPLSRKVDGFNAENGQLRENLAALSAKIDQNIA DISHWKTEVEALPAKFHDVLGTEVNTMNAKYQALSTKVDNGFNNSPPAINRGLAIGLS LGLGIPLTISLVFLCAMWIRRRYPYIPQHMNNEEAQNYIKIHKATRQKTLTEKLCKLF EALGFKKKQAVPEIYVMENTPTK PEX2_067530 MAPGGGGNIKVVVRVRPFNSREIERGAKCIVSMKGNQTVLTPPP GAEEKSRKGGKGAVEGNKVFAFDRSYWSFDKKSSNFADQNDLFDDLGSPLLDNAFGGY NNCIFAYGQTGSGKSYSMMGYGKEYGVIPRICQSMFERITAIQADKLLNCTVEVSYLE IYNERVRDLLNPSNKGNLKVREHPSTGPYVEDLAKLVVSSFEEIENLMDEGNKARTVA ATNMNETSSRSHAVFTLTLTQKRHDKETSMDTEKVSKISLVDLAGSERANSTGATGAR LKEGAEINRSLSTLGRVIAALADVSAGKSAAGKKKNASMVPYRDSILTWLLKDSLGGN SMTSMIAAISPADINFDETLGTLRYADSAKRIKNHAVVNEDPNARMIRELKEELAQLR SKLGGGVGPGGAAGAIEGAVPQEYYPPDTPLEKQMVSIQQPDGTVTQVSKAEIVEQLN QSEKLYKDLNQTWEEKLQNTELIHKEREAALEELGINIEKGFIGMSTPKKMPHLVNLS DDPLLAECLVYNIKPGTTTVGHMDQGQTVEIRLNGSKILEKHCTFENADNVVTIVPAE GAAIMVNGMRVDKPTRLKSGFRIILGDFHIFRFNHPQEARAERVEQSLLRHSVTTSQL GSPAPNKTHDRSLSKAGSDMDGDSSRADSPMPSQRSRESDWFLARREAVSAMVGPDQI SNLPDDELDTLLEDVQKVRAGRRGLPEEEDSDSISSFPIRDKYMSNGTLDNFSLDTAI TMPSTPRQNDDDEVNGVASLNQARQDMQRQLDRRKEEFQEKLKTVGDSSDPDSPELQT EKERMEYALRTAKEEFEEQLRKQKETFETHIRDMGKPVPQIFENGFAKLDERELEIAR SVYKHWSQRNYVRMAEKILQHASLLKEAQIMSHIMDKHVVFQFAIVDYGHNMESSYDL VLNGISGDEDILLDEAKKPCVGVRVIDFKQCVIHLWSIEKLQRRVQAMRQLHQYIDRP DYIQHFKLDNPFSEPCSPQYTLIGDADIPLTAVFETRVQDFSVEITSPYTQSVIGIVR LSLEPSSAQAPSSTLKFNVVMRDMIGFAEWEGSDVHAQLFVPGISEEGGATTTQMIKG FEDGPVRFESVHSMSLPLSSPRTAALKVCVYAGVTSVHLDKLLSWDDMRDSAEPLPQK RTAPRIAESEYYSEERHDVFARIQILEMAESGEYLPVEVVQSSSLDAGTSQLHQGLQR RISVNITYSSTESLPWDDINNIRVGSVRLLDPWGKIPDQDFQTADVPLKFVQEPTVRE NADGTSHVTIVGQWDSSLHGSLLLDRVTAEKYRVQVTVRWDLVSSRLQNPVVFEADQT IQIQGRTYVRQQSMFKQFWNSIRVVHSTTRMYSLVIRPVSAKRAADLWRMNTQNDYVK GEELLNTWAPRKVSLVRDYIANRKRRQRVAELHAARGALSAGSLIPSLARTGRSTPMR GADFNERKAKLLRKYLDLWSLKQDPTEAILVRSNTEPPSDGAAHHRTSATNGLSDKLS LKPRFTATIQTLPKAPDALKTGYVLTPDDTNNVWVRRFVELRRPYLHIYSVPEGDEIN AINLRNARVDHAPDFARLLDGSGAGADRSLSAKGRPNVFAVYGTQNTFLFATRTEAQK VEWILKIDESYFNSNSPRAPLNGD PEX2_067540 MFTQRPSFRYLATGAVVSLFILAFLVLGTGTPSLSSQFNIKTQS RQQYCAPPVKSSAGWEFVVERDGNNHGLSEDQCRIAFPKLFAEIDKSSTLREDKLVSY KDLDSRIVDDAMVRGIIDRGELYIVEYGPMPMTASRARATLSSLHRALTAFPDRHLLP SIEFIFTTEDFAEDTTAPSPIWAYSKRDSDTSVWLMPDFGYWAWPEVQIGPYHEVRRR IAAIDDGETAADGTFMPGLQFQEKKKQLVWRGSLATNPPVRSKLLKSALGRSWASVRL IDWDDQNDIRFNLLPIEDHCRYMFLAHTEGRSFSGRGKYLLNCRSVVISHALEWREAH HAALISTGPDANYIEVDRDWSDLSRKIDYLIDNPEIAERIANNAVRTFRDRYLTPAAE SCYWRQLIRQYSAACDFEPVLFSTGRDGKTQPRGVSYDTWLLMH PEX2_067550 MKSALIKKVLGLQDPPNVHNNKSALPHESDPTVREWLSDGIPSR QQAGQYFVRLLPFLNWIPHYNLQWFLGDLVAGITVGAVVVPQSMGYAKLANLPVQYGL YTSFIGVVIYWFFATSKDITIGPVATMSTVLGGIILDVQKDHPEIQGPEIALSIAILC GGIIAFMGLARLGFIIDFIPVPAITAFMVGSAISICSGQVKGLLGQTGDFATSTPSYR IIIDTLRYLPTAQGYDAAMGLTALFLLYSLRSGFNYGAAKKPQFAKIFFFLGALRTVF VIALYALISLGVNQHRRDNPAFALVGNVPKGFDKAGVPVLRADVIKLVVSQLPACVIC LLIEHIAVSKTFGRVNNYTIDPSQELIAIGITNLLGPFLGAFPATGAFSRSAIQSKSG ARSPFTGIITACVVLIAMYTLTSGLYYIPKATLSAVIIHAVGDLIVPPNTIYQFWLIA PLDAVIFAVGLIVALFSTVPNSIYATVCISVAVLLVRHAKAPGQFLGQAWINDENNQI PLYLPVDEPKADPSIKIERPRPGVFVYRFSEGFNYPNASHYFDTLVQTIYKHTRGTNA EIYTKKGDRPWNEPVSSSADDSHLPILQAVILDFSAVNNVDVTCIQNLMDIRTQLDRR STPVVVQWHFACIKNPWAKRALNAAGFGSWPLPQQGSASEKDVEEGSSEGSETEKGME MSVSSTPEYAGRPFFHPDLTTALRSVDVYLAMNPSV PEX2_067560 MVSFSSLFVAACAAVTAFALPSELEKRAITTSQQGTSNGYFYSF WTNGGGSVSYNNGAAGEYSVTWKNSGSFTSGKGWATGSSRNINFSGSFKPSGNAYLAV YGWTTSPLVEYYIMESYGEYNPGSSMTFKGTVTSDGSVYDIYTHQQVNQPSISGTATF NQYWSIRRTKRSSGTVTTANHFKAWASLGMNLGSHNYQIVSTEGYQSSGSSDITVW PEX2_067570 MPSITVSDGNTFKNWGPVTTTFTAPASCSTAGDFLIGNTTDFPL YDYATQCSTYGDYGCTPTGTFFPSLTIESNLTKMDDNIPYYSPGLYCPSGWATVGVAA RDGDKTTSLSGILGVSTTTTTSDSWLDYLPKVGNPLTMLAELLDPGETLVMCCPSSMT ADIRIGCYTNVSDIDVATACYRFINALDTSTLTKTYDVNGTTSTGLLVVTTAPQTVSI GTTIFGSSQLDELGGMTLLPMLSLVHHQSDLKATGTAEAGSAEATSTSNSANNSNSAT TSSSAATSNSAARAGPNFNSWDGLGAVLGVSLAAIVLGAAVVLQ PEX2_067580 MSRIENLPTELILLIASFLRSESSLAAVVLSNRRLYGICTRRLY QYNALHGNSSVLEWAAQNGSMDTLKMALDAGVPLPKEQPKGERRHGGTISQFGREGSR LYKAFQPHPISLAVRAGHADIVRYMICHGVTSNMRDPDGFSLLALAAIHGDVSLARYL LDVGSCQGIRSFVGHRPVWLAAFQGHVDVVDMLLLAPKQNEDEPDKEELMKDALIAAV LGEQVQVVQLLFTHGVQVNVLGHLGQSPLFIAASRGMSDFVSLLLAYGADPNLIVDRR NARAPLTVAVMKDHEEIVRMLVRRTVSHHRTRALAYALSSPANIRLAKILLQSGAPPQ LCPSDIADDDEDWVQPLVYAARGNDLEVVELLLEYGADANVRWSKESPYEIHTPFNHA LLWAVDESKEAMVNLLLKGGADPDVTDMAGQPVLAYAVYSQHEGILRSLLDHGANPFR AMDDCGRKLLGFWPMNQSFSAQLQEAEVKWTKQHQC PEX2_067590 MAEGGYVNGWEQYTSQNQITRSATSQIGHPDAAALAHLSHALYI PRHDRKGTHLAIHDISDQYATAKGTLPVGCTRYAVAIGVMPKPQGDGPDDTTVSYDVS FSLEKDEEAVVGLVKGRALSPPSAEVELEISTAEEEAAILTTNGANETEISLDVTYPI AASSTRNGIVKHPYFTFQVPDAHAGSTTYQWQIHPSRNGRLRYTLVRSPAPQHDQQEP SDTDIQAVYYHIGLDDSLWLSHSEGILLLPAGQNSAKESIVVSSVLGMLWRLRELHRG KGKLLKPGEKKSRLGSVKRLFGSKE PEX2_067600 MCHQETLYRLCIFCRTLEPMNGPCPVLQGEKSRISQTGRNVESD TLVSGLSPQDAELISALHQQPSSLCARCSAYDILGVLLKSDPTADPRQEYETQSFNDF TRSMLHVGRPSSVTLTASCPICRLFYCVLPRKYEPVRQITNGRKITRTTEADIYFEPR RTYLRLRNWDTLPKYLRKQCAVVLHMTESYLESSISRNSMPFSPSHSLTEKPRIYAPT IALEPRLAPPDRKLNSMRPISSNVDFSLLRQALGLCLEKHCTSCSTQKQKRPELLETR MIDIVERIVVPCPPDCDYVALSYVWGGVEPSPGSLKNRCLPQTIEDAITVTQALGRRY LWVDALCINQSEELTSSERKEKEKQLRWMGIIYGGATVTIVAVSGLNANAGLHGISVP RLAQVTENIDGHTVFTSPPSYFTETSETLWSRRAWTMQEHLLSKRLLFFSESQVAFEC REGLFEEVLHYTTKGDTIIDDTPHPSTSEDPLTRFLYGDEVVGIHSISPS PEX2_067610 MVSTLLSLLQFASFCYAGLQASCKCIPGDPCWPSLVTWDALNTT VSGKLIRNIPVAISCYPGTYQNHNECARVSSQWSNSTFQQLSPVGYFGPTDESCPPID MSSQSRTCTLGPAPVYTINATEPEEVAAGIEFAQKNHVRLVIRNTGHDMLGRSEGYGA LQIWIKYIRKGILFQKGYAPSDRCANSKWDGSAMVIAGGYVWQDVYKVAFERNLTIVG GGDPTVGCIGGYTQGGGHSPASHDYGLAADQVLEAQVVLANGDIVTANSCQFSDLYFA IRGGGGGTYGVVTSMTVKVYPSKPVVAQSLAITPLTKDMESLLDAITDIYQQYPNIMD AGFSGYGSWSTNSPMANQTAGYMHVVAAMGKSLVQSQKAFDQLFQTLQKYNGTSLRVS VVWYEFPTYGAYFQDLSGVSQPVGVASPNSAMTSRMFGKAALTTNRAALRSMIGTTAG NSEEFTLSSIELVGGGKVLTDASDKYSSVNPAWRSTYIVSIVARSWDNHSSAQIVQHD ITDIKGGAMKTLDPLLGSYMNEADRNDPQWATNFYGTNYVPLALIKKKYDPRSLFYCP TCVGSPSWSQRYLDGANYGPLCPTGQ PEX2_067620 MVSDERSVDEEIRSASRHKKCDETRPACLMCTRGGRVCQGYTAP PDKRTREVRDARTVRTNGGALSIVHVGQQQAQSAGLVYVLDGSQVGLSRMERDYLYSF RSYTAGQCAGYHFDPFWQVLVHQVSESCPEVRHAAIGIGALHRRFSNPDSNRNDIFPI RQSTKAIACLRKAMMKGDQSDPSATEKILVACVVLVTFALFQGDVDAVRCHLQAGTKL LWEWRKKNPKSPAASILLHTFIQLHIHWASATRLQDYLGGDYPYLQELIHDNLVDISA HPDELSRATMLVSVQCWALMFSDPVSFDPLNPNLSRGFVWDTPANKVHRLKTQVEECS ALHRKTASPTKLRAFMVVQMQIEMMQVILASTTFSGSETEWDPLCPHFKRVVDKAEVL LSNFNHLPDPLFSVKEGFLGSLMFCGLKCRDWTVRQKVLGICQKYNRREGMFSTAEAV LLLQTVYDFESAGIPPGEYIPESARVPQAYIAEHPNLSKFNTKSYIKYCDRDGNWYSK WLSP PEX2_067630 MSSAPRDALEFPESDRGFLEPHLPPQDGSAPESDLPFTTLTFAT SLDSSLALSPGARTVLSGPQSKAMTHYLRSRHDGIIIGVGTAVADDPGLNCRITGVGG YGQEGLAGQPRPVVIDPTARWEFSDDSKLFQLCREGRGRAPWIITAVDKPNAKKQALL ERYGGRFISVKMIRTHTGRHQIDWPDLLVTLKSNGLGSVMIEGGGQVIKSLLSPLYMS LLDSVIVTIAPTWLGEGGVVVSPARRFDEDGNAISAARLKNVKWYPFGEDVVLCGQIK LSD PEX2_067640 MPPLNDSEQHERMLTALRRRIRDLRSNPENRSLVIARLNAISRL ARICAQFGKDARFTQLCDTLQRVADALERMVLESSLFPCPVADVDRDKGKVDEETDQQ ADADNSDEEGEMDSSDDNYIARLLLGLKWAQSVVDFAEEPFGEIDASDNESEMDPSDD GYIARLLLGPSWATPIADFPEESYGGKEALGK PEX2_067650 MKFYPKAREQRPSIHHPSVRPRRLGLLKAASVNFLLLQILFLGL FCWIFGALFQQSGHIHNINVLFVDYDGGAIGDAARVAFQKLKGPGFPTFVERSPSEYP QPGSIEGAVCDIKYWGALYTTANASNALSAAFAGGSAASSYDKNNVLTMVWNEVRYPT VVDSALADSMKLLSETARVAYSQTNAKEALKSVNTSDPAALAAFYEPWTLADNNILPT SQGSRAIYNTLVIILILIQEFFYLGTVNGLYAQFKLYTSLSPHRIVIARQLISLVYTL VGAMCTAGAIWAFRSGWDVNGNQFALTWLALWLFAHLNFLVLDVFTIWLPPPYVPMAL ISWVILNVTSIILPFELSPAFFKVGFALPAHSIFQVLIDIWSSGCNPQLYFALPVLFV YEILGITLSSIGVYRRAHYAGLSQEKEEKGFQERVAAALREQQEAQMVRRETMHDVEG SGTSDSEDDPRGAMQRRSTATTTADQAELVNIISREMSRSKADRPDTARESTGPSFAL AYKF PEX2_067660 MLQLEDGPKADINGTKEVSRPSFDDALENALGTTAEKPKRSETW NWDDDPSNPYNWPTSQKVLQVTMIAWAAFTTTVGVSILSPAHSQFMEEFGVSSTVAIL PLSLYVFALALGPIVGGPLSETVGRYPVYLGTMSLGTLFTLGVGFCPTFAGICVLRFL AGFCYAPTLAIAAGTLNETFKPVSRALPSAIFILTPFLGPGLGPVIGSFIVNRKGWRW TQWTTIFFAIFTCITVAMAKETFHPIIKRRRAKELGLELPSSPSFSSKLRLFVTISLL RPIHMLVTEPIVGFICLYIACEFATLFSFFAAFPLIFRGIYHFNLEDSGLVFLSIVVG SLLGTVTVIICNIFLYLPKASKHPDGQIPPEYRLYPALIGSVGLPIGLFWFAWTARAD IPWASPVVAIVVFAWGNLCVFVSTTQYLVDTYHGLTVASAMSANGLARYGLAAAFPLF TIQMYNKLGTEWASSLLGFIAVALLPVPPFACIAFHALRTSPVFATCDIEYVPVSLRD LFQSCQNTPPIAVKNKFEWINRERIYWARRLQVPMSESIPKGFPASTSEVQLALSLLA TQFPNKLVPIADKLYRGFWEEGNSNVLTQSGFAAVFESELGAENAKQILEKFQGPEAK AILDGNTQQVFTSGAFGLPWFDCIDAQGAKEGFWGIDHLGRLVDFLQLDASLDKAFRV LL PEX2_067670 MSGKSSFSLDSKQPSVAILQQTSIPIKNYGATSGVLAAGGSLEF YEPIAEYEGRHRYDPLAEWTEKEEKLLVRRLDYRICSWVCLMFFALQLDRGNIGQALS DGMLDDLGLSTNQYNYGMTIFYLCFLCAEVPSQMISKKLGPDVWIPIQMVLWSVVGIS QGLVSGEHSFYATRALLGLIEGGFIPDALLYLSYFYTNKELPMRVAFFYCCSNGTAIV AAFLAFGILRMRSIGSWEGWRWLFVLEGALTLIIGVISWFYLPPGPTQTASWFRGKDG WFSEREEVIMVNRVLRDDPGKGGMHNRQGLTPKLLWDALMDYDLWPMYLISLTLLVPT NPESAYLTLFLRSLGFDTFEVNLLTIPATVLFLIQLVFWSWVSEKINNRMAIVLFYSF WVFPLLMALELLPATASPWSWYAVTVLVIGFPYVHSINVSLISRNAGSVRTRTVGSAV YNMICQAGSIISSNIYRTDDKPYYRKGNKILLAIVAWNVLVTIFIKFYYIRRNKTREE VWSAMNPEQKHHYLSTTKDEGNKRLDFRFAH PEX2_067680 MRSSFMKSTSTWALLALSLLPAALGSPLFEKRVKGPWLGLNTNF PDPSFMKAADNRWYAFGTNGNGKRVQVAVSDDFKTWTLLDIEALPTLSTWETENDHWA PDVVMRDDGKYVMYYSGEAKSNLRHHCVGTAIADNPTGPYVPSNTPLSCRLDQGGSID PSGFKDKDGSRYVVFKVDGNSVGHGGDCNNGIEPLVSTPILLQKVGADGVTPIGDAVQ ILDRNTADGDGPLVEAPNLILHGGTYFLFYSTHCFTDPKYDVRYATAESITGPYTKTN VPMINAQNTGLLSPGGGNVCGCGDRMLFHGFCTEERTSRCMYVADVNIDGKTASIA PEX2_067690 MDSNHTIPNTTGPLSTTDTAMLEVLVPGYGFISRILMSYLNFDI SAYLQVLVGLAVFGATARYTLLVIWEHFNELFVSRAEIRLDDETFNYLMYWVSRQPHM KHTNRFVAGVKTNSYWSDNETDNEDLDRDEDDEDEMFNGKKSASFDSYWAKVTIRDKY KKMRFTPSEGCHYFWYKNRPLMLEREHREGGNWYIMNNERIFISCLGRNPAILKTLLA EAQQAYVDRDRNRTVIYRGSRFAAGQSFSWYRCMARLPRPLSTVILDQAQKDDFLDDI KEYLHPRTRRWYTNRGIPYRRGYLLHGPPGTGKTSLCFAAAGLLGLKLYLLDLNSTAL DEESLSLLFSELPRRCIILLEDVDSAGITKARAPTSASTSTSDTPASDATPKEGSAEA DSTASKDDTKKGGITLSGLLNVIDGVAASEGRILIMTTNHVDKLDPALLRPGRVDMKI SFGYTSEADTKELFTSIYGTMHNDITRGGAPTTHTNGSNGSVKLPKSSIAGNIAKENG EIVEKSEESNGDVEKDNAEDLQGLVSLRSRISDLASEFAAIIPSGEFTAAEIQGYLLN HKEKPEVAIQGAAEWVQTAREKKRAIEGEGEAKTETEKDEA PEX2_067700 MSPQTYRAIAHRKLKTLQSLIPKSWLLDALPDPLPLDVRSLVSE SNVLTPDELSITSSHDVTSLSQLIHSRALTSEAVTTAFCKRAAIAQQLTNCLSEILFL PAIERAHTLDAEYKRTGKTRGPLHGIPISLKDTFNIKGYDSSIGIASLAANPAKENAA LVDILLEQGAVLYCKTNVSQTLMALDSHNHLFGRVMNPRNRRVTAGGSSGGEGALIAM RGSVLGIGTDIGGSVCIPAMCNGLYSIKLSSQRIPFIGQEIGQLPGIDKLGLQASAGL MATSVRDCELFLKAIADASPWEKDPNVAFGSWEGQGEIGRKPLFGVMRADGITTPLSP VAKVLDETVEALRKAGFEVVEINAPAFKKYHSLVNSFFGIGGNNHTFDILERTGEPLI TWLSTRLKRTKPADLMKLSELHVRKSALEKEMLEIWRDENGRKMDALICPVAPHPVPE IDRWNGVGYTSAFVILDYPAGTLPVRDVSNDDLKDELPESEVLGGWNSRNRDLCKLST FIPLIFCLSYHSYMFQTSDYLFPSSVEAAVNPILQGHADLSETGHQSTNDRGVYLNTK LSVQVVAPRLQERRLYQAMALIDEILHDANSETRARVQSRSTVA PEX2_067710 MQLVADSGKLPNHPKLDTDPGQSDESTTVAPVIDDAEKPKELHQ QEKREIGGWKWIVVVLAIYNSQFLFALDQTIVANVQPAIVEQFNSVDRLSWVSVAFLI GAAGTNLVWGKIFSQFNIKWAYISAIFVFEVGSAICGAAPNMNSLIVGRAICGVSGAG MYVGLMIQLAVTTTIQERPMYIGGAGLVWGIGTVLGPIIGGAFTDSSATWRWSFYINL CVGAVCAPVYLFMLPNKDPRRGTSFANRARELDYLGTILLIGAFFSGVMAVSFGGVVY PWNSGKIIGVFCCSGMLFILFGVQQTYALFTTPARRILPVEFFKSRTILILFAMTAAG GTALFIPIYMTPLYFQFSRGDGALQSGVRLLPFIMLMIFATIFNGTFLSKVGYYMPWY LVGGILVVIGGALMYTVDTATSVSSIYGYTVLIGIGAGLFCQASFSVGQAIVKPEMVP ASIGFISTGQITGITLALAIANAVFLNKSEEGIKTILPNTPIAEIQQTITGAGSALFD NMSADDRQRVLAVIVSALSSTYMLVITAGSLVTVLSLLLKTNRLFVAPVVAA PEX2_067720 MSRVPDWVLDSKLETHFLPGAKHEIVHTYYEQQSLSQRPIKKFE HWQREKKIGGGGFGEVWLERCTKGTNHGNHVRATKQMEYERCFVKSFGWYQTEASLFI AMEYLELGDLQNYLHDQKQPLPEFEVQGIMFQILEGLDLMHDNGYAHRDLKPNNILLR SCPPNDWWVKIADFGISKRIEDSLGKPTTLRGTEGYIAPELYELSQRGTPYAVDIWAA GEIMFQLLTKQPTFQHVGLLFNNENLMSEPVQQKTATAARMMLPGTLKGHSSRVTCVA FSSDGKLVASGSDDLKVKLWNTTTGAIYRAFESHSQSVTCVAFSVDGKFVVAGSDDQT IIFWNIITGATHRTLKGSSYVRSVAFSPDGQLGASGYDGGTVELWNNITGTIYKTLKD PFSVPFLAFSPDNNTLEGHSRLVTSVAFSRDGKFVISGSDDHTIKFWNTITGAIDKTF YGHSSSVTCVASSPDGRLVASGSCDNTVKLWDAAI PEX2_067730 MRRPDTSHSLLSPANYDNDASSLRSPSEQDSDSDDDALLDQNRS TLEIAEHDRAVLEDEEELENLLIRRGTGHGLRRIFSPNGSTVKIGKTKKERRQRRGSR RERVSEDGELMYEMEEGIGDDNASLLSGSSLDLDRKGEYTYEPPPRPSWRKILFIITL VAILFVILLLGAYKASSGFRSSRAHPPLLLSNGTALFAPTTIVISLDGFRADFLDRGL TPALNSLIANGVSPQYMNPSFPSVTFPNHFTLMTGLYPESHGIVGNTFWDPNMKEEFY YTHPTVSMQPKWWMAEPLWVTAEKQRVKTAIHMWPGSEAHIGDKEPSFLDKYNGTEVL PRKVDRILEFLDLPGLEDESQIPPERPQFIVAYVPDVDQDGHKFGPNSTEIRKTISEA DGMVADVMTGLERRNLTEVVNIVVVSDHGMATTSTERLIQLDDLIDYDLIEHIDGWPS AGLRPKRPEDLETLRKQLEKVAPDYEHAFEFYTRETMPERYHFSNNERIAPLWVIPKT GWAIVNRSEFDVKEALKIGKEYHPRGIHGYDHEHPLMRAIFIARGPAFPHKPNSRVEV FQNIEVYNIVCDSLGVDPLPSNGTLRLPLKPVGLHSDANTPVLDTPPDPPAQTSATAG PLQPAPSQPPSPQPSPPQPVQPATQPTSAAEAPPATDHQSDDEKGSTWWGTLWHKFDD LKNWAGGVADAVQGKHPEPESS PEX2_067740 MFRKLRIRGSKPAQSAPATTGESKTVDPSPHAIHISDLLQREKA YGEFDPTDDFETDYLCDSHIYNVRFIPPNVMGLSLAYLCLMQHVCIVFSYDASSRESW GEMVAACEGMRSRCEDGVSPFLATMIAAMDEGEAPVSHVEAKAFATQRDFRFVKFSPA TGRGICNAVSSLVELAPGARDKYAMDQEGYTQRYKRAEALQALSLT PEX2_067750 MLEETLEDKVGGLLYRLLDTSHDSPRCFVRELTISRYRLFSRLS TLSGPLATDTDKLPLSQLIERLPNLRQVRLELACDFSSNYMKSIDEHPQKPELHLLAG GWGKPCPRPLPRVTEIRVMWGTECGVGGEQLPLNQPDNFLNYITSCPNLKSCSWVAGN PKETVVGETPNDIEGSVIHKPFPFLEHLDLYQYYIGTQMWHGWEDHFNWSSLSSLEIG QGLFAPENLNVMTGRLSNLKRFKITGNNVQNEELCQSLENFLVAFDTLVDLELLNCFV PIHVITQHTRLANLCVHIFDTWNFLDSRTVFESEDLISLDALCPQLENLQLDIERDTE KEDWPQDVLDTVARSFSRVKTIALHSRQERSVQTSLPSDTENTTKAPDPRTCQRDMEG FKN PEX2_067760 MHFTAPSMALLALAAGVQAGGAKQQHEPPVISGKGIGKHHASGA TGTGVIPTGVVPTGAQTGTHPIVTGSHHNGTGTQTGSHPIVTGTHNNGTHSGGGKNST IIVTGTAPCFSCHGSATIPVNVPTKTYGASPSGGAGGVGSGSGSGSGSGSGSGSESGS GSGSGSSSGSNGASPSGGAGGVGSGSGSGSGSSSGSNGASPSGGAGGVSGGSGSGSGS ESGSGSGSNGSGSGSSSGSSGNGSGSGSGSGSGSSSNGSGSGSNGSGSTSGSGSGSGS ETGSASPGSTDYSPSSPGSKITAPQVGVFSAVIGSIVYGALMLLA PEX2_067770 MLKRKPQALQVPVPNFPFSSPISEEISSPSCSSEDQDERDRPFT LLSKAARQRLEAKAAKDGNRSPISPRETSRLNIRVTKKRKSVGKPVGLNLVTDFTLAP APRKQSIPAEPNAAAPFVDLNDLMLLSKVREKERTAQKSKDTFRKRVSRGFQRLPETV QSQHHHQNGTAASFLTTRDEDPFHDKHGDVISPSDRHVMIGLTVPRSESVERSQELDS AGTPLTPSIVVTPARGDAPWNAHSNSSTEMLRPRATSSIYSQPTPRLWQYETDIPPVP AIPAEHSAAAKTPAQETGYQNTLGVERKARALSTASIWEDDSPPRTPETVRQASKIQQ PGRLSVNTQADANRPESQGWWTYLLSPLLSRSIKSPLSPSFPQESPSTPSTTTTTARP QPKEWIPREKEISCFSPDTPETAAPMGEKAFEISRSFEQEQYQQDQYQAPERHQSPPP AYVSNVSSRQNTMSFMFSNSQTIQGEAAEYYQACAHELFSKTPYFECVNHVCSITPVN PVAVPAGATNTAVESGRGLALVAVEEPGVSGLEETRENDIQDSVPSTTSTKNAGLLID IDSPRPETTKSIPSGYAVRAKEVQVLSPSSEFSSHTWDSSVVDEDEKEKGSVAHGTRG GVSEARSQRVASPPIPVPVPVVAPPVEAVDRQVWEPAPPAPAPIAMPEPAPAPAPAHI PMPEPTPALAPQITTNIAPPVTNFHYTVPPPQPQVQPLQPTVQYVPVFAPQAAPPMEP LLQQPQPQEQSREISPPMLQPAPQVATPRSEWPWGVREQPHEQPQVQQPATQEHVSGF EWAYSPQGQPQGQQRGQLQELPVTQASPQQPQVAYGQAPPQTRQATLGHATQPQGPLV IQGRQSGSGWPFGLTAHPHSNAPPVPPLPQTQPTGSEAQSQQEQSQQSVPQDRQPGSE EPQAARGLSQETELSPPQGHLQPSEPISPGFQRAAGGPGSIPMSDMQAPAPAYSQHPR DPALPPRYDTQPRYDNDPTAGVTSVNPSGAIGPQEARRRRLEKEEATGRRVCNLWRGR GLFSKKSGRPGREGRTRRRWYFIICIFFLIIVILATILAITLTRKGNDTPVQSQWLNL TGYPPMPTGIATIAGTEAQLEKSTCITPSSMWSCALPKDQQDANEPYNANQPNFRVSI NFRNGTYENSTTVGSKLRIRSDDLFNPSPAAPTDAEESFLGQYTDNNTAPYAGEETPF YMSLLSPVSLSSANIYRRSETSNGTAYPNISSIIPAPEENADGTPSAAMLYPLPSSQP IRLYNRGLATEHYGFYSYFDKSIFLTSQTKSSSADTNGGTSKSNAKYRCTWSQTRLLV QIWTQSEKINRQLLPKSNDTATSTTTSSSATPTSTNSPTSSSSATDFSRPGSFPYPVT ITVDRHGGAEKKKMVYCYPIEDDGHYNITAVQLQLEDRAVGGELVNPAVGLFKGLGET KNSTIENAGGVDGGSGGCECQWVNWISSV PEX2_067780 MDPSVAHRPWEAVSGPQVTGGSTQTLPSISTLTANMPGTGATAE KSPGNGSLNTIERDSGNWSMPQSTSRRPSPSMEWERRGADHVGSSTYSTATNGTGNNY PSLSFISASQPSPNRGHPAVDRSPYPHDQSTTPSSAGTQQPSPNFGQPNSTLPSINQN YEVPSQRTSVAETTESRRSSVDSRMNQGISSLAINPASPYHSTNASQSSIVSSLQRER GIPTDMNSYRGPRYSGGSQPLSPLGPRATDQQRSFAAGRTAPAISSNPRSEIYNAEAP TAGMAYAFPDPDMARSSSVSSKGESNPPFSRKGSMAESMNSMYSERMPRGQHDLPQNV HHHHSLQHKAVRELIGEEDGPAGSTPYSRTPELRVTHKLAERKRRSEMKDCFEALRLR LPPGQTNKSSKWETLTRAIDYIAMLEKTVTQSNRDRAVMQAEIDEMRAQLNQQANASR PPSIFEHHQMSSNQVNGQGPVFGAFGNGVTQEQPRTLPPLMNGSSAAMQGIEYERR PEX2_067790 MSTTENAGQPDIDSQQLTFEINGLYILLSDRGDKSYTFHWRLYL HQSAKSGSIYHLLNDIDPTIWRFEHLPDQTVIYNQALLGALKIGVLDPTLHAHFLERL HQIPIVDSVRFRERITCRVWLKEALFALDDEGYIMLTRSVDEIEAEARNLALQNKTLG RRTVVRSCGSQG PEX2_067800 MDSCTPFQGNSDLYGLGIRLGVYLQWISAWISLLLDPHSAQFIY DTNSVFVFAIMVASIIAAQQGTAAIEIYIMLQFMLGSFVTTLSTLGMRLWLMSPNRLA KLETTTTMALKSLLSVTKAFLDFLFKKPSANLSNGWQSDLSAQNEMGTTRKPFLLLST TLRFLELCFYFFGTPVNMLWTLKPPGLSWSGVIWRMTTAAVVAAYNLIFWFDDSSSGT QQPPRQGCGPLYIFLLSKQPLNGSVVTLCRAAAIIIAIFVFPPASLLLQLTLVLLWHA LLFLYRDIIYSFNPVAPQALNSALGRINVFLEKQGIPLLYVTPFGLFGLPVATLSDLL GFLATPKADAIRFSDVIKVCVSLGTGKVTGNERENTLPTRGMGMTPGWKEASFQHRTF SSLWNVYVVLSIAWFIASIEYTIHWNYIQGVHDIQTTGQLIPFVIGCVSASQVIKRLM LFAWAKKYPDWADTRLELGDGADGPIIFKIVKMNQGNDSEEEVPRDDDMGNDQFERPI SIMQIRRQSV PEX2_067810 MKRKTDARASLDGNAMPQSKKRALSSEEAAARFRDGLFDTAEQQ KYTSEYAKSSPYLHGVIHPLIEPTLLRSVREEIETQVSFTEKETDIYKIFQSGDLANL DGLDDSSLSKLPSVLKLRDAMYSARFREYLSSVTGSGKLSGQKTDMAINVYTEGCHLL CHDDVIGSRRVSYILYLTDPDTPWQAEWGGALRLFPTTTQKDAKGEDVKIPSPDHSLS IPPAFNQLSFFTVQPGESFHDVEEVYHAREGEDKTQKRVRMAISGWFHIPQEGEDGYE EGLEEKLAERSSLAQLQGRGDIYDLPQPQPESWEEPEVEGKGKGKVEEQTEGEFTESD LAFLLQYIAPSYLTPDIAEEMSDAFSAESSLSLERFLSEKFGSRVSAYIEEQERQPLP ASADEIQAQRGWTVARPPHKHRFLYQRASGENDTESPIQELLNVLFPSQPFRKWLGLI TGVDHLTSHDLLARRFRRGADYTLASAYEGEEPRLEFSLCLTPSTGWEKQEEEEDEDE DENEDEEANGSSAKASKPKENVNDDAVEGPALGGYEIYMAGDEDEDDDDEEDAENADQ VLGRKKTKADPAVYRSAGADEDDGILFSTQAGWNRLSIVLRDSGTLKFVKYVSAAAKG DRWDITGDIGVEFEEGDEDEEEGDEDEDEDEDEE PEX2_067820 MQQQGAEAPIPDQPGTPPRPPYSPVTPVFAHLAPVASNEPSIVP PPASPSPTSHLPPQTYPNYSHTRPPPPNPPVFKPRPPPVPISESENPDAIALRSAISI LQMQKQQSLRDIQALDRMKEAAAADPEGFAHELAAGRLKTEDHGAVIQFSEDDAGDDE ENEEGSKRTNPDGSPASNFGHIPVPQNIVRMPPINWAKYQVVGEPLDRMHEEQLNRPS SGEPGRGRAPEHVLASPYRPLVDQLEGPSRLGRPSKAKKT PEX2_067830 MASKAHRAIRAKQTPSTITVYQAYSPEIADSALKAQTFVPPFSR ERMTWIKPSFLWMAYRSGWATKSRQERVLAIAITREGFEWALRHSCLSHYTPDEDISQ EEWQKKLRVSPVRVQWDPERDISMRSLSYRSIQIGLSGEAVGRYLDEWIVSVTDVTAT MRQIDGYLKRGDVQAAKGCLPEETPFVLPEDLQEQLRMK PEX2_067840 MEKHHPISLSFEEILALKRSEGITDYEAWRQAMVQYSQQRSEHT IVTTLATTSSEIPLSESVLTLKTATPPGAPTIHLNQLPVNAAGENHILSTCKPDDPSA KERLRENTKLLVDSGVAEKLSIDRTLDPPLLEQIKISNVADSDTDTETTQKRAQEAHT LYWDAMAAADKTIGRRL PEX2_067850 MANETQLLDRLSVEEKVQLLSAVDWWRTPVIKKDDVFIPHIKMS DGPNGARGESYVSGITAACFPCSTCIGATFDVDRVHQLGEEIAKETITKSANVLLAPT MNIIRSPLGGRNYETYSEDPYVVGTLAAAFVNGCQSQGIAATPKHFVANDSEKRRTKM TSEVDEQTLREIYMLPFQLVLRDSDPWCLMTSYNKVNGEYCADSNRLIEDILRKEWNF SGVVVSDWLGVYSTAKAVNSGLDLEMPGPTRWRGLKLLKEIKSSAVPIEAVDRSVERI LALARKTGRFENPEELPEKSIPDDDRMEFIAKLAAEGAVLLKNENGLLPLKPGTRVAM IGHHATIPSIGGGGSAKVLAQHTVSPLEALEKSGLQCRHSPGVPVHATVPHCKPDVIS AIDDTALGQRDLKDLPILLEWFNGSAVGRNKVHEQRIAVAEYMIKETWPEFLDREYCT RMSFALSPHSDGLHTFSVITTGVAKVFVNGEEVFHRVQEPILQPESFYFYKAKIERKF SLSLAKYQRVKIDVHSWAADADVLGRVEGTVFQGSSLRFMEYFDIPKAIHDASIIASE ADVAIVFVGNTNEFESEGYDRETMDLTKDQYLLISAVAAQNPKSIVVNFSGAPVTVNP FIDHVPVFLQSWFAGQECGNSVAKVLTGVVNPCGKLPMSWPRKNEDNPAFNNFPCDDN DVLRYEEKLRVGYRFYDAQDTPSPQFPFGYGLSYTTFELFSFKITSAEFGEPNQTKVT LACEAGNTGSRAGKTVIQIYVSYNGTSTGAQHPRPPKELKSFRKIHLELGERKGTEFV LDKYAFSFFDPREGQWKLQEGPYTVHASFSSAETPLHVELNVPKSHYWKGI PEX2_067860 MSRQSTEKELPSSSFVEKATSGLDADTLDVGQHIQKLADECPPF YRNRNLFILYLLMIPGCLVPAITLGFDGAMMNGLQAVPSWDVYFNKPRGSLLGIMSAI LPLGCVLTTPFISIVGDRWGRRTGIFVGSIIMAAGGIIQGTSVHIAMFMISRFIIGIG IVFANAFAPMLIGELAHPKERQVITSLYQTSWYIGAIIAAWTTFGTFSIPNNWSWRIP SLLQAAPAFCQILGVYCLPESPRWLIAKGRAEEAKAVLVKYHANDDVSSEFVSLEFDQ MRDIIEAEINNETGWSAFFTTPGNRKRLLIIICLGVFSQWSGNGLVSYYLVRVLETVG VTETRQKNILNGSLMIFNWVTSVASAFLTAHIKRRTQFLISGFGMLAVFAVQTLCAAL FNDYGNVTAGHVVIAMLFLFYFFFNLAFNALLYSYPVEVLPYPMRAKGFSLLMLFGKA SNFINILVNPIGLQAIGWKLYLVYVAWLCVEVVVIWRFFVETKGPSLEAIAVLFDGEH DKSKNEKKEPTEKEEKEA PEX2_067870 MATLLSDDFSLPPRRLADWLLDVYFNSNHTFYPWFHKESFIATY EGLWTNQENNTSKNLPDVGLGGLDCPPTVFNCALNAVFAIACEFSNMDPCDKRTSSLM FYERMKSLMNIDILDSGSLAHVQALLIVAIYLQCTPYPKRCWNVIGMAHRMAVGLGLR NRRQFSHMTALEQEIRWRAWCACVQMDVIISMTMGRPPMTSDHSRVPLPSPIDDKYLS LDKQGSRQPEGTISTNQFWHQNMKLIGILWKVLLKIYHSEDDSSDEEHSPTRGKNFKD VMEIDKALEEFESSLPTVFAWKLPNITSSNRTFRRQSNVLHARYLHLKLLLYRPTFSA YCSATMSPSDQAQPGSWSIIYRQNAALRCVQAACDLIHSLSKATAEDATGAWWYGVFY LISAGVVLVLTESSGADFSGITPVQLEDSWNECIETLNRMIGVHPSARDYCIALDELR HSHSAKLSRRPDQYRASQAPADAAGQDEINQGPGSLMQPDHTDFGVPDPFFRNWDTDI GDIMLPAQFLQNLDEDILLPTLF PEX2_067880 MEAMAKGLKEKPDSFPRIFTCPNEMVALSMADGYARVTKQPQCV IIHVDVGTSGLGAAIHNASTGRAPVLIFAGLSPFTMEGEMRGSRTEYIHWLQDVPDQK QIVAQYCRYIGEIKTGKNIKQMVGRALQFATSDPMGPVYLMGAREVMEQDIQPYSLNA EHWKACEAAALPEAHVEFIADHLVNAQEPLLITGYSGRDHDSVEVLTQLADAVPGLRV LDTGGCDMCFPADHPGWLGMRYGSDPSIETADVILIVNCDVPWVPTQCRPNENATIIH IDIDPLKKLMPLFYLPAIRRYLADATAALTQVSSFINSSPALQAALKLPVQQERLSSR QESHQRLIKTLDERCDLSTDSTGFNASFLCQKLRELVPDDTVFAVEAVTNSVLVADQV RPTGPGQWINCGGGGLGWSGGGALGIKLAVDALNPTKKPLVVQVVGDGSFLFSVPSSV YWISHRYQIPILTIVLNNQGWNAPRRSMLLVHPDGHGSKVDNRELNISFEPSPDYSGI AKAASDGNIHAVRVKDLTNLVPVLKEAIEIVQGGTSAVVDAHVL PEX2_067890 MLRRLSAVKPQQKLQPAARRQRSKASSILLNGSSCRAYFHGTSE PRSESVGWFKLLLAAAGASVTTASLIYTLKQSPPNEKTITKPKYATLENMEKAIAEIQ QELGEECISTDDEDLKMHGYSEWSSVNIDRLPVAVAFPESTEQVATIARVCHKHKVPM IPYSGGSSVEGHFSAPFGGVSVDFLNMSKVVAFHPEDMDIVVQPSVSWMALNEDIKES GLFFPVDPGPPAKIGGMIATNCSGTNAVRYGTMKDWVVNLTVVLADGRVIKTRQRPRK SSAGYNLNGLFTGSEGTLGFVTEATLKLARIPEETGVALTTFPTMRDAANAATQIVRE GIPVGAVELMDDVQMVVINKMGSTGRVWKEMPTLFFKFSGTKAGVQDNVESVRKIIDQ NRGGDLEVEKDKSKQAALWSARKEAFWSMLCMRESGHEAWSTDVAVPISRLPDLVEMS KKDLEKLGLFGSMLGHIGDGNFHETILYDGAKEREQVSKCVHDMVHRAIEMEGTCTGE HGIGLGKKEFMVKEVGEGSLAVMRGIKNALDPFWLMNPGKVFDP PEX2_067900 MLGRSLLLAAAAALPVHVIASSVVRIRDIAYYVPDKVEVDKPFD VKEPLPITVVTSTKKSISAAWLNETIADFKAKDDVYSEDFLSAFYFQGPEGVTLASDA RDLVHNLKPHLVKFSHSSSHDALPDGPYFATRYGLHRAWRLYDDFSNSFVLASVPSDE SSDIYEPLAANSGNQLGVISVAVPSRLFYTPTAEKPLAGYRVGVKDEYDINGLITTYG SRAYAKTYPPANQTSGVIQNLIDQGAIIVGKTKLSLFAGALFTASEWADYELPVNVRA DTYQVPGASSAGSGSSMAAYDWLDNTIGEDTGGSMRFPSALNGVFGIRSSINSTNNTA TKFGPFDVAGHFARDVDSFNTFGSAMYRGSGLKNYTKFPTKILYPQEYWVNIAKNYTA PGEEYVQKLEAFLGVNRTIVDTNKLWLKTSGHGNVSIADYFKDTYTYVQNSYTFSNDF KADYYEKFGSYPYMSVDTVGSNSTEPESKAKAKLGKDQREEFQSWYRKYFLGSDDETC SQTIVVFPFNGNGGVPWYRDATTKDSAGGFAAAPEGYLSWNMLSVLNGSPELAVPVGE VGYTSKISLVEERIPVALEIQAAYGCDLMLLNLVRELAYNTDATKSVKTGRFLF PEX2_067910 MLEARFAVAASTGAFDFTPAFEDAVLSIVPSALFLVVALQRLFW LARQPRKVAKSHRPIGLIGVYTALQLAVLVYWALNTGKWPFPQLRTSAAVLAFVDGFL LLFLSYAEHARSVRPSTIINVYLLFTLLFDCVVARTLWLADHDSTISGLFTSTVAIKL FVLTSETWEKRPILLPEYQHLSPETTSGILARGVFWWLNSLMRTGFARSLTDHDLLPI HDSLAARTLLPKARNSFGSSNQSNRHSLAFSTLWATKYIFLAGVAPRLSLAAFKYTLP FLITRTTSWTADPSQSDAIGWGLTGAWLLVFLGQAISNGFYYQMTYRFVTSVRGSLSS LIYTKTLDLSSTALDESMAVSLMSTDTESICQSAATLHELWASPIESAVAIFLLHKQL GLAALAPVVVAIIATTGMLQLAQFIGMAKKRWMRGIQTRVDVTASVLASMKDTTLIGA LQEVKMLGLSDIVANMIQKLRITELDLSKQYRRLLAIQTFIAMNTATIAPLATFVTFV VISKSTGQPLNTESAYTSLSLIYLLSDPMVVMFRTIPFVSAALACFSRIQTYLLSESC TDYRLPLGERDHYPSQDNEKGDTLVAISGASFGWVPGKPDILNDITVNIRRSCFTFVV GPVGSGKSTFMRAILGEVPLRAGSIHANPGNIAFVGQEPWIQNLTIRQNILGSASYDA EWYGKVVDACGLEQDIGELSDGDATRAGSAGFSLSGGQKQRLALARAVYSREKTVLLD DVFAGQDAGTEEHVFQNLFAEAGLFRQMSITVVCVTNAIHRLAYADHVVALDVTGHIV HQGSFAQLQSDTDYLHGLAVEQNGAIDARDTAKASVQHRHETVPKSQSEQDADQNSAN SESPGRVLGEFATYAYYFRSVPTWHTILFAALTILYAGGFRMTALVLSFWTGTAQETG QATNNYYLGLFGMLTGLAVLGITGAAYFFLVVMVALSSKVLHGRLLHSVMNAPVAFFS RTDVGVTTNRFSQDMAVVDTELPFALVDFCVNFTLIVMSVILMCVFSGYFAATLVPFV AFCWLLQKFYVRTSRQIRLFDLEAKSPLFTQFLDLLQGLSTVRAFAWGPRFIEQYLDL LDASQKPFYLLFCIQRWLGLVLDLMTAVLVTVMMVLVVKLRAQLSPQYVALAFVQIMS FGQSLAHVIQDWTQLETSFGAVARVKTFCTDTESENRSDETGTVPENWPTHGRVTIKD LVASYDAGGGGEPVLRGVSLDIPAGTKVGICGRSGSGKSSLLGCILRLLEVSPGSHIT IDGIDITKLPRQAVRAAVAVVPQHPFFLKHTSLRDNLVVLRRQQHRQEHEQQEQQQFD DGKILHVLGQLKMDDLVDRLGGLDSPLDAERLSQGQRQLLCIARAMLAGKRIILIDEA SSNVDERSEQLIRDVMREQFANCTVIAVAHRLGAVVDFDRVAVMGGGRLLEWGNPRAL LKRDSKFKRLWDLGAN PEX2_067920 MRFVPQMSLVLPMAIHGILTIPGVTAHCSDGLVNVVFNSNYSPS QFSQMPGASNWLTFCFGTQPKQIPMLGNNKDAVDKAIAVVNGPNPPDYLLTFNEPDND YKTGKVILNPKDAADLIKPLLKSPGNHTEFIAPVPAHQMST PEX2_067930 MTTLFTVPISSTGGSIICTNPSAAKEHETIYVLTFSSPPDNRLT PIFIDALLLALDIIEHRYPKGVVVTTSGIVKFYSNGLDLDLVGKTEGFLENWLWRLFR RLLTYPMPTVCLLNGHAFAGGFMLAMYHDYRIMNPDRGFLCVNELEFGVPLQTPMMAI FREKLTPVTFRSVVLEAHRFGGRNALQAGIVDAVGGAEEALALIQTRKLQTKAATGIY GTMKEEMYSRVLSGLDDHAGNLQWREKVEDKNHAVRERGLGSVQEFEKNGKAKL PEX2_067940 MAEIPENRPVRFTITHYRQQRHSHEAFMKWMVEEHLPLAMPVFT KYGVIEYSLFVTPPPMNDALKQEIGQLRQSWDFADFDCFIEYTLPDVETIKKIMGDPE WLIAVKDQEDWVQVSKALVSLGYSTPYVLNGKPVNLSK PEX2_067950 MANSTPTLQQAAVVQNPGENGTITLQNDIPVSSPGKNEVLIKLT CTGLCRSELRAVLAWGAYNSIIGHEGVGTVVKAGADVSESLLGERVGVKWLYSACNEC SVCKRGFPHNCALQLNTSRHVPGTLQQYVIADARFLTMIPEGLADEVAAPLLCAGLTM VGALSKLDNELQAGDFIVISGSGGGLGHIGVQIAARMKGLRVIAVDGGDDKRALSLES GAEVFFDYKTEDVIARVREFTGEGAHATIVVPGTKEALKMAPNLVRNMGFVVNVGLPP NDLDIPLSATLCTARGKMAFGCDLSVEIIY PEX2_067960 MAKQTYYLPRGSRILVTGANGFVGSNVIHSLLELGFRVRGTVRS PKPWLDEMFRERFGRDSYESVILANFEDVDTLAKFMDGVSGVAHVASDVTFSSNPEEV VPWVVRATHNVLEAASRHSNIKRVVLTSSAVAVLIAQPNTEGIVVHEDSWNDEAIKQA YNPDTPEYLKGMFGYAASKTEGEKAAWRWMEKNKPSFQFNTVLPDFTLGRILHEEIHG STSGWVAALTKGDKRIFETFVPQYFCDVIDIARLHAAALLDPNTVSRRLFGFAAPVNL TDMISVVRKLRPNNTLIPEPPVDDGRDLSEVIPAKEAERILREFCGREGWTSLEDSIA QGLEVSRKRLASL PEX2_067970 MQTRSKTQNQPSQPPKQAAYTQSSNPVSHTPQEQRATTEPGRHH GDTIGAIKRTAESKPSSDEERARLSSQHQKHEQELHNATDVDLEYGVEQQPAEGYIAD TVQRKGMGMQRAQAGAHASPVGSAGGPGHPGFGEADDLAANLGAKRVEHDRVLGDRVG KSPADPESEGEVVRRRKLEQDEKLDVEGAVKQATGDPVVGK PEX2_067980 MPLKIKFSRRPDPPPAEEPAAPVPPVPAPATPVAEAPQPPRKIT LKIARKPQPEPSEEKPKKKKSTKKRPAESLALPEPEPEPETSQQGPKRIKLIPSKKPA LQSIRIKNKGIVPNRPVGVGYDSEASDTENDPAIEEQFILRMLPGEDCDIVRKSISDR TFKTGEVGLKPLTREGRRSILNVRGRQYAAALVDLPCIVEGMKSWDRRGWYKSADICQ MLLVLGRVNSDQEALEYPLPPGVDSPDEKTLQYAHGIAPPLRWVRKRRFRDRVSTRTI EQVEKAVEELMAQDETSIFPPKFELVDSTSLNRVEGLVQTGEYEDEYDDEQDAYGEAE EEMMDDFEDALAAEMEAALAAGDDEAPGPGPEQMDTPSMQPFTPAGGQTERGDTSADE SNISDEDEEDELDDDQIEQQQQLQQQREEVAELEALIRTETAQWEKVQNHILRNKMGR RIQELKKDLQLKKVSMGMPAGDDI PEX2_067990 MSGPNLHNALLRPPIIQILRAQGFHSTRPSVLESIQDLTGRYLI ILASSAAEHAANAHPYDPVPDLEDIYQALQDAGAIRPQLREWEEEWQGEEDMRGLDAF LSWITGPAHREIRRVAGFVPSEGDMVDPDAVEKEDYLTALKKKHSKTGEESRYAGTVL GKHAEEHPVVIEGGAPSIQDWGVQVRARASETTESDSSGVSSAPSHLSDDEAMGA PEX2_068000 MKSWISLFLLPLTTIVGVANAATEYTGTDCNPLENTTCSADPAL GTEHTWLFNSTLDTDLWDMRTGTLDYTSEGADFIIKTENASTLLVSNFYIFFGVMEAH VKMAKGAGIISSVILQSDDLDEIDWEWVGYNTSGVQSDFFGKGNTTTSDRGGYHAVSN ADTEFHNYTSYWDKDRLEWWIDGDLVRTVNYSEPLTVYGKNYPQTPCQVKISNWPVGV KGQTEGNLEWGGGLVDWSDVPFTMTVSKLRVQDFHTGKEYKYNGTTGTRESIEVISGN STASTQINKTPAKSLSEKWDDLGQGAHIGVYCGAAVAGALLLGAFIFFCLKQRRSGRL QQALDDGQYSAELTTLEQSKLRWGQSELRSKGSYQPVP PEX2_068010 MQYRLIFAALAATVSLVLAQNAPDCNAFDKTCPSNKGNTKGHIN YDLTQTSALNDWTTAGGAIVTGPDGAEFTIHKQGDTPTIITDYYIFYGEISVEMKGSP GTGIVSSVYMLSDNNDEIDWVSSNLQCISQETYMLIDIQEALGGSTDKLQTDYFGKGD TSEDYNRWTWQPVTTPQEVFHKYTWIWSKEKLTWAIDGTVVQTVNYADAKGGTRFPQT PMRVRIGIWAGGDPSKIKGTIDWAGGETDYSKAPFTMYVKSVEIVNHTPAENYVYSDK SGSSDSIEIHGGVSSGEISSSTSSDTSTASDIASLTSSSTGLSTATSSLASSSAKSGT ETTTDLVTSTSYLTALSSSILSSAFSSSTGNSSNPAGTLPTVSSAEPKSTGLSSNSTS AASTVTAASSTSKSSTGAAISPTSSFNSACALGSGYLALLTLILGLILV PEX2_068020 MSRMPIFEPGLNADYIKIVQIVETHIETLTYKVWRRREVIDQLE TLCRELVFQATCDECKPRSHLLGYAQFQRFSMTLRLCLPEAILVLESDGTSYQVSNRA FRWMREDVIEHRAACILKEALTAAQSTDWYL PEX2_068030 MVRPRRAAAQKPQGHYAASTSPTKRRKTSSTASSARKKGKKRAE AQYEEDSMGLPVPTLAPPPAPATQPIPVIAPQPLDPTALALDLNTSYEGRIPQSTPRL PSRQPRWRQPATNPILFKEHTPKGWNDKEPDLHPDDLDAQIARCRERIDDNILTRVFE FKLQDLLKEKRRRDEMIALAPAGLSWAVVQRLESLQSTLEWLQSENDKYELVGNVTNI IAAYRSGQLRWNQGLVTYWSRGAQLCQPRPFRWAEFDIINAEHAGHTGFWVEGDQLQD SKWQNGCQPQKNVFVFNLI PEX2_068040 MLSGLGSDISSDSPVLPSQTPQFTMVYAFILPTTSPLSFQSFIS SATHPSLPQSASTARHALRLALKAHKRLPRGPRQDAHLPTVLSALNEYIPFLFAISNG LNGRSVPTDAYSSAPQDGYTSGGTNLRAGEIVDITTRAEIESAWRYTLSSSSGLNFGS SGNNTSGNGIRTRNGRVSGRGIHFELAFTLTTLGYVLSRMARAGVVAVLYASSTPSAD VRTTAVQTATRHLLQASSVHNLLASSPTFTAATVSTVPDLEAATQSALSSLAMAEATL LAVLKDDAYVAACIQSRNPNDKEWMVHAPQIPKVRALLFARLCVRAAEYAEQAAAGLG AVGSTSGRAGRVDEDLVRYTGVLARVARARACRFFGVDAELSGKVGEGIAWLRAARTP LGLRGAGSSQEDAAGSGGSTKGGLSRLKREWTERREDRRATQDAGGSRSEKGPLDAGD DAGRNEEGRVLAMLETKWAKMNDTMNTQLIPPSAPLLSNLPSGRDIHSPPAPYQPPSL DQDQLMRMRAPPDERDDIQFGSDDDSEDDAGQPDSRGPPGAFPDRSATASSVYY PEX2_068050 MPTAESAAFLAKKPTVAPTFEGVDFEDNIAVHNARDAIIREQWV RSMMARLVGEELGKCYAREGVNHLEKCGVYREKYFELLKDSKIKGYLGQEKNRFGGES A PEX2_068060 MTLSRSPSPHSGGGWSSPGLVPASGTTSPNGPSPGSLSPGGISW AAAKAKSDEVRGYPSFATRNSGFFSRQRHKISSRFPSLRRLSSREYVDKDDYGRELKP AGSGCGLLGGLRMPVRRGRLRLLLAFLLVWIGYLFFWTSIVQTYRRSPIGGGSKFVII LGSNVEGGVMEWKGAREWAIERNSIWNKKRYTEKWGYDLELANLLAKKRYSHEWRESW EKGDVIREAMRKYPDAEWFWWLDLNTWVMEYDTSLQHHLFNDLESHVYRDITAYNPLN ITHPLPEFWLDELGRSFEGDGQADSLNMLLTQDCTGFNLGSFFLRRSLWTDRLLDVWW DPVMYEQMHMQWEHKEQNALEYIYQSQPWVRSSVGFLPQRSINAFPPGACGDGDNSVV HYQQNAHDLIVNMAGCMFGRDCWSEIYYYRELSNWLGRSGWERFRDGLTDVYDRMMGK EDKDD PEX2_068070 MEATQESTQPCADPRRMGMNNSGIQDQDLADIICILHPNSHAAH DAVGATGGMCAQHILQRDILEHESSHTATLDLALRLSSKVHNLGVGFCFGRNRSRCDI LLSVDDDAKRVSNTHFRIYLTEDGILMLQDTSTNGTIVDNCRLRKSQKDGNSRMLTNG SVIQVITGSQTSDEVRFVVRIPSREGFAVQYHQNLFSYFKRVHAHTPAHKERQGPSAL AWSSANAYGMHWTGGTEYNVTGQIGKGAFATVYKIATKQHGAIYAAKELDKRRFMKNG ILDQKVDNEMKIMRDLTHPNIVQYIDHHEHDRWIYIIMEYVPGGELSTYLQTQGRIAE EMVRTIARQVLRALHYLHKRRITHRDIKPDNILIASLDPLRIKLSDFGLSKVVEQETF LKTFCGTLLYCAPEVYPDYDQYRRGELRKRRRVGDPPPKTSPYSQSVDMWSLGAVLFH ILSGVPPYSGRAEDRGVQMLRTIMTCDADFDALRQAGVSESGIDFVTQLLNRDPFSRP TEKECFQHPWIAEVPDVDEYEDDDDLLYDHQDGLSIIGEDAEDELDASQLSIAEGPGY AHEVDGEEGRSSEGISKRPRTEYIPTDVRYPSLPNIESFQDGQAVVDNHAKRLFGEVS ASALRSSHALGNLDSYDANNFHVDFLSSGESMMSDDPNDSIISLPAVPFGGTAPSLMG AEKLVGQLNMNSLNPTPQVKGGPVNRSSLRQTTPGHIKSTIPASTLNRNSVPPTSSPE EQPASSPQEPTPKAKFTRRIDLALAIPDTASEASSDNSAQNSRRNTAPNDPSRPSKSE YDPEFATTLDAQTGQAILDRARDEESDSSEPIVHRPKSPPIPSALSDSEFAKPPKRYG KLKSLPGSIFDLTIYLEDRLTSWGRGPLATVKHADPMDTRIPAYALEVTFWTPGIEAS IAAGESWLDIPGVMAILSTKARSGIWVNDTLLRRGSMTEDGPEALQFGKLYTGDIITV YQNKDKTKFLKLQCDFTHGESAQPRPGHEAGFMVRQALMAKAGGAANRMPIRPQCKDR DQIEI PEX2_068080 MALINDAAINATMRAVVWQGNAYNVSVVDLPKPTIINQTDAVIQ MSRAAICGSDLHIYRGTNVGQPPPFGLGHEGVGYVSEVGSGVGSLKVGDPVIVPFTVH EGHLHSDLTSNMYAGYGGGGQLGGMQAEYLRVPFADNGLIPVPSFEYTNSTTNQSISL ANDYLMMSDIFATGWAALDFAGFEAGDTVAVFGAGPVGLMAAYSAILRGASTVYSVDY VTDRLELAESIGAIPINFLDSDPVTQILTLEPNGVARSVDAVGYEQVNRNLTVQSDVI IRNMLAVTSPGGGMGTVGVYNPESNNTATAPRASTVNTHFNFSLTDFFFGEFKWGAGP SKPIDLAPQLLHLISEGKARPGFIVSDVIDIEDAPDAYARFERHDAMKVVISFGP PEX2_068090 MNRLINPIRRSSSALRLTPPHARTNIHPIAMAQLSTDALARASA VIGTSDKKPTAWHGAGAAEFDLRSDTMTKPTPSMLEAICQTTLLDDVFNEDPVTNELQ SYVAEITKHEESLLVLSGTMGNQVAIRSHLAQPPHSVLCDHRSHIICYEAGGVSAWTG ATVSPVIPKNGVHLTLEDIKKHAVLDDNIHYCPTKLISLENTLDGMIMPLAEAKRIVE WAHANDIKVHLDGARLWEAVVSGAGSLPEYTSIFDSVSLCFSKGLGAPIGSIIVGSHE FIKKARWFRKSIGGGARQTGVIAAAARVALTETFGTDPKGQTGKLAATHKKAKQVADL WTSRGGKLEYPVHTNMVWLDLEASGVGPNDLAEIGQEKGLRLMGGRVVVHYQVSDAAL ARLEQVFDIALKGDFKRSEDTSKPYGSK PEX2_068100 MDLSHLTRPGILCQCARCSSSLAALENEWAKLSNAYSIPTAWLS VDLHRIAVSSERKQIPQTSDMTLLRGRVIQEVSCKLCQQRMGVLCPLDNGMNILWKMS KVAFREIVTMRTVQPVFKQGALERLICPPKEPPRRTPDLVQGSALVPAGCTDPTTLDP SMQKQMLHQGRSIDQISNSVNHLQDTMSDLKHSFTALRIELNGPGRNLGEGSILQGQD FDMIAMVLKELKSKSDEIEKLKLEIEALKLKNRYMGVTQSHQQESLSIMNMNAALPEV RSPGLLQAGRKRNWPDAFSSDRSQAIADSFDEDDMVDEMSLSDPPMYSSRVPLNDQRQ PAITNGPLAEEELRSLEVQMPARQPQNTSSPLQTQPHTLQQTIAKRPRIGAPPEDNPQ AAPPQPQAVPQRRPPGRPRKSISHPTIPDSTESPSTAPSSTQGDFESNGQQNTVRRRT SRRSLRAQSLGPNINHELSQEDQQNSQELAKAPPETHPAPNKKSKRNTGSPNGKRTGG PEDDGDEAAMNEKRKAKVAARDVMAKMALQHEETLEAENAR PEX2_068110 MPALYAALAQSAVETAPHQLARRGLTVNHTQAVTLGVMAAYVVV IALLWNLPYVRWMLVIAFHEFGHAITACCTGGKVKSISLDPHEGGVTHMQGGMSAITL PAGYLGSSIIGALLIFAGFNIVASKVASIILGVCFLLTLWWARRDWLTIVTVLLAVGL LVGCWFIAHGEALRWVVLFIGVMSALYSVWDICDDLILRKVNSSDASVFAKRYGGSSQ CWGVIWSLISLAFMAIGIVGGIAAFPQSFSQQESDSKNFISTR PEX2_068120 MSSLGRTTLRWWFKMLSLQRQSHLSWHRDRLREELRERRLAETG WQKLSETSDVLFSITRAQYDGFPTRNPSCLSGFHSSPIYMYMLAKYTSRWSFFKVAAL LCNARHWNLVHEVVNPGKDHKLTEVASRHMIDEKTFQRVSGQLRRIWPLFP PEX2_068130 MKTYGRMPSTQVELTGSLKPVVISLYGLPGSGKTSRLGELKMVL GNDNFEYYDGSDIIAAVTPGGLEGFQNLIKEAKSELRELAIARIKQDCCNTGKSAIVA GHFMFLAEQDKDGQSVCTPGDLATYTHILYLNVPAERIVEYRLNDTKWSRPSVLISHL TKWQEIEQSQLRDLCRCHDILFVLVSPLASTTKLESLIQDFRCHTETHNMFLAKQRME DILSSCLAVETVIVMDADKTLAEEDSGVLFWEQVHAASSKHEKSGPLKALFSSPLGDI LNLLHLVRDTRHVRVVVVTCGIRSVWERVLGREGLSSTVKVIGGGRLRDGLVVTPLVK AELVTYLSTVRNLYVFAFGDSPLDLEMLQAADQAIVVTGEEMTRSNKSVLARRQSLHV LHATQRPAAKLLMTPMRDASISGPALREVHRRVGFYLATEFCTQIIGIESNPIPHVQG HQTDGYGLLNEKSTLIVPLMRRGEPMAFGVNDAFPLAMFYHAKIPSDLQDDHLKNMVT IILVDSVVNSGKSILQFVQHIRTLHSTIRIVVVAGVIHSQTVSATRIARALGRFNGLS FVALRLSDNQFTGRGTTDTGNRLFNTLHMA PEX2_068140 MSAASEAPEIKVRNSKYTPKSEFQVSTSDAPLNAPNENSEHVII IGGGVSGLLVAWMLLDKGFRVTILAKEWARTGDFSEPRLTSQIAAALWEMPPGGCGLT EIESPGKGWATVDHYREWAMQSYNFYTDYVKIPNEHERGGHSFGLSIAKLHQFFYEDV ISECTDKTSPTYEHHAKYLDVNKRIKGTAAYTDKTAIANKFNSSLINLEYGGGKFKTG YTHDAPILNTDKSLAYLMALVQQKGATLETRAVKDLRESGQQLLTDYKADVIVNATGL GAKDLLNDQDVYPVRGAIRRIDNVHHSQFRHLNDAYLVPAQIAPDGLPSKTVFIVPRN DDILYVGSIIQPHNDTMNLTPESPEVLQMWDRAGDFMPSLLHAGLVNHFPFGQGLRPF TKKNVKVRADEECAFPLVHNYGHGGSGWTLGVGTAHSAVFIVDKIFSDRIDLIEAVES LRLKQTETKDLIEIINSNGDLNQSHQQILSQAVVELPSAHPFKNRGLELIEALDHAPK LSVASAKVTNKFIYGSA PEX2_068150 MIGVLPIFLAEIASSTYSQPTTETRTDTNYHSDTGVLKFVNPLI GTYGVTPDGNGGMIPSVSPPFGMTRWTPQTRENFISQVPYGDSDRLIHGFQATHQPAI WMGEAGQIVVTPGIGEVQPLFQNRGLQFRKKDERSTPYVYEVLVDAAQLLDRNWNATA EAVGDGPVPGGVGSVPDEVKEGTNGRTRKRQIESVLGNTDSDEYERSIQVAMSADSHV GHLRFDFQHSSTNVDGEGEPWVFIQASRMNWTGEVHIDANSQEVYGYNTERQDYLLGP DKASSFKGYFVSRFSEPFTEFGVTNGGSVINNEVQREGNLTGAYVKFANSTSRVEVRT GVSYINVGQARNNLDIQTPDEHTFEDTVEKLKSAWLGKLGRVSIKGINKTNPDNDPRT IWYTGLFHALQYPSDFSEPTSNQDGAPRVFYSGYTDSIHTENDSYYQSWSIWDTYRAE HSLLTLFAPERVNSMMRSLLRIFDWTGWLPMWANLVETNIMIATNVDVVLANALERGF SGFDIGKAWKAVKKNAYTPPDHDTDTLYYDREPGTSYEARAGLTSYMKHGWVSNDGWS EAGSRTLDYAFNDYACSVVAAHVGEDNATVQALKKRSRNYAYLWNNETQFMQARNANG TWANNAFGWTEGDDWVYTFDVMHDVEGLAGLFGGRKAFRDKLDAHFRGGHNDHTNEPS HHVPYLYSALGYPSQAAEVIRDIAWANYNTTSGGLGGNEDLGQMSAWYVFSALGFYPV NSASDEYIVGTPFFEEVSILLPSGASSGGESNGRERRLEISAPGAPTKPYIDGLKVDG KPINAPILKHSQLVNAARIEFKMSATPTSWGSSPLWAT PEX2_068160 MGLPSPVREHTEQLPQYDSSDNDSLKYTTEIGGNTSKATYQEAS GAPVEVNSPLGYSVGWVTVVFLNLSKMIGTGVFSTPSTVLKGAGSVGLALIYWVIGYF MASSSLSVYMEFASSFPSRSGSEVVYLEQSYPRPKYFFPTVFAMQTVLFSFSSSNAVV LAQYLFKLADSEVTPWKLKGVAVACYTVAVLALAFHTRWSLRLANAIGLIKLVTLIFI GITGLVVLGGHTSVKDPKANFRNAFDGTSGATAYGATNALTKVWFSFAGYENAFNVVN EVRNPTKTLKWSAPLSLGVTAVLYILANIAYFSAASKEEILKSKVVAAGVFFEKVFGD GGAAKALNFLICVSAFGNLLAVLIGQSRMLRECGRQGVLPFTSFWTSTRPFGTPLGPY LVKWSLTVIMILAPPAGDAFSFVVDLGVYASSFFGSVLTIGLVVTRIRRARLNLPPPD YKAWHVTVAFAILSNLYMVVMPWYPPSTGANGGDVSFWYATYCAVALGIIGLCGVYYY VWIKLLPRVQGFKWEQTVLKLDDGALAHRLVRVPKRNA PEX2_068170 MFDSLAKSRRRILETESNNLGWPLLRMPVLYHPDDEVGKAISYQ SFYLDSYFYVAPVLDPGCTSVNVYFPAIIKLSLTFGLAGSTMVA PEX2_068180 MPLRQLYPDPAAAKSTQVDTVKVDIITVHGLNPRSKNDADHAWD TWRTPSGNSGRLWLRDDLPSSVPESRIFLYEYDARAVYGKDKVMGGLLIKQALINAHN NKKYTSIKDATTGLAFFATPHRGGHDMLLSLGGVAANIALYVGLKEGDNVIETLRKGG IFSDIMQEHWRHQLEEYNIISFWGSLDEVVPKESSRIGLPGDRENIVKLKADHSKVCK FGQSQTDQDNLKLVQSNIEDIYEAALKKLERQRKQDRSILSWLTQVNYAPQQRDLIGR RAKGTGQWLLNSAKFKSWRDAKNQSLFFPGIPGAGKTILTSVVVDHLNELFQNRRTGI AYIYCNFKRQNEQKLEDLLANLLKQLTEGQFFLPDDVRDLYDRFKNENTRPSIDHLSR ALKSVAILYSRIFIVVDALDECQLSGGTQETFLSELINLQERCKANLFVTSRFDPNVT TKFDQNTWQEIQADKGDIERYLESNMQKLAVFSDWTEKLKEDVKTEISDAVDGMFLLA QIYLWSLEGKTTTRDVRSALKRLRRQIPGSTEDQKLEVLNQAYNETMDRISKQIPAHF QDLAKKTLSWITCAKRPLTTSELQHALSIKDGDTQLHRDGFEKIDRVISVCMGMVTVD EESNIIRLAHYTTQEYLEKAQDLLLPDAEVDITNTCLTYLSFSGFQNAPRKKMTELEE SVQSNVLYEYAIYNWVYHAREAPASSYRVVDYLNGQAQADASIQEVYFANNCYGWDCE FKFSGASRLHIASALGLEHVVEFLLDMNEAALKDARDRTPHSYTARNRHTSFRTQLLA KVASQTKLVSLFKSNPLDLKDNTGRTPLIHAVYAGCTPIVTELLRNCANTNLKDTRER TALSYAAEIGNIAIVTELLANGAMTDVKDKLGNRPLTYAAEKGHTAIVTQLLAKGAMI EVKDKFGRRPLSLAAKNGHTAIMTELLANGAMIEVENKWGNRPLTFAAGNGHTAIVAA LLAKGATIDVKNDYLHTPLDYALKRHHNAVVQLLQAAKR PEX2_068190 MSPETPQDHAEQQAQDCTNALKNETGQATKVQNTARHRLPATLE CDERNRDEDQPTQGRTANRPINRNNQSSKTDTQGLSEERGTEHPSPSRREDPMEGSEA QRAQTKPSNRNPGFDPPTVFGAKETQAEVYSVAGLHADERAPYENRGAVEEAGDDLTM QITHLLLNLASLQAAWAIPAPDHSLQKLLQVPAGHPAELFGQQPISRDPPFSPGHRDP YDHKVDSVGEDLQPLPFRNGDGATVMGPRNRDRERQNPDLVRPPSTDHGNMPNMRWSF ADSHIRIEEGGWTRQTTIRELPTSRELAGVNMRLDRGVIRELHWHTEAEWAYVLAGKV RVTALDLEGATFMDDLEAGDLWYFPAGHPHSLQGLGENGTEFLLIFDDGNFSEESTFV LTDWLAHTPKAVLAENFRMSPKQFDNIPTSEKYIFQGSTPGSIDQEKPRTFKKSKINF THRMHAQEPLETSGGRVRITDSTNFPISKTVAAAHLEIAPGALREMHWHPNADEWTYF KKGRARVTIFAAEGNARTFNYMAGDVGIVPQNMGHFVENLSDDEPLEVLEIFRADRFR DFSLFQWLGSSPQRMIAENVFPGDAKAAAEFLKKIEDAEKDPIRDTKSLPFT PEX2_068200 MRPESPQPQDRIASFERIHTMEEEHPAKDLLEMEGSPRDQDDMT RMGKIQELKRNFRPLAALSFSAVLQATWEFVLISNTQGLENGGLAGVFWSYMWTFVGF GFIIVSLAEMASMAPTSGGQYHWVSEFSSPRYQKFLSYTTGWMSVLAWQAGAASGSFL TGTIIQGLISLRDPRYEPQNWQGTLFVFAMIAVIYFFNVYAASWMPRIQNILLALHLL CWVVIVAVLFAMAPHNSAQTVFTSFHNGGNWSSMGISLMIGQITAIYGSLSSDATAHM SEEVKDAGFYVPIAIAWGYFGNGILALIIIVGFLLALPSVPDALNDSTGFPFLYVFRQ FLSTSGVNGLTAIILIPVIFSNILFNASTARQTYAFARDRGLPFADWISRVDPRRRIP VRAIAISCMISGLLSLINIGSQVAFNAIISLNVAALMYTYAVSISCVIYRKIACPETL PPRRWSLDRKYNLDDKGNVNIEDLRLSSSLCIAISNHEPRRDVDWITPQPYPANLKSN AEAIAAHDLVAGVRYGPNLTQRDSVIPLPNSKVNLSLKYLQENKHKRDIEYDSTYYHS PLERSKIKGKGSFSEKCQALESIHSVYDRVVHDIDRLFTTLSDANGLKSTEEAEERTK IARGAVVEVEEIETKDMKIVESTKPKRSRVRRGKGKRALDVEET PEX2_068210 MATPFPVPSGMTPPLTVDTEINHNGFITVIASFSLFLVLGSLGI RVHSAYSRRARQLDDLTFAATVIHFGWGKSKSLIADEYLNSMEKVASTALFYRTITLR SSQWMSYVLLTVTLLCAPVTIVLLAVRCDQHPWHDISQQCSVLFPRWQAVTALDIIFE IIVLLYPIRAIMRLQTNLNNKVIVILVLSCRSLYVQNPLNQFPGSILIIARHTYSLIP IGAIHLHYMSQQVNSPDPTLEGTFATIVVELHVALSVLVLTAPLMKPFVAAYVDENGL AYTDDASKSRPNHKLTPNSDRSNGTYPGSRFSDSPAKIRIMKSIEISVDREDVELLER R PEX2_068220 MTSSHHQSTDEVDLIVQNTLWVIPATAMAYSHHEASPSRDINRR SYSMEKEQQRRSEDHISHLADPFGDEELSEVKYRTLQWWQCGMIMIAETISLGILSLP SAMAVVGLVPALILIVGLGIVATYTGYVIGQFKLRYPQVHSMGDAGEVMFHPIGLARF GREFLGSAQLLFLIFVMGSHILTFSVMMDTITDHGTCSIVFGVVGLVVSFIFALPRTL RKVSWFSFASFASILAALLITMIAIAIQRPGDGKIDATTTVSLSKGFLAVTNIVFAYA GHVAFFGFISEMETPTDYPKTLYMLQIIDTSMYVIAAVVIYVYGGKDVASPALSSTRP ITAKLAYGIAIPTIVIAGVINGHVASKYVYVRLFRGTDHMKRRTFLSIGSWIAISLVL WVIAWIISEAIPVFNTLLSLITSLFASWFTYGLSGIFWLFMNRGQYMASWKKMALTAI NLVIVAIGACLCGMGLWVSEVDEARGSEQCTYGVRNFVYVNEISEQPYVGWISSELIL EMPRNFPTWRKWVITIMLGMMTVCITFGSSVFSTATKVTAKKFGVSREVMLLGVSLFV LGFAFGPIIFGPLSELYGRKRPLFLGMFVFAIFQIPVAVAENLQTIFICRFLGGLFAS APLAIVSGVLADMFEPVERGIAMAIFAAATFIGPVAGPIVGGFITMSYLGWRWTEYIT VIWAFAISGIGILVIPETFEGTLLKQRAKCLRIKTNNWALHAKVEERVMSPRDILVRY LLRPFQMLVQEPILLFMTLYVGFIYGFLYMCFVAYPISFQEQRGWNDGVGALPFVAIM CGVLCACLIIVVFSLTRYRTFILRNGRVRPEERLLPMIIGGILLPAGMFWFGWTSNPH ITWVPQVISGSFLGAGVLLIFLQVFLFPLESPAHNRDTHDDYQGLNYIVDVYPMYANS AIAANSFFRSWLGAGFPMFASAMVCFVPFLAPHELS PEX2_068230 MAPYFGLRGPSLNRAIMWLVVCPAFVTYGYNQGVMGGLLTLESF AETFPQMNTLTATEAEKTYNSTIQGTVVALYTVGGIFGSLSCIQFGDRLGRRKVILFT SFISMIGAVLMATSFSLPQFIVARLVLGYGTGGYVATVPVWQSEISKPNKRGAHVVTD GIFIGAGITFSLWVDFGFYFVKTNSVSWRFPLVFQVLLSLVVMCFVMLFPESPRWLVK RGRSDEAREILAALDDVDPQSEQITLDIRDIETSLALTGTGSWKDMLKMGEQRLFHRT VLACMGQMFQQMCGINLITFYATTIFEQYLKLDPVQSRILAASMCLTQPLGGLLAYFT IDRLGRRVLMLWSAVGMSISMAILAGTTSLQNNTGSLVCAVVFLFVFEFIFTVGYSGL TFLYATEVAPLQCRASISAISAAAVWTFNFLLAEVTPVGFATIDWQYYIIFAVLNAAI VPTVYFLFPETNGRTLEEIDEIFLRSRSIFDPPRIARSLPHMHVAEAHSVDIDAKEVG SCDDAIAEKPKV PEX2_068240 MRSLAWLITVPMVAEAGEVLWSGFFNGSATVADFDKGSFSNPVG DWQWYIHGDAATDKYLSLSPDHKNPADTRDEQGVRITIDGTSFWHGQDMERSEIIPQT KADLGSGHLFYHFSLKTEEINAPNASFEHQIAFFESHFTEFKYGADSGSSGEDNTLRW CVGGVPHWETELKPGNWYNFAYDIDFDAKTVGLWASNNCGKLTQVVSAVNASTSTDSA DWHIGELRLPNGGLNAAPEDWYWSGIFVEKAPITASIAGVA PEX2_068250 MPIPVPKANRLLDLLSLKGKVVVVTGASGARGMGIEAARGCAEM GADLAITYASRREGGEKNAKELAEEYGVKVIPYKCDVGNWESVSTLVKDVIKDFGKID AFIANAGRTADSGILDGSVEDWNEVINTDLTGTFHCAKAVGAHFKERGTGSFVVTSSM SGHIANFPQEQTSYNVAKAGTIHLARSLANEWRDFARVNSISPGYIDTGLSDFVAKDV QDLWNSMIPMGRNGDAKELKGAYVYLVSDASTYMTGNDLLIDGGYTVR PEX2_068260 MGAHFDYISILTGLPAGSYGAGNKYMEQQFHVQNEPFPNLAWAT ASWNMGAAFWPLIFVPLTESSGRMPGYFIAYFILVVSLFPSAFAQNFATLVVTRFFGG GASSVSINIVGGSISDVWYGPKARSLPMSLFGFTSVVGIALGPFIGAAIQQIHKSSPW RWIFYIQIIYNAGLIPVFWFILRETRADVILKRRAKKLRLETGRAIYAEADLDTTSVM KLVQISFERPTRMLLTEPVVIFFTLWVSFAWGILFLFFSSVAQTFSSNYGWGTFQTGL VQLAISVGAVIGTVLNPIQDWIYLRSAPRNPEHPGHPIPEARLYTSIPGSLLFAGGLF WYGWASVGDGSVSWIVPTLGIGCTGVGIYSIYMAVVNYLTDAYEKYAASALSAASLGR NTFGAFLPLASFQLFNNLGYGWAGSLLGFVGLALSVVPVVLVLKGPAIRRQSPFMREA MFDPDDDSEKDPASDKAEV PEX2_068270 MVQLSKFLAVAAACLAAPAIAHPGEKHDPHALKHEIHTRNSMAA HAKRSLSGCESTTHARELNKRSITRRSRTVQNLRQKRGITTVPQKWRRDLAALEKWEA IDHNRTGILDYSPATPESVVFGANTSFVLSPTITDGPYYVWGEVVRQNVKEELYSDGV DVFLEVQYIDVNTCKPVPGAIVDIWQANATGVYSGISESGNYAADGWDSTYLRGIQQT DRDGVVTFDSIIPGHYDGRATHTHLLTHLNATLLPNKTLKADTGSVAHIGQLFWNEVL RTAVEDTYPYTSNTQAVTSNAEDMWSILQADSAYDPFPEYIYLGEGLDDGLFAWIQIG INTTADYTDNSYYSIAAFHGADGGYQNADSSFGGGSGGGASGSAPSGTAMPSASPSSA PEX2_068280 MEGIIVPFEGLGLDDSRSKAILIVTSVFFSTSLLSVILRCFVRT QVVRAWGWDDGTMVIAMILNTIFAICGIVGSRYGLGRKMAYFIHHPDDLHRAMLCWWV GQLFYVSTCIVAKLSIIIALLRITISRVHAYILYAAMALATAIGVLFFFLTMFQCSPV DYFWNRMQPHAHGKCINTTTIIGVAYLYSVGAAITDLTIGLLPVALIWNLHMRCRTKV AVVAVLGVGCVASAAVIIRIPYLTTYKDPEFLWATYKLSMWSNVEAGIGITAGCLTTL RPLVRFLRDGSSNGTSNSFRLSTNVAGAFRRSAPSKQISRDDGHQLWTGTGSDEYHGV TTTISTA PEX2_068290 MASTVDTVLAVEKTAANHVEDTLGHGAISEAKQATDDEHSQTLM QALRENRKAVMWSVLISMSIIMEGYDTILMGNFFAYPTFNQKYGQDYGGDIGWQVSAP WQTGLNMASTVGCIFGGILNGYCASKYGYRIVMFVALAFLTALIFITFFANSAAVLLT GQVLCGLSWGVFATIGPAYASEVCPTVLRGYLTIYVNLCWAIGQLIASGVLYGLVDRK DQWGYRIPFGLQWIWPVPLMVICWLAPESPWWLVRHDRLEDAKRSIRRLGGSKTEDQI NGQLAMMVHTIKLEAEIEAGTTYYDCFKGVDLRRTEICCMAFVGQILSGSTFAYSPTY FFTQAGLPVTRSFQLGVGCTAIAFVGTCFSWWLITGFGRRTLYVVGQGTLCATLFIIG ILDVSSSANGIMWAQAGLCFFWLLTYSLTVGPIAYAIVSETSSVRLRPLTVCIARTAY QIINVVSQVLEPYFMNPTAWNASGKTGFFWGATALVAFVWAFFRLPEAKDRTYAELDI LFATKVKANKFASTVVDPYAIRPAESQEGLVREKTQDTNQ PEX2_068300 MPKPERSFALSSTTIFIMITSAIFASLFLLANAQPWKQNRFIEP ITDCSQLPLYNIDTKIAGPWTIKVDSCYNGAGPQGLCSIEGFESSSDITRQRDDRPNT IEHGFITIVSDKNNIKTELRCNGILNIIEARVLSGPGAGALDWHAVGIDHHPSTGRLV WGKPDAQPVQAYRHYRHGIPVEGIFLGSNNQTNWAVHSAGRDVSIVDMKPYWVMRLMI PETSIRENEFRTLIRIDGS PEX2_068310 MLTVGLPPTSVSVYLRPRISGFLRRILTTFSPMLSYISAAFKSP SPEEGVQQRPPRYAGEDTTPTSRREILGWYSYGIAAEVFAVCGVGSFLPLTLEQLARE RGTLQTSRLPCVGSSAGNSTNIADHGQCVVPVFGLEINTASFAMYTFSLAVLIQALTL ISFSALADYENNRKTLLMVFGFAGALASMLFIFIAPPVFILGSILVVVGVTCLGSSFV VLNSYLPVLVANDPSLQGKAEDGSEMSSFDRDGGNSEWNAWGNDGADDDSLDGLQPSS QPQSSLEGGMGAKAPPSSSPELQLSTKISSTGIGLGYCAAVFVQIISIIMLITLSKTS IGKASATLPMRFVLLLVGIWWGAFTLVTRNLLKTRPGPPLDTVSTKGTGRWRAWLRLV GFAWKSLWETVKVASKLREVLIFLVAWFLLSDAMATVSGTAILFARTELKLSTPLIGL LSITATVSGMTGAFLWPHVSRYFGLQPNHTIIVCTVLFELIPLYGLLAYIPFIKNWGV FGLQQPWEIFPLAIVHGVVSGGLASYCRSFFGLLIPPGSEASFYALYAATDKGSSFIG PAIVGVLVDATGQVRSGFFFIAVLIILPIPLVWMVNADKGRREGLAMAETLDKSHGGP AEYAEEAEGLLAR PEX2_068320 MPASVFLLGPGFIGGEIIDLLLITKYKVTTLVRRESAVADYANL GIETVVGDLDDESVIKDQVAVSDIVIHTATADHLPSVQAIIDGIRQRAAQGLKTIYIH NSGATLLSDNAQGEYKSDTIFDDEQPGEIDVLPDSSSHRQIDLVIVRAAQELASHAKM AIMIPPLIYGVTTRENRLSIQLPTLIRYSIKHGYAGQIGKGLAVWNQIHVRDLARGYM VLLHWMERAPVTEIALNPYFFCENGQELSWGECAAEIGRILQKEGRIGQSTPKPIPEE HWGDLFGDYSGTVVGSNARNRANRLRKLGWAPLEKDTFASLAEDEIPIIAKETGEFTG YASVVASAVSQSGGTYLSPKVNIVTFVLPYIFTQKEFTSAVVSNLCAMAILHYLEFII GRLLYSIRGHDLAQIIHCPAFKDLPEPNMTLESLDAGPSGSKLPLYCTCKAVDGKGCL PELLWTAPDSREEVKEYILLCEDLDPPIPFLVIHHGLLWAIPSSATKAEAADVHPDEH AKVSRLTVAGWRFVPNLRGLPYIGAGAPLGHGKHRYVFTIIALNESLKFKAPEKATKQ DIKNAMTGKVIGWAQWTGTFEKPWPN PEX2_068330 MAETSTKRSPQSNGQLLPNQKMRTTCNACQQAKIRCSHTYPCDR CESHGYECVYSISQPLGRPAKKKTTRPAAAGAVGKARRGEGVDRPTRRSAGRASRPTP AARAQRARRVPSPVPSPTTASGSGPDSREESHKSDSIAGTEVTPPEEDFQWPSFTALF SEVPENHRNGEGIQDQDVVSTNEANNHVDPGLIVTTLDSSFERTDGFGSCGDSRFPEP RVDYSTQCHSPKIGLMIDEPPIGGFLHTAAPGTQSGSLFQGGAIYPFSLAPNAIQVSG TVQDPARIMELPSNDTWQEPLDTDSRQSLPPEGIDFEILPEDASSLHCNCYAQAFSEV VRSDEAKGSNGVLLHLERVQQQGVVILQCLVCCASNARAKILTLLVMAIERAARALKV RAKLATTSVQGGVYSVWGEEDISQMHRCLSHLSIIVRFIHQDLQCASPSKWHLVMADE TDRRLQSIIRIFE PEX2_068340 MVALIVVFVICFCITAAYNVMNILIVDLYYETPATAMAANNLVR CFLGAGAAAMVNPLIKRIVLFGVITEIVQIFPWTSGQELQIRYPGGAWMTPGMELDIR ETRRIPEISSMNLKCNKKYIILFIDLDAILPGTRIQSVILHWYQPNLMMDCTKPPPPS TIVPGKDQGDKHHPAVSYIAPRAPPNTHHRYVYLLFAQPPAYQFPQCFSHVFPETVSA RAGFDIREFLQAAGLVAMNYFIGRHEPAEGETTTMPQSATTTSFRSVDCPTRVASSVS PEX2_068350 MSSGTLFIRDSRTNKNYEIPINRNAVRATDLQGIRAPSLNSNRA DQVAHGLRVYDPGLQNTAVTQSVISFSDHEHGLLLYRGYTLDQLWGCEFEEMFHLLLW GTYPTASQCEELRMHLVQYMQEVPDVVRQTIFNLPYDGSLGRVCQPGLSAYLACVPEV IPATTNATIYQTDIKRADQTILKTVAAYTVVFGAVRSHRLGLHWSSPSLHKTYYENLF TMAGLVDPETNRPDPTRISCFRRFGNLNAEHGMALTVFSSIVTASSLTDPVSCLISAV AAAHGPLHFGATESAQRALHDIGEPKNVPAFIEEIKGGKQKLFGYGHRTYKGMDPRVR PIQSILKDLTDVHQPLLKVAEAIEEAAAKDEYFSTRALYPNADFYGNFVFTGIGFEPD IIPAAMLAHRIMGIMAHWREHMVTRGKLFRPIHLYTGSAEPTSDPRSKI PEX2_068360 MPLSGHCLCKAVTYTVDVDAPLLTGYDHCDDCQRQSGSTYSLVT VVPKDKLTINGPTKSWAGKGSSGFAVHRIFCSECGSPIAHDPDAAPEIIAIKAGTLDT EIKKTLKPDTEIWTVSKLPFCQESLAHPFKHMPE PEX2_068370 MDSRKVMSFDIPTVAEIQLAAERGQRITQDDVSAISQAESALTG RGPSRGGPAATAQSLSMRQSNFENKVAEVSRKPSSAISLEDAEEIQATEGRAFNQPPG VGYISAQVRSIADSNDALGLTAAADVPAYITKDDARDAQHAEATVYGGQNPRGGMAAQ MQSAADKIDNARRGSYGSY PEX2_068380 MEVLPKKGKKACTMCRQQKARCDVWRDESIPCSRCRKRNLECTI DDSFTREHKRRRYIALEHENEQWRQQLRTSQQLNTDSVPIALSTAATDLGAPGVLKSD APLGDSHGLSLAYPQYHLAPTGSPPGVMMGENDVTKPRFLKSVTVAGGEIDDLYQLFF RHYASFLPILDAQTKPNAYYAQSPFLFWAVIGVASRSYSRNPTLHTALAQEVTEMAFL SVLSTCAPWYIIQGLLLLLTWPFPKENRPDVTFPLSGMLLHVAMQNGFHIPMSSHEFS RVKIPASSDLDMVRRSELWAHCVLVYQRSCVIKGQSPRNLVSLAQDTIQRQVLFDKIA PHLVQKLRCQEVVGKCSEAVLENGVRAMSLDQELALDILLRKYEGEVDDIALQAVVDH ERYHLLLCRMAIQSFHFYKNQTLVSSGCLPRLIVSACNLIDYVQGLADRMGSLFMAPV QISFGLLLASTALLRILKSDFASSRLDTSRAQASFFATINLAKLMSTDRSDTAAKMIT VLNQLWNSSKAFRKGDGSEYTGLRIRSRLILSQILDAVWWWRDEYEPNARAKMQSTES IDGPPRTNTLPGTEVARAIMGTEPAREPVRGFIQNVGPLQGEFQMNEDYFTNFEWLSD EIFSFPPDLSLANNLP PEX2_068390 MEKDESIAVTTGAMVDETAPDVNLKKLRDLKDHHHWDPNMPEDV AEELDEALHTSDKTTQEVIAHELLENSPYPEVRSAVPNIDEGGPVNTIRAWVIGLLFA TIGSALNMLFSMRQPYIVIPSYIAQVVAYPVGKAWEMWMPNYTVNFFGYKGQLNPGTF TKKEHAIVVIMANATFGGGAAYATDVLLAQRAFYKQNFGWGFEILMCISTQMLGFGMA GFFTRFLVQPAAMIWPSTLINTSLFAALHDRTRPDPKSVAGWKIGKYQMFLYAMLGSF CWYWFPGYIAPFLSVFAWVTWIKPQNVVINQLFGGSTGLSLIPMTFDWTQISGFNFSP LIAPWYAISNTMIGMFLWFWIVTPAIHYSNLFYAQHLPMSDSGSYDNTGHSYNVSKIL TPDFTFDPAKYSNYSPLFLSTTFMLSYGLSFASIIAVLVQTGLFNGADIWARFRRVGK EEEDVHGRLMSRYAEVPIWWYLGVFLAMVALGFGVILGWATHMSWWSFIIALLISAVW FVPIGIVKAATNIDIGLNVITEFIIGYMQPGKPMAMMLFKTYGYISMYQGMYFSQDLK IGHYMKIPPRVTFMAQMVACLWSSLVQICTMNWALGAIKDVCTQFQPNHFSCPNGRVF FNASVIWGVIGPARMFSIGQLYSPLMFFFLAGGILPILIYIGARYFPKSPIKYLSAPI IFGGAGLIPPATPLNYLSWGIVGYVFNKYIRDRWRGWWMQYNYVLSAGLDVGLALCTI LIFLTLTLTNTDFPTWWGTKIATDTMDMSDSAIRDPVPTGSTFGPATW PEX2_068400 MLVTLENLPLILVGLAVAYYLVPYVQKSHLRAIPSAGFAAFTNV WLLLQARQGQKYLTIDKAHQKYGKFVRISPTQVSIADDEAIQAVYGHGNGFLKADFYD AFVSIRRGLFNTRDRAEHARKRKTVSHTFSAKNIGQFEQYIHGNIEEFVKQWNRLSDL QGNPKTGYVSLDALNWFNYLAFDIIGDLAFGAPFGMLEKGQDIAEMRLDPKDPPKYVA AVEVLNRRGEVSATLGCMPSIIPYAKYIPDRFFSEGLEAVENLAGIAIARVSERLKPE VMANNTRVDLLARLMEGKDGNGNQLERAELTAEALTQLIAGSDTTSNTACAILYWCMI TPHVLPKLHKALDEALPKDIEVPTHSMVKEIPYLQWVIWETMRIHSTSSMGLPREIPA GSAPVVICGRTFQAGDVLSVPSYTIHRSKDIWGADAEKFVPERWSPERLTARQKAAFI PFSHGPRACVGRNVAEMELLVICATVFRLFEFEMHQDGPMETREGFLRKPLALQVGMR RRRV PEX2_068410 MDRRKQVRSEDSGYSITESIKDLALTGFQLAKDTFFSPEEIAAA KPEEILSRMLSTKSYISTTSSLAELNNAARGNPSQQQFLEIGKGQCGTVYAIVGTDTV AKLPNSLVKVPQLLADFKMHASVKEAMEDVENLMKLEIHIPKLYSWETPKSKSFWTNN SLRFPQTADAQNFAMISSRVFPLPLPVREAIVDVLCPPSIQKHKSEFLAKPENINCLI RVYLGRRMMNKNNPNVKNFKLRNFPLHVNEMEDLKLDTCAFAHVMAQTLAILHWKAGV DGNDIEFILGSSPLLSQMPRSDEITEEDEWSLGDRFDFSRRSISMWLIDFNMCAKFEE NPAGLKQIVDAFTWNDPYYPSPELTTAKDKKLWSEFRKRYLEVSRVLTTSAMPGRFIA EIESRGRKANVGELF PEX2_068420 MRTSTIGLWALTAGLAAAKTCYNVTVEVPVTARNGVFDNINTPQ TNFDATSFVLSATKQGRNLSETALSGYATVSGHYNISTQYCMPKNAGNSAYTLQILTH GMGFDKSYWDLPYHNYNYSYVDYVLSLGYHTLSYDRLGLGKSSHGDAKNEIQAFLEIE ALAQLTRMVRNGKMSKIKTPAKVVHVGHSFGSAQTVALSAMYPELSDALVLTGYTTSA DYMPMFLSGANFQQARLNGKNSDYTAGYLKSADIGSNVYLFFYPPHFDTGLLECAEEN KQPMTIGELLTITGLPAQSNFTGPVYVIDGANDVPFCGGDCLHTGGKAASIPAAAKGI FPLATAFSAYVHPNTGHAINLHYNATGAYKQINDFLGAHGLLSKSHHNDHARHHQRKD GGTKGPDL PEX2_068430 MAGSYAKKGATPSKGSSGTRQSSVKRNSSILSFFQKTDTPPGAT SRQARITQFATATSRSPSSGRSTSTSQRGNNLRNDNSDGLFLEDKKGLAKIERAAAMS ERERSLTPDIWGDDEEFLKLDAQRYNESDTAVKRRKVDSPGNPVDEKQQPDTESKTTK PPTPTPAPVPAKTQKRSGPFIDESDSEDDMEAYRELHEPTPATKGVTNETLQMDNIVA TERTSEPTPPPLVRAATSNADNDEYANFDDIEEDELIGEEFRNRPWEGEEQEQEIDLE VDPDKDLNDCSGVEDIEGGVSTCPICQSVLKSLNETAIAIHVNDCLDGKTNSIPATPG AKPMMKPTPKPADIDKGLTRAERAAIARPAQGDPYSQNKPIGGSAFSKMMAGNAEDTA WATAAANEVSSRGKQAYQRTCPFYKILPGFSICVDAFRYGAVEGCNAYFLSHFHSDHY IGLSKSWCHGPIYCSRPTANLVRQQLRVDPKWVVDLEFESTTEVPETGGVRVTMIHAN HCPGSSLFLFEKRSGNGPNASKQRVLHCGDFRASPAQVQHPLLRPDVTNPVTGKPRQQ HIDKCYLDTTYLSPKYGFPAQEDVITACSELCVRLNQEVGVGPDTGKNGASGLMGKFL SAATESNKEPDKPSQAGGRLLVVIGTYSIGKERICMGIARALGSKIFATPPKQRICAC LEDPELSAMLTSNPHEAQVHMQTLFEIRADTLADYLDGLKPHFSRVVGFRPTGWTYRP PAGRLVQNPPVSTVLHGEHWKSPYTSQDITPQRGSTRESACFGVPYSEHSSFRELTMF CCALRIGRVIPTVNVASQKSRDQMKVWMERWDAEKKKNGLFPVTGDRW PEX2_068440 MLFFSFFKTLTNQTVTIELKNDIRIRGILKSVDQYLNIKLDDIE VLDLAKYPHLSSVKNMFIRGSVVRYVMLPRSEVDVGLLEDATRREAANQAGKAR PEX2_068450 MTDTLRMSTVRFPPLRQARGTVLNTIMEDTRESQSPQTSPKGST PSRLTPAAISPKLRLQTSGFAVPTHARFARLMSPLSAGTTSSCSDTEWQSQMQGFRGY DDLYDASDDDSDDNQTEISDSCFSPNTRPSSLITPITRNSVTSTGSRKLSLTLEIPSS KLWPSINGSLKGSPVPPTPPSKIPVSPAALSMLSRSVPASYAPPSLDGSMTSDQESIN SALATPDSQSLPDANWDAHDIHVRRDLDGSESDLDNGDGSSDLETVPIAIENPHEDWR HVLGSFPRIPTAAHAYSPPLSPSPSPSFHLNNEPFHEYDDLYDAPQPMNQRDFLPNSA LAMLHHIPLDDTPDSWSETSEDKGEMWQLQPAPSRPRSADGVTPASELSGYSFTDLSI PSPGGFFASLAPRARHTWSIPNTNYQPSTAAAEGFYDLPWRRDEGEVVEQVVEWPERP VDEDPVTAVRDEEAPLTAVRLPCDTPTRRSIYHDSPMSAAFDAVQVQEIPRSGNGYEY DECYDQELQERAVASHDRTSIWLSAQESYLSALNETNPVNDVESVELSEESDDVEAEV EDTTEDSSKKMVRFVEGVPDSLSPLPPVLASKDSIYWQGFQSIRERSTKTDGFMHRNM RFDAVQSMRLAMNDLHINCLLGKYELVRPERPAYKGPFAKAPRNSMITSELAEKAQFD KVEKEQLVLAQLSQPMWAMDALRSLNGGNLLASPAHRVLTQTSSKSKISQGARKRSFR ILDLGGHSSCDWAWQAAHEFPNVKVYTVTSNNQTVNSAIKGPPNHRQVTVANLWELPF GNNQFDVISARSLHALLKTECPVGKDRDEYDLVLKECMRCLKPGGYLEFQVLDAEISR AGPYASATSVEFAFNLRNRGYDPLASKSFVGRLRNTGFVDTQRAWMFLPMGTEPVEQE PLRETPAPRVQSQIEDYEAVQGPIGSTADIASMTGLIGGWMWEQWLLKLRVEMGRERS MLLEGLGALFDEGRKSGAGWTCLSGWTMKPKRKSSVSLAMAGSF PEX2_068460 MASQVNDSGVESPSPSLTATGKQAGDTVPINITVDETSCRPTDK DLKELVHVADNVPYPVWLAILVGSAERFVFYGASTCLQNYLQNSPNDLIPGVLGIGQS NATAVNYAFMVLVNFAPVPLAVVADGWLGRYKLILLSTVIYLVGFLILFTTALPSALH HAGVSAAGLGVSLVLIAVGIGGVNASVYPFIADQYPHHELFIRELPSGERVVVDRSLT IQYMYNWYFWFINVASLSGIATTFMERYSSFWSAFLLCFCFLWVGLVLMLVFKNKYYK APPAGSVVPKVLRVIWLGIRGGMSLNAAQPAVQQEKYGRQVPWDDEFLKGVRDALIAC QICLAFPIVWLCWGQTYNNLISQAGQMETYGIPNDVMPNFNPIACIIVGPLIQQCLFP FLNRRKIPFRPIARISVGFFFMGASLAWATGLQAFIYRAGPCLDYPLACPDSKNGTIP QHINVFLQVPCYVFMAIGEIFCVTTGSEFCYSRAPRSMKSIVQALFVGTASISYALGI AISSAAKDPHMTIFYGSLTGVQLAITAGFWLMFRKLDRDIIV PEX2_068470 MPSAIGKKAILGLPESSSPKEVENAVRQKIEAFVKDKDDVDRVL SGLRWIGLFDPTPVDKYGTPLDVLCAVLETRMAYQPGERDMIVLQHIFDIKYADGLVE KRSSTLVEYGEPLGPGSRSAMAKLVGLPCAVGVLAVLEGRIPATGMVAPWSSAEIATL LRDELKDKFGIELKERVIT PEX2_068480 MSPSTVPPPLPEDSNGNGNLEAFGVKTQQVEPEREQQTVESNTP FTMASSSVSGVDDGPATEEKAVDEAPKPVMEYPKGMEAFFIMVALVLSITLSSLDQTI VATAIPKITDQFHSLDDISWYSAAYFMTLGAFQSTWGKVYKYFPLKTSFLVLIFIFEL GSLIAAVAPNSTTLIVGRAISGLGASGIAPGVYTISAFAAEPSKRATYTGVIGVTYGV AAVCGPLIGGGLTKGASWRWFYINLPIGGVAAFVILLTFKTPKAAKVVQATLKEKLLH MDPIATVLTMGALACMLLALQYGGATYAWYSSVVIGLLVGFVVIVIALIVAEIWQGER AMLTPRIMRKRTVWVSSVWGFFFAGGYFVTLYYLPIYFQSIDNVSPIGSGVRNIPLII MFGIATFGSGRVITKTGIATPYMAASSVIVTIAAGLLYTLDVGTSTGKWVGYQILAGF GYGLALQVPVVVVQAFAEPSDMAPTTAIIIFARSVGSTLLLSAAQSGFVNQLVHKLAE TAPSVNPALVTGTGATDVHRLFSGAELDGVVRAYAWGIKVVFAITIAACGLTVPFSLC NTWANINAKKPSGGGA PEX2_068490 MSTPQRLRVAIIGGGIAGTMAACVLREHHDVTIFERGSENAIGG GQAISLSPGSVKILNQFGFDEDRAGAVSGRGFKVYHQNGTLKKVIPIDVAERFGAEWL MTLRSDARDEILRIATADSAEVGRTGIPPRVVYNVKVVGIDVDSGVVTFADGSSVEVD LIIVADGIRSKFREQIVGNSDSHVKSAGKSIFRFVLSAEQAKEVTGTLHEWWDPSKGG FSHMIPAEDETNRILIAYPAKKFGYVNFSYICPNSYVKETGEESWFTDGDRDELLEVF KDFPENMLQFIRRAEEVKIWSLRDHDPLPSYVRGRAVLVGDSAHAMTPLQGQGANQAI EDAEGFRLFTQYNVTREAVPALLKTWDGVRRPRATQVQLNTRSAGTAMNETNGLKNMA YNWTYDGILNAL PEX2_068500 MGHTEDSDKLAELGEGPDLLYFNRSFSSTRSDIDEAQRISKGDE SLSSEAFELAIDRVTEQIDIGQILIDIFYNQTSVGGFSLDPAFQISQDADIITVTNTP KGKTSDIQYLIHALFLSSIRDTAELSLLESTARLFTTSMFSHLPANKTLILKFFIPKS PSWSAIRSAQDEVLEILSQQTQGRGPRKSLPYRRSTQYPACDDQTPTANRITPQDPEK SIIIAQGKYCDLFRLFTVVLDRAKFISEAGVYFYMADLDASKDSNPFMEFAGCARRGH EYGCWAVGVVVLVG PEX2_068510 MAPPAIIAPSILSANFANLGHDCSKKMEQGADWLHVDIMDGHFV PNMTIGCPVVSQIRGCVDRPSEPCGRGTFDCHMMIMEPHKWVGEFKKAGCDLYCFHYE AAVSSVAATDPTDKETTARTSPKQLIRYIHEEGMQAGIAIKPDTPVDVLWDIIESEDP AERPDMVLVMTVHPGFGGQKFMASELPKVAALRKRYPDLNIEVDGGLGLGTIEQAADA GANVIVAGSAVFGAQDPADVIAKLREAVQRRRGAEL PEX2_068520 MSDSTLYLYTSLTAGSSHIITATSRIETILKANKLPFRAIDVAT DEAARKLWGRRSKGKKLPGLVKYGDIVGDLEEVEEWNEFGELRMVINSVQDLGGMPAT SYPAPAATETKAQPTTATPKPSTIKIQTPPVTKPEDKKDDTAVLALRQASSEAASKAK AKTDDKKETKEQTTEVPAASRAHRGSVAPELPDTAPDSPKSTKRPTLVPEQAAVSSAN FHVDNAEVLGLVGHHRGSRVSTLDNADQEKVVHEIRKSVSAEPTGNIEALRKEAAEKA KSEPIEEAPSPIVDDVAVETKETLMEPNEPKGNAIAEMLATPMVPLAIRLEPKKEVAT VTKETEGAKDDTKAGLVPTQKSAE PEX2_068530 MTVNLDDELFTSAISGYHEAFLVQHSHLPESERDQLWIERLSQF IPASNGRDSNWQPAGSLGKRARQDATPRTLPYSDSGLPRAKRRATTPDLSVDLNRDLS NASSPDLPRNGAAFSGKGFYRHTAMVRSQSQQIPVSHQHQPAGAIIGKRQSVAPVRTH SRLNHVDDFSPSEYTKYLDASPSQPFDSALTFGLANHGQTGPSFPQYPERTSPWIDQA PAVAPEMSRSTTTDSLVGGMNMFRFDSTGPSHFQEPTSSVPPEWVPTSTSGLPYQESF LSPVYPDLDPISSSPFSHRSPFSNPSFSVSAPPTTSFRYPMPHNHLPYDSVEMKPFDS MNSNSLATQRSRAARRTKEQIAQAARPIAPKVESIESSMSQIDPHRMLRISSADGTTK EVAAIPKASVRRPPRPKTYCRICTDHPDGFHGEHELRRHIERVHASIRTVWVCNDISP GKYFLANCKACRNGKRYGANYNAAAHLRRTHFNPCERGRGGRGKDSEKRGGKGGGNQP GMDVLKHWMIAAEEVTDEAAGGSNSRLLIRNPSRVSTRDPGYQLAVAACRLVEGQPPY VVESALLKESDQFPEFPDAPFEFDFELDLDLDLDIEDSMSSPFSGSQSSCRADIDSYV K PEX2_068540 MAMEIGPSPLDSDGLCLLSLDGGGVRGLSSLLILKDVMTQLNSE REDGEILKPCDVFDLIGGTSTGGLIAIMLGRLEMGVDDCVLAYTELMESVFSEKINNV PVDWSGKIVSQYDSNKLKKAIENVITRAGLSPTDLMNDGKPRRSKTFVCTTSKDTLQV TRLRSYPVPNEIALPATICQAALATSAATKFFDPVSIGNHQFVDGAFGANNPIEEIEG EAADIWCTASRALKPLVKCLVSVGTGDPAQLPMDDNVLKFLSKTLVRLATKPESTERR FMARWRNEAKGKRYFRFNVEQGLQQVQMTEFEKQGVIESATYAYLHHSSQKVRLRDCT LNLSEKQGKTSIDFETMIREHGARVTRYRILQTIHTSDSPLSPSKVAGWFVPFERNPR YVDREVVGKVKRRLFAKNQAERIAIFGLGGIGKTQIALELAYQTRELYPDCAIFWLPA VDMESLQQAYQTVADQLGIGHDDTNEDVKTLVKDHLRKPSTGRWLLIFDNADEIDMWT QTKSLTPGGLKDYLPTSDQGAILFTTRSNKVAQYLAATNVIKIPEMDEHKATRVLRNS LVDKEVLHDIESTRKLLHRLTFLPLAIVQAASFINENGISLASYVETLDGQEQSAIDL LSEDFEDKGRYKSIRNPVATTWLTSFEQIRRQNRLATDYLCFMACIQEKDILVSLLPP DPKIEQQKAIGLLSSYSFVRLGNGNSRLDMHRLVHLATRNWLQSIGSLRKWQLHVLSW LGSRFPKADIMHRTQWRATVPHALRILALTAKEDSPSERIHVLLKVAKCQMSDGRPKE AERLFSEVVEISEATFGPEGPWAIPGIVGLSSSYIYQGKMEIGIALCEKILEIETKLH GPGSPAVNEALVRLSDAFYVACYYKKSQVIYKKVIPYYLKTWGPSCDTTMDMIARLAM CYHYNGNLSDATQLSVQLLHITKQTSGSENPGRLRIMNVAAIIYLQRWRLKEAEALFT EELEIEKRLFGPEHPTPIGTMAWLATTWRYQGQCKSAVDLMTECVSLSARVNGLDHYM TQNQSLLLEEWTNPK PEX2_068550 MGVRILVRRARTVFSRIAASDAGSSVDTPAVDGTTAEESKRDPA ADAEVQQPELPSEDLQHGVRDIEAITMTWSKRTLIMVFLNIWFLYFVNAFQSTVFSSL SPYVSSSFTAHSLSGVPTALADAFSAAIYIPVGKMMDTWGRAEGFLLMTCFATLGLIL LAACNSFAIYCTAYVFYSIGFSGMEYAIDVMTADASKLKNRGLAFAFTSSPYIITAFA GPKVADEFYYQVSWRWGLGCWAIIFPIVAAPLYFMLKINLRKAEREGHRIQEKSDRTF LQSVWHWIIQFDLPGVVMFTVGLVLFELPFDIASEAPNGWGSGYIIAMLVVGFSMLFF FAIYEKWVAPVPLLNIVFLTDRTVVGACLLDATYQLSYYCWNNYYTSFLQVVNNLTIA EAGYVNNTFDVVSGVLLLIVGWIIRRTGRFKWLLYISVPLYIFAQGLMIYFRKPGMGV GYQIMCQIFISIGGSIFILVEQIAILAAVDHQHVAAALGLLNVVGTIGDSAGYTICTA IWTNTFPNALARYLPESAMSNFENIYEDITVQTGYPMGSEVRLAIQKAYAYSEVRMLS TGLGIMGLAIAWTIMIRDIDLKKTAQVKGTVF PEX2_068560 MLHKYLLLPLLASYGAAVTISVAKSGGNATSGLQYGAMEEEINH CAEGGLYAELIRNRAFQGSPKFPSSLEAWSSVGGSSLALKNLTDPLSTALPTSVNVKG TGTAGLTNAGFWGIDVRPQKYTGSFYVKGAYKGSFTASLLSSSGKVLATTKVASKSVA NDWVQHEFVLTPKAKASDTKNKFSLTFDGSKASGGSLDFNLISLFPPTWNDRPNGMRK DLMQAMADMGPTFLRFPGGNNLEGDSIEGRWKWNETIGPLKDRPGRATTWQYQETLGL GLIEYMEWCDDLGMEPILAVYAGLALNGDVVPEADLDFYVQDALNEIEFLTGSVDTEY GALRAKVGHPEPWTIRYVEVGNEDMLSNGLATYKSYRFSAFYKAITAKYPDIQVLAST IDMTIPGTAGGDYHLYDTPDNFVSKFNMFDSFTREHPILLGEIAATSPLNGQNIDWSD THFSLYPWWIGSVAEAVFLIGAERNADKIIGTTYAPFLMNLDSYQWSPTILSFNSDPD QTARSTSWHVWSLFNHNIMTNTLPATSSDAFGPLYYATGLNSKTNSHIFKAAVYNSTS DVPVSLTFDGVGRGTKADLTILTAPDPFSMNEVGGANIVNSKTTQIKAGKKGEFSFKL PNLSIAVLTTN PEX2_068570 MALDPLNTSVSPGVMSTNENDAERSSAQESEEQPLLRSDPVPAT WSPPPGFLLIQIAIMANVFLGGFDGTITASTYAVISSEFNAANTASWLTTSYLITSTA FQPLYGRFSDILGRRSCFFTATITFMIGCLGCAVARDVIFLNIMRALTGIGGGGLMTM ATIINSDLIPFRQRGMYQAIQNVSYGFGAICGASFGGAIVDSIGWRWCFLLQVPISLF ALIMGYIVLKFPSRNTEPSSDGRKQGIWQQIDVLGACLLILALSAQLVGLSLGGNILP WTHMWVILPLLSSVVLLVAFVAVEANTTAAPLIPLKMLRGQLAVSTQISNVCVGMAAY APIQYLFTLPLMFQVILLDSPSKAGTRLVIPCLFTPLGGLVAGIIMSRWGKLASIVRV GAALMFVGNLLVMLLRFNDSGWKYFVYVIPANLGQGMVYPGILFTFLAAFDHTDHAVS ASTVYLIRSLGTVWGVAITSTIMQNTLNSGLGEALSGIPDKWKVIDEIRHSISAIYDL PPDVQMAARLVYYRGIRLSFMASAIFAFIATVAAIFTRGKGLERAGGDRRGVYGDINP CLAQTRWIEARSSNPRGSNKMPTDTFHLYSYFCSSCCQRIIIAAHLKGISLEYTYIDL GTKAHTTDEYKESNPSASVPTLVVTSADGEKTIIRQSMTILDYFEERFPDISPLLPRE LRNRAQVRDLVNIIAIDTQPTTNARIVHRVKDIRGSDDDLDKFAKQAFTDGFQAYESL LVKQGGEGRYSFGDTVSMADVVLVPTVDQALLYSMDLDFVPNLKRIHSALKELEAFKA ADWRNQGDTPEKFRAKDI PEX2_068580 MKFLEAVACTAALLPLAVADWQFKSRTDLAPPRLNITIPATKDV EKGYLFIAPFPGQWAEPQFHGPRQEAPYIVRDDGELVWSGYTYFSIWAANFQKARWNG QDVLFSFEGDHNPAYGHGHGHATILNQRYETIRELRAGNHKLMDKHEFHVVDEKTALL QVYQPVPRDLSRWGGSAEQQWIVDAIFQELDLDTGELLFEWSSLEHVSPDEAVLPLNP GQAGAGYNSSDAWDYFHINSVDKDAEGNYLISARDACAVHKINGTTGEIIWRLGGLRS DFELGPNVKFCFQHHARFVEQSDDEEIISLFDNSAHGTENHRGKEVHTHPFSQGKVIS VNKVTWAANIVQAFQPPDGLLAKSQGSTQLLPNGNALVNWGSEGALTEFRADGTPIFH AYMDSGLLGEGVQNYRAFRYNWTGLPTEEPAIVAEKTPSGTKVFVSWNGDTETVVWRF YAVTDGFGSREFLGEATRDGFETSFSLPGHVYQRLSAEAVSARGRVLTTTRVAHIQDA VLPYGSATDGSEDRGSWRQLILQA PEX2_068590 MENMPDNAAVDTPGSETPVSESGDDRDRQITTLARSLSQLSRQS SVNTTQPTEGVNTFLDTTDPELDPNSDQFKSRKWVKNIVQMTSRDPDRYPRRTTGASF RNLNVFGYGTAADYQMDFANFWLKGAGWFRSLFNLQNKVRIDILRDFEGIVHSGEMLV VLGRPGSGCSTFLKTIAGETHGLYLDKEKGSEVQYDGISWDDMHSRFRGEVIYQAETE THFPQLTVGDTLLFAAYARAPSNRLPGVTRDQYAVHMRDVVMAMLGLTHTMNTKVGNE FIRGVSGGERKRVSIAETTLCRCPLQCWDNSTRGLDSSTALEFAKNIRLSTDYSGSTA IVAIYQASQSIYDLFDKAIVLYEGRQIYFGSAPNARLFFIKMGFHCPERQTTADFLTS LTSPSERLVRPGFEDSVPRTPDEFAARWKESPERKQLLAEIAANTAGNTTGETKLEEF GRSRAADKPWFTRSVSPYTLSFPMQIRLCLWRGWLRLKADWAMTIATLFGNVGMSLII SSLFYDTPDNTDSFYKRGCLLFFAIMISGFSSSLEIMIMWQQRPIVEKHRKYALYHPS AEAISAYIVELPSKILLAVVFNLIIYFLPHLRRTPAHFFIFFLFSATTTLVMSNIFRF IGAISRSVAQAMPPASVFMLILVIYTGFTIPVRDMHPWFRWLNYVNPIAYAFEALMIN EFGGRSFSCSNFVPGGLDIYKDAPLSSKICSQKGAVAGQDFIDGETYINTTYQYYSPH LWRNFGILCAFFVAFFGLYVFCSELIRAEPSKGEVLVFPRGKMPAFAKNVRTDDPEEI IASEKGAVASEPQDTTAAIVRQTSIFHWENVSYEIKIKGTQRRILDSVDGWVKPGTLT ALMGVTGAGKTSLLDVLADRVTIGIVSGQMLIDGRLRDDSFQRKTGYVQQQDLHLETS TVREALVFSALLRQPATIPRQEKIAYVEEVIQMLGMEEYADAVVGVAGEGLNVEQRKR LTIGVELAAKPDLLLFFDEPTSGLDSQTAWSICTLMRNLADHGQAVLCTIHQPSAMLM QQFDRLLFLAKGGRTVYFGDLGPNMETLIKYFEDKGSPKCPPNANPAEWMLEVIGAAL GSHANKDWAQQWTNSPERAEVRRQLAEMKGELSNNPAPLRAAGFGEFAMPIWYQFLVC TQRTFQQYWRSPSYLYAKVLTCTIPPLFLGFTFWHMPTSLQGLQNQMFAIFMLLVIFP GLVQQMMPSFVTQRALYEVRERPSKAYSWKAFMMASILVELVWNILMSVPIFFCWYYP IGFYRNAEPTNAVIERSGIMYLLVLQFMMFTSTFSSMMIAGIEEPDTGSNIAQFMFSL CLVFNGVLANSSDMPHFWIFMNRVSPFTYFVSSVLSTGLTGTSVECSDIEWLTISPPD GQSCGSYLEPYIKAVNGALLNPEASVDCKICPMSKTDQFLKGLNMSYSDVRRNIGLLF AYVAFNIVGALFLYWLCRVPKHWRRKLGKT PEX2_068600 MYLKQLIATCAFVPVALSQSNPTAGSVDPFKVFTISADNITAKF IPYGARLISLLVPDRDNNVQDVVVGYDDPRQYLEDTQTSHTYFGAALGRIANRIKNGT FTLDGTEYHIPKNQGGLYTLHGGDVGYDQRNWTVLASTPSSVTFQLYDAAFEGFPGDV ITQATYSVDTKSTAENPQGRPRLMTKLVSQALTHSTPIMLSNHIYWNLNGFKEPTVLN DTWLQLPLSKRFVATDSLQVPNGAISTVDDTDHQSLDFGTGKIIGRDIQYTKGLVGSD VGYDNCFIVDRDSTYSAQNSLVPALRLNSSTTGISMEVATNQPALQFFTCLNMDGTIP VKPSQAKRNSQNENAAGFVEKYGCLAIEPEGWIDSVNNPQWGQQSNVIYSPETPPAVN MATYTFGTV PEX2_068610 MPSNTRGVFSLIIINKAGGLIYQREFQAGLRNLSTNDYLVLAGT FHGVHAITRSITPKIPTSQPATSPATSSTGTTTPAASSYSYPNPGVPVSGLDYLETDK FRLTCFQTLTGTKFLLFTDPLTGSVDTIIQKIYELYADYVMKNPFYQIEMPVRCEAFD RHLGAWLRGRA PEX2_068620 MTLEATMIMSVIAHPPYYLTLLTPSYSVDNSESSRNGDYTTTRW QSQVDAVSIIHNVKMRANAQSAVGLMSMGGKGPEVLSTFTPEFGAILAGLHQTKIHGT SHLSSTIQVAALALKHRMEKSQRQRIIVFSCSPIEEDEKTLVKLAKKMKKNNVSIDVI AFGDLESDQTKKLEAFVENVKSGDGSHLAIIPPGPHLLSDQLQLTPILAGEDAEVGGG GGEGEAGGFGFDDAAENDPELAFALRLSMEDEKNRQEKEKRDREEQEGKTQLGNIPEE GHGESSGGKDKKDEDKMDTA PEX2_068630 MKTDDEGEVEALYSATTPRPVTLFVVVLLAPHLNFSPCTSTHPK SISLELFTMPATKRKSDAMDVTPTGSPTKKMRLTQHQKQALMDNLQLEITERARKLRA QYALQANDLRARIERRVNRIPVSLRKANIGELLEKHNAAINKQQVASPSRKYSPMKVS RNIASISVDPETSTTRDGRGRRGSHDGHFSDKENALAGREPELKNPKRRVKPAGPGGA SRVASQEVRGNENRILSPKSNNSRTYPHSPFRASPEKGQPSYLARPTSPLKPSSPLRS RGHTVSTVKDQRPPSQAQRTATRAATGPKTIRSPLSRPATRQGERKNSTGSTASSGTT VTKSTRTGTGARKATTASTAAVKRPTSRMQTASMAVKNTPTTAAMRKTTAPAAAEPAT RTRALRKRI PEX2_068640 MLRDMEQSMMQTYRYQGPKVQETWAVASEKDYYLKAPGLTDFLY SELEDGIQRELEVCEVLRRHPRPNIATYYGCRGENGRATGICFKRYKETLSGKVNREY LNKNKFRASGLEHVDDSLKENLRGVLAGINHLHSLGLVNNDINPSNIMLDEDGVPVIV DFGSCRKTRVSLENSAVRQTNGWYDPENRLFLEKNDLDAFEELKTWLFGSVDDE PEX2_068650 MPPGGLPASLVAGNPSGRPTVDTSGYGANYTKNTPTSPEDSLIP FDSPSTRTGGPSPITPVNQEDGGRSSRSLGPDQAGLRDRSRVNGRPNRSPGGSSRLCQ KCGESLTGQFVRALGGTFHLECFKCEDCGEIVASKFFPVDSEDSSCQFPLCETDYFRR LSLLCHECGGALRGSYITALDRKYHIEHFTCSVCPTVFGAQDSYYEHESKVYCHFHYS TQFAQRCHGCHTAILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLSPAGQTWEPPPVD EDASEDERKHIRDEEDIMEEKVFNIWNTLSTFEESSAACISDMLLHVSNGAYIDGVLV AKRFIEHVEILFGAVDQLAEYIKSHELKGKSRAADFTSSGAITDSSKPELAYGREAKL LCKKIVAFFALLSKTQETGVRKLGVTQELLSLVTGLAHYLKLLIRIGLQGALKLEREM SAPDGLQNFLEHLRDLESLAEHEDDDKPVDLMAGVEGLADQLSDCCIACKEPIDDECV QLGQSRWHIKPPHLSCKSCEKDLTADIQDALWSDSEEQVYCGACAHQMQLGPTVKGGF TPVSKLQQFVFLLKVALARLLAVLRSGGTLPHTSDDPNLNEYDNKDGHRVSPQVRRAN TRSQSYGGGSRDGFEETSLEQTVGEMRRLRSIRNERTLSTTYKRARASRIIDGPEGRS ARPGSSGNDGSDPRGHGFQIVEERDANGETVTDLTFGTQDALTLDDIPRIVAAEQAKE QRPNAYRHAGTKLIGGTEPMPRYNHGHQRGVSSGNMEALMEPTRTKRYFSELTALEYF IVRHVAVLQMEPLVEGYFTMEELLSLIESRKPTIWNIFGRAFKDNKKGNKKKGVFGVG LDYLVEKEGTESSHGVGPGALRIPTLVDDSVCAMRQMDMSVEGVFRKNGNIRRLKDTA EQIDTKYEQVDLTKETPVQIAALLKKFLREMPDPLLTFKLHRLFVISQKMDDAEKQRR LLHLTCCLLPKAHRDTMEVLFAFLNWTSSFSHVDEESGSKMDIHNLATVITPNILYPN AKSSTVEESFLSIEAVNALIAYNDAFCEIPEDLQPVLGDTTLFRENAEVTTKEILKRY GDIARGSFSQRPENGGETFTITTPNRTNSTPASARIETDPSQDAAWQMQSSVRHVPGP GGHSHSTSTNPQAGHDFGPPPPAAYHRDRSTSNSSQPIAGTPDGQSSNVAYRPRPSAG AMGVTG PEX2_068660 MGDQCSLRLVRELRQVERSEQLAFTVRYEESNIRDIQALIIGPP GTPYELGFYEFSIKIPSDYPANPPIVKIRTTNRGRTRFGPNLYANGKVCLSILGTWHA SRGEQWSPAQGLESVMLSIQSLLSSNPYTLEPGFDDNERANDTENMEIYKSKIRHENL RLAVITPLEQAFQTSSPPRRTAPRVGQESSAEEDSDVELEPDLGLLTQSKFHDFCKRR FLWYHEFYQNAIEKGIAEEARRQGTPFTQMPFEGYGNTMSGEWNYNDLQARFLALRDR LMEETHRWPVEGLALVKEDAGIAVKLAGQHEQIAAEMNAFPQVIDLSLVDNNPFVWRL TYVGRNESRLEGGIIKIKIYISPRHPEEQPRVFVESPLYHIRVSKLGVLMYLPSHAEE IGQHIEGIINTLEDDSPPYNPLMTVNPEASALCWGSELDRRLYRRKLRASLEES PEX2_068670 MASMWHPDSFKMEHPWTPKFTWNDAPSIGAAPLPPPRVPETSPP EDASISLLKKRLDALTAQLDSYKLRLDRLETNSRPPRHDPSKVLNKWSDHAGLFIQAT SHLTPGANILADVKVIARSGEDRKRIRRWKGVFKDHYSVSWDECQMLNGLEMVGTGMI DVFNIRANLHILSKWRRPKHRPQRRRIQRTCDYWIGVWHHDPLIHVPSEALHQLWALY DS PEX2_068680 MSAKRRTLELGNVLVVGGCGFLGWHIVDHLLNFPSETDPSYALP KPVGDARFEYPTLGSRYPDYHATVSVVDLRTTNNRLPGAKYYDGDITSVESMLEVFRA VKPDLVIHTASPSMIEGNKPLLHKVNVEGTRTLLEVAGGEHGDWGGKCKAFVYTSSSS VVHDTQSDLKNVNEEWPYIRGPAQKEYYSETKADAEELVLKYNKKSPNEMLTAAIRPA GIHGEKDTTVTHKILEHGSQASDRVLRMQLGDNDNLFDFTYVGNVAYSHMLAGHRLLA SYDLHAAGKGGPLDYERVDGEAFNITNDSPVYFWDITRAMWALINRVVEPEQVWALPE GLLGPVGAVAETVMGLFGKTPRLTARTVRYSCMTRYYSTEKAKHRLAYLPVVPLDEGI ARAVGYIVAQQKADAGKKAL PEX2_068690 MSNPENQCSGADCDNEAGTLQCPTCLKLGVKGSYFCDQDCFKRN WITHKAIHKTQNAGQFYDPFPGFPYTGSVRPVYPLSPRRPVPKTIKHPDWAKTGIPRR EMRLSGSKCDILDAQGQEAMRKVCRLAREVLDITAAAIKPGVTTDYLDEICHNACVER ESYPSPLNYNHFPKSLCTSPNEVVCHGIPDQRVLLDGDILNLDISLYHGGYHADVNET YYVGDKAKSDPELIKLIETTRQALDMAIEIIKPGVPIREFGKIIEKHATSRGLVVVKN WGGHGINSEFHPPPWIPHYAKNKAVGTCKPGMTFTIEPILALGSSREVCWPDDWTNVT VDGKRTAQFEHTMLVTETGVEVLTARLENSPGGPVPIPGVANGDTNGKVKN PEX2_068700 MSLLHALRHETKAVISSTVPRELDLTPATPAEHVLDTQGFVTDN STPRDGQPVFERALPSRTTKSRQVVITVLLVLANLVQMTVNFAGIAGGSALSESLGAK DTYASWIAASYALTQGTFVLVSGRLGDVYGHRELVLAGGAWLTICTLASAFCSNFFAF VTMRALAGFGGALIMPNAVAMISSTNAPGRVRNLSLGFFGASAPIGGYLGALFLGAFL QRTEWKWFFIFIACLGVITFTPLWALSSREPPVDRHGKIDCIGSALGTSSLILFNFVW NQAPSVGWSTSYEIVLLISSIILFGGFLLWERNYAAAPIMPLDIFKAPSFLMLLLVVL LNYMAVGTLIWYQVLWLQKVWHWSPLQFAVGWTPFVICATGAACLAAWMIPRMAAQWI LAIGTVTILISNVLMATVPIHQSYWAQIFPSVLLFSFCPDFVYTAGQIIASNSVRRNQ QGIAASVIGTLNLYGNSLGLGFASTIEVQIARRSGSQIMGYRAALYFGVAISAVALIL DVCFVRLVKDDREGWHEDDHMEEIELNEQAEASGVHLPNVNH PEX2_068710 MADDISRESRDRIEIEAEKERIENVEHIASPQQDGTPRMDPARR IVVEKSLKRKLDARCSLFVAIYIMNYLDRNNMAAARLKGLQEDLQLSNTEYSTCLSIL YVGYIIMQVPSNMFINRISRPSLYISVAMLLWGVISTLSGNTKSFGDMVAVRFLLGFV EAAFLPGALLILSKWYTRRELTTRNAILFCGNLISNAFSALVGAGVLSNMQGVLGHAA WRWLFWIEGSVTMFLAILAAFILPDLPHNARGFTEEERQVAQLRMLEDVGEADTDSEE LGAFGGLKMALLDPKIYVMMLTFTAYVVGLSFNAFFPTLTGTLGFAYVPTLLMSAPPW VFSCIVSVINAWHADKTQEKFWHVIGPIGMGTVGFVICMATSNVAARYVALFLQAGSY AGFIVFYSWISSSFPRPPAKRAVAIAMINAFSQLGNVAGSYVWDLQDNGYRSSYGIVL SMFGVTVGGCWYFRWMLVGLNHQLEEGELADVARGEPTENDIIDSQDESLRMRKGFRY LV PEX2_068720 MAATEKRPEIIELARGLPGVPLCEDYERMVSGMMYNPNVPKLLE ARHLCRGLAADFNNLDTKTVTYDKIGEKRLELLRKLVGKVGDGTFIEPPFLPDYGCNT IIGKECFFNWNVTILDTSLVVIGDRVQIGTGVSIITAGHDTSILSRRKFVEFGHPIFI EDDCWIGSNVIILPGVRIGQGSTIGAGSVVTKDIPPFSVAVGTPCRVKKTIPSAEEEE QDPNNQYRTLVREDR PEX2_068730 MGLPGTRLGAFRAYFFGYFICTAGFLFGYDTGIVGGILEFKSYI NDFGYKDQTTVSAVMVSLQNVGAFISALGIFWVSERYGRKKTVQAAMTVFCLGVVLQV VPSHSLVCFYIGRFVAGLGLGAGTAVVPSYNAEMAPKEIRGKLGSGMQWFFALGVMLS YWIDYAVKLTLPVSSKQWQIPVGLQMVPAGVLALGLFGMPESVRWLAKKGRFDEAWEN LKWMRASDGPEVKAEFNEIRVGLEEESRATEGFNKRELLEPANRYRLLLAVFMFCGQQ CTGMTALAYFGPQFFKLLVGNNTNQSLLITGLFGAEKFITVGIYILFFSEMWGRKPTL WISALLMAACFIIVTVVKETTPAPDAKATPAGIGMVAMIFLTNSIYQFSWGPLPWPYT AEIFPTRIREIGTSVAVSTQWLFNFLFSLVTRYMMNSWGSYVFLFYAILDIIMAIIVW FFVKETKGKSLEEMETIFHSKAAFDVDAVRRGTLGADEFYCHLCGVSFNIIFRLKSGE LDVPGPQDGQFDETDAIDSTDNDDTAQDPDYQPQQNYEDNEPYEYDSDYESTDCLSLD GEGAHDKEDSEDNNTDTNHDSERQMYYNWVLQTFNPRSVTRGEPELRVGYFDSPMSGY SADAISPEEARGCRTAQFLMHKDSPRDQWQADELHEPWEINGDWSLSGICDGTPSRDT DIPTVWPVRNGIEDVEADNVNFAAREAVPDDIAMPFHPWCFDIFCRQSKVQFQHVNIS GLMAWRNAEFDWSAFKSFPRAKDVKSSQDQWWSHKPGTEYLVANPLYVPGLSEILLAA VKEEGLCFSYNPYDQIKMSRSATNRPTTSHSSQVDVFSSLPAEIRLMIIDLLGSSDIT SLSIASRAFTELPNSVWYRLVRREMPWLWEAWDESECIHNPSLWTNVTTAEIKSVIRA RSTYAETLRGDSYTEQAAERVAECRFPLSVIMPGQVKLPRTNTDWRSVLVQIQLNWDK LKGLRNRQRIWVDVEEVVRRVRKFDV PEX2_068740 MAQRREGKSSDESTNSEALSIAQNARISQRFLATNLGLMTDSVI LKRSLSLNSVISTASSFSIRFQNARSQPNLQYLNQIGSGLQGAVFEQVGKPLALKKES PGNEKLRSNLSHEHTIHRGVSATFEYYQSINTEVHVPKPLRFISKEENSAFWDEILPK TPEPYRVRGDLVMMERILPLPKVVRKALISQFCAPEQHLNGTEIEAILNNPQNKHCLA RVYLGNANGTIDRKTPLRNFPLCLDPMEQIGIETVPLANAMGKAYATLNWGGAINGDD VEFVLGTSATAAQGTDHAPNFQHRAVQLYLLDFGQCEVVDLTQDPDVVYQAFKGAMVT GDNQRFIPHYSRSPALFATFRQGYIEAGNIILSDKKLDTKFNMEDFMQEYEEYAEDFL PEX2_068750 MMIPLVPSDHPNQHLRKLGAQYDPDLPISFAFGLVGLQRGWKAG SETWKRNWNACMNCEYDRHIGSRVTTLATWQQLCAKVGIKDSVKSINQCKKALARVHV NIVDVLDSWDSDTTPIRFKNKKALAVYTKSNQKFFRRDIAKQDKVLRPTFNMRTPVAP SVLSGVSPPVSPPRHPNKHLRELGVSYDPELPISGAFGLIARQRGWKQCSKTWKKSWN ACMNAEYDRLIGYSVANLATWQELCAKVGIKDSFTSINQCKKALVRVHVNLVDLLDCW NSDNIPTRFKSKKALAIYTEANNKFFGRHIAKQDKVLRVLLRKLL PEX2_068760 MNLLGASDQVESIPPELEFVLTSLKCDGIRPSCSRCLDRGVPCE YSTAEDGRQPAPKSYVVMLRNRIELLERVLQTYGIDPDAAIQQMTKNCELDPEWAPAC SNVDDLCTTFDGALTLDESLNFDQDGEMRYFGPANFRNEQAYQSDGPSIQPDETLLNG STGLLEESLIPEDGLRSHLIDLYFEWEQPWFQVVNEKLFRESMRHGGRYFTPLLLNCI LALGSRYCDRIEVRSDPNDSNTAGKVFLERAENLIQHDLRWPKITTIQSLAIMGMTYI AMGSDAAGWLHQGMANRLALDMGFNMDPSVLSGAVALPPIEIELRRQIYWALYCHDKL SASYTGRVCTLLVCCTAKNISSASNSPSFIAQDSQGVVNKPFSLCASDVYLPSANGNG QLRAASQRDVVQLHRAMIDLCRIFEKVLLSLTAAAKNRSPPGNRKSRQYAKPQSEEPT YCMLSAASLIIERCCVDAAMRPVTGNQTSQGPSPQAAVGLCLQVLRELSTSWDIAKRI GQNLEKLYCQRLNCDIDHMPPAPPLEWKVGCTTPCQPADMDLYVGPMAPTPTDPLQCL SNQKDLHVQNTPLAPSLPNTNWLDVPTPSNNHQQNENSMIGLAPAPSNPHGVFINNLG FAFSANCLPSDYNMFDTLNQMYLDDMW PEX2_068770 MANNEFPKPQFLAPPAVTALRQEARNIDPKMVRTLSDNMREERE DLKEAAEQTLNIIVDMDLEGCIKWVSPSWRQVVGSSPESVEGHMISEILLGNKDVFRD AIESMKQDDSRSRFIRFALQMGPDSVLKYAPEPPPAATDNQATGEETEKSQEEEYNHN VLNMEGQGIMVYDRTDDEAGHTMWMLRPLTEPREVTIDLPPLLVESLGVGAEVLANYL TELAEAAATEPDPSKHPAPTPVLCRICERQITPWWFEKHSDLCLQEHRAEMDVQMAQE NLNEHRHVIVKVLDALEARQGRPFIGSDGNPMPLPQAEYKGLAIGPASASSAPSSGPV SGSNSAPGTPPRSREHSASGAIPNRPRSFTVRRPLARIVELVLDLCDTSLEISMPMIK ESSRTDAEDFRTLSPQSESRISQVLQWQSPSSNTLEQEQGLAALSADTEQVAKAKVDA VVRHRKIVEYAERIRIEYTVLVEECIGAALSKAERIAAGQLSDSSCSSDEEVAPESTV DSTVALGDSNSIAEEESLSQIMSRELQQRPYPAAPSPRRPTSALTLSMRNSPDRSLLS QSERRPSSAAVSTGSNSPLECPTPRSHKSAVGLLGTSQPSRLGLSLADIDAGDSSDSS LPSSVFPGALRTDSPSSERSFDRKRRSLVLPGLSSSPRRQPSPARISGPHSPLRMPKP RMSSGGESQQSPVASPSAYASELALHHCRHHRRQSSATSSDVTKPPPSPRLPSMSQQQ PRPAPLSIRDFEIIKPISKGAFGSVYLVKKKATGEYYAMKVLKKADMIAKNQVTNVKA ERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCSSLVKVLGGLPEDWAKKYI AEVVLGVEHLHSRGIVHRDLKPDNLLIDQTGHLKLTDFGLSRMGLVGRQKRVLKNPDD SAPDLLKQNSFPRAISIASSRSASFDFQTSSSPGSTPLITPEVSTSAIQPSYFSLNQS ALSRQSSRRASGYRSDSMGSDGLNGMFRTFSLNDNANEPAASSPSMFSTSMVEEEGQS EAGESPRLQPLQPTFSNPMAQNTPPQQNMMPPVMALFDPEDHDRRFVGTPDYLAPETI NGIGQDEISDWWSLGCIMFEFIFGYPPFNADTPDQVFENILHRRINWPEDPEELTSVE SLDLMNKLMTLNPRDRIGANVEEKFPSGGAEICSHPWLSDINWDTLLEDKAQFVPNIE NPEDTEYFDARGATLQSFAEELEDENSPQPSVTAGPDRPHDALFKVRSQVNSSKRPLM PLHIPPHVRDARDSRSRRLSEPALVDDFGSFNFKNLPMLEKANKDVIQKMRQEALQAQ HRQPNSSSAAQTSATPSQPSLEGSPLPMSLQRTLSQNKGNNRPSSPSGLSQTNSSPSR PSQPSSPLLVQFSTGNNQERRKTSGSSSASYQSNGTFQPSSADQGRMPNLRLGSVASS PVKSNRIPAHSPDKTGNNQRHGSVPASRTRSHTIGSQDGDAPSFPKEPFVPHHHKRRS QLFDISPSSSDNEDPRTKALLKVQRRRQSSRRLSQINILEGPFFRPLDVLICEDHPVS RLVMERLFEKLRCRTITVTNGAEAVRYALSEVQFDIIMTEYRLPQVNGVDFARMVRET RSANRHTPIIAVTGYLKDLPENHNFDALIQKPPTLPKLTEALSQWCMWKPPPTDYTPS QPVPVPGSGARSSAVTNEDSPSSASSGFVLNPPSSYRGSSRDDSISSSVFGDMESYKP EEIPVIINRNSDDWDHGHGGLGISDPGSPNPNAVPVPHLLHAASAPAAMDSTGGLTPR RQRSSEAIRAKKESIEQKRYEGAESGDDEDEELGNSQTRRSPPSRNRRPGSKLGIEMM RTNSRGSVVSGSEELLKKEREALRNQAAESANHEDSDCSLGSPASTSLEDRFEALSIP EESESEEPPSPRTSPTRFGASASQHLRPSLAHQDTSIYSGLSQSCTSKSSPEMLSQGQ TTPPWDLPPSIISHSTAPPITPEVKIFGSGLTRDAGSGVDADCSPTPDSDATPRPLHP SPSLDPEHTPRPAERHRSDLSFAFKR PEX2_068780 MSSPTEERKAHTEPKDKRKESDISPTSDDGTYVTEPDTDRDAGR LKVDTTRYVDDDDDEHPPMRTPSARREQATRLEDDLMLLQAERMTSHSTGVQDDEHDH NSMNRSRSRRSEAVDEFDEATNPLHEKTAIYKPPEKPNTQIAVFVKKLHQSSFLVRYL TYILPVVILLLIPLLVGALKYPNASVGGVELMWFAIWLEIVWLTLWAGRLVSKCFPPV VGLFASVFTNNAKKWRDMAKQIELHAALFFWWLGVEISFLPTMKNHHVDGNTATRGWE ITLNKIIIVIFVWTILNFIEKILIQLIAISFHMRTYADRIEINKFQIGSLTKLYDWSR STLGDKDDAFEEKNEQPTPSGVKTPLHYAGIAQRKAKGALNKVGNRVGDVAGAVVADV TGRAATRSTDAYQVILALLRTTGGCQVLARRLYRTFVRDGFETVFGGDLKAAFEDGEE AEAAFAMFDRDMNGDISMEELEAVCVDIGRERKSITASLKDLDSVVSKLDNVFMFFVF VIVLIVFLSLISTSAAGVLTSAGSAILALSWLFSATAQEFLQSVIFVFVKHPFDVGDR VTIYGNAGDAGLGDDYFVKEITLLYTEFKKMQGHVVQAPNSYLNGLFILNQRRSGALA EAVPIIIKYGTSIDQLDSLRQRLLEFVRSEKRDFQSNILTEMRAVTENFSLTLNVVFF YKSNWQNEGLRLQRRNKFICMLMVALQEIGIEGPRMNLQGAKFDIPFHVNYGNTRSTE QHAPIDAEEVPISEESHHLPENTGTSSGSAIRHPSILRKGMYTANARARGPSVSQRKH VDFSLGMSNMASNDIMGDVFEDRGVVVDDIVRSANRDAAERRIQEENEDEEERRSRTS SSRHRRPSNMSAPSHNDEGRRSTDAHSFRSGHSSLGRNRFFHHRSSVSHERDDLMEQG RSDEPTLPPPATVSGVKEHHN PEX2_068790 MRGEICHIHIGQAGTQLGNAAWELYLLEHGLKPDGFIDPDVTDE AAKSGSYETFFTETSGGKYVPRSIFVDLDPSPIDEIRTGTYRDLFRPQQLISGNEDAA NNYARGHYTVGKEISGAVIDSIRYVAESCHSLQGFLIFHSFGGGTGSGFGSLLLDHLT SLYGRKSKLEFSIYPSPRTSTAVVEPYNAVLSTHSTIENADCTFLVDNDAVYDICRRN LDIPRPGYEHLNRLIAQVVSSITSSLRFDGALNVDLAEFQTNLVPYPRIHYPLISYAP VIGNKRSSHESFKVQDLTFQCFEPNNQMVNCDPRKGKYMAVALLYKGDVVPHDCTQAV HALKTRGTFSFVEWCPTGFKLGINYQKPVRRPNDELACVDRSVSMLSNTTAIAEAWSR LDHNFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAEDSGPEGVEY PEX2_068800 MSAGGEHLKDEGTKRQVVLAGGIAGLISRFCIAPLDVVKIRLQL QIHSLSDPASHQSVNGPIYKGTFSTMRTIFRQEGITGLWKGNIPAEMMYVCYGAIQFT AYRGTTQALAQLGSYRLPQPVESFLSGAMAGGCATGITYPLDLLRTRFAAQGPDRVYG SLRASIVEIARHEGAPGFFRGCSAAVAQIVPYMGLFFTTYEALRPVMTWDALPLGTGD AAAGVVASVLAKTGVFPLDLVRKRLQVQGPTRTRYVHRNIPEYKGVLQSIAMIVRTQG VRGLYRGLTVSLLKAAPASAVTMWTYEHALKALQELNVAADS PEX2_068810 MHRLRTSATLWQLPRALSYSVPRRNLIAASSRFNGHVEPDSHNI LGSVALEIDHSLPIPQEPSSSKGDVPNSTPDVVRKTTRADGVLKVGKKDSIWAGKEEL YLRVDKITTLKLPYTYLRDSCQCVVCKDEHSKQRSFRTSDIPKDITPSWVKWDGSKLS IKWANDIGESQTAHESTWDRDFLKSPIFNTHRQHLSANSTPIIWGQAQMDRSQHWVSY PDYIDDNSKFAFAMQKLQRLGLIFVKDVPGSRSMVEAIATRMGPLRNTFYGSTFDVRT VPQATNVAYTNQFLGFHMDLMYMNEPPGYQLLHCLENSCSGGESLFADSFSAAQLMKE QYPEDYKVLCEQRLGYEYRHEENIYYNERPVFQHDADTDKLLHVNYSPPFQSPLRSRG GKGHDAERVNKLRDALARFTSIIDNEKRIFELKLNPGDCVIFDNRRILHARRQFNASE GSRWLAGAYVDTDALLSRFAVCKKQHPYVWTKKGQKDLAASNAENN PEX2_068820 MSTLTEITSEADFSSHLSSLSPSALVVLYFHTPWAAPCAQMGAV LSALASQYPATTPPSISFVSINAEELPDISEEYDVSAVPFVVFVTLSSATLAAEMLPV PPVRWKAPVTASQPPAADAANATAVASAPALTPEQSREALFARLEQLVKAASVMLFMK GTPSSPQCGFSRQLVGILRERSVKYGFFNILADEDVRQGLKEYAEWPTFPQLWVNGEL VGGLDIVREEINNDPDFLTDHSVSKPTAAA PEX2_068830 MGKDRKRKLSSDDPTGNSTPQVFGVADTLSRLQSDNPSSEGSNG SHPSKKRKRVDGEKVKYPDLTYADGKLVSPIRIADLQGLLLYCFADGIAPQWISMKHS GHVRKVVVLMVPGLEMGMFDGTISVKRESATGEQETQECKTAEDVPDEQAADYERWKQ GLPLPDRSHRFNPRSITRETLPEPLQPLADMFPHIWPVKAPGDNKYNKVHSPLQAILM STPPKTKNDDSRVKGARPAKVEKGFVPKRTPITAFVTSREDLLENDFLLHPVFFSSDE EKSSQLEARQRGGQSVEDGWVDTQVPTLESAQPPDAEIESGSVTAGRDVFALDCEMCI TEGGQSELTRISMVGWDGEVVLDELVKPPRPVINYLTRYSGITPEMLEPVTTTLHDIQ QRLLTLLTPRAILVGHSLNSDLTALKLVHPFIVDTSIIYPHPRGPPLKCSLKWLTQKY QNKQIQNGLAGHDSIEDARAVLELVKLKCEKGERWGTSEVSNESIFRRLSRSTRPSHL PRPGEERTGAVVDWGNPERGFGAQATVSIGCGDDDAVVSGVSAVINGDESNASIPGGG VDFAWARIRDLEYLRGWCSRLPDPNNANESTTLFPPPEETTPTTTTANGDSTDLPQPD VLADTVSRTVSNISRIHDSLPPCTLFIVYSGTGDPREVGRLQSIHKKHREEFRSGKPW DELTYKWTDVEEQALKKACERAREGCGFMCIK PEX2_068840 MNPFTRATAAWHPVGLASEFPSIDDDARIVPRCKAFNIPKTNSA IEPVEDIDLPGELKDQVLVFKYKGKYHAVDHQCPHSSFPLSRGNLFDIEDFGIVLSAG LTCPKHGWSFDIFSGRADRGNYTLKVWEVQLRDSSTPGSTDQEVWVRRKQRIG PEX2_068850 MEAIGAASAILAIATAGVQCSVKLVTFAGQVKTAPEQITMVAED VSLNASILQQLGELSKENVENEHPVSDGNVSNTTNNNVKPVPDTKATISKQSVFNTTG LETVLKLAKKCEEIFKSLDQSLGKASRQLHANSRISGKVKLSRAEMFKWPFLLPGMDT MRNELRNVKGTLMLMLQVAMLAYSRRMMGGYGENIQRTTGIVAYSREDQEFLVRAIIA AQKAQLGSFGNQTSEAPNIGSVREQPLEIERSRFRQVSEPSKHGSFTMDHGLNGTAAT AEEESDNIDEPSRDILSLLPSRQPSGSQSQIFSSPPSRIFSISLLSPRASITNYQIHV TYDARSIKLPDSTVESQLEEWKVSFDTTVLDQLAVLDTNEYEALDAVMNRSEQTLEWI QFGDYRTIIQGIEHVKARTLTIIMSGVPHPTPKEEKKYEPEEKKRDEKKREESKREES KSMWIKVHRKYLLPDSLIAYGLYWDWDWDEHDPNYIIIQEWITDDLQEELFDHTRRLR DSRIATQVSSNMAELKVNDRNKDRLYLIREKPATRRSSNFMSKIRQSIPLINRPKQEQ EGMEQTPDDEANAEMKDEEFRQRLHSEFGYTKEQVETMLNGKPVDKKKEEKREEKREE KRTGSEDDDILSESDVQAVNAKNQNGSPSYDFVVVGGGTSGLVVANRLSEMGGFTVAV IETGDSVLNNVNVSAVTGYGRAFGTEIDWAYQTEEQTYAGGSKQIIRAGKAIGGTSTI NGMSYTRAQKVQIDAWERVGNKGWNWDNLFTYYKKSEQFQIPTPKQIARGADYYIAYH GEQGPLKVGWPQEMTNGSVLPTVDETFQQLGLPFNRDCNGGSMVGLTVHPNTVDRQAN VRHDAARAYYWPYAARSNLKIISNSHANKIIWASNPSEAVAIGVEITGVNGVETIYAS KEVILSAGSLGTPILLEMSGIGNPDILRRFDIPVQVNISTVGENLQDQTNAGLSYEGN EFWFGSPTISALPSASHIFGDNVHAVASLVNSTLAEYAKNVSENSNGAVEEANLLAAF QLQHDLIFKSQVPYAEIVLLPIGHSFSSEYWPLLPFSRGSVHINSTDGSQPPAINPNY FMFGQDLKAHADVAQYIRKAFSTAPLSNLVGEELAPGKNNVPENASDSIWEGWVKSTY RSNFHPVGTASMLPRDKGGVVSPELRVYGTKNVRVVDASVLPFQLCGHLTSTLYAVAE RVSDMIKTRYVF PEX2_068860 MFPVCADRNIRWFAVTMGTGIVSVLMISIPFDTPVLYYLSIVFF LLNILLFALAFIASFLRYTLYPEIWSVMIQDPTNSLFLATIPMGFATLIEMWVFICVP IWGEWAKTVAWALWMIDVVAAASVTLSLSFILISRRYITSLDRITALQLLPIAATIVA AGTGAEVATILPNKQHALGTLLASFILWGMGTPLAIAVLVIYYQRLALYKLPPRELIV SCFLPLGPLGFGGFGILTIGKVARVLLQDSHFLDPIAGQMAYVLGVFISLLMWSFGLI WFVFALATVLHSSPFPFNMGWWGFTFPLGVYAANTMELGIEMDIMFFKALGTDTEYEF PFLQEVFSPLESHKVDIVFVHGLNPSGRNDHPIQTWTHSNGKFWPRDFLAEDIPYARV FVYGYNSNITHPQTMSTASIKDHANTLLNLLDMERGLQMGSIPPKVIFIGHSLGGLVI KQALLNAKEDPKYTSIRSATSGLVFFGTPHRGAKAVELGKIAARVARFVSKGHASNDL LNSLEHNSLFTRQMTDRFRHQLEDYHVVSFIEGKEVQIGGIGPASISHLVVDEESAVL GLSGLRETQLKLDADHSQMCKVGARGPMYRLIKGNIKQLVDQALLSEQGFVPQSVPPS TVSSPPPIPPRLHSNSSTPYQGQIRPSPAAQRVTGVIFNALDNDPRSIRSAELKNRAR WDEARTVEYEIFQEHLRTLGPDHFSTLSVAYNLAEVELETNYLEKAGEWCHWVSENAQ RVFGTKHPLAMKTESLSAEVLCHQGKYQEAESVCANVLARQQMTIGEDHLDTCGTRRR LGMTYNALGRRQNAVMTAEKLTDTLKRLVGETHIRVFGSALDTLEYIVSNQSGDANTL IVLRFQPDVKRAVEMLLQIYEELQSALGHGHPSTIRALCLYGRAQSFIQQSIEASETL RRALASAEETLGPDHPLTMDIVGNIGVMYALQNAQTISNNRAAEAFPWLLRYLNWVEH RKGKDNPETVATLELLGNLHFNAREYEPAQQYYERLVANMRGSDSKVSQRVDNQLQLC RANTMYTRRGLGSGIGGFLSNLQRY PEX2_068870 MHFGNIIKPALFAVLVHGYANPGSCSGACNVHDPTLIQRSSDKR YFRFSTGNKISYASASSINGPWTAIGSVLPSGSSIDLAGNDDLWAPDAQLVNGVYYVY YSVSTFGSQNSALGLATSATMELGSWTDHGTTGISSSSSKAYNAIDGNLFNDGGTYYM NFGSFWHDIYQAPMNSDATKVASSSYNLAYDSSGTHAVEGAYMYKYGSYYYLFYSAGI CCGYDTSRPASGAEYKIKVCRSTSPTSGFVDATGTACTNGGGTVVLESHGTVYGPGGQ GVFTDPTLGPVLYYHYVDTTVGYADGQKLFGWNKIDFSSGWPVV PEX2_068880 MISESPRSLREDDSSQRKKRAKYTQVACNECKRRKLKCSGGLSC ARCSRDQIPCVYATHRPSAASIQETDLKDERAHTQFQAVDRQLEALRWEVRNLSARVH ELESPRLPLASNASALPTPGNLHRILDAPKSPTYVGPTSAEFGLTQPRRSLSHQAGDC ATIDDCGEELDLSTAPSPAPSERSENTPLRHPLRSLGPDETLRLVQVYEDTVGVMYPC VDLDGVRAYVLEFYRSHDSTTGSSSGLSTHTASDQDWFSARDVQVLKILLATALLVES HGRSERAAQLADSVEDRFASRMKIAEVDMKEILILTLLSIFHSYRDDEVIAGRLINMA VRGSTELGLHRQETWQKTGGVFPGELEWTWASRLFWCIYVLDRKCAFGTGLPFSIQDS DIDTNLPEPGHSTPYLTCLISHARLSTKIWGLVVGWPNRSQAATSDGCAYLDAQVQQW IHSIPHELRFDPTWRSPTGSEHTDQTIMLQVFLALQANQLRILVYRQNLLSDERIADN VAGASTAVETAKSTVHMLDYFSRVSNIYFQRPEPFNYFLLSALAALFLAVLHAPARFS HVCRPEFYTAVDMVRRSATRARTSRRLQKILHSLKRIRLNLRWDSETGQSSTHRGHLD SKEKETSRVSSRNWDTTPESIITESAAAHCTPSLHRISGALTPQPSSNAFWPMSPGTV AGPESNVCEDLSSFFEIAGGFYFDPQTGSDITAGEDVGLAPGGDTRSPNALDAFHAED EALTRVMAGLL PEX2_068890 MAHSHPLSILSIEETNLARDVVKAAHPNVVIDFREIFLQEPPKP QLQEFLALEHAGRLSPTTPRPPRLALCQYDVIGADRIPQFHESVIDVVSQSRVKHTVV GTEHHASLTLNEFDVLVDQCMKSPLFQKALSEFDLPEGFEVVIEPWPYGGLDPTDENR RFFQGLCFAQDKRSGNEDSNFYSFPLPIIPVMDAHTQEIIRVDRPATGGKGEGLLEQT FKRDIIGHCKPSEYVPELLPEGLRQDLKPLNVVQPEGPSFRVTNESLVEWQKWRFRVA FNPREGATIHDVSYDGRSVMHRLAVSEMTVPYADPRPPYHRKQAFDFGDGGGGNMANN LSLGCDCLGLIKYFDAIITQPDGTAQTLPNAICLHEQDNGIGWKHSNWRTGRAVVTRN RELVVQFIITLANYEYIFAYKFDQSAGITVEARATGILNVVNIDAGKVSEYGNVVSGG VLAQNHQHIFNVRIDPAIDGPNNSVVVEESHPVPMNAVTNPNGNFYQITKQTVERASW IDAAPQLNRTIKMINPHIKNPISGNPVGYKFIPLATQTLLADPASVQARRAQFAQHHV WVTKYREGELYAGGRYTLQSQTEVEGVADAVLRGDSVVDTDVVVWNSFGITHNPRVED WPVMPVEIFQLMIRPADFFGANPSLDVPSNKNLSSRLADAECCRKAHI PEX2_068900 MWMMDSLNNDTKMPPTEKPLSDEDQLVALGHVQELRREFSLWSI VCLQISLMATWEALSSVVATALTNGGAPCLFYNYIITLIGTMFIVLSLSEIASIYPTA GGQYHWVHCLTPESYQATASWFTGWISIGGQLVFSASAAFAAGLQLQALITLNNLDSY TPTRWQGMLFYWFILAYSTAVNIWGSKILPHTNTAAGVLHVVGFIVIVTILGAMSEKH SANYVFVEFSNTSGWDNDGVSWLVGLLSTIYPFLGYDAACHLSEEMPKPSRNVPLSMI GSVMINGVIGLVYAIVLLFSLGDLQSLLESKTGFPFIQLFLNVTGSPAGATVLALCVT LTAMAANAACVTSTSRTAWAFARDGGLPASEFFAEVSPTLKVPVYMVIVVGLLQMLLG FIYLGSSTAFNAVLSMAILGMYASYISPIVFMLIYGRRKSAPIFRGLGSGSFNLGPLW GPVVNIVAILWLLIGMVFSTFPTVEPVTPENMNYCIVVTMGWMVIGIVYYYLLGGKQR FTGPVVELAEGL PEX2_068910 MLGSHSKLSIAQIVFYIPVTATALYLACYRHKRPRMAWIILTFF SIIRITAGILVIISEKSSSLGVSIASVIFLNAGVFPLIAATLGFIRIIVALERNMSRQ IRQCLVVSRFLFIVGIGLTVAGGALEGSDTDSDVLIGVKLVKTGYIMVVVFVGCLLAM QGYFWTQRSALSVTSRTILTSTALATPFIAVRIVYLFLSVFQPTDLRWSDLRGPIAPF LTMGLLMEYAVVLMYLITGFLIPSWRNIEKPEILLDDATR PEX2_068920 MAGYEGSIRGNRCGEYLSVAHQVCQSVEYFLTDDMLLAGPLSIS PALGIVLDSLRNRAGHGEEIAWIQSALEEVRRKGLRVLQDVNL PEX2_068930 MSGSSFTSIPVLDFSQALSSSKPAFLASLREALVNVGFFYLRNA PISAQTQEQFIEKALGLFELPLEKKLEIEMVNSPHFLGYSRLGAEITALKPDYREQFD FATELPAPGSDEPLYRNVVGPNQWPDEAAIPGFRESFDTYLSEVSNLAESFPGLIAEA LDLPSTAFDQVFDNPQQHKLKLIKYPPPPEASNEESGFQGVGPHKDSGFLTFLLQGTP HHGLEVQNKSGTWISAPPLPGTLVINIGRSLEALTGGICTATTHRVSLRPENFHNTGG SLGPRFSFPVFQGVSLDLSADKISLKIPAHIRDLVKNDKVKSDAEATFNEIFRGSIGQ GTFIARVTSHQDVGQRWYPEILAKALKGQKNFLS PEX2_068940 MSLLRASFPGHGDFAPLFRLLDDYDDHRSSRNQSVVRSFSPRFD IRESEDAYHFDGELPGIDQKDIDIEFSDPQTLVVKGRTEREYHKSDPSAEAKEGKETD ETKSTHRFWASERSVGEFQRVFSFPTPVDQHNVKASLKHGILSIKVPKTTAATSKKIT IE PEX2_068950 MADNYSFEYFTVRLPTDHQYVAHVEINRPERLNAFIEVMWENMA QIFNKLSLDPRVRAIVLSGAGEKAFTTGLDVKAASEGLLSSDTKTDPARKAAVLRRHI TAFQDCITAVERCEKPVIVALHGFSLGLGIDLSTATDIRLCARDTRFGVKEVDIGIAA DIGTLSRLPKVVGNYGWVKEVALTAREFGAEEALRVGFVNAVYDNRDATIAAAFKLAD LIASKSPVAVQGTKEILNFSRDHSVQDGLRYTSVWNSAALQTQDVSAALLSGLQKRTP TFEKL PEX2_068960 MKSAILLSALIPSTLGATIYLAGDSTMAKGGTGGSTAGWGEYVT PYTSLTVSNQAVAGRSARSYTREGRFDAIAAVVQSGDWVVIEFGHNDGGSLTPTDNGR SDCSGTGSETCSTTYNGVAETVLTFPAYIENATKVFKAKGANVIISSQTPNNPWETGT FTYSPSRFVGYAQLAAAAAGVPYVDHGAYVADRFKTLGLTAVDAYFPSDHTHTSAAGA SAVAQAFLKAVVCGNVALKSSLSTTSFPGSCL PEX2_068970 MSVFSFGDLPTIQTRKALLILDFQNDFVRPSGALHIPNTQDILD TLPQLASAFRHVGDVIWVRSQYESPQTIVDWNFGNRIVLDKEPPRAKEIPTSDIIEVG SDHDEPEPVDPEAFLSADSSLCCDPHTPGYQFPAPILAAINAQHDTVVDKTGYSALES PGLVLSFRTRFVTELYLCGSLSNVSVFATALDAVRNGFSVTLVEDCLGFRDFQRHQEA MRRMADILGATGITARELIQELDWDETEAIARQGAQQPKRSAATAGLESVMDGLEFWN TADTSPTDDSREPEDPKLSEHASLSRAQRTTAAQVRGPVEDQKKVRVRVRRPKRRETP KEPSIGSCNDLDGETTPVQVMEIPNTSQINIGEGDSRLVNNINLAPNSFERIHAEVDW QKMYHLSGQVPRLVAVQGLPRPDGAIPIYRHPADESPPLKPFTSAVDEVRMAVEHILG HPLNHVLIQLYRDGQDRISEHSDKTLDIVRGSFICNVSLGAERVMVLRTKASASEPEG GTEPCRTTQRVPLPHKSLFVLGPQTNMRWLHGIRADKRPNATKSTEEQAYGGQRISLT FRHIGTFIDPVANKIWGQGAVSKTLDQARTVTHGDPAETARLIGAFGQENRATEFNWD AVYGGGFDVVNFVTTSTGQLVLGCDLVANLRVRLCLGESGVRYRLITNHEQPGAGNSH SMTLPIYETPDGTTIAGDSVILAHMANRSAEVSRPGIESLCGGNFLSTIDQFLAHWRQ HCATKSASVFEYGIEDWERTLHGQHYLGGSVFTVDDCSLWPVLREIVQSQGTFDSRFV SVNQYYHRVENRGIVRSILEE PEX2_068980 MASRGLPRALRLARVAAPRSVITAALPRPAIAAAAALPRVSSVV TPVRGIKTIDFAGVKEDVYERADWPREKLQEYFKDDTLALIGYGSQGHGQGLNLRDQG LNVIVGVRKDGASWKEAIQDGWVPGKNLFDVNTAIEKGTIVMNLLSDAAQSETWPTIK PLLTKGKTLYFSHGFSPVFKDLTKVDVPKDIDVILVAPKGSGRTVRTLFREGRGINSS IAVFQDVTGQAKERAIAMGVAVGSGYLYETTFEKEVYSDLYGERGCLMGGIHGMFLAQ YEVLRERGHSPSEAFNETVEEATQSLYPLIGGMGMDWMYAACSTTARRGAIDWSSRFK DTLKPVFNDLYDSVYNGTETQRSLDYNSQPDYREKYEKEMQEIRDLEIWRAGKAVRSL RPENQK PEX2_068990 MTSPAIAAQSLTPAAIQAAYGLIQPHVHRTPLLTCKTLDTIAST PQSTEALVGTPFEGQTPAHPQFRFFFKCENFQRIGAFKARGAFHALLRLLEERGDEVK QRGVITHSSGNHAQALALAASTLGIPAYIVMPRISTPSKIAGTQSHGAEVIFSGSTSV EREAVVAEIQAKTNAILVPPYDDFNVICGQGTTGLELEAQHAEQAGSRTLNAVITPIG GGGLNSGVATWFSDKQTRVFGAEPSFEGADDCRRGLQAGKRVEAVGTLTIADGLRTPV GLLNWEVISNQKKVEGVFAVTEEQIKSAMRLVIERMKVVVEPSAVVGLAVCLFDENFR RRVEQEGGKDGWDVGVVFSGGNTTVEAIAKLFT PEX2_069000 MAMATSSAHIKRPSPSIDQNNPKRIKRYYHHHHRLQEPVVLPST SEPVVQDDTHLDQLMNRAIGQTLKDSGFELADPAALSSLCSATEEYMLRLSTFVRQSM LSARRVQPIPQDFDHALKRFRLNPDDLLPHLKAAPSDKPTPTLLPSPPPENEISKSLP FLTALSSEDDRANRPYIPKHFPSFPSKHTYSATAVFIGRDNDPRKIRERAAEDGRHGE EALRKLASAAFRDNQTGSTSRDKKLWGRRMDSMESMFEKTIKGLSKKHSKDSFTSSAM DIDSGHPADADTKSRLKLSWNMELGPIVNCERDLWRRTSSNARRGEEKTPKPPASVDP ADVMIDIYEISLPFDSNSMLKTYRRNNRTTGSHTTTPGVPVLDTLLEVFAPKALPVSG AVIDGQPQSISAQTIDDENILLHSELSASPDEIHAAQDIDPPANVLFSDPDGSKKQQV PIVEISSSLSASGKSQLLYYLTALAILPRQYGEISVGGQEAAVVFIDADDRFDVERLR TVARGIVLQQRGLSESNLGAQAQPASTADHHLESMLVSSLQHVHVFRPQSSSALLATI HTLDSYLFDLSRHRSASRPLQMIAIDSATAFFLQDRLRDEVARTEEIGRPQAEIDSER EQKKSFHLSDLYTELVRQLKGVQSQFQCAIVYTATVSGSRASGPGHYDQSQARVPSLR PILPAPWGTFPTLRLIVHRSSVRPFPPSMAAHVAVKDAPSRQSVVQQGKISAWVNPWG RDEWPRRIVEAIEANNGGSFSFYVRDSGVEMTDPDH PEX2_069010 MLRRGLVLDLSTAFGFGTTFGYLWWYGYHLPRVRERDTYYARLE AERAAQRG PEX2_069020 MAYHGSGSHSPSYDDAHHLQDVPASQYREDEDAARGLLSQQQGP FATPFDDPHSHSVSPQRPTSGYSLTETYAADTAPSYHDPYNTTTAYSGQEETTAAAFG VPGRVASPYARSETSSTEAWRQRQAPGGAPGGAGGLRRYATRKVKLVQGSVLSVDYPV PSAIQNAIQAKYRNDVEGGSEEFTHMRYTAATCDPNEFTLHNGYNLRPAMYNRHTELL IAITYYNEDKNLTARTLHGVMQNIRDIVNLKKSEFWNKGGPAWQKIVVALVFDGIDPC DKDTLDVLATVGIYQDGVMKRDVDGKETLAHIFEYTTQLSVTPSQQLIRPTDDGPSTL PPVQMMFCLKQKNSKKINSHRWLFNAFGRILNPEICILLDAGTKPGPKSLLYLWEAFY NDKDLGGACGEIHAMLGKGWRNLINPLVAAQNFEYKISNILDKPLESSFGYVSVLPGA FSAYRFRAIMGRPLEQYFHGDHTLSKQLGKKGIEGMNIFKKNMFLAEDRILCFELVAK AGSKWHLSYVKASKGETDVPEGAAEFISQRRRWLNGSFAAGIYSLMHFGRMYKSGHNI IRMFFLHIQMLYNIFNTLLTWFSLASYWLTTTVIIDLVGTPSSSNGNTAFPFGKTATP IINTIVKYGYLAFLLLQFILALGNRPKGSKFSYLASFVVFGIIQVYIVVDSIYLVVRA FTGGAPMDFVTDEGVKGFIDSFFGSTGAGIIIIALAATFGLYFVASFMYADPWHMFTS FPAYMVIQSSYINILNVYAFSNWHDVSWGTKGSDKADALPSATTTKDEGSKETVIEEI DKPQADIDSQFEATVKRALTPFVAPVEHDEKTLEDSYKSFRTRLVTFWIFSNAILAVC ITSEAVDKFGFSKQKQQEAENAEGTAGKKKKKVTAAQLRVQRDLQELTLGSTMKMSFP NPDDILNFTLTIEPDEGMYKGGVFNFSFAVNQNFPHDPPKVKCTQKIYHPNIDLEGNV CLNILREDWKPVLNLNAVIVGMQFLFLEPNASDPLNKEAAEDLRVTRDTFKKNVRNSM AGGFSIGSHI PEX2_069030 MLLEPIDSAFSPARSLFKRCKQCGTTSPACPSCPDGSICTMTAP SCDECATTKCVATSTNPPTSGGTDTGAIAGGVIGGVAAIALIVFLIWWFVIRKKRQER QEAEKNGSFAGARSERQSIASTVLTRASNVIQIAYIPGVTNRSPPQTPASLVPPVPPL PGATPDQHFFMPGDLRDSSWTTTTGHQSISPTLRSSVATTIYRHDAIVSAIPAQQIQR SRAAVVSIHNGGAGSNAPGDDSPVTVTITPADAPAVPAITPAQLARAEAVKGNSSCVA RGMTAKPVMVRGPSVRNKKPNNAPAVDRIEELSENSKSNSASLATSTTNSDQFSHNVS TLDTSSSSEDETHPSTTANLETDSSPRQIDARRASGLSDGRSSTRTPPTRGESPFSDA NEVK PEX2_069040 MAVPQRTLNWLYSVLSKDHYDPQQTYRDPNRTYHDVANALAQYP SLSPRTDVYTYETGFSSLLLHLVGTLPVTFRGTTYRFPISLWIPNTYPREPPIAYVTP TQEMTVRVGQHVTLEGQVYHHYLAHWAEAWDRSTIADLLSILQDIFAKEPPVRYRQQV PQPQPEASQTPPPLPPLPPGVGPSRPVQPLSPSASQSQIPPPPPPKPGAAGEHQQQQQ QQQQQRHPAEQYRSPPPLPPLPPKAQGSRQTSLSTQMASPGIPSYQNYAPPGQIGGPI SPRPLSQPPTHQPAGQPMPPQSAHGQAPYYRHSGAPVHHGTGSTIPSQVPLQANPTGA HLPLQPQLPHQPQQPSGPPYPQHSPYPSNYPRPPPAAPTKADTPDLLTSPFEVELPSI ASAGPAPPIPPNPEKDALLHAVSQTLAETLRANAAQSDTAAQSLVSQSRSLHAAMATL QGELSALNTLHTTLQSNTTVLQQSIHRADATIADAQARSTSISISTSSAPSTSANPGD TPSGLPPIDDVLVAPTVVGKQLYDLVAEEQGIQQALYALQAALVRGVIGVDSWSRHTR SLAREALLKRALIRKIGRGMGLDESVA PEX2_069050 MAGTSSPTPSFDPYTQNVTFHLGDGTELLVPVQALDVFVMYNVR VCINYGCQFGASFTLLVILLLLTQSDKRRSAVFILNGLALFLNSGRLLFQVIHFSTGF EKVYPYVSGDYSSVPWSAYAISIVAVILTTLVIGCIEASLVIQVHVVCSTLRRRYRHP LLVVSILVALVPIGFRSAWMVVNCNAIITLNYMSDIWWIESATNICLTISICFFCVIF VTKLGFAIKQRRRLGVREFGPMKVILVMGCQTMVVPAIFSIIQYYITVPELASNVVTL VVISLPLSSIWAGAALEHSRRPGSQDNPHRRNLWRALVGGAESILSPTKDSPTSLSAM TAAQTLCYSDQTISKGSHNSRDTDAFYGIAVEHDISINRVQRNNSIV PEX2_069060 MSFKGFQKSIVRAPQQFKVKFNIGEHTKDAVYSDAERRFDELEK ETKKLHDESKKYFAAINGMLSHQIEFSKAVAEIYKPISGRASDPTSYEFEGNAEGIQA CEEYEVIVKELQEALAPELEMIDSRIISPADQLLEVIKVIRKVAVKRDHKKLDFDRRN ATLKKLQEKKDKSLKDEKALYKAENDVEQATQEFNYYNDLLKDELPKLFALEAEFIRP LFQSFYYMQLNVFYTLHERMQGMNISYFNLKLDVEEAFEQKRGNIQEQAEALTIVHFK TQGVRRSGSKLGPPGKGADSKSSIGRGRSSSTATDDNPPPPYSSAGISSSPTGSFSSA AKGKPAPPPPRAKPSHLSKPVETVTALYDYEAQAHGDLGFSAGDVIEITQRTENTNEW WSGRIAGREGQFPANYVQLN PEX2_069070 MTSAVPTAWRQASAFSRRLSTYGSRAPRVLATGLRGARSYVSET KVGQAQVNIETAIKEEQKSFMNQSHMAPQNVKLPGSATSGDAAMSPSAGILKQATVMD QGTRPIYLDMQATTPLDPRVLDSMLPYLTGIYGNPHSRTHAYGWESEKGVEEAREHIA KLIGADPKEIIFTSGATESNNMSLKGVARFFGRSGKKNHIITTQTEHKCVLDSCRHLQ DEGFEVTYLPVQSNGLIRMSDLEAAMRPETCIVSVMAVNNEIGVIQPMEEIGRLCRSK KVFFHTDGAQAVGKIPLDVNKLNIDLMSISSHKIYGPKGIGACFVRRRPRVRLDPLIT GGGQERGLRSGTLAPHLAVGFGEACRIAAQDMEYDTKHVERLSKRLSEGLLAMEHTTL NGDPEHHYPGCVNISFAYVEGESLLMALNDIALSSGSACTSASLEPSYVLRALGSSDE SAHSSIRFGIGRFTSDEEIDYVLKAVQARVSFLRELSPLWELVQEGIDLNTIEWSQH PEX2_069080 MLPPVPNPAEFGITSDYGFLSPELPLEVLPDPYYAEWEMIIGNL HPLILSKRLHSIVDHLPILSVEHLQTEAEWRRAYVILVFILHGYVWGGSSPTERIPPQ LTVPLFQVCERLELPPVATYAGVCLWNYKPIFSDEPATNLENLACLQTFTGSLDEQWF YLVSVAIEARGAPSIPLMVRAITAARTGNTHIVTECLQRLAETIDETNTLLQRMYENC DPYVFYNRIRPYLAGSKNMGDAGLPNGLLYDDGHNPEYRQYGGGSNAQSSLIQFFDIV LGVEHRPTGTSRTDKDQSTEDSTKSRNSFIHDMRTYMPGPHRRFLENIDSVANIRPFV EARRGDSALCIAYDACLAMLRVLRDKHITIVSRYIILQSRSARQPAQPTNPGLPLTNL ATAAPTDKKKLRGTGGTALIPFLKQARDETGEPAIDAWARRLLSNGPADKNFASLSKV GEQPDGHLEVVGLCGTWTAEDNEGGICHW PEX2_069090 MSDNTGNASTGQSYIDQATGLAQRAMGTVTGDSSTQTKGELKHE EGQAKKEASHTTAKLGSFTADPNTGAVAKDDPKRDTGSWDQTVGSAKESIGNLIGNEN LRRTGAEQNAAGKEQEAKGQLKDWGEGIQNRAQGTLGSIGAAVTGNRTEEEKYRDMHD EGKVRQRGAEADMAKKGGA PEX2_069100 MKLTAAFLFAATAWGAQHHHRRDTTDNGINLSAISSALENLVAN QTTTANFANISPPPKSLISEILSVVPVSVIWELINPAERSSLASQFKAGTTPVWYSAL PTDVKNYMSVVKSQISGGALTATTSEKNAAANTGAAAEATATDSNPGGPVSSVSKGAA AQPTGLTVSALGALGVLGLALAL PEX2_069110 MRFSRILFPLALAPLALAAPEPRPNPVAAPAPAATGGLLEELPE ILSGVQSLLTTDNLNKLDTILDGAAKLLAADNIVVLQDILTNAHSLLTKSFVGNTTTL VEDATPLVDDISKLLGGILGSL PEX2_069120 MSLPPSPVSPVDEKPRDFPSGSDATVSNNTNVSGRLHALEQLEV LPKMLQEGILFAGSGATLLLQAAMPGIRDAGANHGGHKELATELLDALQANISYISCL VFGTRSERKVLIDLLQEGNPPLLGGGRSNRFAEHPPLQLWMAATLYATATDFYQRVYG RVDYASAQRAYSEYALLVNCLGLPLGTWPESRQAFWSYWDDQVHQLTVSSDAAQFAKD LRESNDMPRWVQSLKPFLRVTTIEMLPPSLRDAYGLRSTITTRTLYRTWMGFSVALYP AMPDKWRAYPLKFYQDRLKEKLDGKEV PEX2_069130 MGKNTDTPAYLTSDDAKYTLGIHRGPLISKGDDEGAQLAHVESA GGLSLETRKLKRTEKFQRHWKRFWCLHLLVTIIFLAIFLPVFFLVAIPAISQMVVNKS DLVLVNASVLNPRPDKIQLTLLSALNLKIALPVRIEPITLNLFVRDAGADNAWGQANI DGKVIRGNTTLGVTKVETPLTNLTTWNEYVKNVVFQKETALSVRGVTNSYLGVLKSKV TMDKDIMSPVLDQFKKFSLSDSGLIPAREDGTNLIGNVSLPNPSVLTLDIGTLVLDVK SGDLVIGNATVKDVTIKPGANVFPLTGVLDIPTIIEHLGEVLVSQAKALKTGNLALDT VTRTVTWNGTLVPYYTDAMKQLTLTANVPIADLIKNTVHNLLSGNETLTDILKSGGDT SKPLGSLGSSNDSDSSSIISEASELASKMKTNIGVRDMFRDTNPVKRDLIINSVAGMY KKL PEX2_069140 MTTVQSLDTLPFDVFYQIATSLDDRDFVNLSRTNRALYSLSQSE QIARKTVENFLPYSKEGQAALAAQSGFRKAVGHRLAIHEAVATADPYSVVCLGYGAEF LYNQGYLCYRSDNWIRLLYVHGPAFRERVMDLRDVFPQLLKTGFVEPGAEDRVTLLSY CDDILVFRVADVNATEDALLVANMAWRKTNQERDCFLLVEKIPASAPLFVRHCGSYIW YGTFTAADGSDGVWSITGVDLESKEPIKFLLDRAVDGDLGQTLCFEMHDEHLYAVSTQ VVVDDDEVHSSFYHWFCHAPREDGRKWNGRLWRREHQEGPINEMWTDLSIRKDETTGR PVILECRREWRDGKSENHRTYYTQRLPTPEEALAPLSGGTIETPSWLSIDDDLENDSP YDERPAKRLRRHYHAEYESGSTKRQEFIAARTKHRSYHLGASTFVDIVNDPDGNNLRS RDRIRLRTVSRKRKCPIDEEGIEGPRNRLFQPTQVDHYGLPVEGSEERFECRGVRMWP PEDAPPALQKLLCPGPRTGSVKSIADERSIIYSIDAPGLPPNQQALILISFDPHFQIP ITTPLPTATSPDYQASGELFPETLKPPPPYSPLGNMVEEAPPFYMGIKRCKQYEQSIR AYGRTGGLGQQISFMISPLNISDSQLGALDITWHI PEX2_069150 MSNFLTQRFGYKREDMVILTDDQRNPLSLPTKANILRAMQWLVK DAQPNDSLFIHFSGHGGRTPDLDGDEDDGFDDVIYPLDYRQTGHIVDDDMHAIMVRPL RPGVRLTAIFDSCHSGTALDLPYVYSTQGVLKEPNLAKEAAQDLFSAFTSYGQGDFSS VASTAIGFFKKAVNGDSARERTIMTKTSPADVVMFSGSKDTQTSADTFQDGQARGALS WAFIKSLQQWPQQSYLQLLNTIRNELEGKYSQKPQLSCSHPLDTNLRFVM PEX2_069160 MAVKYPRFYSSPVDQETDSTPLEVYGLEQREPSIYAKPPKLLRR VSHVLGDIREDFSMDARQTTEKLKRRSTMFYDGPGYTAPPSRPEAPRTSHGPPRSRPM SIISFDNWSSPTRGMSQRISRRLSVFSSRGKPTSSKEASISSPNLIGSSTQYANRSQA SFI PEX2_069170 MGINTATAPIWQTETSQTKWRGKLVILDMMMNIAGFCLVNWINY GLAFAGGSVSWRFPLERTAVGKGVLPIETAEHVEWTDAERKSINNKVNASPSKESTLE SVLELITP PEX2_069180 MSIPITSTDLLQHALPDINIGPNFTRSNAIHDIRYVGPLRRWAT FNNEVTATFQNTNWSPVPLSSSLVNFPTLGPLANEHIRCGDETGLQGRFDERVGQALG AVFDVNHIDLVFGDFKCTTNALKYRKTPDVMIMRPNGSSHVVGEMKTFWVYDHQLERI VSQFDLGEDKLLRQVLGQIAQYMEDLDLKFGFISNYNETLFLRQVLFGRIRGLEFSPL IYHDDVYTGQGDVTLRQGMMHLALCSLVNPRPDTGLRGVWTVDT PEX2_069190 MTSQHSWFRGPELRSIVFDAPTPSTWIVLKRVNEHEHRKDPDHS AVSYASVKYQCKKHGDASKIAFVRIYKQLPHLGTEFDDHATRAKQARAWTPPELVAYK TLTDKQSKVTPRLLGYREQEQESSALVPAGFLVSFAWEQVPGVQLGDSLGAKVFWDMS DDQRHNIREAFKNKTIRETETIGFRPLFSGPSHLVWDSASGNLFMVGFRQWIEVKPTP WSEAKSYWFDLAKPPKKVNWSEWSNNPDTSNWKF PEX2_069200 MDSTLRTAYNPQAPLVQPTTSFHPSSSTPNPSTGQSNMAAQAQS TLEVASNATSQVFSPSLQSACSPQTSAPPMQPLSQAFGNTSNPSTIPIAPAVNTQALL PMNAAPAPAPTSDAYPHQINGLGGPTATAPFLQDFNLVAEAAKRAQMGIVMRDLESVT L PEX2_069210 MADDFDSGNLFQDPEGYYPEDEPPTFAEHTMLSGQKVRVRLVGS HPLYGNLLWNAGRTSSHYIEEHTEALIRNKDVLEIGAAAGVPSIVSAIQGARTVVLTD YPDPDLVQNMKYNAELAASAIPAREDGKPRLHVDGYKWGSDVSPLRAYLPPAADGSPS LFDVLIMADVVYSHREHPNLIKTMQQTMKKDPNSVALVIFTPYQPWLLPKTEQFFPLA EASGFTVTKIFEKVMDAVLFEKDPGDERLRRTVFGYEIRWAQDQLEDSSKAV PEX2_069220 MPLVVLTGYPCSGLTHRANQLASLFEKHQDEVFAAAEAGAQVSL KSRYKVHVVASHDSSHPRIVYDHARTEKEARGVAYARAKRVLKRDSIVILDGMNYIKG WRYQLWCEAKAAQTTCCVVHVGTPVDQCVANNDARLRRDARKEADSKTSDAQTSETQD TPAPDSAESTEDTEEAYPSDLLNNLIFRYEEPSTHSRWDKPLFTVPWADAEPPVADIF AALTGVVLPSTDAPTETALPSLMDSLASTTISDAASTATGTRGGGRGGLSTRARLIPH QATVQAAATDSNAMYAMEKRTSAVVTAIRNFTQTCPSAEVALAQSNRADAIAIEIPDT TIPILVPSHVAMTGTTDELAAAGGILALPRLQRLRRQWISLNRSYIGRGHGPGGGSLS ADQIGNAFVRFLNAEFSGVVAETSEE PEX2_069230 MSPRTTSDSDRVSISQSMHTSMVSGISNMASRSIQDDEMSSHDN SSLCSSIRTAHGNPQDMKDWTMNHQESLDQAISKPDSSEVHMLSFSLSQQLMTPTVGP NDVMYPGMDFPAVPDMNDHEMSRDLYNSFLDFSSVENDVCVGNGTPDDALSIGHSSHS TEDGHYITGPESWTPMMHDAHYPGTTMEQYSSGLFQTAPVSPPLTDASNDMSVTSSCS HAGYTSFMAPDDSLLGDFTTSPIGTTHGLHPAEPLFPSSSLNDRDLNRTIRPSKPSRR SALSAVSQHQGKTDPDFFPTLPVREPTRQRSKEGVEARNPREHQYYSMSTHSDGNKYL DSHLKPYRCKVPACIDAQLRFSSNACLFRHEREAHGLHGHGDNPHLCLWEGCERAVPG NGFPRRWNLYDHMRRVHDYATSERHSSPETSPGAGQIKKKEPTGRKRRVTGATPAPTM KRTRSVHSQASSIKATQTSASLGQRLQNAEQNFFKCRSRLLEELGNISPQDTTMHEKV NASFQELFTLGLNYRHIEASRAVSHMPNGLP PEX2_069240 MMNWTGGQLQRHHNRSGLLTKAQKQNFARARLQNGTVIPPPSPF RNFPDIGFKGSSTRDKDETETNGNQVAEEPVSHSTGGQSTHSGNDLTEVKRQLLKEPD WAAVSATRPLELEFTSVEEVERFGKRRKLNGKDRKRLDNNGSTLFERPRPLRRARNSS SVIDTIEEDIQFEFSGRPVVQSNDSSRVTMNSMSSQSMLLDHEGSPVVEQDLGKENLT ATWITNLFPRSQLP PEX2_069250 MRSITLLAALATNALSIALPWQSATYAASETQLPLVIWHGLGDD FEREGLAEVAKLAESTNPGTYIHVIRLANDGSGDRSATFFGNVTEQIALVCEQLAADP ILSTAPAINALGFSQGGQFLRGYIERCNTPPVHNLVTFGSQHNGISAFESCAIDNWFC KGAEQLLRSGRWTSFAQSRVVPAQYFRDPEELDVYLEYSNFLADINNERAVKNQTYKK NLASLNRFAMFMFEEDTVAVPKQSALFSEVNATSGEVTPLRERPIYKEDWLGLKQLDE QGKLDFNTAPGEHMQLSEKTLRKAFSQYFAPLEKESRPTTPGLVVQRGL PEX2_069260 MESVRQSCRPKHQVLTLKCYPKYQKGVSEVRPNSSELSYLLYYT STRRSKLTKVGGFLEKRVARDVWRRRIGNVQVALHILAALIEKVPRDLPIYARSVMTV IDTVLRSNDINMVEESIVTFEMFCRHQDIAAIAADQGLAHQYREVVRTYTSLADPEFT SNSNSDFSPQVAIRWRNAGLRAIRAVVSSDTLAADGGISLKLCLPVILKNLYSEDEDL LTTLKAKLTEPDPNDREGPKPRRMSVNTVHTVDTAEGDPELAAQSAAEADLKAELGAR LLALRCLEQTIVSGSNRGQIRIAVTVILQFISSKGMPQIIEGKSGNWATSLIELIANW CPVQVRFIILSTAMETLHDTSPQEDALESSFTILSVVDWLLRSSVNMIGLSVMDILFG LMHYASDILSPPERTGSAGSEKRSNRQTDTVVQISPRRQDLLTLLEQCIGDLATHIYY GDQVADMMRAILKRFKPISHNDSSAPSTLATVHESGVAHEGSTNSGSETYGEKASAES FSHAASKLTALRAVKAILVVANSKTLATMSGAESRQPVGIHVWEGTQVLLRDADRDVR YAYTDAFLSWLRTETNGNDLKIKLDSPKYVKTTPKRDTEQADRSSRRGNSAPANQRDK VALVAQSNFLRLLHLAIYDAALEAATEEAEILLLHLLLANLVENLGVNAAQFGVPMVL KLQDDLFTSIDLSSVAARVNIGSLIHGYLLALSEHFDMQSSPVGLEISNEIQERQGKG DWLSKIRLPPVDLYAIVFDSEKRSDNDSTSPPPLTPFRNVDGLVYMIEESYRKSVSSP PQSPSTSSPARGFTFPVLGQTSTTVKSQVEGGLPPVVKEQMLSPWSREACLAAVDKES TGTSSINGSRATPNARNSQNQTNGATNGSPTGSQYNRRMSVPEISASSSQGSSRGSPV RVNELRRVLSVTNDSHARRLSPLRGRLDASNASMISSGSDSMVSGNFSVSEIDGEATS IRPESQRLPDEEGTETPRASAAGFFPTGDKATTGEAPTPPPLNRVDSDAEIPPVPPIP AGLSIPGGFQNDSQRSLPSYDRPYTAPGPSAVRDRAEASALNNKPLNRNKSRSNHSLA TAGIPELPTDYDSDALDGSHQDQLRKLLDGFLAPGETTRTNGNRSTIVKPASKAPLSG SHSKRQVSGGLGRPPY PEX2_069270 MEDRPTLTNPRFTLELEFVSSLANPYYLSHLAVTYPNLLGISRA DDDDDSPSPDAEAFAAYLAYLYSYWKTPEYSQFLTHPGPTLRALRLLQEDTFRRDIIL PQVIEGLAGVSVPEPAQTEADTADEKQEDDQNGKT PEX2_069280 MENITSSMDNVALASATYTVYLVKQECSSSAPEGGLAIFVYTNE GEDSGDIHFIESGNASVSGLSYQHQTIRVDEINQMGENLMPIGTTPAQKYPGSWVEVM GKLPLLLANESN PEX2_069290 MNATPALGYAPGISLAPQDDTEAMSPQSAPGMSTGVSMISPIEN DMSSNTRDLFEALSLKPAPPAPSDATQRNSSNRNRPSSQRPERPPGRSAPKEKDLLDI FADPPTSSQTAKDKAPRRPRRNSESSVMDRSSKLLDVDDDEKRRRERRRERESRSKDG KSRSGRKDRRLDIIDKLDVTSIYGTGMFHHDGPFDACNPHRNRRGVRTAPMQAFPKGS SNMALGGSGPNNSNIDLNLFHGRMEEGHNDFSTAARSTAETAVIDPTARVDPIHGPQS MGLGTSTFLDGAPASRSAMARRQSENESSLAVNGGGLARKKSLAQRLRGRGAGPGRIA SPTDNYYPAPPQMGSSHSGSARANERNPYFQEEEYEEEWDRKGSSIEARLEGGRVRSS SSPKQMERKITNDRPYEESKLNPGGGSGFLNRMKSLRKPKPERRTSD PEX2_069300 MDPLRASDSAANRGRGANTRKRDREDDMSSPAPLPPSSPTALGS SPPPIPFDMGDEDEDEDRGLVQDIDDIDELAEDEDGIDLFGDNFERDYRDAAQDRYEN EGYIDDDEDHDEIDAATRRQLDARLNQRDRELARRRRMPAAFLQDDDDMELDLSRQPR RRRHHYDEDREDIDMADEGMEELSLEELADVKAANITDWVTQPQVLRSIYREFKAFLT EFIDPTGQSVYGNRIKTLGEVNSASLEVSYAHLSETKAALSYFLANEPTEVLKVFDQV ALDVTLFHYPQYHDIHNEIHVRITDVPIIYTLRQLRQSHLNCLIRVGGVVTRRTGVFP QLKYVMFLCQKCGITLGPFQQEASAEVKISFCQNCQSRGPFTVNSEKTVYRNYQKLTL QESPGSVPAGRLPRQREVVLLADLIDSAKPGDEIEITGVYRNSYDAQLNNKNGFPVFA TVIEANHVVKAHDQLAGFNLTEEDEREIRALSRDPDIVDKIVRSMAPSIYGHQDVKTA VALSLFGGVSKQAQGKMNIRGDINVLLLGDPGTAKSQVLKFVEKTAHRAVFATGQGAS AVGLTASVRRDPLTSEWTLEGGALVLADRGVCLIDEFDKMNDQDRTSIHEAMEQQTIS ISKAGIVTTLQARCAVVSAANPKGGRYNSSIPFSENVDLTDPILSRFDILCVVRDLVD PAEDERLANFVIESHHRSNPARPLRNEKGDLVDTDGNLIDNEGYRINRDGQRLPPSQE EVAKRAAEKQRAEEEKEGEIPQELLRKYIMYARERCHPKLYQIDQDKVARLFADMRRE SLATGAYPITVRHLEAIMRIAESFCKMRLSEYCSSLDIDRAIAVTVDSFIGSQKVSCK KALSRAFAKYTLSRPKPQSKRRAGIPVSNPHLPRAQSTAH PEX2_069310 MPARKALISITSASATLFDGKETTGLFIVEALHPYKVLTAAGFE VDLASESGSYTPDWLSQQPDFLNGEDLEIWNNLDSEFRKKLDNMPKASELDGSKYGLF FASAGHASLIDYPTASALQNIAEQVWANGGVVASVCHGPAIFTNLLDRTTGKPLIQGK KITGFTTEAENTMGIMAELRGFGSEMVEELAERLGAKYERSAGIWDDFHVVDGRLVTG QNPASSTSTAKAAIEVFDKL PEX2_069320 MSPHVVSEYVPSETRLYKPLKVGNVILQNRIAFPPLTRLRNDDD HTPLPLMEKYYADRGSVPGTLVISEATGISHVEEGQANNPGFVSDAQVKAWSKIIDAV HANGSFYFQQIWGMGRASDSKHLAERGFPYRSSSAVPMEGVDATPAALTEDEILETIQ SFVETSKRVIAAGADGVEIHSAHGYLLDQFLTSSVNQRTDKWGGSIENRSRLTLEVVK AVVKAIGAEKVAVRFSPYAGFQGSEKTDSVELYTHLITELKKMDVKFAYLSLVEATGD PGVLIRGDKAINAGKTLDFILDAWDNHSPVMVAGGYTPESAARAVETHYKNWDVMVAF GRYFISNPDLVFRIQNGIPLAHYNRASFYYPKQWEGYNDYPFSLEFLKAHPNTVHPMT E PEX2_069330 MAQKPWEGIEIGTARSPNVSWYGPSSLFYFIGRMNSYIDSSLHQ GQSGATKEMVLHGTVNTLLGFDTIKTPEDSTHPALSGDSPLPKEKILSPTQEEYFLEY FWKSYHTSLFPAINETEFMDHYRSLWNDSGDSRTPCALVDIVLALSMQYGVSRLTPEK QKPIADGDPSIAGRWYFRRAQMMLTYHLESPTVSTVQCFLLSAIYLCNGSFQNMSADA CGSATRAAYTAGLHLDPPSSMPEPERELRRRLWWALYQLDAKIGMKLGRPFTLHRSSI EPRLPDDRPETAMQSGSRFTPLGGNKTWLSFHLHNVELFTIAKEIHVAFYAKELNLPD GQSIWHSPETLESHAGYLQSQTSKMDQWREEVPNSLKTKRKGNGATFSTDGSQMEFEQ FAPEWLQRQRLNLELLYHTLCLNVYRPFISFFPGRQPALTRELATKCALHAVTLTSIT HQALSSTTILNGWHESFQWQWNASMTIVGFVLANPHSELVSDARQAVDLSIDIFDMFG ECFAVATSAGRILRNLAPKIDFLLQSYSASRGSIAGPDGLDEAPIENLKSNEIGSEWL DSLMSDASAFDPSYVMPMQEMFQMAYSIEQWSGLGSLMPSMGDDQWII PEX2_069340 MPAYASGTIVTDEEKENNSQMTSAPMRNTSNAPLSPPPDGGFHA WAQVVAGHLVVALTWGYASSFGVFQNHYESTLPRIPSDISWIGGFQVFCLLFISTLSG RATDAGLARPVVALGGLLLVIGTFMTSLAVEYWQIFLAQGLCIGIGQGLCGCLL PEX2_069350 MDAPHPVVAQLAEDARSESMGSQNSQTAKEFIESQLQLEADARE ALPYAFDSCTYDLGPLRQVLFACLTCNPPPNDPNESYTAAAVCYSCSIACHGEHTLVE LFNKRNFVCDCGTTRLPSSSCCTLREDPTTGKKGVHSQKAAAGNTYNHNFRNQFCGCG EQYDAYSEKGTMYQCLGLGTVETGGCGEDWWHPECLIGLSRDWNKAAPKVNGLGGGDA TNDASVEEEEDLLPPGFPAEDDFDHLICFKCIDSNPWIKPYAGTPGFLPPVFREGGLK KAANVTEDPSVPATEPKETEHKVTEHKETESKNPEKAEHSKKRKADDEGPTEGEPVAK RTKEEEEGAPVEEQLSKVVKEEEPTKEENDTPTKPSPPKHTELPESTPMESFSLFALD DFHSQLCRCAECFPKLVPHPQLREEEDTYEPPMSDDGQDNGAASVGTGSIYDRGEAAL NNMDRVRAIEGAMAYNHLRDKLKVFLQPFAESGQAVSAEDIKGYFEALRGDEQGIKDA ANHASTVGGDGKDDTSGDKRCEQNGK PEX2_069360 MSLTNPPSSSGSLSRTRVGNLKRSLQATVDDAIEARRPGGYTSK VRVRDRYNIVGFISSGTYGRVYKAVGKNGKKGEFAIKKFKPDKEGETIQYTGLSQSAI REMALCTELSHANVVQLEEIILEDKCIFMVFEYTEHDLLQIIHHHTQPQRHAIPAPMV RSIMFQLLNGLLYLHTNWVLHRDLKPANILVTSSGAIRIGDLGLARLFYKPLNSLFSG DKVVVTIWYRAPELLMGSRHYTPAVDMWAIGCIFAELLSLRPIFKGEEAKMDSKKTVP FQRNQMMKIVEILGLPRKESWPGLASMPEYSQLQSLVLHRQPSHFHRGSNLEGWYQSC LKNGGYASTSSAGTPGADGFDLLSRMLEYDPVKRITAEQALEHPYFTNGGPISGNCFE GIEGKYPHRRVTQDDNDIRTGSLPGTKRSGLPDDSIMRASKRLKE PEX2_069370 MVLGASTQSRVTPYLIYLVFVVTLGPLQFGFHLAELNAPKAVIT CERQGIHSSGITFHGLPQCFPMTPSQFGLVSSIYTLGGLLGALLAGPIATKYGRLVAL RATTIFFILGPVAETLAPVISVLSLGRFLSGIGSGAAIVVGPIYISEIAPPSARGLFG AFTQIMTNVGILLTQSLGYFWSEASLWRLILAVAGIVGALELLGLFLVPESPPWLAEN EKISQARRVLQRIRGRDADIEAEIASWRTTDAPVSGEEESLLSPSGETPPNKPVVTFM QVVKDPYYRPAIIAVVGVMVAQQLTGMNSIVMYSVSLLQSILPTTAALLTVMISAVNL VITLACSPLPDRIGRKLCLILSICGMGCSSVLLAISIYMNAKILTAIAALLFVACFAV GLGPVPFILASELVGPEAVGAAQSWALGANWIATFVVAQFFPVLDNVLGGRGQVYWVF AAMAGLLGAFIYQFVPETKGKANADEVWGRTDRRQD PEX2_069380 MVRLALPQRLSSHLSARSASSTPGQSRSTSPLRSAETKPLLLKV SVIRGRNLAAKDRGGTSDPYLVVTLGDARQSTPTIFKTLNPEWNVSFDMPVMGVPLLE AICWDHDRFGKDYLGEFDIALEDIFADGQVNQQPKWYTLNSKRKTSKRKDSTVSGEIL LQFSLADSANPIATPPDIFGKFKKLVYSGDEDDQIPQIPPADFDDLERDDETSDEADD QAGGPEGGEKRRRRLRLARLRRKSLAARAYQFSGSGTGVQGIVFMEIVKVTDLPPERN VTRTSFDMDPFVVTSLGRKTLRTPVIRHNLNPVYHEKMVFQVMRHEQSYTISFTVIDR DKFSGNDFVASAGFPLQNLVQSGPEADPETGLYQFVDEMEEAGPTTAANFGTRSGVSP SRSGTSSSRSGVRPSHSSSSLSKLARPIIKTRHSATSVSSQSMLEAPAPTLLAPSSLP EEGISETDSAGSMQPSTLNMYDGESAPPPDEDGFRSYTIPLMLKNRERWEDKHSPELH VKAKYLPYSALRQQFWRLMLRQYDADDSGRIDKVEMTTMLDTLGSTLKESTIDSFFER YSAENEANETDDLTFDQAVVCLEDTLQALQKRNSMAAPRVPSLPLSNAGSQDSEPSSS DENILETNTVAASNTDPQRTSIPTLSNEEQSSSADEYLQPDDLADERGEEHVVEIREC PLCHQPRLAKRSDADIITHIATCASQDWRQVDNLVMGGFVTSSQAQRKWYSKVITKIS YGGYKLGANSANILVQDRITGQINEERMSVYVRLGIRLLYKGIKSRDMEKKRIRRVLR SLSVKQGRKYDDPASASQIKDFINFHQLDMSEVLMPLEKFRNFNEFFYRALKPEARPC SAPDEPRIVVSPADCRSVVFDRLSDATSIWVKGREFSIERLLGNAYPEDAARYRNGGV GVFRLAPQDYHRFHIPVDGIMGEPKTIEGEYYTVNPMAIRSALDVYGENVRILVPIDS VEHGRVMVVCVGAMMVGSTVITRKAGEKVSRAEELGYFKFGGSTLLVLFEDGRVNFDR DLADNSKGALETLIRVGMSVGHSPDIPQYEPDMPKKSENVTAEEMQEAKRRIEGSLAP PSKTSTLLKSIQ PEX2_069390 MTVTVVATTTTKTKRREPLGTIAMAAPKTQSRSANASSGTGKVK ERRTTRLSASKQELEESTNKLGKRPAVAYEEDAEGFQFSLLPSKKPRPSIEAVPENPR SDVENAPPKSTPKRGRPRKKQRDDETPSSAVPAKGKSVELPSRRPTRGPAQTTHTEPE SQPTNTIRSSRARNSPEHQPETKKPKKAGRPPKAKQQVQVSESNGYNSPAQPPEGTKV ALPVADTPVIQRNKEFRGGKGDKSDKGRRRSSLTLPHREVGTADFYKHIADDGIPEPR RMRQLLIWCATRAIGEKPSGGSNSDDQSARLAARVIQEELLQDFSSNSELSNWLGRED LNPPAVVVKKPNPRNVQNTEKIKELEEHIQKLHKERQSLNALLRQPAIPNVKAKPQSD NQQKDKQPSRKSQREEIDPSLLDPSQQAILESLNPSSQPEGESSTPSSTRPPITPSAV SAQLSRITSGLAPTLDAFAAGVHDIELYRASADNVSSRILRICSQRLEERDTQNTQRR LAMEGNDDKHPPPTRIKEDLGLILGALSRVERR PEX2_069400 MAGGYFDLPISRSASDEGSSSLSRSHFFTSSLLSDSFQGQSVSQ VRSFSDASAQDKYRNAGILKSTNRIKLTASESEARDQPTGSMIEFRLDQRGTELPQRP LPTASIPGFQEKISSSSQLVPQVKDDHTSASVGVTGLTINTRTSSPGTSGGYELGQGT HDKGRAICFAHERAHRLASLLNYPQNSPKQSPRCSSVSSIGSNPAEVVYQTEDGDKFP VISFPEKQQLFDNLIDEHEADILDGRSTPRTSEAHYLVHQMSPRDLNFLTRLRPQSPG LHSSDQDTTSEDTNSFESAERTTNHRGGILSSLLKLYDQPHYSQRQGRGRYGRSRQGS SSEFSGRGMSPDSVWKPQRKWYDKSTARSSISMAGSSRRSGSPFTMLTRSRSSGAAIP SLGRSRPKPPLEDEIHITVHIAELLSRQRYLIQLCRALMKYGAPTHRLEEYMRMTARV IQIDGQFLYIPGCMIISFDDASTHTTEVKVVRSSQGIDLGKLADVHEIYKEVIHDVIG VEEAIQRLDEVTKKQSKFHILFLIFAHGCASASVGPFAFNARPVDIPVAFLLGCLLGV LQLILSTKSSLYSNVFEISAAVLTSFLARAFGSIRFHGEPLFCFSALAQSAIALILPG YTVLCASLELQSRSIVAGSVRMVYAIIYSLFLGFGITIGTAVYGLLDSQASTAYTCPA SPIRNEYLQRFPFVILFTVCLAIVNRAKWKQMPIMIVISLAGYVTNYFSAKRFYSNTQ VSNALGAFVIGVMGNFYSRLRHGLAAAAMLPAIFVLVPSGLAASGSLISGITSAEEMT RSPYAVVNNGTQGFVDAAKKLSADEARNQSYGVVFDIGYGMVQVAIGTTVGLFLAALV VYPLGKKRSGLFSF PEX2_069410 MSMDAGSLAQMSYNSSFNNGNSLGVPGSGFASRGKGSHIKRLSM PPQVATIDESQTSTSVSTPRTSRSHLLAGLRTAPKSATIPSGHQQRGGMEGAQFTGYS NRGTDRVPQTATGTGFPQHNYTTSQNANSHRNNSHHNRMMYTTPEQVLAPPTLEMAGG DIPIDENMYAELMSTNLFLAAQQQRLQQQLISVTAAAQQFQGLNLGMSVPRQQQLPSL SIPGMGFYQQQLQQGVQPIVQPVPGQPGMFCVYNPLTGQQSYIMDNNSQEERQQQFYQ DTQSHIGHQVPQFRAEISPPADATHSAMQFSQPISPPVGTPSPPHESSVNAARRGHRK NLSFNPSIKTNIDTIKANVGPGPRSAVLPPTPATGTFGPGQSRAGEHPMRQPRGPPSL EDLVAKPTSKHEGSKNFATRQRRRAVHNLVRAGIERRSDTRSNGYHSSGGTNTPASEK EFTFSDGDDATVRSGGSLSSKPSLGSLRAVANGAIGSERREKSGRDRKSPDSPFHSAT PTSEDGGYFYSKFVDAHGDYAPSPSASGTSSPSVADVVAGQGPAIQAPERRKTPMLVL SSAEKRKTPFM PEX2_069420 MTYNHVTLLRNGTSAHFTRPARIWDGTPSSIESYLCVLGPDFIA CNASSPEKFLTRSNCGSSSGDVSAPTCRPTATHIMAGMDTIYSATYSSVPVYEFKLDT DSVMRRRGDDWVNATHILKAAGFDKPARTRILEREVQKGVHEKVQGGYGKYQGTWIPL PEGRQLAERNNILTKLAPIFDYVAGDHSPPPAPKHTSAPSKPRAPRKSAAANRAAAAA AAAAAVAASESLKVVQPQRSMGPPTIPQDHYEMNAGFDDNESIGHATIESSSMVADED MLQMSHGGRKRKRGVDEATAMSISEQEHIIYGDQLLDYFMTVGDAPEATRIPPPEPPA HFQVDRAIDDSGNTALHWACAMGDLGIVQDLLHKGANVKALSEHEETPLVRAVLFTNN YEKRTFPTLLDMLLDTVSFRDWFGATIFHHIAENTRSKGKWKSSRYYCEILLDKLCKT CSQDEIQMLLSCQDQNGDTAVLVAARNGAFRLVNILLVHCHRAGDLVNNKGETASSIA QRSQHHDHDIPPAPSSVTMANEHIDAEAAGLVGTDRQSVAPIPDAPATSELLSKIGTI MAEANKKLAVTYGNSKINQQDSNDVANPEALHEQLESDRQKIQKQLSALAAKEAEHER NDDQAGRYEKLRASYESLLEQIQHARLAEQFAITEVPKPTPASLEQDELMARYRLAQE LCSAQKIRRNAIKDLAQQTADAGVSTKFDMHRKLVALATGLKEEDLDPMAAELAETLE FDRMNGKGTTPELGHRRLPSQNESTTLPGTGVPVDA PEX2_069430 MTVIETVKSAVGLSETSATRQEMSEARLPMQYRDSCAHLLIPLN RCRQAEYYLPWKCEDERHSYEKCQYEEFKKRVAKMDELRAAKDGARSN PEX2_069440 MGLLALGTALEWPEAKTKAGQVRQWGIEQLLANWRRARGKERDA LLWGDEVEYLVVALDDAAKKSRLSLAQAEILKSLARDEELWKSGSGKGSVTGDHVGEE PPHFHPEFGRFMLEATPGQPWGIGFKDLLKVESNMKWRREVAKAHMAPNEVPITLTTF PRLGTNDDYIQPYYPPSGPALRSQFVPDEIANPHIRFPTLAANIRSRRGRKVELNVPI FHDINTPRPFKDPTVNYDLHNWPEDDDVRNGAAKDDHVYMDAMAFGMGSCCLQITFQA KNMTEGRRLYDQLSPLGPILLALTAATPIYKGFLVDTDVRWNQIGNAVDDRTPEELGE APLKNDRWRIPKSRYASNSTYISQDPRLRKEYFDPDLVVDEDIKKRLMDGGMDDLLAT HFAHLFIRDPLVIFSEDLEELDLNKSDHFENLQSTNWQHMRFKPPPPDKDDIGWRVEF RSMEIQITDFENAAFSIFIVLITRAILSFDLNFYIPIQRTTENMETAHARNAVLDRKF WFRKDPFPRRVPRSAQQSTNGSTFSSTTSSAVNTPPPSPPLGPVETEFDLMTIDDIVN GCADGSFPGLIPLVESYLNSVNVDVETRCFLASYLDLIRKRADGTLWTGARWMREFVA KHPSYKQDSVVSEEITYDLVKAVEEMTIKEGKKGSVGWELLRGKKV PEX2_069450 MCWLLPNRSRTGAAIQLESEETAAIIAVTQGFLAALSTKSRADF EKHCVRAGGMTLSPPSPTSLRFCTIGSFVEHVAGLEDDIDERIWNPEVKVHDTGSLNL AAVWAPFRAKINGVVDHVGVELFVLHKLNGEWKVTGLADSCRLPTEEEMLLE PEX2_069460 MIGFATGFLLWTAVHAVPTKSSPTVAVRNGTYNGVRSSTYNQDF FLGMPYAQQPVGNLRFTVPQSLNASWDDIRNATQYSDICVGYGTDSIWYPQSEACLTL NVIRGSSANTDSKLPVGVWIHGGGFYQGSGADERYNMSAIVENSYQIGKPFIAVTLNY RLSAWGFISSSQVSGSGNTNLGLRDQRLALQWVQENIDAFGGDPKKVTIWGESAGAMS VGYHLTAYNGRDDKLFRAGIMQSGGSIAASPGNYTTFQSKYNSMASKVGCSDVVDSLQ CLREVPFETLNTALNGTEGDSEYNFSPVVDGDLIRNWGSIQLSKHAFVKVPIMAGTNT DEGTAFGPTGINTTEQWYQYLTDGGLNFQTPPSVAKRILELYPDDPSQGIPAYLGDQR VPSNGQQWRRTSAFAGDHFMHANRRRQCEAWAETSTPAYCYRFNVHSADVPLLSGVTH FEEVAFVFHNIAGLGYHYGKPFDGVPQSYIDLSSMMTSMWASFIHDLDPNPGVVKTPV HWDSYSTNKPVDLCLDGNTTSHMEADTWRKDGIDYINSAARAFWR PEX2_069470 MGSLEAAPRHRDPLPAIGFLGKDGSGYTSRHPSSATASPTPLMS PNVMYSGQPLPYSYASNAPPGYISSPEARRALEDEKEKHTPRQSLPSIHEALGNDNPL PYPASTSAPPPQSAHPAPPSHLIGRPSAEGPAGPPNPFSNGPPTGPLMREPGFPHQGQ LQDASRSSLTSISTQDSRNPSLHSISTGKSPTQSAKTGITSVGSQNSSGYEYSAPTSA GSVASPNGYGHFPPNYSFQPQSGGHSYPPTPYDNRPYMGAPRVEEVKGGFVGRPGPPH GDTGKRHLDVYDVETSLNEIAELSTRTLDFSRHYATRAHQTQRSGPLLGSLPSLNEVE DMLHMQRRNQDALIRIRTAVVNQEQALAEQMAQRKAFKTEDDHMAMYQEEFKGTGGFA GADPKKRRGKAAPPGRCHSCNRAETPEWRRGPDGARTLCNACGLHYAKLTRKMGAHKA ASLGSNLKPKSVLDSASPTSH PEX2_069480 MSSLVALADIPTVALLYRAKQLLPVSSNFAPSQTLNNTVSITRN DITKLKVDCIVNAANESLLGGGGVDGAIHRAAGPKLVEECYYLDGCETGEAKITSAYE LPCKRVIHTVGPIYRREEDPVALLRSCYRRSLELAVENDMKSIAFAAISTGVYGYPSR QAAEDAIKEVREFLVGPNIGKLERVIFCNFERKDVIAYEEFIPRYFPPTEEDLAETSD A PEX2_069490 MSKPQRPRGIPTPNPRFRVHVREEPKPQIPEPSTYEGPSLSKQR RTPALLSHFLTNLRQRISALPYPVRQAGRTLRWAVPILPIALFFPEHVMQVMWVRGPS MTPYLNEEYAQTQTKSDIVMVNMWPWGSILPFKKERKLERGMIVTFRSPANPSHIAIK RVIGLPGDRITTREPCLRKAQIVPWNHVWLEGDAEDPRKTLDSNTYGPVSLSLITGQV FAVLGPRMRWLKWTDWENDKGESASTDDAHVDLYRQSVRDRVLKNAVKLDQPILN PEX2_069500 MTNRRFFVGPIPEGWLQGHRKSWYRSRLRVRNYTSKTVSFSAGP VATHYGEHDDRAGPSSPDLDEEVALAHTNTSTEGPEEQYDEIEAEAQAEATAEPVGEL RTLTHPEAEASGDDPLPEEDTGHREHSGEDNGEYSGEDGAWESGEDTDSTPKARTRGQ YDTHGNDLASSSFVTAREEISNSTDTGESIATIRASRLEPPELRPKSRGSHNTNVTGP SSSSPLTPDLSTVPSEADSTTQLLRSRYKDKGKQKSKASGVSRATLQHHDPKDEDDTG EDAQIKRRITRKMAKFNLDDNIRHRQQKMRSKIAKTQGTISANRPHRRKVQDGEIVKA EKMLVCVEQTMQDTLPADYTENDSLRMETRSMDKWREFLVVCRKSSIEHTPFSIQMYR TRVIPDVQRPNSKTPPYYEVRLNHKNTHVNFYSPLDKTIVIWHPCKRGTKIFILRPKS AAHSAEWYTFIRQVLGWRRPTSLPIHVPDLGVSLIFKNPFSQLEAGLKADNSDSEHAD AAADRPAVDSRFAAATIIRGCMDMLEGRAEWAEVLKAWSKTEKMGLAWKRYDRLEWVF GVNEEKMYGTIGMQSSHELELRPRHHYSTTIRHQGIQQEPAPIEGFLVRLTSQKGMHQ RMNRMFFKRLYFFTQDHYLLFCRPAKALPPPPPKCAPPEDGSVPTAREILDQMPLSWD IDPYPLEDGRITWLSSGNQEFVKRHDEEAYAHVQRNVHNISQSDGYIDMCQVQEVRNV YRGSCPADPNIREGPAVDFNREPRDSRQDDGATREFEDGRTFEMVLDNGLVIRLQAYD NTTRDEWVKRLDALVKYWHTRCTDDATELQVVRQRNLKLLDIDEAMESVVGQFAKKWE VKKAEASPHLHNMCSLSGCRTIKMSGHLYRKPRRHTTFHQCHVILTAGKLLIFGSTLR KRNGTEIPHIHQELEATIELEDCYIYSGLITENDLLYANQTFDSNNPGLHALPRVYLD SDTFTSRDEDTAITFVVWQPLSKSYFRAQEVGEQGKAKRSLRHVSTLGKHGRTIVFKA RSRVEKDRWVMSISSEIDRLQEEKHEDIRLVSL PEX2_069510 MAAIPADGTWFDTMAKSFADVPIGAEDGISTTEFLEAAESLVTL FDVLGSAAFTPVKNDLLGNIKKVRERQQAAPAESETLQALVLNELKTGKHVATEGLVW LVRGLDFTVQALRHNIDNTSSELSDSFRGAYGNTLKPHHSFFVKPIFSAAMSATPYRK DFYSKLGQDQAKVAAAMAKEVAALEKVIAILKTFQATKPAQWK PEX2_069520 MLPLEGRSQSIFIVTTIFLGISFIAVCLRCFVRLRLVKAFGWDD TFMVCAMLLNILFAICGITGALYGIGQRSDDLVKRGTIETAMFWWWLGQTSYVWTCAL AKISIAFALLRLTVSNAHKLVLWAVIGVTCTIGLVFWFMLTLQCQPVEFFWQQVRLLS NPHANVSGTCMDLDHIIAMAYVYSITATLCDLTLGLLPIALVWNLQMNLRTKCALAGI LGMGCIASAAVIIRIPFLHDYKNVDFLYATANISIWSNVEAGLGIAAGSLVTLRPLFR WFRDPSSMGGSKSKSKRTGGSMPLSSVNGGRSTTSNPQYWRPDLDREDHNTVITTIHT SNGSEASRTSSQEDLNPTHGGTFFQGVNVQKTFYVSEDQAS PEX2_069530 MLPKPCPRTTNFILWRFLEEMETPDPRNYTEIPKQKRKRPAHQD SNQRPVKVPKQSIPKTPQPRRMMTRSRKSGDLLGPSPTTVPPGSHSPPSPAPKTPKSA QYNGCRYRKRRFELWVQKMLKSESDPTKKQEVLEE PEX2_069540 MGSVQKVEFLSKGIKIVGDLFLPPGYSPNTKYPAVIIAHPMTGV KEQAPHVYSKVLSPAGFICLAYDAAYQGESEGQPRYLEDPAQRAEDVRAGITYLSLRQ DVDPGRIGALGICASGGYVPFAAQTDQRIKAVATVSAACTGRLTREGLVEGMGGPEML QGSIKAANEARITEANGQQAPVNPALPLTVEDVPPEYPPIVRELVEYYKTPRGQHERA PGLFATRSADLLANFDAYAFNYLISPRPLLMIAGANAATKFYSEDAIKIAKEPKELFI IEGRDHAALYDNATESGPKLVGFFRDNL PEX2_069550 MSRRTLGGGRVLGNPSALTSTPSPQPKPRVLSPTASSVSLNSQA ASQLSTETQDLTSRISIENGDTSISAAPAAPGAQLSCPICSEQMMTLLQLNRHLDDIH QNLEDSHQDEVKDWFKVQMEKARKFQPLAVLNQKLKGLDVFESNENQGTPIPSRPLAP HAGIPEPPKLLDPEDLITKDHWQTSSMYDECLEPSCGKRLNATNGCVNCRSCGKLFCE EHTMYQMKLSRAAHHEPVRGIWARVCETCYKSREGYNDHNGTVRNQMDAFKSIRKQTV DKEFLEISRLEKRLTRLTQLLASLPPDQIHPSATKLWSLAWQNDQRKEIEQTIVSWQD DASVSRCPFCQQDFSGYTFRRHHCRTCGRVVCGDPSTECSSEVGLSISPGSTATSEKT NGDNLVNIDVRLCKECKATLFDRRDFKADMTRKPPEIRAYENLTQFERGIRLHLPRFQ KLLTALQDPRRPPSSAQIADASKVRKRLIDSFAQYDVAARRIRDMPTQSPTQQKLQKA IYQQASNFLHLHMLPLKSLPKILKHATPASERIPSRTSSPRTSSPSTPVNGSTTGIRP PESALSSIKYNSVAASGSNSSLASDTSSAVSALEAEEKSLRDRLIVLEEQKFFVSEMI ADANRRRKFDEVSSLAMNVEDLSREIDRVNGMLANLDFEGLYTGNPQPSS PEX2_069560 MTNYGDTWSTTYDTYDTAGSTYNPAGSTYDTLGSTYSPTGYNTY GTRDYGAGAVGYDSNATATTYDTDTGRGTTTYDPQTGAYDQHLDYSTPTGRRHHRERV NQAGTYRHRDVDRFDDGSTRVHKEYDNPNTGTSYHRDYER PEX2_069570 MAQSMSLRDRQVASIQKLLNLNHDPQPVEDNTIDQSGSGGLISH STPILNEDGDPIWKVLVFDKMGRDVISSVLRVNDLRAWGITIHLNLHSQRYPIPDVPV VYFVEPTPENIQAITRDLSHGLYSPAYVNFLSSVPRPLLEDFASQIATSGASEHIAQV FDQYLNFVVAEPDLFSLGLGNDAYYKINSPKTTDEDLDGIVDNVVSGLFSVSVTMGTI PIIRCPKGGAAELIATKLDRKLRDHILNSKDNLFSGNQNALPGVPSARPVLIIMDRNV DLVPMLSHSWTYQSLVQDVLEMRLNRITVDAGAGDANSAKGSKKSYDLNSTDFFWQRN AGAPFPQVAEDIDAELTRYKDDANEITKKTGASSIEDLQNDTSASAQHLKAAITLLPE LRERKAVLDMHMNIATALLKGIKDRQLDNFFELEETITKQSKSQILELINDASKGSEP LDKLRLFVIWFLSTETELSRSEMTQFEEALTQAGVSDISSLAYVRQVREITRMTMMTT AAPEQQSSDLFRGFSSLSNRLTDRITSGALGANFDSLISGVKNFLPTNKDLTVTKITE SIMDPTAASSSAIAKTENYLYFDPRSANARGAMPPASASRNPQMPGGIGSAGPGTGAS FGQRRQAFSEAIVFTVGGGSMDEYGNLQEWVRRTNGQPGAEGAPAQRAAPGHRRRVVY GSTDLMNATEFLSDALAPLGRDS PEX2_069580 MEQSAQNPMQGLPHGNSALSTDYWSTLDPAYPDPEQQLQQPSQP QHQPQQQHESSSQQTPLGIGWDHPVFQQQQRELAPRPEPNHGIYSSVHQPWQQTNPLQ QPPQRGYGASPQYQIHPQAAHFQQGQMSFDSRPLSASESSAFPSFSYQPNYFHPQHPH ISVPDTFPEAPTQQRIQPRPQQQTQASISSYPLPQGYSSEMLQNTIDLTNDYPDSDPN VTINPQFLNSTPQAFNQQQSSLASNFLQGIPADFQRQGSEQARLFNYYQHDLSVQSQM TPTGSHVILPRVPQVVIANKKGAYNKQQAKTTSVHDQTRTVSDSESESSDESDLEIEA PDEPSPIPAIRPTEPEAAAQYDTLQAVWSPRNKWPGPDKLKNALVAYKELVKSLRDAW KEQVQAMKLAENQEDNQKATKLKEKVILQRRTMDKIAITTLEMGHPNIVEKLGEHPMV VAALYSFLVDRFQAADFAGTLTINLLELFSRFSTLTEDLMQKTNLSKLLPKFLKKGGQ QVKDLTQKILDNAAASTKRKQESEKPNKEESHPKGLSADQHKGDAGVKRPREVDSNLQ PGAKRMAVTNNLKDANKLAPAGNGPAKGAPSSKPTGAAVLRPKPNIVAPKPTSLFGTL SSASKRPGTTNADRAAAAAAAKPTATSEKEKIAAPKPAFSFGDIMADLNKPKQAPTPK PAEDQPPETEAERTKRLRKEERRKLRVTWKPDDALTEVRLFTHDPDEELGPGDGSLRS RGDVKGEGSVLKLHKDLDELEEEDLGGIRETVFQDGYTLSMVDFDFDESKDGSFVKRG GPQLPTSPEREAQEHRESTTLMVFYTSPADMPDTPKEPPTPDPDDVDADVLPFGELPD MIKVRQERYYSYMNPKPAAPQQSQQPQQPTPGDSGFDISNLLKIIQGVPGQAQPTPPP QATQPGAMPDLERTINMFRQQQPQSQITPAAPILTPQPQGLDFNTILNVMKQMQAPAA YSQPQQSQPAMAPNLGAMFAQFGGQNQQAGAFPFQQQGHEYEDPERKRGREGGYYDDQ SNSESWSRSKRTRVGGNEAKPYKVGLVACRFWAEGKCRKGDNCTFRHDPL PEX2_069590 MDLSQSLRDACAAPDSLFALSDEELIHLVYKEFPEEIDRLRRAY SIRDGPWTPPSTPSPSYILYNEDYDEVNRTLVGLLSLRWLHTGQYETFIGSQTSSCQL TRASFNWIHEFYTQLITDANALFTLITSIIINDLGKDPQLASDCCAKTGVDISILNHD AILLAACKAGLVPSLDKLPDQDRDDVFQAIEVGATFNFGQLAQAENAPACLSGLPRMK GHNRSFRVRFMEQLLDIAGAAGHMDWTCAKKLIQPIFESYRNVYDVCEGVIAGTLTVR SGYDLILIRRASFLRNKEVRLFKVEENPEDRALMRLFCMGNVTTQEKALLYEDAWRAL EDPVRETLANALNLDGRRSEPAVQPTYIPALLGRIQDVNALVCTLCYLARVMSATDVE DPSAVVIERSVYSVLKQFVESEEFQEDPTILERVDVPDGVVALTTASV PEX2_069600 MAHYFPFRRKKPTDKEKAEENLIKRYDGEHHRSSGMRFQRPSAN PETIHDRLLELEDTSYGYDKKKYLNPNGDNDHEKYTDMDTYYSTNKEYPRRLVVQAAP ELDEGTEYLPRQWGRRPIRGRVPFIITLAELCLNPFGFHRFDGVRVKKYEDERKDMSQ KQNNLILKILRASIQILSWIRSILIALISSALHWITSILLTLILSWIIPVVIFFDICT PPWSENGPSEPYKKYQNVYWHWPKHAMNPLDQSPDNYRPQSNVASLTIPSRLVVKDQT TNQWTPKKTKDIRDKKTGMLQPYIFLSFSRANYPGIEKEELRKMLYQVAERMLQHENL HKDPHDPPLEAFWMDIDCVSDDKSIPARRFESEYTRDVNSICDAVRCAKRVYVVLPTE SGEDKKIWGQRIWTFPEVLLAANRIRYCFTHSKYMSLLTPHDVTLSDMKQSFWERTKS NSDEHEDEDAVGCLINHHTNSLQLSELQLFTFAVQAMAMQTTSVDTQGYETKHMAYAA MGLLSYRLTPNDSDNVFQAIARLSIVNDSNQLLERLLCLSPDPKHSTKDRLPILAPAG SQAILRNIADKDQYGTHLWDIKPICDVVGVGNDSEEPTVIINRCRGIPIRWKNFPKLK YTKGLDGGISTAISKVIIYLGIGLVGNGFALFPPAIALAVSVIPWGEQPPVIAQMMEF ILHTIVPRYLLAVPMYLGIVWIISWFCPWAVRKLCSDVQGSSNHLVGFEGTMSLHDLE KTIYGNFNDRLSYTASSTVFSGKLRHKELRMSKEPIQKYWRQKFEELKLPKTHRIFTL VDTGNITVSVISAERPPVVALICGREGGIAVQLAL PEX2_069610 MTTELAGWFSRWLQTQDFNQVRSIVTWQVMERPRRWRQFIVGFL LVALPVHGMLIALTVLAADWWGFANVIAMAISVVVRCIMVAENQAGIDANIQKAEEAA QGYPAKRAKYDESMEILESRRQNGYAIDGVKIPIEPQDPNKIAKVIVLTEDSKVVTLA IPAYLPRWVFATNPQPPNRYIYQACQWIGWAAFAVHVISIGMAALYTQIVSVVVILVS TVLPAHGVGGEDSRIWESIRSHWGHEVQEKSCWVSSRLKATVSIYPEEYMDWPELEEQ KPLTVHVGNEEKIGKQWTRLIGPSDLKSTPIPKGKKDETRQDLLAWLDLTMEEDDVLT AWGLIPHSREWKNVYLEKKNIHHRRLVTISLDSAH PEX2_069620 MVSNPNSNFDLCNHLIVVCCHAIYTGGSRLGASEDEWLSRLIEP FQKGETPTFIDHVKAGLKALAEDSHGLLVFSGGPTKKPRTELSEGQSYLNLARDNNYF QDMSTISTIDPSRVIAETNATDSYQNLLFSLIRFQVYTGVYPQRVTIVTHEFKRARFM QCHFPAVGLVPVSPEQEDYTQKVVVIGINPPEEITPSETLTRGEAMNGIGLWREDLYG VNPDLVGKRVRRGWSPGMENDIFSHLEPENVVLHLIRYDGDDHCNRWFPKRESLPWSY TRNETTQGP PEX2_069630 MPETKEKSKVHKLSIKGSAKLVSEFFEYSINSILFQRGVYPPED FTTIKKYGLNMLVSADDQVKAYIKKIMSQLKEWMQGGKISKLVVVITSKETGEHVERW QFDVEVFGKQSKSKSSQKAADKENSTQGDAKPAEPIEKTEKQIQEEIQAIFRQITASV TFLPVLDGDCTFNVLVYADADSDVPVEWGDSDAKEIKNAEKVQLRSFSTNNHRVGTLV SYRLAD PEX2_069640 MRGCLQLGRWLSAAPRCQAASLRPPAVFSWYIYKRSFSTTTVYN GRSKTTPIKLDVSLEKRIAAIPIERFRNFCIVAHVDHGKSTLSDRLLELTGTIEAGTN KQVLDKLDVERERGITVKAQTCTMIYNYKGEDYLLHLVDTPGHVDFRAEVSRSYASCG GAILLVDASQGVQAQTVANFYLAFAQGLELIPILNKVDLPSSDPERALEQIRNTFEID TENAVMVSAKTGLNVPAVLPTVVEKIPAPIGDSKKPLRMLLVDSWYDSYKGVILLVRV FDGEVRAGQQLISFVTGLKYFVGEVGIMYPTETAQTVLRAGQVGYIYFNPGMKRSKEA KIGDTFTRVGYEKIVEPLPGFEEPKSMVFVAVYPVNADLFEHLEDSINQLVLNDRSIT VQKESSEALGAGFRMGFLGTLHCSVFEDRLRQEHGASIIITPPSVPVKIVWKDGTEEI ITNPAKFPDDDSVRLKVAEVQEPYVLVTLTFPEEYLGKVIELCEANRGEQQSIEYFTA TQVIMKYELPLAHLVDDFFGKLKGGTKGYASLDYEESAWRAGNIVRLQLLVNREPVDA VTRIMHSSQVSRQGRIWVTKFKEHVDRQLFEIIIQAAVGKKIIARETIKPYRKDVLAK LHASDPPEGAQVDLGKAQVIDSVPKVIKELKFGVLTNDDIVGQAVVEVSDRKFFDLDQ TRTVVSNGPLDQRMGISNKTDACATCGNNLKDCNGHFGHVRLVLPSFHVGYFKRVISI LQEICKECSNILLPEAERRSYLRDMRRPGLDNLRRTQIAKRINERCRKTRECTYCGAI NGVVKKTGTSALKITHDKFRAFNVSVSVKKQTPISKDVFDNSFLEARGANPEVEKHFK KAQDDMNPLRVLKLFKKMSDSDCELIGMNPKEARPEMFLWQFIPAPPVCIRPSVGQDG ASTEDDLTAKLGDIVQSNINLKNALLKGAPVQTIMECWDYMQLQIAVYINSDVPGLNK ADLGKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRVDEVAVPELVAKN MTYPELVTRYNKEKLQQRICNGSNKWPGANLIVKKGTGFRQMLKYSNTQRMADALQEG DLVERHLEDGDIVLFNRQPSLHKLSILSHFAKIRPHRTFRLNECVCNPYNADFDGDEM NLHVPQTEEARAEAMELMGVKNNLATPKNGEPIISAIQDFISAAYVLSSKDNFFDRAS FTQICLYMLGPETRFDLPPPSVLKPQMMWTGKQVFNILMRPNKSDPVMVNLDAACREF KMPKDGRPKDLDPNDGWLVIRNSEVMCGVMDKSTIGSGKKDNVFYIMLRDFGPPAAAE GMNRLSKLSARWFTNIGFSIGIGDVYPSAGLVQSKNDLVEAAYSQCDAVIAKYKAGTL EKYPGCDELQTMENQISGILSKVRQQAGDECIMQLSKYNSPLIMATSGSKGSSINVSQ MVALVGQQIIGGQRVQDGFQDRTLPHFPKNARQPPSKGFVRNSFFSGLEPPEFIFHAM SGREGLVDTAVKTAETGYMSRRLMKSLEDLSSMYDDTVRNSSAAIVQFQYGDDKLDPV DMEGKAKPVHFDRTFIHSESTTYNNDERSLLPMEMMEVCEQMLSKERAKLNRKDLLGN DLAYMDRSDHGIDQFESARDFLDSIQEYVQKRADKLISRGGDIDPSDQRSRKGLDHTG KLTDTTLRAFITACLLKYKRAQVEPGHAVGAVGAQSIGEPGTQMTLKTFHFAGVAGMS ITQGVPRIKEIINASREISTPVVACELVNKESTIAARIVKGRIEKTFLSDVIRSITEV WSPDDAYLKVVLNWKTIEDLALEITTPQIVEAIKTNKRFKGSDLKFSCKQASIKVHMD LDPASKAGLSKTEIAATSVDPFLRLKHLKRILPNIQILGHPRATRAIIRTDETSTTNT LLVEGYGLRECMTTMGVDGLRTRTNNIMEAREVLGIEAARSTIVVEISEVMKDMDIDP RHMQLLADVMTYKGEVLGITRFGLAKMRDSVLQLASFEKTADHLFDAGGLGRTDLIEG VSECIIMGKTVSLGTGAMEVVRKLNFYQGQIGPRKTVFEDAWTEHCEAPLTRRPKRKV R PEX2_069650 MECNYPETSPQQNQVGFDPGNSSNNEVLQRLNHIAGLLENFKSE GSTSSTRSLKEGFFEMVVQNPSPVADSLPGSNPPSTSTGSVDDRGTDNDPRILYMASS GENMLRWPIFNKVITEAEKHIRSFLLDSLDNQPRSMQAPRQVGIGSFVDEIPRLCRKY FLLCHRRNPIVDLDSLDRYAKEVTVQGLGWDGPSCQVLLACALASCTSSKFVPLAEDM PANLDGLQAPPMSDANLDLAETYFHAAKQRFGFLHTSPTDIQCFLLAGSYHRHAIRPL QAWFCYQQASCRLEVRLRSLCREQWTADDNYHNLESRLYWSCIQAEHEMQSELPLWSS GLESLGYSDPFPKFSRRSPSSYDQMDEDQDFAVRPGLELDGTEEEKGWKFYIGSICNR RTTNDIVSDMWRQGEKGWTKDIPDLLRKTMDAERVVTSWYQISLAGIQSAKDNPDLRF FFRGRYHMALERIYRPAFYLAMHFQGMPTFIKKNNPMWAEVFHLAQKAIDNCVTLIPS YWYQFRHEWIWNVVRASFACAVHILGAVLYQLEASRDPSTWQVQLPVNWTALVRLSIR TLKHWSSESSDLEVMASTLERMYQGTCRLANVRPDLHLINC PEX2_069660 MEEYKPPPLPSPFNNTTPPPTLLIQGAEAHLYKTTSLNPSIPAA LKIRPSKPYRHPILDRRLTRHRITSEARCLAKLIREGVSVPALLAMDWEGHGGTEGGW GGAWLMMEWIDGPVVRVVLEQWEAWMKQNQGSLDESQIENEEARVRGLLRKMGAAIGV LHKAGVVHGDLTTSNLMLRPSANANGAVDGAGSPSTEGDIVLIDFGLASQSNQDEDRA VDLYVLERAIGSTHPRSEPMFDALIAGYRDSYKGAPSALKRLEDVRMRGRKRSMLG PEX2_069670 MQAGRQSVIRQPLTQKSNVELINSLRSNFIASQQVETSPVNGTE TNGHSAVSHPPSWTLQTKDGLYIPAIDFSQPGLSEERDQYDITVKLFYLPGIPVSRRC AHTREAIDLVLKELHVNSIDLLIVSFPGILFDADDDSEEEDNEASNGEPDDFDSLVQT WQVLESLHEQGVIAQLGVAEFGSERLARFLPHTKVKPSVDQINLKDCCVVPKHLILYA KQEKIQLLTHNDCHDILPVGTTRELLGPVEKSGAGILASSPEANDGIQGDIEPQWVVK YTAVIKDRGVVENKGYFASADVGNCLKDRS PEX2_069680 MRFNLGKELTFPSSATEKVTLEALNVGFRHVDSAIMYRNEKPCG KAILNSNLDRSQIFFTTKIPPGSMGYESTKRAINSSLREAAQEYFDLILIHAPYGGKE ARLGSWDALVEAQKAGKAKSIGVSNYGIHHLEELEAYIQGGGGGQIDVGQYELHPWLD HSDIVEWLQERGIVVEAYSPLAHGSRLSEPVLLSIGKKYGKTPAQVLIRWSLQMGFVP LPKSTTPKRIRENADVFDFELAAEDMKLLDTGEYSPTDWDPTVDED PEX2_069690 MSRPTEKTLSPLAIVGLSLKFPQDAVSSESFWDMIVEGRCASTD FPPDRLNIDSHHNVNPNRLDSLSLRGGHFMKEDVALFDAPFFSITAAEAEAMDPQQRL ILEATFRALENAGITMEGIARSKTCVFAGSSGHEYLMLQAKDPQYLQKWGITGTTGNM VANRISWFFDLIGPSAAIDTACSSSLMAIDMACQSIWSGDSTMGLAIGSNVILALETS LMMDNLGLLSKDSRCYSFDERGNGHARGEGVGVLVIRPLEDAVRDGDTVRAIIRSSAS NQNGRTSGITLPSSEMQATLIQEVYRKAGLDMATTRYFEAHGTGTAIGDPIETAAIGS VFRPHHSLDNPLYIGSVKSNIGHLEGASGVAGVIKTVLALEKGIIPPNSVNLQSLNPR IDDDFLNIKFPQKALPWPSEGLRRASVSSFGYGGSNAHIVLDDAYHFMKLNGLKGNHN TVLPAQLSKCRDCDLDTEGGISSDATGFNGLTETTNDTPKLLVWSTADEKGIFRLKET WKSRFPTISKLNKESPRFLNDLAHTLASRRSHLSWRSFAVTRPSDDLGALFDRFSPAS KSRGSLNLAMMFSGQGAQWYAMGRQLLNIYPVFLQSIEDAGEYLQTLGCEWTPLDELE RNESTSNINKTEYSQILCTILQVALVDLLRSLNITPKAVLGHSSGEVAAARSAWKVSY YRGYMSSKLESSSQMRGSMLAVGLSEQGIKPYIEKLSKDLEDFNLIVGCINSPKSVTV TGEVTQLDSLKIILDKDNILCRRLKVNLAYHSPQMKEVAALYQDAMGDLEVDYTGHNN SPEMVSSVTGDWINPGEVSQAAYWVKNMVSPVRFSDGLTTLCSGSALNSHKRLDGSHR RTRDIDHILEVGPHSVLQGACKDVLKNIANHTPTEYLSLLVRNMSAADTAFAVFGNLH IAGYPIDLSLVNGIDSTGHDIPKSLPDLPEYPFNHDTSYWHESKISKDHRLHPFGRKD LLGVSDPNCNPFEMRWRNFVRVSEMPWTKDHQVNGTIVYPGAGMLVMAIEAAKQVAEQ GRIISGFNIQEAKFHAAMIVPPGTHGIETSIYLHPVKGMDSNISGWFEFRLCTCENEE WIENCTGSIQIVYTSDEDEHEMDKMHQEELWSSRLEAYSDAIKACTLLVDGSSLYDRF LNSGYDYGEAFQLIETLSLSPAQPCVTAHVKRLSSSTGETIHPTTLDAILQTSIWTGV ASETENIPTVVLKSVRNLWVASTLENTSSSILRTYATRTVGSPCLSSSSDIFAFDEAL HKTVVSIEGLEIEVDEMELAEESSTEFPEDLCYQVQWKPDLNLLSNRETTDLCINEYP ELKRETEDFFTDLDYMFMARIDETLKILSGQQLKPSMPHLRKYVDWMMHRQLSEDQLV DLDYLQDVENRLLNLNKRAHLYVTVARNLLKFITGEMDSSTFFEVNMMKEFYSEEVQE SQGLRQSGKYLDLLSHLNPRMKILEVGAGIGSMTEVMLRTLGNTEKSNPQYAQWDFTD KLESSFADAQDQFHQEAGRVQFKILDIEQDPEKQGFECGTYDIVVASMVIHATSNIKA ALGNARKLLKPGGKLMLYEMISPGVRSTFTFGLLEGFWINSVADSGPGTCFDERQWND LLLQTGFSGLDFILPDFDGIMSHEFGLIVSTAAEDPPAVYPVPEIEIVYDAAKSDQQE LAQFLVKYCQLNKLAPVRSFSVQEAMEHTSENPLLRIFLLELDVPILSEIQPELFQQL QILLSSPSQALWINQGGGILPSQPQFHLVDGLFRVLMTEDSKRKLHLLSLEPRGPLDT KQRDHITRLIRFFLSPSTSNADTEYIEQDGVLNISRLIASKPLNESISAMATSHQHRT QSFDCKTPLRFNPTSPGLINEFEFIEDETAYIPLEPDEIEIEIKCAGLNSHNDLIAAG HLKASDTGSECSGNVIRVGDACERFNVGDSVAVLHNGCFATSIRLRETGPVVKIPAGI SFEIAAAVPVNFATAYIALHTVARIQPGESVLIHSASRDTGQAAIQIAKNAGATIFVT VISESKKQLLMDVYGIPASHIFSSRTVVFSKMIKHRTGGKGVDIILNSLTGEGLFESW RCIAPYGRFIEIGKGDILSNQGLPMLQFLENVTFSGIDLAAMSVERPELCIAALKSVF DSIQTGDLHPSQPIHLYGVGEMEEAFQKMQTGQHIGKVVLEMRGHDQTALKTRPSFTL DPNATFVVSGAFGGLGRNIACWLADQGARYLLLLSRSGGQSEKGKDLVKHLELKGVMV LAPACDISDGESVKNALRESRSQMPHIRGCIQAAMVSRDARFEEISHQFWQESISPKV QGSWNLHKHLPQGMDFFILLSSLSGILGSTGQANYAAGDTFHDALARHRVGLSEKATS LDLGWCDFSDTMSNNTRPRDRGLAPVTEAQFHALLAKFCDPRIGLPTRLDCQTVIGLS SSALSDKKQWQDNPLVRHLITNERLGGKEDSRSHSAKGAGSLLQAETLADANAAVLEL STEKLSKTVSMAMADVDANTPLHQYGVDSLVAVELRDWFAEVLQAELGVFEILGGATL ASVSQLAAKKSNLVQAS PEX2_069700 MHFLCLHGRGTNSEIFKRQTAALRHELGNSHSYEFVDGTFPSAL NEDLRDLLPPDDETFAYCNPMLPQSCAKAFDDLERYVAAEGPYDGVMGFSLGATFVMS WMFKKLREQKDNKAVQLPFKVGIFFSAPGLLQYHDLLAEELFGSKFNPADGLLDIPTA HIWGCHDRDKEKAEAASKACNELVRSAFVHGKGHEIPISSDNVILMAKAINRAITRAQ T PEX2_069710 MAGPEQHEAVAIVRMGCRWPGGVRNAPELWEFLVNKVDGWREFD EPQFSTRGFYHPNSDRPGTMSMRGAFLADEDARLFDHSFFGMTRLEVDTLDPSQRKLL EVTYEAIENAGETWESVSGSRTGVFVGNFCLDHWMIQSQDWDNPRPYAFTGAGTSILE NRISYIFFFQGPSLTVDTACSSSMYALHLAVNAIRAGDCDSAIVASANWIADTGVQIA LDKLGVLSASSRCHTFDARAEGYARGEGYGAIYLKRPSLAIEQQSPIRAMIRGTAINS NGRTGGITRPTIGRGLGFGPGF PEX2_069720 MPLNTRYPSKLRVVIIGAGIAGLSAAAFLRKCPQYDITVHERRG KDFKESSAALGVGINGISILKQLGIKRNEIRAIMGAGYRTYNTQEEEMSKSQLGRGPD EDGTLWFVFRQDLKDVLLQRVTSEVGEGNPIKVLYGSCIVGVDPETGVVEFEDGTSII ADLIIGTFVAIIASGDAGNRYVVMYPCRGRGQMNIACAVPDSSLQRPSQLDRTPRVDL FQVRDQEPLPTYVRGRTVLIGDATHTMVPYQGQGANQALEDSEGINALFGDVYDRDNI PSLQRVWDSVRRPRASDIQRGSRTSQGKISTKEESQAILSVKPEYHELLGVDRRLP PEX2_069730 MASQNSGERDAEKAPQEPPQGGALVDSEPSRTIQGFPWVVVCVS LYVTCFLYGLDTTIAADVQGSVIAAFGHVSQIAWIGAGFPLGSVCVILFLGTLFNTFN MKWIFVGTVVLFEAGSALCGAAPTMSALIVGRVIAGAGGSGIYLGSLQYFAVMTTEKE RGFYMSLIAMFWGLGAVLGPVIGGAFSVSSATWRWAFYINLVIGAVSAPAFLLYLPAI HPMQGVSVRRRLASIDFVGAILGAGLWTSFLLAFTMAGSQWPWKDGRTIATFVVFGVV LVLYMAQQYFAFLTTPARRAFPVHLLRDRTQVLLYASTAAGTTTLFVVVYYIPIYFQF VNNDAALMAAVRLLPFIVIAVAVNLVSGAFLHFIKIYKVIYIVASVFLIAGGGPLMVY LDPNSSTGLIYGLTILVAVGTGLSMVTGYTIATLTVKPEDTGAALSLQNVSQIGGQVI ALAIASQIYQSTAIKDLSVALAGKGFSQTEIQGAVAGAQSFLFKSLDGELRDKAILAV TNAMQMTFVMVPVAAVVMKNERLFGGPVVAVGG PEX2_069740 MPRSLKWQQKQIYNSVSPTVTVFWGAGEDHKAQKLTLPLDRCLN YNSAADALKRLVADCAPAGFGIGGEDVIDPGYRKAGKLDLKQFATNFDLSAFGILDNV EQILLPRFNTAEEDRLPFRKLKAELYKLNVYSGPSGLFKKHVDTPRSESQIGPLVVCL PSPFNGGNLVVQHKGKEVDFDWSQKSENTIQWAALNSDCGILGIYCSHSYPHTSPEVK ALLPKALKGADLELSSVLKDLGMHVELERYIATDEGEQMEISDIISSTRNVEYLPGIA WIDSEKHQEMDFSYVAYGNEASIGTLFSSAALIVGIPPFLKREELASAQVCVNEMVNP DNTIFHWGGVKIARISPEIFVKFGFHATLSEAKNMSFVGQNTETLPVPKIFACYSYGP IHRDIKDYGSLFDTYMFMSFVEGQTLDMVWETYDEDTKVHVPNQLKEYLREIRSIPNG NYIGSADSGPVTDPILETYHVRGPFDSKEAFNNTIADAYQSTAPKLHIKNFLYGMLSQ NKHHVVFTHGELRHRNILVNDGSVSGIVDWELSG PEX2_069750 MGHWRNIFTADPGRTRHRVEVERRRLLPAYAADESDASGASKEI AKVALRLKYQIEQVVSCEVQENVLTDPNSRIITDDVVETAKKAGGDEYKACVVYCLLV CLRWFKIQSSVELWDSDLHEVRAVACEVIAKRIIESEQNQDYVLKDILLKRYSIFSEG VETDPANVIERAVDLHALRVISSAPYQRCIQYLWRGWICQEEGNPTNFVEYSEKSNTG YWVHFHPDRMRTPLYQNVCQILFSLIYLAIYTAVINTVNPTGDVDVAEAFLYGMTLAF ICDEGVKFWKVGWNYLEFWNAFNSTLYAILAVSLIFRFIALAHSSSTHDEQRRLYNEL SYNFLAFAGPMFWMRMMLYLDSFRFFGAMFVVLRVMMKESLIFFALLFVVMAGFFQAF VGMAQVDADIPLHRNILQGMVNSIMQSPEFDTFQDFAFPFGIILYYVFNFIVMTVLLN ILIALYNSAYEDISGNATDEYMAIFAQKTMQFVRAPDENVFIPPFNLVEILFLIAPFE WWLSREAYAKLNDLVMGVIYSPLLVVAAWVETRQAHRIRWNRRHGEEDDDCAQEWEHV AKDVNFDLDDTWKQHVVETTPDIKVDSCTYELRELQEQVKMLTEMVKGLTQEIANKKR VERRILWNQNCKVEGGFIYESGHFLDWILSLLSGFIATMAKLVDDPQIHTASLHNPIP WQLHTYVWPFVIIWPVFFAFYLSPERYDTYIQGQEWTFVFAGSIITIQSLFWLMTKWN IDIHTLFTTTRAKSIDTARLIKVVPITNAGSAEICKLIYETTGPKKTLSFLFQKRRFL FYPETRTFAPLSYVLDAEPKPALETFQLNEGFTSKAEIDRIYHHYGDNTFDIPVPGFL ELFQEHAVAPFFVFQIFCVGLWMLDEYWYYSLFTLFMLVMFESTVVWQRQRTLSEFRG MSIKPYDVWVYRERKWQEITSDKLLPGDLMSVNRTKEDSGVACDILLVEGSVIVNEAM LSGESTPLLKDSVQLRPGADLIEPDGLDKLSFVHGGTKVLQVTHPNLTADSGLKNLSS NVTMPPDNGALGVVVKTGFETSQGSLVRTMIYSTERVSANNVEALLFILFLLIFAIAA SWYVWQEGVIRDRKRSKLLLDCVLIITSVVPPELPMELSLAVNTSLAALSKYAIFCTE PFRIPFAGRVDIACFDKTGTLTGEDLVVDGIAGLTLGQADSKVEADGAHTELATSAAV GPDTTLVLASAHALVKLDEGEVVGDPMEKATLEWLGWTLGKNDTLSSKGNAPVVSGRN VESVQIKRRFQFSSALKRQSTIATVTTNDRKTSKKVKSTFVGVKGAPETINSMLVNTP PNYEETYKHFTRNGARVLALAYKYLSSESELSQGRVNNYVREEVESELIFAGFLVLQC PLKDDAIKSVRMLNESSHRVVMITGDNPLTAVHVARKVEIVDREVFILDAPEHDNSGT RIVWRTVDDKLNIDVDPTKPLDPEILKTKDICITGYALAKFKDQKALPDLLRHTWVYA RVSPKQKEDILLGLKDAGYTTLMCGDGTNDVGALKQAHVGVALLNGSQDDLTRIAEHY RTTKMKELYEKQVSMMQRFNQPAPPVPVQIAHLYPPGPSNPHYEKAMERESQRKGAAI TAPGATPEAIPTITSPGAQALQESNLTPQQQKQQKAQAAAAGLADKLTASMMEQELDD SEPPTIKLGDASVAAPFTSKLANVIAIPNILRQGRCTLVATIQMYKILALNCLISAYS LSVIYLDGIKFGDGQVTISGMLMSVCFLSISRAKSVEGLSKERPQPNIFNVYIIGSVL GQFAIHIATLIYLSNYVYKHEPRDSDIDLEGEFEPSLLNSAIYLLQLIQQISTFSINY QGRPFRESIRENKGMYWGLIAASGVAFSCATEFIPELNEKLRLVPFTNEFKVTLTVLM IFDYGGCWLIENVLKHFFSDFRPKDIALRRPDQLKREADRKLQEQVDAEAQKELERKV PEX2_069760 MSLTNCRFYEDKYPEVDSFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRIGRYIDLSKRRVSPEDVIKCEERYNKSKAVHSIMRHVA EATQTPLETLYENIGWPLNQKYGHAHDAFKISITNPNVWDEITFPSDAVKSELQQYIS SKLTPHPTKVRADIEVTCFGYDGIDAVKDALRTAEADNTPENQIKVRLVAPPLYVLAS QCLDKTLGVKLLEEAIVKIEERIKANGGSCTVKMAPKAVTEHDDAILQELMEKREREN TQVSGDEDSESDEGVPE PEX2_069770 MAALTVASIYIPMALSLASNLAHAPPISGLYSFVIHPLVYAILG SCPLLVVGPEAAGSLLTGAIVKASVMQGNSGEDDPTETALIVGVATALSGGMILIAGL TRLGFLDNVLSRPFLRGFITAIGFVIFVDQLVPELGLAEYAKETGVSHGTSVEKLIFI FRYARECHGLTAIVSIVSFSVIIMFRTLKKMLVPRIPQVIYFPDRFLVVALSAVLAWH LDWESKGLEILGHTEVASGGLFAFNWPFQPANMKHVRTALSKSFIIALLGFFESSVAA KGLGEGSSEGIKGMHMSANREMVALGVANVIGGCFSALPAFGGYGRSKLSSQTGARSP MTSVFVSIITFICVLVLLPYLYYLPKAVLCSMISVVAFTLVEECPHDLLFFFRLRGWS ELVLMFLIFTTTIFYSLELGMAMGMGLSVIILIRHATAPRIQIMGKVLGTDARFDNAE LHPENVELVEGALIVKIPEPLTFANTGDLKNRLRRLELYGSTHAHPSLPRMRAPEHNK NIIFDVHGVTSIDGSGTQVLSEIVHAYAEQRVRVFFCRLPNSSVFRMFERSGIVESCG GLTHFVPSVDEALRLAESENQTQEI PEX2_069780 MNAMEQADPRQLFNTSWTIHRLSPLHHGKDCETLLDNQIALKTY STRLRDHLTGDVLAGIHASTGAADDDTLSKTGALKDCLWRSISPQSLRGEAPSRSQKT TFPGILVTLEYENIVYKAILLADTQSSTSQRTGSTSLPLLLTRFPTALRQTFITFLST NFDTYCSPLRLPSSFLCAGLETYVDTLRTQQPSTSDTVEDVIKELQLTLSFSSSIAPA LRSLNISVARASLAGFLRDQPGQSAPKSRSLQHKLRSPFIANLTSYLETHLAMKLDLD GSSSNQAAKQHVRLSKVACAAFVLGGEGRVKLVVAADRDAGDGDEGSRVRAEKDELAL EAAESLLRSVIRKAVVEDHMAT PEX2_069790 MTGFAKFALSVQKVRAAIHNKRKAGAKDQRGSSLRNDLADLPAS SPWDLPVEIQIRIFAYSGITDFHPLRLVCKAFCQLLTRNEHEIVRQYLRLRRHGTLPS PIDDDRTYTRSPSDDVVLLSDLFPPSKSARGGHLYTFKYLHGLRRRQMLCSRLCHYLA DRILDRFIQTEPAVMKASFPAKRNERNALVKRGIASIRFHLAPLMYYTLYFLESYASA RREHTNMLVQKYEAGQLPVPLPLEVRQRMYRELQTRILQSPPFTNTSALVATHHCMHL LVSYIRYAMSPDRQAEIDDSWISSLLTLAPFVRIVEFFSAEIGDGGSQRTQRKDFMYN FYQDTTKYEKDHMNSVVFARASAQNLHSSVQDIWFAAAAAELKARRAIPHDVEHVWVW NGIPIVFGCPDCHPTRGWQA PEX2_069800 MTSNILILGATRGLGASLRTLYSAKTATHVFATSRSSNPEPSPG VTWLTGIDISQPDVGQKLVSQLPSTKLSTVIVNAGYFGFETFDSPDWEKQVQMYTTSA IGPVFVVHNLVKAGLLDQGSKVILISSESGSITLRHEKEGGGNFGHHASKAALNMVGK LLSLDLKPKGIAVGLVHPGFMRTEMTKSVGFDKYWDAGGAVTPDEAAKTLASFIEGFD LRQTGEFWAPGGPKDIGTAEDVLGKNLSTPLQLPW PEX2_069810 MGVYGGLGPMTNAVLWVEAVVFAIFVGLRLYTRKHVLNSVGLDD YLVLTALVLQVLYTAFVTEATLYGLGQLYADVGDPVTYFTAVKYELFSQVAGLMVIGV GKATVGVFLLRIVRSKAQVWFIWACLAITAFITLFASITVIVQCIPIEKSWNPTTPGY CWLDFSKVGYTVGSWFVAADFAFAILPWFIVWDLNMKQKEKITVACGLSLGVFAGACG IIRTKALSGLNASEYILDDTVPMLIWSATESCVTIMCSSIPVLRPLYIHVRYGADGRS SSSGDTSYRLPLYGSGRGRSTYSKAGLESSINEQSTPHHTGVLKNSAQNASNENILQG AAGIERTDEIAVSYEQFDTIHFLGPNCTYFRTYPTTNHPAFFRSAMSSTPPTSGLSPK TWTRETSREFFISTEPKLLSVKAVNAAYARDFVYWIKKPFPEEVLWQMLHGALSFGVY RWTEPVESVNDSTIPSPENTEQIGLARVVTDGCSFVYLSDTYVLPEYQGSGLGKWLVG CVAETFSKENMPYLRRIMLLTDDERTQAFYTNVFGVKVVGREERKDMGRDLVFMCARP HAQP PEX2_069820 MPSQTEVIAALDAPKPKWLSGNGAEHVQAHAADQLPFETDRIIG SLDAVEPGVFGGNEVERLKVRAAARRLLARVETPYERAWGFCFEQPVVFAALQTCIDL GLWKSWTGAGGEKSIDELVEFTTPTVDPNLLRRLFRLLAAFNVVEETAEDRFKPTPFS NAIGDESTKVRASLQAATNQYLSAGHNLPAYLAKISYQEPTDVNANNHSDSDPDGLNF FGRLQKSPACFEAFNGHMEAWTAWKTPWTKVYDTTKLLEGAKLDDGSPFVVDVGGNTG IDISHVLAKHPDLPAGSLVLQDLPEVIAKAQVDKKITAMVHDFFLPQPVKGSRAYFMH AVLHDWPEDKAKQLLVNTKDAMTKGYSKLFVYDIVLPPTGASISQATMDVEMMSLLSA SERTQGTWTKLLSDAGFKIVNFWPDPQQYEMVIEAEIA PEX2_069830 MATSQFQTNYTPTFTPTELRNGVASGDDGVHDNPLGTSSRTAMV DINGLSEKIENVRFELEEHPVDVVRPIKVGIIGAGLAGITAGVLLPAKLPGLDLRIYD KNADVGGTWFENTYPGVRCDIPAHVYQSSISPNTQWTEEFAQGEEIKQYWQGVAREHN VYQYLRAGQRVQKAEWQPEKGKWNVTVEHVDGSKIYEEELDVVINAIGHFNAWKLPDY EGINDYKGNLFHSSNWDPSIDLKGKRIALIGNGASGLQVLPSIQPFASHVDHYARNPT WIADSFSSGNVGVRRLEANLFSETQLETWKDPKAYLEYRRDVEKGYFQRFGAIFKDTP ENNELREKWTALMLQRIAGKPELAEKIIPDFPPACRRPTPGPGYLEALSKENVDYIQT RIKRFTEKGIVTEDGVEREVDITICATGANVDHAPPFSIIADGVDLKQAWKQDGKFGF PYSYLGFATPGFPNLLWLGGPYSSGHSGTVPNNVENQVTYIAKVLRKIRTQGIKSIVP SKQAADDFVEYCDQFYPRTVWSANCSSWYNGGKPGSRIHGLFPGSASHSNYIRRDPRW EDWEYTYVNQSGNRFAYFGNGWTSKELTPDAELTPHLRLPEELNLKTHMEGWWDV PEX2_069840 MSSEKKQLIINAFAMQSPSHLNPGLFRHPTDQGADYKNIKHWIA LAKKLEDAKFHAIFFADVLGGYDVYRGPSNLSPTIPAAAQFPINDPLYSVPAMAAATE SISFGVTASTTYDSPYALARRFSTVDHLSNGRIGWNIVTSYLDSAARNFGLDTQVEHD ERYRIADEYLDVTYKLWEASWRDDAVSWKQGSESKTQAYADPKAVREINHKGKYFQVP GPHLCEPSPQRTPFILQAGTSTAGKAFAAKHAEAVFLHAQKPELVRPSVDSIRQQAAE IGRNPQDIKVIAGALVIVAETDEEANAKFEELKTYGDREGALALFGGWTGYDLSTYSD DQDFRFVELPAVRSMVNHWASTVPGTEGQKWDKKTIAEYLVLGGNGVKIIGSVKTVAD ELERWVTVGDVDGFNFSYASLPDTFDDVIRLLLPELQRRGLFWSDYAVKGGTFRENMY GKQGQSRLPDAHPGAKYFWKEGQEVPPYSLEESN PEX2_069850 MSENGASTSKAPVNDRRVHQPLVDVQPFRMSDLQPKYAHQINHA EDDNPDAHGWYAGLIHTLGECIGFFGAIPCCICCPNPFKPVEQGAVGLISRFGRFERS VDPGLVKINPLSEHIITVDVKIQIVEVPRQVCMTKDNVTLNLTSVIYYQVVSPHKTAF GISNVRQALIERTQTTLRHVIGARVLQDVIERREEIAQSTSEIIEEVAAGWGVKVESM LIKDIIFSNDLQDSLSMAAQSKRIGESKVIAARAEVESAKLMRQAADILSSAPAMQIR YLEAMQAMAKTANSKVIFLPGAAPAVAGQSLQTQLNTAESFGEGPSKYGATSQGDTGL QHAVNARVVEDI PEX2_069860 MASQAYSSSARAGAKPSNQPIAPPNFTDPNFDPADFLNDSLSPL TVASSQPNASRSPGSVPLTELSAQVQSLLSQISAQNIRLSSTLSQLTDEILRSGGRLA YEVEVLRGETIGLSETLTEVLRDDITKFVPEPSPEDGAKTEQPEEDAPTNEDESAESP DGKNTPVVPTDPEYITNLRTLNQVRSRLEDVVQTFGDAMSWPLPPSEISFSSSFISVS GPDLGPEGQDREEKGQEMMKKLRTEVTQLLDSEGGGYAGLNAANRRVEALRNLATVWN TSAEEKARNRFVDSLANIVEDRRRTLDQQADQNQRGQSKSRPEARRDSDSGAPAGGLF RNLQRLREEIYLE PEX2_069870 MASEDVQLHFQDAKSNPMRWHVFKRRSRTNRVKTIGLSVVALFC FFLMIRSSSTRVDPDFWTKFPSRHPFPQRPEDAQVLLPPRTDINVTAALPHHLDKPNP RLHVLIPASQSSRGVCRTLTSAMVLGYPPPTLIGYGHESPGATEAERMVDRITRARNY LRDSKTVHDRDFVVMVDGADSFFQLPPKVLVERFQAIIRANNRKLRDKFGFAEVEGET AGAAPEMVQKYTQRVLFGASKICFPGLLDDPGCVSVPESTLPPDVYGWKTDVYPDGTL NRPRWLNPGAVIGQAADLRLIYDEVLRLAEVRTNKSGDYQALTQMYGRQEVIRELERR RTANDFKELLYRMVGISDAAKITGITLRLETGHRYEYGIGVDFESQLFFNQIMSRKDV EWVKFANITKMSALQMQHGVPREHRLLLPQDIATLPNPFVQSRFAKESTQRPVWNATL DKLPNPRERTWHNISLMTNVHSASVPVIAHLNGDPKLRNTWWENMWFFPWGRALLRKY VRDSHGFDAAQSSLLGGQDWLEVRGGRGGIWTDEHTWINFAEVCNGQERDLFDDNLGP WAKEVDDPDDPVYNQYGSLISGKEEKFEKFD PEX2_069880 MYFPSVIAIGLAPLLANAYLSGSVGPTTTIAAKSAHKICNVLDY GAVADKSTDIGAAITSAWADCRSGGVVYIPSGDYAFKSWVTLSGGNNCAIQLDGVLYR TGTDQGNMIMVKHTTDFELFSSTSKGAIQGYGYEYHKTGSTSGARILRLFDVTDFSVH DIALVDAPAFHFSLDTCVNGEVYNLAIRGGDRGGLDGIDVWSTNIWIHDVEVTNKDEC VTVKSPAKNILVENVYCNWSGGCAMGSLGTGVDISDITYRNIYTWKSNQMYMIKSNGG SGYVENLVLENFIGHGNAYSLDIDSAWSSMSKVSGDGVQLTNVTIKNWKGTEANGAQR GPIKVKCADGAPCTDVTIEDFAMWTETGAYQWYNCESAYGSGACLRSGDQHTSYTTTQ TVKSAPSGYSVATMASNLATAFGTASPIPIPAIPTSFYPGATPVSSLAGAKATSS PEX2_069890 MPSSKDPWDWKVDEIVKFLCHDEPGDWSYNLACPDLVVLEISLR ENSVSGLMFLAITNDDVKDLGIKVIAQRHYILKASKWLQRRSPKYQLDQQQQQQPPLN PPKALLNEERLSPKHIDDPTDASPLVNAPVNPSTSLDGSSNPTPLLDTFSQAQIEEKK PRRMETTIIERQPTSSLLETHSSHSLPAPNSSFGNDDFFDHLVKSYPPNDADVLSLLG DSTSDSEYDTETREEMEEDEGQSYPGTPPDASENLGDAKFNDIVDEYINLLRTQFLEI RLPKEQPKAFQIWIRGQKFPSMKSQISTRVAHLEKRCQALRKALAEAQHSSRSSLLQA CACLDPTVVDICLDQWKLSVLEQTTPPAKVARPPRAPRPERPKVNSDGEETLSSDSDS VHDTGEEEDDESSDDSEDSLALALMSDIEEGEIAQHKEEPRPRAAYQHGPFHDSSSDE DLGHLFFKEENYEPPAAKRRRLKENSAPQDTPTSPLMPMTMLPLDRDVALPSKEGEVE GQMEENTHPVNPMRLNTHEFVDLTSDNGSGTDEALCVFDDVYSMMWRTIEESGNRLHL VAKALTGLPKNRIDGLSTFLGSYMSCLYLDYARDALKHMSDDSSVIEGMHPEESHSAM LMTALFVSWINVTQVPYGAFTAKEVKAALAAVREDLEEDHFTPFFNCLNDLLKGYKRW VTLSSRVQSNEPNPGKRKLTDAKIILTGAQKEGQERHHKQAKAKRALLASRFAQGQAH EENKSIPRPVSFQIPMICLDPYIAQYVKSYQLSGIQFMFREIIENKREEGCLLAHTMG LGKTMQVISLLVTISNAGVSQDLSIRDQIPEQLRKSKTLLLCPASLIQNWCDEFEMWT PPNHNLGKVRSITVKTPTLDRTKEICEWNDEGGILILSYHIFRDLVKDKAEKNKDGAQ RSVNENVKNLVLNSPTLVVVDEAQNIRNHESQIAEAASRLRTRKRIALTGTPISNGLE DYYWMVDWVAPQYLGDFADFNDQFIKPIENGSQIESTKFDRREALQRQELFLRIIAPK VQRADTSVLASDLPPKYEFSVYFEPTNLQKAVYNILIQGVALKTEAGVRQELMSWLPL LKLCCNHPALFKAELESRRTKHASSKQKSPSSDLPGANIGFNMPVEQQIIPRSMLSEL DDVFKEVPNLLDPSLSSRVVILNEILNQAITVGDKILVFSTSIPTLRYLAEVMDATQR KYSLLHGNVPAAQRPEVVRRFNNDPSTSVFLISTKAGGLGLNIQSANRVVIFDFQFNP TWEQQAIGRAYRIGQEKKVFVYRMVAAGTVEEKIYSKTIFKSQLAGRVLDNERVARMG SKALQQCLAPWKESDHKGGIHETAFATDPQMMGRLRAVCGKSIVNAKLCIEEIDPEDR LTDQEKQSVEDQLRLRRLHLESLGL PEX2_069900 MAESIYSNYPPDLSPAQQKFLVTSVKDWAIQNGLAVRPSPAILP TGADSNGVLATNAPVTLFPSPFPRVCFEEATALQEIYNKLYAMITCNEEWLGKVVEGL IEVDDFVSNLWKVHLDVQKEGYVQNLSLGLFRSDYMAHVLPTGAPALKQVEFNTISSS FGGLSALVRQMHTELLASPPGSSINYPPHPLLQSGVPPENTAVETLSAGLAAAHEAYG VSKSTPALPLCVLFVVQETERNVFDQHAISTQLKKAHNIPVFRVASVDVLDQTSIPSS NSARPLLYQPPHAPDSTFEVTTVYLRAYYAPDEYKSSRDWLARTHLERSAAIKCPTVL NQLSGCKKVQQVLAEPTGPDHLASFLKGVDSTLVERVRDTFAPQYDLSINSQGRDLAL NPETALNHVLKPQREGGGNNVYKSDIPGFLQSIPESDWRGWVLMELINPAANAQNVAL RNDGEVIRGDVISELGVYGTILWENTGKILHNEQGGYLLRTKGKEVNEGGVAAGFSSL DSAILF PEX2_069910 MSERILTDLPLEIFWLIVKGPEFNKDIKALTQVNRGLYALLNPY LYRINVENYDNPAIAWGAYHGQEETVRKALKQGARTWSTYGEGSLPEPITIAALRGHA NIVKLLLDHGVDPMSSWPNDDDGKETGLRMARFRMDFDNYTELYPIRDWPPWTAALAK DNAEVLQVLIEKSGFVPRPWDFFETVSEGYYETLKVLVKACPKWEDLARSNYSTILGK AISVSPHNLDITRFLVDSGSPINHIDYNQQTPLAAAAEIGNIETVRFLLDRGADPDPE TPLWPLRLAAEQGNTKIAELLLEKIDVQSKITGGRDDQFWLLYSAAACGFEKIVRACL DAGCNPNNRLYIDYCPFSVDATNQVSDGGYNLTPLEWARGRGHSEVMRLLENVGSSNR AKIADSTAS PEX2_069920 MDRKSLETLLNLDEIEDAATKIVNKKAWAYYYSASDDKISKHRN TEAFRSIQLRPRVFVDCTQCDLNTKLLDNHVSIPIYVSPAAMARLGHPSGEAGIAEAC RSFGALQIISNSASMTPEQIVAGAAPGQVFGWQLYVQHDRTKSERMLARINKLSAIKF IALTLDSPVTGKREDDERSGNVIGSEAPFQSDNNDTGPITQTGDPVFKGMDPSLTWTE TLGWLAKHTDLPVVLKGIQTHEDAYIATQYTPQIKGIILSNHGGRSLDTAPPAVHTLL EIRKYCPEVFDKIEVWVDGGIKRGTDVVKALCLGARGVGIGRAALWGLGAGGVDGVKR TLQILTDETKTCMRLLGAKNIAELGTQNINTRLAETQIYDGPSGLEACRTNPRPKL PEX2_069930 MTDGHSAPPADAPAPAAAADCTAAPVPADVRSHAHHQPAPTHDK EQVSSIGRITPRPTFLEHLATSRDSQFHLDRRDSSELDRYFHGPRNMEKHSKWPIMMR MHGSVMPKMIMPLVTVAIWSTAVTVFSKKVHDLGINNILLTVLGFVVGLALSFRSSTA YERWADGRKYWAQLIQASRNLSRTIWINTGEREGEEGKDDLLRKLSAMNLILAFAVAL KHKLRFEPDIAYEDLAGLAGHLDTFARDAHDRMVVNPPAKSVWKSAGEYLGMSFAESN PRKYVKRSKKPLGHLPLEILNHLSAYIDSCVANGTMVSTLHQGQAITMMATFNEVLTG TERVLDTPLPTAYSIAIAQISWIYILVLPFQLYNALEWITIPGTIDIEVAAYIILGLA TIGSEIENPFGQDVNDLPLDTYCRQIAVEMDIITATPRPNVNDFMTRADNLVLFPLSQ LGYPDWKERTVEDIRGALRTKVVVNPSSASASGASDTSTIVEEIRPKTTRSSV PEX2_069940 MTEDTHGGMEIDTNVPSTETVKRKAPEPKPKTLHFTSRNPPWTY LKLKLVSQPGSAPQPLDPLSARTYLSSALSQFLGLTGTAIPIDILKIENGSSSTTKYD IVWIRVPREDASAVVSAVSSWIGGGNNSTGSGDVAWRVCAKGNFLGALVAGSGADLFV P PEX2_069950 MTENVTGEIKNPLAGIPHGQLMADVTAYAAEYDLEDILPLLQKG ALVAQSPAGIEEISELNDDDRRVLYEEHTRRWKHPFALYYTIILNSISAAIQGWDQTG SNGANLTFDVQFGIPNNSPQCPDPETCKRNQWIVGFINGTPYITICLFVAWLSDPLNH ILGRRGTIFLAAIFSLLAPLGSSFTQHWGELVACRVLLGLGMGLKEVTVPVYSAENAP TNIRGGLVMSWQLWTAFGIFLGTCANLIVANTGDIAWRLQLGSAFIPAVPLLIGIWFC PESPRWLMTKKNHKEAFASLLRLRNSPLQAARDLYLIYAQLEKEKQLLAQSGFSKSDN MFIRFVELFTVPRLRRAVQASGIVMIAQQMCGINIISFYSSTIFQLAGASNIEALLAS FGFGLINFVFAWPAVWTIDTFGRRGLLLFTFPQMCWTLLAAGFCFWIPTSSNAHIGLI AFFIYLFDAFYSPGEGPVPFTYSAEVFPLSHREVGMAWAVATNNFWAAVISLTFPWML RAFTPQGAFGFYAGLNLIAFAMIFFFMPETMQRSLEELDYVFGVPTRTHAKYQLTKVL PWWIKRYVLMRKDAVCPELYQVTQDYAAAPGTVGDSEKHVQADENERHAEHV PEX2_069960 MRFPPPAIAESWPTPNYIDPPTRGHGVLIVNVVCLTWAFLIVSL RLYTRVWVTCSAGIDDVFIVIGLIFAIAMAIVTSIATEQWGMNRHIWDIELHRFITVR KLNLCFQLLFLLSSCFIKVSLLWFCRRLIGKGHFVLYNWAFILSIIFVVGSSLLFFVI SIFQCSPIHAYWDINTKPYHCMDDGAIVFAASVINIFTDILVTALPMPLIWSLKLPTR QRLAVISIFGLGVVVNVAGCVRTVYVWKSLMTGYDGTWVGWPGLITAAVELSLGLICS SAPALRPLLAAFLPRLLSSSRNIGASYSQRNRTHRLWYSTGRSRASRLATDEAHPPGY SNDRVEIMRTVEMESWVESRLTSHDKMGHGYDITFDSHGRAFNPVDDVDMKMGMFYAS PTSSGSSASRPSDTHPPFDDRQSR PEX2_069970 MSSVFRASTRLRSAARLPAARALSTTAGLRAAEKPYFPNEPTAP SVSGPIPGPKNQAAATELDEVFDVRSLNMLTDYNQSVGNYIADLDGNKFLDVYAQIAS IPVGYNNPHLQKVAASPEMACALINRPALGNFPSSDWAHILKTGALRAAPKGLDQVFT AMAGSDANETAYKAAFMYYRQLQRGGPEVEFTEEELLSTMNNQSPGSPQLSILSFKSA FHGRLFGSLSTTRSKAIHKLDIPAFDWPQATFPQLKYPLEDHVQENAAEEQRCLAEVE RLIKEFHNPVAAVMVEPIQSEGGDNHASPAFFQGLRDITKRTKVLFIVDEVQTGVGAT GKFWAHDHWNLSSPPDMVTFSKKAQTAGYYFGNPALRPNKPYRQFNTWMGDPARALVY RGIIEEVERLGLVENTRITGDYLYGGLARLAEKYPQHFQNLRGKNQGTFIAWDTPKRD QFVAKAKSFGVNIGGCGPSAIRLRPMLVFQKHHADILLEKIEQIVQAL PEX2_069980 MSFYAPPNQQRMLRACMVCSVVQIHNKFMREGCPNCESTLQLRG NNDAIQECTSQVFEGLIAVRDPAASWVARWQRLDNYVPGTYATKVTGTLPEYIINSLE DSGIKYVPRDGSTGEEDA PEX2_069990 MATDQEVATALKVQGNKAFAQHDWPVAVDFYNQAIAKFDKDPSF FSNRAQAQIKLEAFGFAIADATKAIELDPNYVKAYWRRALANTAILSYKEALKDFKAV VRREPNNQNAKLKAAECEKLVRRREFERAIEIADPPSAFADLDIGAINVEEDYDGVRL GEEMSQEFIDDMIERFKDGKKIHRKYVFQIIKAVKDLVYNEPTMVEIGVESGKKLTVC GDTHGQYFDLLEIFRLNGAPSDTHAYLFNGDFVDRGSWSCEIALLLYAYKWLRPNGLF LNRGNHETDDMNKVYGFEGECKAKYNERVFKVFSESFSALPLATLIGDKYLVLHGGLF SDDKIKLDDIRKLNRHNQRQPGQAGLMMEMLWTDPQTEVGRGPSKRGVGLQFGPDVTK RFCENNGLEAIIRSHEVRMGGYEVEHDGRCITVFSAPKYCDATENKGAYINIGPELKL AYEVFEAVPHPDIKPMTGAIDDLHRTVVGVNDNEKANEGKSIIANLAKLKYELQHNRQ LTPLDDDGHPDIASYNKELEERGNPHWHDVAWLYSECYLYRRMEALFALSKHWKGYDV FARQKMDTFKSSRPAVLELAARYRELAIEAESGKARENKSAEEIEQAEKLLFSEMCEI CLWGNATDLSLLTSLTYEDIQKLQGSEARKASQKNIIVNDLDAAFEAMQKARGEKKDG ERRVDIVLDNSGFELFVDLILAGYLLSAGLATSVVLHPKRMPWFVSDVTPKDFSDLLN SMVDPQAFYTAADDSGKTFPPLSENEVSNVQFLLEQWSQFHQDGKLILRPHAFWTTPG GYWRMPSVAPELFEDLKESELVLFKGDLNYRKLVNDAQWDPTTPFTEAIGPLGPKSGI RVLAFRTCKADPVVGLPAGEDEKLRALPGGGGSESRKWAWGGKWAVVSLSDGKA PEX2_070000 MPLDLVSPLEATDAESVVATPQFPINIYLTPLHLASASEPGLRM LSSAIESSPLMSDISSCDLFKISLSLLCLSNKESIDSALPLSSLILAEYMDLDSDTET EGSKDENHEVHQYPRVTNAVTGGPVQKILQFSGEEFNKCFVDDMHMTQVLNLESFGIT HSSRVNPDIQCPVDTCDKWFTTPTSVRIHLRNVHSLSQKEANTLLPPKSGGSADPQTQ TMYTFYTKPRCRI PEX2_070010 MLDWIKGNEQIAQATDDSKLLEPPETPGHVFAIRAFKSALFGTP GAEEDENNASQKESGHQRSKSDTIKLASTEIKTDAKPADATYNPTGSPTKSILVTPGT ALRRKTVSFGESVIDNEGKRPGSASKPAKTPPNPSGTLSTQWMSGSSDGSGKPRSKLT QTLMNARDNASKNSEPAKSDESTGEAKSVIIPGSKDTLENEDITVNLEDPHSESGKYW KTEFDNYRVRTNREIKKLIHYRSIAKSYARKKDTEAMRLADKLKEEEEKVAEMERQVS RLASSMVEKGSTGDKEQLLQGLTKQTALALQYKQQVSSLRNTLERHDVVSDAANISQK KKEELPPNAPTDELRKTQQELEQANAKIEEMKAQQSELSTLQDLAQSSEKKAQALEKE NNTLKQTLARVKQEMSRYEGRRKDKETRLKQREARLEQRIQEYREKLKTVSQEHRTSE ENLRNTSDAERRHMQKQIDLLKLRLGSTERFPALPSTERQFLSPRKDHTGVEVFDFAG QDFTGQDLEKPEEEPTEDIELPPSPSPRSKVRDSRPALRSATLGTLRTRPSARNMAAD DDEVTHYLNEILLKPRHESNNAVLDEIPPSSPPDISALKSLNRTFSRRRLGEGQRSYR NLNLPTDDDTRLSNRQTQRERTHIKSTLDSISGLPSRSRYSGYTVSAGERVAKRYGLA DLQREALSPERIAAAKSRLRQKDSRKIKALGKENA PEX2_070020 MPDTMVIRYQSASAQTPPLLPAPEPTPGRVLRDGSSAGPQSSNN PVRLATIRCLHDQHPTLEEETMVRSLHPALKHCDCVSVVEGGPVRKPVWLVNARGAQN INNTHAAGREIHVLPAQTLPPVSPLGLLMRPVNIERINPRSFLEPQKVTLIREAFPGS IGAQILITGWLLILFPEKKSLKTCWDKGVTDEVGGLRVGYIIASFHATANIVESGRAV SSAPGTLAQQAALGLRLRLPGGQEAITTVTHAFVRLADSRMSRIRKRFTEYILTAKDY LKRIQPPPRQVPQANDMYCRGRR PEX2_070030 MMPLSHSVVLRLAASVFATTFVGFGVNAILRPDHALTFFEFQPP TSLVDKQMVESLMAIYGIRDIFMGAAIYAASYFGTRSTLGWILIAASSVAFADGLLLG VFDRGELSPRPAMSPKQRKKPSRQKNRSSDSSVTSPIFTTNYREIHQTEVEALRSIYG DDFEEVENRRSAWQQSSDVTFKLHLRSSSNPDVLLILLVELPATYPKTVPNLSPGNLD GFRDGARSRIQEILCNKPKSLLGSEMIYELAVSIQDVLEDVAQAQAQDKDLPSLEEER MEQEAAALQRADLEKQEELRKQKAAAVEEERALQEMLQDKMRQRNKARILRRKSRTGG ADMSYADDLVENTPGAICFDPPLAVNDTDEQPLVFRAVHGKTLLQSKQCKKTFTVRPV VSENRCHVPLLVLKEFCLDEHNSEGLTFREQMRTSEDKLEGIKRLRHPNLVEFVGFKI NRPISHLDSPDNSWTVFALLEYANKGSLAELLDIVGTVAVDMLRGWMIQLLEALEFYH RSGFVHGNIHCGRIFLFRTTSGETIVKLQSNVEEALPDSPCGKSSFAASKSPLWVPPE LTQDSSAPSMKTDVWDLGIVLLQMGFGKDVLLRYTSANQLMVSMGLSPPLQDLLCEFF RPDPRKRPTAFQLQPSEFFRVDAPLKMRERASGSVSLQRRPRLDSFGAIPAFSRYHQD FDEAGQLGRGGFGQVVKARNKLDGRLYAIKKISQTSAAALKDTLSETMLLSRLNHSYV VRYYTAWLEEDFNHIEEEAMSSTEGDPFASQDHHGFSTGGLDFISSSGYPKIEFAASD SDDENEGTLSDPAHPETPERNRVHDSGVDSASAEEVELSRARSGSYARPILTTLYIQM EYCEKHTLRDLIKDDLCDDSERSWRLFRQILDGLSHIHSHGIIHRDLKPDNIFIDVAN NPRIGDFGLATSGQFTTAVRSSAAADFEGDFTRSLGTTYYVAPEMKSVVSGHYNEKVD MFSLGVIFFEMCHPLPTGMERDQTLRQIREKNHTLPPTFQQSDKLLQGQIIESLLSHT PSERPTASDLLSSGKIPLQVEEETFRRAIVHLLSDPNSPDYKKILSAIFSQSPKKFED IAWDIDSHAVPAANELLVQGLVKKKLTSIFRRHGAVESTRQMLFPRSQHYNNGAVRVL DASGNLLQLPYDLTLPNARAIPRQDRSLEKTFAFGTVYRDSPHGSEPRTHREVDFDIV SYNTLDLALKEAEVIKVLDEIIEEFPPLRSTPMCFLVNHSDLLQLIMEFCRVTPTQIP KAKEVLSKLNVGKYNMQKIRSELRAPAIGVASTSLDDLARFDFRDSLKETQRRLQNIM EGTEYAERISPIFARLNVLMTYLQTFGVKRKIYINPLSSVHDKFYRGSILFQCIFDSK RRDVFAAGGRYDRLIQEFSPNVLSNRPQAHAVGFNLGSDRLRSSMIDYLKAKAPSKDS ETSNESYWAARRCDVLVASFDPTVLRTTGVKLIEELWSNKISAELAVDASSLEELLAK YKDSNHRCIVIAKQDSKERGFKVRNLMRKEEFDIRTPELLLWLGSEVQARHYREGTAD PRQSRQLSQQEALAFQERANDVRILVPQHRNKKTNRRNIVESALLSAREVAENARNGP VAAIDARDDILDAIRDTRLSDAESWRSVIQNAPLTERKYLSQVYELLTDLAMENRTND STESYTNAFIYNYRTGSCVYYDLGP PEX2_070040 MADTSVAEPLAPVSITNSETLDHNADEQIVATTGAADDTTQGDQ QLQTVKKTKIIRRKKRPARIQVDASTVKAEPAQQPGLDYNIWYNKSGDNDDKYGLSQP APSRCNIARDSGYTRADRIPGSFFWFNPNMDCFGRDKHSDYKEDMSGVGSFTRQNRTI YVGRITVSDDIEEVCSRHFAEWGQIERTRVLTGRGVAFVTYSSESNAQFALVAMQNQS LDHEEILNVRWATVDPNPMAQKREARRLEEQAAEAVRRALPAAFVAEIEGRDPEAKKR KKIEGTFGLQGYDVPDDVWHARTRQLEDASQAAQLEAPEQPLMIESASVSAQQQQPQS NGIFSSSAVAALQSLNGGRVTTQAPKATAGPLVGYGSDDESD PEX2_070050 MVNITEKIKEIEDEMRRTQKNKATEYHLGLLKGKLARLRAQLLE PVGGAGSGGGAGFDVSKSGDARVALVGFPSVGKSTFLSKITKTKSEAAAYSFTTLTAI PGVLEYGGAEIQILDLPGIIEGASEGKGRGRQVISAAKTSDLILMVLDATKRAEQRAL LEAELDAVGIRLNKEPPNIYLKIKKAGGMKISFATPPKSLDEKMVYNVLRDYKILNCE VLVRDENATIDDFIDVIMKDHRKYIRCLYVYNKVDSIGLEFLDALAREPYTAVMSCEL DLGVQDVVERIWKELRLMRLYTKRKGEDPKFDEALIVRKDSTIEDVCDQIHRTIKDTF KYAMVWGASARHVPQRVGLAHMVADEDVVSIVAK PEX2_070060 MISNLFKAMPARASAFASMKPPAQARFMATVRQRAAMEPATFTI RDGPMFSGKSFGARSNISGEAVFTTSLVGYPESLTDPSYRGQILVFTQPLIGNYGVPS AEKDPNGLLKYFESPNLQAAGVVVADVAEQYSHWTAVQSLGEWCAREGVPAISGVDTR AIVTYLREQGSSLARITVGEEYDANEDEAFTDPEQIHLVRQVSTKQPFHVAAADPHSH VAVIDCGVKENILRSLVGRGSSVTVLPFDYPIHKVAHHFDGVFISNGPGDPTHCQDTV YHLKRLMETSQVPIFGICLGHQLLALATGASTIKLKYGNRAHNIPALDLSTGRCHITS QNHGYAVDASTLPSDWKPYFVNLNDQSNEGMIHKSRPIFSTQFHPEAKGGPLDSSYLF DIYLDSVLKYKNSQAGLYPQRDSRPSPLLVDLLAKERVGVQPTIGQQNVVAAAAAAAA AGAA PEX2_070070 MILSQYLSYALLAFLLPSATFSRSIRSTFGDSTQGPLLRSGCDD GTGIVDPDGKCNGTGVVDDPIPHDREGFKFENPSTDCKYASQPHIWDSFKHLEKDMSK LFTLIHKNVSFTVVGHHPIAGRYHDLMHFYVNALRRVSMLFFDHADLFEIHPQEIYGG CNAEWSVEEIQFKGLMNSGDMFDIINVWFTRWDNGQMVEIRTYIDAPMIMDALHKNEI WWNGTTLRDNLHRESANVPVGNLVAPAPKLERNVNTMRARNAPDPIVCTERASNPTVQ ASPRRTSSSEGVTNSLQANSGAAFVRKLGLKIDPAHAPRLQLFAWNIGERPKSPTLSS ALPSVPITVPPPPPTITKIISQEEMRRLAAIYFEKVDPCYTFLDRKTIFYRIAKRWEP SSSPIDFAEQPNDAVLCGVAAFGYLFSRREIIGIELRLIETARILLDKSILAAETPSV DIVTGWVLRAAYLRMTASPHAAWMASCTLMHLIEATGLHIETSDPEAADLLQASPPET HGSQDGDMEHQGTSDPETRRRLFGMARHLNTWISFDLGRSRVVLHGATALSPTSTPPS RNPPQQAPPRVPRADIFHLLPLSEKLDPTGPSPQDLPELETALTSVLDIIYSEPSLIL VQCNLMLCIYRRLRALNPHGPLSSNLLDRVLALAGRGLRAARGMVASSCPWHQVANVP FQVVCSLLAIDNRAALALLADAMRTLREVLAAYDTTSMRVAYSTAYLLIALHQRRKED DTRALAEVLRVNAAAAAPADNQKDKQIAQIPLADRSQRQEQAAPDALVSDAEFSWLGD LMIDMPSLQNFDLDQFLMTDVPWPLPEMGI PEX2_070080 MVAESKLYDALSVKPDATQDEIKKAYRKAALKHHPDKNKDNPQA ADRFKDVSQAYEVLSDPEKRKVYDQFGLEYLMRGGPPPPPPGGGGGGPGGFDGGMPGG FNFGGMPSGGGGRKFHFSTGPGGAGGFSFSDANDTFRTFAKDGGGGMGGMGGMDEEDI ISMLAGGLGGGGGGAGFRSSRPGYSKPKRAPTPEPTIIEKDLPLTLEEIYNGTSKKVK TKSKAFDSMGKLTTKEVTLEANIKPGLRAGSKIKYRNIGDQEEGGRQDVHLIVKEIDH PSFKRSGDNLITAVDLSLKEALTGWDRIVRTIDGKSIRVSKPGPTQPGHEERYPGLGM VNSKNPSNRGDLIIRANVSFPTSLTSSQKDILRDVLP PEX2_070090 MKRRITFIQRVDAGFDPQQAELTASSLSVRGLDAAREDRITVGL DELPQELRTVLEQSHELHLRWASERSFDAVVPFSSRISPGLHVHYTPLESESSSEALC TLLRTIVAPNKDAQYKGCSKQEESATQESFITPPLLSTQFASSTSLQYHTHLPSIQPL VKYIQNTICDQSPTDGPECDTGANALLSADSVDIDYDSSSHTLTVSGLWTRPPTGGWT DEIKKPASAADQVEFGLLGADAGLEPEEIKMGGLLAVVGQDKKLKPTMFSFPSRHQPL TEPSSYTVSFAPPTGLHPKMSISMPRSSLKRPPAPSDATCALHTYLTLPSTIFGDQYQ LATTDPLFLESHNLVALRALAGEMDLEAPDWVVQRWGSNWLLELATPPEIEDAVSTPD PSNWTATIPLHLRYLPPSETGYRTAHVPWPVVFWACTTEDDTKMGINPFDRTNLGWDG LFGPRTLFYQLQPAGDRLVEEIDVPVLRLEGNGYFQGKHIELGTCVVISLGFMWVLWR LALVSWSSGIGSKRAEPVHNKKE PEX2_070100 MAVTQRQPVKQAEQVWDSPKSLDKQSAYANLPILQQTDVPSLQS LKDAIPKECFDSSLTTSLLYLARDSIYCAALIYAALHIHLLPSLPMRIVAWATYGFFQ GCVGTGLWILAHECGHGAFSKHQGINDFVGWATHSFLMVPYFSWKITHARHHRYTGHM EKDTVFVPWTEDDLASKRNVSIEQLKHLAEETPIVSFIQLIGHQLLGWQMYLILNVTA GTKSGPEGGEKVKFESHYNPSSAIFTAAQWKLIAYSDIGLLIMGSIVWYTGTIIGAWN VFFLYVVPYLWVHHWLSTYFIPILSETLDQELTESTVAITYLQHTHPEVAHYTAEAWT YTKGALATIDRTTGFIGRHFFHEIIDYHVVHHLFSRIPFYHAERATKAIQPMLGENYH EEKQESFLYSLMITFRKCIYVSESKGLASGQPGVLHFVLSDESK PEX2_070110 MHHRPPSPSGNIEPLTATAHRAPDDHPISQNELTAVNFRLERPN EEIKYTPGMWSSGGSTAVSVSGNQSPEDNTVCSTISRHFRADIDTTHTDIPLIICGFV GGLVDGLSFNAWGSFSSMQTGNTIFLALGASGQPANPAYLWAKSLIALSVFLASNIIF IHMHRLLRPRRRSTMIISFAIQTIALLVAAILIELEIITPKPEDPRAPIEWMQVLAIS LLAFQAGGQICATRVLALDEIPTVVVTAMLCDLLVDPKLTAKFNPKRNRRIGAFLALF LGAMTAGGLSKTTSMASSIWFAMGLKLAVTLGWVVWRREGRRKIDFDV PEX2_070120 MQQRKDEILAKRAKLAELKKQRELRQKEFTSRTSVGDASEIISP VPSRTDNRHDLDDLISRLVDRPASASLSHGADGQSRRGSRPTSVLSASQLSGENADSY AAPLRPQSQSIAVQTTDEPWISTATEAPQPPELEPEYKSTPKPEVVTYSKAVQTDGID LQPETPEESVASDDEESPGTTRSSKRLSRRDRERDEEIRQKLRKEIEDELQATKADNN EDTATQSAKLRYPLRKLDDDELKAVTSSNDFLDFVERSSKVIERALDEDYDVLADYEL GGTDGHLQEDEETGKKRRGMQEFPELLLASYTKNTSAPHEPDGLVQIWNQHLQTRPEY VFHSTSDILTAKFSPYHPNLVVGGSYSGQVLVWDTRSSRAGGGSPVQKTPLSGGGHAH PVYSISIVGTQNAHNIMTASTDGVVCGWTMDMLSYPQDRVELTTPPPSKTEDLAPTTM SFPHTDPTFFIVGTEEGVIYPCHRYDRAGAKSGTDHRLAYKGHTAPIMSTAFHPGRGP VDLGDLMLSSSLDWSVKLWRVRPPATTASATSGLAQSQVVSPILDITRDDVVYDARWH PTRPGVFSLVDGAGNVEVWDLASDTEVPVAREVVDNTHGNIMSRSLNKVAWDEREGRR LATGGLNGVVTVFEVGKGLCGLPEEVPADEWTGMKRLVGKLEQKDKDRGA PEX2_070130 MASQGVNVFRYSALVAGLVYGVYHQSSLNSVTKRAEIEHEYARK ERLIEQAKAEYKKKTQPQQEIKTQTSGLITNFEDPKFDLEAFLKAKAE PEX2_070140 MELPPLTMEQLSQLVASNAPPSQLFEALSRYELQACLIYTGDNS ASTDGADSTLLSLFYSSFFLVHLLTDQVSEARALTKRIPEALLQQDPSLQNCLALLRA VWQTQHGQVYQVLRGLPWPDVLQPLVRRYESFFQDKTLIAVSRSYEAIRLATAATHLG LDEQLAKQEDPNIISNFTKCGWTWDPESKLLHPKPIVVSTAEPQSSNGISKAMAMLGT RAG PEX2_070150 MAPSSLDVVLKGSSGRNTRGLLRVIILCTIAAAAVASRLFSVIR FESIIHEFDPWFNFRATKYLIENGFYSFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGV IYHILRLLTIPVDIRNICVLLAPGFSGLTALAMYLLTSEMSDSPSAGLLAAAFMGITP GYISRSVAGSYDNEAIAIFLLVFTFYLWIKAVKNGSIMWGALTALFYGYMVSAWGGYV FITNLIPLHVFVLLCMGRYSSRVYISYTTWYALGTLGSMQIPFVGFLPIRNSDHMSAL GVFGLIQLVAFAEFIRAYLPGKQFQKLLTSMVLLTFGLGFGGLILLSVSGVIAPWSGR FYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLNFLIWLFPAGVFMCFQKLKDEHV FVVIYSVLASYFAGVMVRLMLTLTPIVCVAAALALSCILDNFLVASTPSTETKAKITT DDKSLRSTRDPVIGIYSWFSKSVVVSSVTLYLLLFVAHCTWVTSNAYSSPSVVLASKL PDGSQFIIDDYREAYYWLRQNTPDNAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTHI ATVGKAMSSREEVSYPILRQHDVDYVLVVFGGLLGYSGDDLNKFLWMVRIAEGIWPDE VKERDYFTARGEYRVDEEATPAMKNSLMYKMSYHNFQSLFPAGQAMDRVRGTKLPKES PQLNTLEEAFTSENWIVRLYKVKDLDNLGRDHSNAVAFDKGLKRKRAPKHKGPRVLRT E PEX2_070160 MATGIFNSTYYGKDYRAGAALLRARRPYLFKNTLTGFGLFAFTI AVYSYTLKAVGQEEFADVKVPDAPADKK PEX2_070170 MAQPMDNVVDQVAQLNAARSLVLGDAAFYPQIVNGILPIVGAST RLELRRWGANFLAETFASPMLAVAQKEQLAPNVLQTIREILELPEADTAVLQSLVQTS ASLYPLVFRHVVNHPQDGKSWETMSAIKQDILRRMDSFPCPVKLCCAKFIQRVVQVQT PGLISDPRRPEQNETSLAVVPRNHAVLSIPNLEAESSGLLDRLLGVFQEEISDALLVN ATLNCLAPLIRTRQSIANKIINAVLEFYPAKHVRPPFTPTVRVSVKSMERTARALLIN ILKKNPNHPLAGRMQMYIERLMQSRLEATDDASRKRGLPNEPTDGLDNAKRARLNALP PPMLKIPPMAPGPASFDRLFTLTEDTGLSSFDVKQLPIDLVLKIVVPLLSQVHDSTLA QAADAVRTRYQTITKDQNLQRQQQQRAAAQDEEDDYEPEYQPMDVASVVSEEASAVAA EVADLQPELVSLGPFVLPQPPPLSEEEAGEIGRSAVARVFGMLNAAETSPAPAKGQTQ QKLGFARLAGSTFDRDAWSVLLARLATRAPAGLENDQQNKGDAVRRQTTIADSIRETL YRFILEDFRGRLNIAIIWLNEEWYNDRIQMKSAANQRDDPKEDSEPTVALNYDTWATR LLDGFLAYLDSRDIKVLVRFLSEVPEITIPITQRVASLAKDPERVNLCVQSLMYLIMF RPPAREMCLNTVEDVYQTYEESRPAAGKVLTKWRPQSTALETAENDAPEASETNPTTS ET PEX2_070180 MPAKQFYLLGEPVSSARNIDVDPKSDLGALKDLIAAHFAIVESS GIDFQAQDHLNEIAEITAAETPIAITIDGNAVRDAPGPKGLPYVGNFFEVYPDHLGNH QRLFEQYGPVIKTTSLGRTTYQTNDPAISAIVFAESDFFTKKINEAHPLYPLKQPLAG VFLGDTDTPEWKVAHKFLPPALGPKAVRHYAPTMQKTVEDSFKVFDDFDEQGEAWNVY QYMLKLGSQAVGKLTLGLDFQHFSNRDAPMHEMVHLIAGVLSLNKKVSSKGDWYGKLP FGDPKRLRDIKARVEHIVGETIEQAARGGIEDLPLQDAALKASNMIDYAIRATDNKGE KLPESSLIWALVVATGAGFTTTSSLLSWLIYGLVTYPGMQERLLQELIDNDIDENTVI TADVTERLDFLDKYIKETQRRHNPSFQPGRTAKIDLVLPGGYKIPKDSVILPALHHIH NNPDLWDNPNQFNPDRWDTDEVKGRHKAAYIPFAMGPRMCIGFNFALQEIKVFIPKLI YRYKFIREGNEPIQYDPMFQLIRPNNLYVRTERRVKWPAKTETLATENV PEX2_070190 MASLKEFDASEVAAHKTKNDLFVIIHGKVYDITGYVRDHPGGAD VLLDVAGTDATAAYEDVGHSEDANEIMETYLIGTVKDAHEFVQPKVVRVIKQTSSGTD TPKKSSMALKAATTITATLAASALVYISSKNQRALTDFFAKHSPASLQLSEARIPFAS LLQGGFLSGVTAATLACATIGGAIGVKLSKFTEIESGFTKYRSYIKASTLKKQDPHLV KGFLEPKTYKKLPLIEKTQLSPNVYRFVFQLPNPKGVIGLPIGQHVAIKATVNDASVS RSYTPTSNNLDLGKLELVIKCYPDGILTGQYLQSLEVGDKVEFRGPKGGMKYHSGLCK KIGMIAGGTGITPMYQLIRAICEDDRDTTEISLIYANQSEEDILLRRELEAFARGYPK NFKLWYMLDHPSDDWAYGKGYVTPEVMAARLPGPAPDTKIMLCGPPGMVNASKKALVA AGFEAPGAVGKMTDQIFCF PEX2_070200 MSSNWEPPRNYRSRPVAILGAGVLGRRVGCIWASAGFDVNIRDP SAQQRADGIAYIEENVQSYSAKTNQVPGSFEAVEDMKQAVENAWLVIEAVPEKLELKI ATFAELEALTPKDCILASNSSSYKSSEVISQISDSTKTRVLNMHYYMPPACMIVEVMT DGYTTPEIISFMVERCKEAATNPYVARKESTGFIFNRLWAAVKREVLTILAEGVSVPE EIDAMWSELFVKAGTLPCRTMDNVGLDTVAFIEGHYVEERGLSPEKTVDFLKSTYLDH GKLGTKCSKGGLYPPSDPTPIKADSKKPEILVLDLGLSAATPSMKSGEILRVSADGKV QKSILKGQSLPDGLAVDSTSGRMFWTCMGGPGKSDGAVYSANVDGTDIQTLVAAGTLN TPKQLAIDSVAQSVYFSDREGCRVYRCAFDGSNLEVLIDNNGRDLTPTERVSEWCVGV AVSPSQGKFYWTQKGPSKGGEGRILCANITMPEGQSADARGDIQCVLADLPEPIDLEV EETSRTLYWTDRGELPWGNSLNRAKLGEDGLPLSTSSPLGYEILTRGLNEAIGLKLDS INSHVYLTDLGGSIYRCDLDGNYKEKLLFEEHRAFTGITILIRERHTRRTASVISSPP HANSNGLIPQSSLPLNAFPNAPPSHEGPPGLASMRSVSNPPDYTRHRDEEQTGVEIAT KVLGKNNKPGQAPFYTGDSPGFGAVFDICSPSDQPTPRHILLTSKTSVSLSPEDKAYL QYKGAFNMPRKETCDELLRAYFHHVHPIMPVIDATTLPHLYPSGDGQSYNLILLWSIF FAAANYLSVDAWKSEGFSSRKEMKESMYARAKCLHHNGGETDHAVLLQSALLLGFYHS EADTYSQPWYWLGIAISLCQTMGLHRFSATVCANSPIAGAQQRLWRRLWWTTFFRDRW LSLTMGRPLRINMNDCNTVLPSAVDMLDDFIGLPKPISAAYIPKDLPQLAEYWVTMIQ LTQLLGGTLILCYQPFGTSSSFQQVEALEKKILRFQLPDNQETGQSPLATFYLYHLQL HYQAFIITFYRPFITKTLEGLPASRQDTWQTHVRNQINMAALQTNAILDNLAHEKLLG FSVGMTPPLLVPAMHIHLLNCKSPDPVSRRLGLNKIEVCMIVLEQMQPTHPCASVFRG IFLEAIRYIFPNYVAQTVLPELVSESSPLQEVSMEDPMAGITIGEDAIDALMDEVSIF NFWESLNNMQT PEX2_070210 MHEPGLSDEDARGKMAALPSPPKFDDKLEEREYQKGRLALAFRI FAKLGFDEGVAGHITLRDPVDPHTFWVNPFGVPWPSLRARDLIRVGEGGKVIEGGDVK LLNTAAYMIHHAVHEARPDVNAVAHSHSIYGRAFCSLGRPIDMISQDSCAFHDDIAVY KQFKGIVLASDEGLAIAKALGHAKAALLQNHGLITCGQTVEETVFWFMSLDKCCHVQL MAEAAAGSRGEKPIVIDDEDAKFTRKSVGTPLAGWFSALPSFKLMEAESNGDYA PEX2_070220 MEVAESREQASVGPTTGAESTFPNGERNPFLDDPLMDDDGRSSS LSEIDDVSDNEPSDFEDPIKSDKPMENDSEAETERIEDSPHNIRKHDIVLSAASAGPS PSKLYQSTTLDDVDDEALVADDSPSKPRRSSKNNGLTEDIPDLGDLGDSNLPGSLTNK RKHETVAELGDEEPTKKRRSSIKSDLSDPIDDDTPLSPEPLGNPMDINDDGILVDEVP ESDLPAPPSKGKKGKKGKRKGRRTQDADEEAEAEVEATIEYVADEILGEDEEPTERGD EPDGAEAVLRAEESVKKMSAMDSLATLEKEFATLRDKIYDERISKLNRELEMLTGPNP THPEYLRQIECVQRYRDAKIKYEQNLYRYRMKSLMHKGLAERTQAHSTYYQRIRDARE RHSSAVSKQFYAIQHDRFKTDELSPHHIIAFPTRRSQQIAHQTAYNQEVSIMAGVAKY VGFPAAPSLMGARPTELDDDLEKMGIPIESRASAPRHSSTIPPRTTMSTMSSNAFRSA AEEAFLEQTPWANPQHPIHQQQQQHHSQPPRPQGRTFEHPQPLSYATPAAQKRVVDIN APNGSASTIPENASAANSSANNTPYGMEHDPRHQTQGPFRNPDYDADHRKSGFRSLSS SPLDVRKSQPHLTHALEHRSPITDAPSHNHIFSPPSARQGLFQPSTALQRETSPSLPS KPVDVVHYRAQQSGISTGSGSNHMPNR PEX2_070230 MGKKSNSSSKTEEKTSKAATTLPFGGASLDPTLSSLFAQSAGPV QAPVIKYAEPIQRAKKDEDDASEEEESSASGDEVMEDAAEESDSEAAEEEQFIDTQNR KRKRGSAGEEVEETYMRRIAKEEKKDEEKRKSEQAKRQKQTEQEGSEDDEDEEGEDSD KASASEESDEEETAPAPVHESLTGSAKADEVEKSNRTVFLGNVSTEAIKSKTAKKTLL RHLASFCSTLPESTGPHKIESIRFRSVAFASGGGIPKRASFAKRELLDETTPSTNAYA VYTTLHAARKAPAALNGTMVLDRHLRVDSLAHPAEIDHKRCVFVGNLSFIDSETPEED EKTGKKKKARAPADIEEGLWRIFNAHTGGKDKKAIKKNVEFVRVIRDSTTRVGKGFAY VQFYDGNSVEESLPLNGKNFPPMLPRKLRVTRARKIAKKREPSGPEAKKSRVDEAQKT MQGRANRLLGRAGAAKVRADANSTIAGNSFVFEGHRATEGSSSIKMKQKSRGSKAKRE SRSSKRAAAYKAAGGRRG PEX2_070240 MLPFGARPGNLRQSHGLPSADTNGTDSDGSDECIPDLGPQVFNP TLVDVLNVRHVLQWKLPRGLPEELVDMIVDAAEYWPSIEQKMQDQRVVHKDCDQVLLK TVPFCYDRNSLEQEPGPTPLPHRGAHPCRKIVFDISSRDQGGGRRHDNMYEFSWTWFD VEIIHGAHMRNMYVNGTEQEILDNERGQVRKHYTEADALLLPGNNKLQANGARVSDMQ HNKIVWDHRDDIQADSLAAFEIEKTLGRGRLTLDGHGVRELEVGDSIAIWARSRFPGW SNHVDRASVRIYWAV PEX2_070250 MATRKCAAVVVGAGPAGVAVMGNLLERQLGTITWIDPSFEAGRV HSKYREVPSNTKVSLFQAFAQATQPFQKVIDNTKSPNAFTKLAKLDQESTCTLGFAAD MVRSLTDGLMKMEEVYACHGFVKSANLNETTSNWTISIKRNSSDDLETVETTRLILCT GSFPTSAPIPVPGLDIQRLDLDVVLKPTELAETILSDKPISVAIVGASHSAILAILNL TKLAQTSHPLLRISWFTRHPLRYAEYQDGWILRDNTGLKGMAADFARSELEDEKLPTS RAGQVLTKIDCAGGQDIESAQYHKYLPACDYIVQAVGYTRTSLPDISKNGVPLLMSFD HDNGTFHEPGRSAVIPGLFGAGIAFPERVVDPHGHEEYAVGFWKFMKFLKRVIPSWVA PEX2_070260 MLSKFLLASAATVAALSGLANAQTNITEKDCASTSDYSKCNRNV ADRWSSCVQGCNGNGNCVVDCGCESHQKYINCMAESCWNQVYSCKYQLFVQQYFAICP SAKEPIPFWPAPDNAPNRCSCDIGKVTQTTLSSRDQQMKCMRNVTDNISADIGNVPDL SKLNDGLDIANRASDCACCGASASISASWDICPHTEPTLAGADMWGVFFPSNLPNLYN SLPNWAWSSCDSTLKDTKCKDLGFTDADKFYKPGDFPKNGTSTLYEVGGTVTAPPSGT VLTWSQVSTTYTVTATGYDVKAVASQSEHRATATGSNEAFATQTADSGAGAVRNGGVG AVVAGVFAVVML PEX2_070270 MRFFTTLAVAAIAAIASADSKANPFSIPVDGYTFTTGEPTALKW EPTTQGTVSLILQWGAVLTGNTGTVIASNLANDGSYTWNVPSKLAAEPDYTIKIVSDE DSDDYNYIGRFTVDGSTVSVSSSSSSSATATASTSTDSSTSATDTTTSSTSTSSSSAI TSGSTTLTTASSTVASTSASATQTTESSSTAESTSTSATSTAASQSAVPTTNTGMANR ISGVSGGMLAIVAGALML PEX2_070280 MYAGYPQPLPTAPYMPPQPYHHAQTPAFQNAQHLPPFPPQQYPQ SVAPGNYQQYNTPNLPTYPPTTPTYMQGLPGPPHGHQPNNQTVMPPMSWRNEMQSPAP YIGAQPGQSRGPRPPHAHGNQGPKPKYTQKRDHSSAFNKPQSTAPRTPAAPAVPSFGN PLPSKPPPPADSTANRKAKKRKRKHNQLGLTPNTEDHESSEEDVDEDEESKLAQSGSD AAPLQVSYKGKTSTLQTPSDIAAWIAERRKKFPTQARVEEKKKSMEEAKVAREATRQQ KQKEQQEKRKEHVQKQNEHKTDPTADTTTNAQRREKIRRNLEREEKRIQKAMAETEAA RLRMEALQKEALSLNADSQDEDTGITPGHPHPAPAPIIKTEPTNAVPEPEPVLKIEPE NAVPEPAITAESVITAPAATIKAEPHNPIPEPAQSAVSAAHAIEAQGEPEVLPESHEE HTIKTEHPTNHGLDDMELASDHGASDWTSSSASGSDSDSDSGSDDSAPEQATSRRTGP ERVPPPPREGKKTVCRYFARNGHCNRGDQCKFLHENDSERISKVKVKPTEKKEKETKR KGLYQALLDRQKQDDDRRAMEVISWLGQNNMLAD PEX2_070290 MFILTTISDLIQISPEDFSKFSAVALEDNINAKYANKVIQKIGL CISFYDLLESSDGLIGHGTGLVNVNVKFRMIVFRPFKGEIMLGKISSGTEHGIKIGLE FFNDILIPPQMLMEKSRFDYAEQVWIWESEGGTEFFFDVGEVVRFRVESEEWHDQIPN APDVADETPQERRPPYSILGSMQMGGTGPITWW PEX2_070300 MGSMENGHTTSRGFGTLAVHAGSPHDPVTGAVIAPISLSTTYAQ TSVGTPVGLYEYTRSSNPNRDNFEQAVAALEHAKYALAFSSGSAATANILQSLAAGSH VVSVSDVYGGTHRYFTKVASAHGVHVTFTPSIEVDVEQLIRPETKLIWIETPSNPTLG LVDIRAVADIAHQHGIQVVVDNTFMSPYVQNPLDHGADIVVHSVTKYINGHSDVLMGV AAFSSEALKERLGFLQNAIGAVPSAFDCWLAHRGLKTLHLRAREASKNATVIAKALES SPHVLSVNYPGIDSHPHRAIALKQHRDGMGGGMLSFRIKGGQQAAHDFCKFTKVFTLA ESLGGVESLCEVPSSMTHAGIPKDQREAAGVFDDLVRLSCGIEDSVDLHADVLQALSL AAAAQKA PEX2_070310 MAFSFGFSGDDIDIDDAEINNDFSNVSPQQAVGNSLPELVKAVK HDMNEWLSILPSQIFYNKLAISDTPLAVARREIFDIRTQLMAEDSAGHDNEELIAGIE KGDITPNFYEGGFKTWECALDLAKLSVNEDILNESSDSPADIHIIELGAGTAVPSLTL FARLLSEAEPGQSQRKTLFTFADYNSDVLRLVTLPNLLLTWHNSRSQTAVSATSTASD QDEELDITPELVQEFKDDLARRGISVDFVSGAWSPEFVDLVFSSGDGARKTLVLASET IYSPASLAAFSETLLALLRRSNTVSARSRALVAAKKVYFGVGGGVDEFLAVLGNVCAS ELDVQQKLNVQSEGVGRVVLEVVPSNGP PEX2_070320 MFAARRSAPLTRQLLRTQRRWGSHAAHEPVNESFGRSFYVTCGS IASAFALYHVSKSNEESGSPSWISNLISKWTPSEEVFEQRNAIHTAIMEKAASDRHLL GSQGPAEAFALRQPDMMNAGSPYNVSPGSQADLSHVVAHYQRKNQEAEESRVARMKDG KVVSLYD PEX2_070330 MAAGSKKTKAKDKNGKAATKKVKKGKSPEVTETQTTTTTPAPGS PALTAQEPEQVKENPAVDNTDVEVKTPLPVSAYQVFDDETTPQDTSNPVAEQESPVLD NPVSAYQVFDDETTPQDTNPVAEQEPPVLDNPVSAHEVLEDNTAPQEVPGPVADPQSP VVENPVSAYEVFDDETPPQDIPDPVVDQSSPVVDKPVDEASPLDGPSSTEPPIEDSEI KEPPVEEAILTEPPIEDSETKEPPVEEAISTEPPIEEPEIKEPPAEEAISTEPPIEDS ETKEPPVEEASLVQPHIEDSEIKEPPVEEASLVQPPIEEPEAKEPLEDHTNLEQPNQV ERSEEKSVVSSPPADPFSPVAACVPLPSPSLTEARYMSSPSQENQLYYPGPSAYSPYA STVPYSVPAPYASPNAYGSPNPYASPVPYAASPVPYTSPNGYSSPVPYASPALYAPVP YAGSQVPYTSSTPYAGSPVPYSLPGPYAGSPAPYASPVAHTASPVPKVSSPLARSHYP QMSPNISPTATPPPQNPPAAPMAPPEAPSMAPTGPYALQSPVMSSAGMVPPYGHYSPH QQSEAQYMHRSYSIPDPSYSASYQALQNLSMGNGYPPENGSPPENDGEDIELLQRIQS AIPDINRLLHGFRTTHNKLSNREAEMKHIGNQHDQALMHKDYYIEALQAQMKKTATES AEEDAKLKHTISELRLQLGDLQEKQRDLEDGLAEHQKSNEELSETKVELEGQINQLNE SIKESKETHEKELETQKEEQEKALVAQKEELTELFEEIKAEDEKSAAETLETRERELR SEHEASQGEWEKEKAQLQESFEAQRTELEATKTEVTSQIADLESKETELQARLTELTS TREELAAKLAELEETHQKNAQETEELRQGHAGELDSLRQSHDEQLAAAAKELADKIAA LEAHFNEKEQLWTTERAALEQQLTEKNSELSSAEREKEKLEGDNILKEQHLQRAVEGM RTTIDNLGSDCDRLRKTLSSLGEATDLRNTKGDQFFLDCFTELSQLIYNLSKEHFGYL PIDPPKDILSKIPSELPPFLDNTPASRELRCAYIQHIISKTLTFRVFQPFLFTLGRRY DKADTFFQMLSMDIRRKSVRREAFWRQQTLKAAYTTSDAKQSINVAAAVIVDEIIDHI KHFADPKHLDSLLIGVRKIVKLAAETWRHARVERELVLANFPAPDAESVSNEGWTEYA ANKDQKHTPSNEPTRHVVLRTFPRITREAAHEDFASEEEKATPCVYSQGIVLYSDSPV IMGRLQEFAKKSNDSLVTDSPPKTPVGAKGIERLAPLDVSSPKVMTVRSAPTSPGTKG QFMRM PEX2_070340 MLIEGPRFETELTGCSFGFRFLCSLPEGIRICSQFTGSSDCNYS EWLAESNISKKNSQREVAWLSSRLPLRVSHFTLSS PEX2_070350 MGINLDEIHFYHGPTRPRPSTSAGGANPRHTTTHVPHHLQQSFQ FFPTGFDLHPRPFAMPSGQPRPLIGATHEWNIFDLEINNVSSHTCPEMTKVFEPPATT SMPSASNTLLEQGASARPSSGSVLPTGSANSTPYSSVPDQVDTTFHDIQGNESPDAAP ETTEIETALPCVPSVAAPEEATPASSDPCVVGDFQQESATRQSSTTGFSDSPHLGESE NETEPSQTSALSATPCSSVDQAKEYGRDSGTDEDHLAPSGPSLPPNMRSVSTGSRGQT KLSFDIPVRYPSIAVVVPPPSWKQGPARTSKRAAAAVCKKRLRSGRRTNHHHDGNNTD STEQPSRKRKKRRPSDSSISAPPHRSGESQGIRGSALLTIEPNSGPNPAYFFTFVPDS NLMQFCTQAAAIPAEEIQYTSDENALLVRLKEKEAIPWSEIASHFPGRNMSSLEVHYL TKLRHKASSRSGKLRRRK PEX2_070360 MGQNSPSLQYPTSSATLIDSDGRDQYGLKVLSEGKAPVTIDIVA VHGLGGHLLRTWTHKSQCCWLRDLLPVDIPDARIMTFGYDAKVIGSSMNTFKDSAQLL LQHLLLKRDPELIPSSRPILFICHSLGGLVTKQALVKASSSPEYKAIRGSTLGTIFMG TPHRGSSVASLGKVVANIARLFPFSISTSHLNSLLPDSRDLSELSAGFLQNIQSPPVK VVNFFESKTTKIGLMRIPIVPQASAIMDPVGPIREGISLDGDHRQICQFSSGDDTQYQ LVLRIIQSIMAPESDGSPPQVENPRGVGKSFLSSYIAKSLRAETDAIVVYNTFNSQTS GSRTEATLASVILGQLLAEPTLKDLVLSTLMELYRDRPDEMQISFREALQILSKTCQY SRRCYLVLDGLDEMAESTTTKTRLLCALLDFQLEGHAHGLKTIVVSRDVTPFREMLSF DLSLQIGTKHTESDVAAFARLHLSRMALPDSMIDLASITVQQRSRGLFIWASLFISSL QIESNEKGDLTSYLLEAEEGLSSLYAHAMLQLHRSSPELLEPRKLALTFCATADVPLD LTDIDDAIRFGLNYRMDTVEIVHKACGPFVEVTDGKVSLCHLSVRDYVLARDTRGNNI FLPDSEMAAHATMATICLGYLCLDDHQQPFSCVPWHDYQESGQYPLLRYACLNWERHM RQSGPLDPKFLKILRKFVTSNAGIRWAICYHPHFQRKMGESHISAIGELRSVLLRVKN SVIKNASTDARYSSPNAEICQALDTFLVQAFETVLAVERSLAGTKSRSTIERLLELSR VLQACGSPKQAQLTAREASSIATVRFGVMDPLSLFCTHHNLLLELNNMPMNMHSVSKK DILQGFRDLSFLMNEVLGRYHLETLRCYHDMGLALFRNQQVKESYHVLKQVYEDMRKH LGPSRLTQRTANNLANALYTLRRFNEAESILLSIEDVQQLSRVVVSVELDSYHIYSFE ALALLANVFLQQIQPGKAVPLHERAIAGRALLIGHDAELFLWVKNLGLTLMYQKEFGK SSSLYVLWIFNGRKNIQSGKSVAMLRESLVECLEVWKSASQQGLCEAPVYDEKVTAAL DQSLDKDPLVLSGEGVFAAYNYYHARKYYNYHARKYYNYHARKYCTSSHNDKWIRLRW WWRYTALSIYVLFDRMYATWHANETIAPKLSEENFEILSNMTVTHDETAIAKELATYC YGSSQKGLGSHWNPDALTDNCVFVCLAFLLGMTAPELSRITGEAQPINGKPMQPDEIW TLLMKVKEKGLAHTFQMSETPFEYDWKWAKDGDMILYERPNSYRHCVVGNADFQRDLQ HSPGGPSDDTGMNVSWEITKKNPMHKTIMVAKRFRPHNEEIAQIDNYGEYGFHRGM PEX2_070370 MDYPQSEPIAIVGSGCRFPGAAKSPAALWQLLESPRDILTEIPK ERFDVRGWYHRDGDHHGTSNVLHSYTLEENLTKFDANFFGISAGEAESIDPQQRLLLE TVYEALEAGGHTIQSLRGSDTAVYVGVMGGDHETSLLRDANSLPTYFATGTSRAILSN RISYFFDWRGPSMTIDTACSSSMIAVHNAVQALRSNDSRVAIACGSTLLLGPEMYVAE SNMHMLSPTGRSRMWDADADGYARGDGIATVVLKKLTNALADGDHIECIIRETGINQD GRTQGITVPSSEAQAALIRRTYARAGLDLANPADRPQFFEAHGTGTKVGDPKEAAAIH STFGGLGSDPLYVGSIKTVIGHTEGAAGIAGLLKASLSLQAGIIPPNLLFNRLNPSIE PFIQGLQVPTHAMPWPVLPKDAVRRASVNSFGFGGANSHAILESYQAQVDNASSTLAV PATPFVFSAASNTSLVSSLQAHLDYLKTNPVNMRDLTWTLQQRRSTFSHKIAFSAPDV ESLIRKIELRLKEYEDKSEANIGVQSSSTPPKVLGIFTGQGAQWPAMGAELIRSSEFV RRRLQELDGALATLPATDQPTWKIADQLLLDAKSSCITEAAFSQPLCTAVQIVLVDLL RSAGVMPSSVVGHSSGEIGAAYAANFINARDAIRIAYYRGLYAKLAGGSSGQKGTMLA VGTSLEDATEVIELDAFVGRVVVAAHNSPASVTLSGDADAIVEVKAVFEEEKKFARLL KVDTAYHSHHMLACGDAYVEALRACKVEVNLNRDTSVTWYSSVTGGEILEPTTLLQDL YWRDNMVQRVSFYEAVTAAAQAEKPTLAIEIGPHAALKGPTQQNIAETGLNLPYASVM HRGLNDVEAFADGLGYMWTQFGPEAVDFAAFERLFLDAPQAKLATGLPSYHWDKRTHW QESRIARRMRSRSDPFHELLGVPHVDNTELERRWSNVLKISEVPWLTGHTLQGEPVFP AAGYAGMAFEAAKSIIGNRPIKLIELRDLVIGKAITFGGESDPAAETLVTLTDITPSK SNAKSRAAKFSVYSAAIKSARDLEVNASGTINVIFGEPSMSVLPPIQLDDSNMTETDS ERFYTSLSTIGYEYSDSFRTMANLKRKLNQATALISSFDYGDESERLMVHPTLLDVAF QSAILAYSAPGDGRLWSLHVPTFFECIRVNPELCATLPLHSVQLPVRAQLLAPEANTV DSIRGNLDILSADEQHAMIQVQGAAVVPVSMATVSDDRTVFSYNKLGVAAPDGELALG ELKPSTNDMELGTVRERFAFFYYRKWIYELTEADWTKSEWHFQRLRDSINWTLSLVDA GKHPYIQSEWRNDTQTQIDALFEAHADSVDCRLVRTVGETLPSAVRRQSTMIEHMMKD GLLDEFYKYGLGFQVYNESLGRMVSQFTHVYPRAKIFEVGAGTGGATKSVLEAIGHTF SSYTYTDISSGYFEKAAEAFSDWKDKLIFKSFDTERTPESQDFQEGTYDLIIASNVLH ATESMEKTLNNVRRLLRPGGYLMLLEITNNKLAAFTSMFGGISGWWAGCEDGRPYAPT MPLEDWNTVLRQSGFCGVDSTSPNRDGLAWPFSVISAQAVDNRVSYLRKPLYRSSNAA PISHLTIIGGKSLEVSKIAEDIADIAGLSCQSVESFADLISAQSSVVPGQTVICLSDL EDPMFKSLTPEKMDALKIMLEHSQTLLWVGRDSSDLPYQQAMVGFLRCIIHEMPDLSV QHLDVASSLDGVSTLIMEVTLRLHTVSQWEASNRLDQQLLYTKETELMFQHGRLYVPR MLPDPTRNDRINSQRRTVAKHASLKSDVISISETGSGLRVLNDVPFFSKGKEGKSAMK TCVSTLSALAITAGLYMFVSVGLEISTSNAVIALSSSNSNKSYPVVTIPTDTLEDPAD LLSVIAAELLAARMLSTVVVGAKVLVHEPGTGSLGSSLAQALRRQASARNFEVIFSTS RADDDPSWLRLSPWMHGHNIKHLLPTGVTNFLDLATDDESKEVSALIQQALPGVQYTG SKDLWRATSSGLFQDREKLISLLKNAVTAAGQHVPGHKPTQLSLSQVTDVKTTLHPTT LVDWTAEDTAAVIVDTIDAAPLFSANKSYLMVGLTGQIGQSLCEWMVRNGARNILLTS RHPSVDQKWLDSFRVYGAKVAVYAMDVTRKADVIQVVNDIQASYPPIMGVANAAMVLK DTLFSQMSYQQMTDVLRPKIDGSNWLDEIFHDTPLDFFVCFSSTSSVGGVFGQSNYAA ACSYMTSLVRQRRARGLAGSAFDIGRVAGIGYIERASQVVQETFEKYSYMPLSETDLH QMFAETIFAGIPEMKLDPVISTGIERVPADRKNLPPWILYPRLSHYLIDTQETKAVAD SKQTVQPVREQLIEATTAEQVISIIKTCFAAKLQIVLQLTGEEMDMNAPLVEIGIDSL VAVEVRSWFLKELQTDMPVLKILGGGSVSDLAEQASKKLPAELVPMLGDTATPSIKKA ENEKPSKRPPMHQEVSFVATSASKMKGNASLARPALRHTLSDDTATTTDTHSSGESIA TPPSSVGGKSSFSDLGEIAESKELSEFKVLRTEPMSLSQSRFWLLDLFLEDRTASNVA FQYQLNGNLKVDKLAQALELVAMRHESLRTFFVNDFSQPDMAIQSVSDTNHVKLEHRT INHVDEAAQEYEIHKRHDFDLGKPHLLRMTLLTLNTTTHYLLVVYHHIIMDGISLQVL LSDLEKAYNSTPLGPSPMQFPTFSVNQRKALQAGEMDSELEYWKDVFHDSPAVLPLLP MAISSLRVPISAFKSNEVSRRVSPFVGQKVKAVAKMSRSTNFHVYLTVFRILLARFAG VADLTIGIADANRTDDNITRTIGLFLNLLPLRFQPDEAKTFAASVTETRNQAYTALAN SKLPFDMMLQEFHHVERSPTHAPIFQAFFDYKVGVKQVSKFGNCQINMQHNNAGETNY DINITVIEDDQGPGIIFETQATIYDRHATTLLLESYINLLDAFVHAPTMRTGEPPLYS QAQIQRALDMGKGPDFLSQWPATLSSRVEQVARQNKDAVAVRDGNGTSISYGGLMNRS QAIAATLQKAGVDPGSKVIVFQQPNVDWIVSLLAIWRIGCIYVPMDLANPLTRLAAIV ETCQPSVILTDATTSPNANGLCAGNALTLNVSDVPAYASAIVPDLSRSAQPAVIIYTS GSTGTPKGITVKHEGLRNYIEGSIRMYGMETPQTTLQQSTLSFDISLEQIFTGLATGG SVFMCPLNQRGDPLEITKVMRDEHITYSQATPSEYLMWLQYGMSTLRQCSDWKYAIAG GERLTNTVAKQFAALNLSDVRLINSYGPAEISCGSSFHEIDYRQGEQGDIPIGSQYPN YSAYVVDGDLKPLPIGIAGEIVIGGAGVAAGYANNAALTSTQFIPNPFASAAYVANGW SKMYRTGDYGHFCEDGSLVFRHRISSDLQVKIRGLRIELGDIESNIVRAGNGAILEAI VTVHGEDSDFLVAHVVFDTKRDIADKDAFLQNILQDLPVPRYMVPAMAIPIEHLPLNN HSKVDRKAVKALPLPEHIGTPTLSDELTDTETQLFRLWQDVLSKHVTNISITPATTFF SVGGNSLLIVRLQTRIREELSVVLSVFELMKSSTLGEMACKIEESVGVETIDWERETA VPFVAIPTPIEPYTAPRNQPKVVVLTGATGFLGRHLLKRLENDPNITEIHCVAVRDKP VVSSPKVSVHHGDLSDPMLGLSNPEFEDLSLTADVIIHLGAVRSFWDNYHALRQTNVQ SIRELVKLAAGRRVPIHFISSSGVLPTTAYTPIGASVAQNQPVTDGSNGYVASKWAGE RILEQANADFNIPVSIHRFVAGSPEPPRDAVTVVMQWLAQHSEMHKIKPNIAGWRGHI DLLKMDEATEALCESLVGNSPRARFLHHESHVRLRECDMEAFVGVRKRRADLEEMELL DWFGRIKDDGFEYLLSSHMASYQAGDQNGEPILGSALYNPT PEX2_070380 MSQDCGYPAETWFESDPWSLLETAADKGSFADLPMETTSTYTSS ASSAELSYDDIFTNSMQQLAALSSSLCTLNTTCRNEIARLELQPQLVSHTNAFNSLAT LLRALPSLDEYEIYMEACLASKSLLLVMYRLRLFDSPNDEVLPAALRHLLSACYVQLL HVHTILVRLMSYEVSNSPDLHQGDPFSFARLRLVVIYNLVKHLLEHLRRGYGAYGLTV ARSDSQPTTYTESQEISQAEHKLWNDLQELEICLSPRLQTPSVHSSAE PEX2_070390 MSSVASTFAIPRCQNALVVAGPGQLSLRQDGPLPHVAPDMALVR TVAVAINPVDAKMLDYSPAVGAIHGCDFAGVVVALGSDAPRHLSIGDRVAGAVHGNNS LEPRVGAFAQYVGATAELLLKIPDTMTFEEASTLGIGLATAGLALFRELEIPVRIGDL IDRAGPAGPAGSDSDVTPNAVWVLVSGGSTATGTRAIQLLKLAGLRPIATCSPSNFSL VLGFGAEKAFDYRSPDCAKEIRAYTRNRLAYALDCVSESDSAQLCYGAIGRAGGRYCA LEPVPQAVAAARPTVRASWLMVLTMFGGRVALDGEYAREASAADRALSAKIFAATQTL LDNGRIKSHPVRVLADSWAGVIQGVDIIRTGAISGQKLVIRVD PEX2_070400 MDPAVSSNSEHEGIMQQPETPEARSENAEIQSQDDGGDYTPRRN MIPIMIALCVASFLAILDISFVTTALPTISAHFKASQISYSWVGSSYLVTQSALAPFW GKVSDIFGRKPITLLAMFVFFIGSLVCAVANSIGMLIAGRAVQGAGAGGVMLIVTILI GDLVSPRERGLYFAILGGVYAIAISSGPLIGGALAENIGWRWCFYINLPFQGIAFVLL VFFLHVHDPCTEIIKGLMAVDWLGSIAITGSVLMLLLGLQYGGEVHPWSSAIVVCLIL FGVLVCGIFVVIEWKLARYPVLPLRLFTTRPIIALFVVDLTHGFLLYGTAYFIPFYFQ VVTGATPIDSAVWSLPLAIPLSLFTIGTGLYMRKTGKYLYMIIAGMAISTLGTGLLIN FGAEINWPKIIIYQLIVAVGLGPNFQAPTIALQARFPPADAGVAVSAASAIRALSAAF SIVLGGVILENRLSAQSGRLIVAGVSPTMAAAIARDGATGSMDLVAQLTPAQQNIFRL NVKESLADMWIFFVVLSGIGLIASFLVGSEELSDEHVEHKTGLGVEEANRLVHEKPRV TDPESAEMT PEX2_070410 MSSPEAAGQNDSSQYLVSFGPDANCTLALCPADASLQGYRPTLS AQIAFIALFGVSMLIHLAQGVLYRTWFFASMMALGCIGEMIGYAGRVLLYQNPFSFDG FIIEICCITISPVFFAAAIYVSLANVSNFLGPEACRFPVKTYVWVFVPCDIVSLILQA VGGALSSSSVGSDKSGEYITLAGLAFQVFTLTIFTAMALDYLFRYKSYRKSQQVDQRR ILSKRLGIFGIFFSASIIFIFIRCCYRIAELSEGYSGAVFHDEGLFIGLESVMVILAV FALNIAQPGTVPSHVGKFSFLITVAGFAFQNRTQEANAAYGHHVELEPSSEPKSSKVL R PEX2_070420 MRRYQGLLPWSVLLASLGGAQAKLDLDSTSNIVVYWGQNSFNGK GDEAQQPLAYYCDNDDIDVIPMAFNMMVNGPGGAPEIDFSITSKDCDVFEGTQLKNCP SIGKDIATCQKKNKTILLSIGGATYSEGGFKSEEDAKDGAKLMWETFGPKQEGSKALR PFGDVALDGFDFDFEANVQHMAPFANELRSLMNADKSKQKFYLTAAPQCPYPDQADKE ILNGPVYIDAIWVQFYNNFCGVHNFNTDSSISKYNFEEWDNWAKTVSNNKDVKVIIGV PAFTTAASTGYIPASQLAKVIEYTKKFESFGGVMMWDATQAYGNEGFIEDVRKSLGPA NDSGSSSPDPVSTSAPTSTSTDSTKTTKPTDPTTATNPTTTTTTTITTTITTTMSSSN APDSSHSSSSSSSASATPKGVEGTNTSDEQKPTVTTTTTVATNSASHKPENTEAKPTI AASTSAETTAVPTSTASPQNENNPNKDDSHDNSDDKSKDDNNSKPVISILGTDLLSLL DGIRKGNKVVSGVAHDLTNNLRHAQRNRT PEX2_070430 MTGEGKAGRADARRRSSRISRASLSGDWNSLDEYGKLVKYVSTF RDANAADEEQGEVVEKRVWYAPWKKRKVHVRKVEGEAGKFPDEWTMTDIREGLSSHEV PLRRRRAGWNELTSEKENPIAKILSYFQGPILYVMELAVLLAAGLEDWVDFGVIIGIL CLNAAVGWYQEKQAADVVASLKGDIALRAQVIRDSTQQECLARELVPGDVVIIGEGQV VPADSRVICDVKDEHGWEEFNQLQEQGMLGGGSESDEEEDPTKTDKKKDEGDGKAKED DEHQAKKARRRGYPILACDHSAITGESLAVDRYMGDTIFYTTGCKRGKAYAVVQTGAS TSFVGRTASMVQSAKGAGHFELVMDNIGTSLLILVMAWILAAWIGGFFRHIPIASPGQ QTLLHYTLSLLIIGVPVGLPVVTTTTMAVGAAYLAKKKAIVQKLTAIESLAGVDILCS DKTGTLTANKLSIRDPYVAEGVDVDWMFAVAALASSHNIESLDPIDKVTILTLRQYPR AREILRRGWSTETFTPFDPVSKRIVTIATCDGIRYTCTKGAPKAVLQLTSCSKETADL YKSKAQEFAHRGFRSLGVAVQKEGEDWALLGMLPMFDPPREDTAQTISEAQNLGISVK MLTGDAIAIAKETCKMLALGTKVYNSDKLIHGGLSGAMASDLVEKADGFAEVFPEHKY QVVQMLQERGHLTAMTGDGVNDAPSLKKADCGIAVEGASEAAQSASDIVFLEPGLSTI IDSIKVARQIFHRMKSYIQYRIALCLHLEIYLVTSMIILNESIRVELIVFLALFADLA TVAVAYDHASFDLRPVEWQLPKIWFISCLLGVLLALGTWVIRGSMFLKSGGIVQNWGS IQEILFLEVALTENWLIFVTRGIDTWPSIHLVAAILGVDILATIFCLFGWFTNENMRT KPESHFVETRNGWTDIVTVVRIWGYSLGVSIVIALVYFVLNKIEWLDNLGRVQRDKGE VKIENILAHLSRLTVEYEEGGAPKGRLCLTASKEEEEAE PEX2_070440 MSEYELKVATRGNHAALLPVVLIVTSINEARPTPVVTITYEDTA LLQDGDKAIVQLTTGSNSVFGTANVIQELTAHFPFLTSKDSKIEAEWISQLDSLTTLD FKALDPVLQRLDTHLLMRSFVVGYSLSTPDIALWGALRGNRVAAAALKKGSLVNLTRW YRFLEELCPWTTAAVESMNAVAKEKKVAKSKEGASYDIALKNTENGVVTRFPPEPSGY LHIGHAKAALLNDYFAHEKYNGTMLLRFDDTNPSNEKQEFEDAIVEDLALMGIKPNKM TYTSDYFDELYDYCIKIIKQGDAYADDTDKETMAAQRWDGLPSQRRDLSSEESLSRLE EMKSGTPEGLRWCIRAKISFDCPNKAMRDPVIYRCNPAVHHRTGSKWKIYPTYDFACP IVDSMEGVTHALRTIEYRDRNPQYQWMLDTMKLRNVQVWDFARMNFVRTLLSKRKLTK LVETGLVWGWDDPRFPTIRGIRRRGMTIPALREFILKQGPSKAVTNFDWGLIWATNKK YIDPIAPRHTTIYNKNVVKTTVTGGPATPYSEEKPRHIKNAAVGMKKVVYSSSIILEQ EDVKLFKPDEEITLMNWGNAIVRKVSTNAEGIITDLELELHMAGDVKKTEKKVTWLAT EGQDLVPVELVDFDHLLTKDSLGEDDVLEDFLNLHTEFREEGLADCNVADLKESDIIQ FDRKGYYRVDRAYSPGKPAVFFNIPSGKSK PEX2_070450 MSSSGSVLPTAARGILSSCRNPLRNTSIAPVLSTFQQVRGLKNK PKKGKEQPTKSRKGPQEFRQINLKDMPQYSLCDAMRYIRAIEVGREPSVQKYELHIRL RTKRDGPVIRNMLRFPHAVQTESRICVICPPGSKAAKEALAAGAVLVGEQEVFDAVKA GTIEFDRCLAHPDSLPALNKAALGRILGPRGLMPSAKTGTVVEDVAARVEMLRGGTVY RERDAVIRLPIGQLGFSPEQLRDNMRVTLDQIKKDASALTDRVNKEVYEVVLSSTNGP GFSLNGEFSSDASPATSALKGM PEX2_070460 MNRFQFGDSDGSVSSDFDEDPSGLPFPEPLSRTSFLAPDFDPAT FLSSLTNRHQSLEDLRQELRGLEQFLNKELLDLVNENYQDFLSLGSALRGGEEKVEGV KVGVLSFQRDVKAIRDKVEARRQEVEELLNEKRRLRTNADVGKDLLDYADRVEELEHR LMIGDKSSQEHSPDESDTESDLYSGESEDSDDDELVDGSPAISLKRMERHAQKFVYLT SIAARVGEKHPFLLAQQPRVAKIKSTVLLDLKTALEQATAAGGKQGKRDTRTMAVLRL YELMGEDVSAVAALKNLKL PEX2_070470 MESDTLPDTRLPSSSLPRQGWSRLLSPPHASPVVPAVGPSDQTN QRYDSVADDPAAAYRATALRQINGSPRPASRPIRVDNPSGRRSSTLASRPVLVRAYSG DAQGRTRRPSTMSARRFLSFSGSKSSAPSRPSQSDVMFPTDKDFSIESILQAIEPDIR GTLDSIAEICGRSKLSLSNEYGSHIAPLGEICAPSGALGPVEEARSNEERGTDDGNTV IVEDEASPDPARDMNPFSFHRYLENLRQTASILEQNGTSSPTTFRQPNSPLSPTMASE VETVFAIPPETISSTPFTREFASKPKHSGRDLLAKIDAASRGEQHSSQMSTPAVVSEV HLEAIANDGSSAEFNILPQPALGSYGSSDNMESSGPEIIQSLLGWLRWTASIAEPESS PALQSAEGRLRAMLDRPGNEAVSPTVP PEX2_070480 MSTDKITFLTNWHATPYHAPLYLAQARGYFKDEGLKVALLEPND PSDVTEIVGSGKVDMGFKAMIHTLAAKARNFPVTSIGSLLDEPFTGVVYLKSSGITED FRSLKGKRIGYVGEFGKIQIDELTKYYGMTADDYTAVRCGMNITKAIVNGTIDAGIGL ENVQMVELEEWLAGQNRPRSDVQMLRIDQLAELGCCCFCSILYIANDAFIAANPEKVS AFMRAVKRATDDVLADPAAAYAEYIDVKPIMGTDVNRKIFERSFAYFSRDLKNVQRDW TKVTNYGKRLGILEEGFVANYTNEFLSWGLDADSTDPIGDQKRMAALQQEVAADGGYK RLEIKASA PEX2_070490 MEENGEPIKRDVRNHMLFEVATEVANRVGGIYSVLKSKAPVTTA EYGERYTLIGPLNRASAAVEVEELSPANPALRETIKSMKERGIDILYGRWLIEGAPRV LLIDTSTGYRWLDEWKGDLWTTSAIPSPAGDNETNEAIVFGYLVAWFLGEYIAHDRRR AVIAHFHEWLAGVALPLTKKRHMDLTTVFTTHATLLGRYLCAGSVDFYNNLQYFDVDA EAGKRGIYHRYCIERAATHACDVFTTVSHITAFESEHLLKRKPDGVLPNGLNVKKFSA MHEFQNLHSQAKEKINDFVRGHFYGHNDFDLDNTLYLFTAGRYEYRNKGVDMFIEGLA RLNHRLKAAGSTMTVVAFIIMPAQTSSLTVESLKGQAVVKSLRDTIENIEKGIGKRMY ERCLSWKEGDNMPDEKDLITSQDRVMLRRRLFGMKRHGLPPIVTHNMHNDHEDPILNQ LRRVQLFNHSSDRVKVVFHPEFLNSANPVLPLDYDDFVRGTHLGVFPSYYEPWGYTPA ECTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIVDRRAKGVDDSVNQLTEFMFNFT EKSRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLALRRAYPSSFEGQEDYFDIIGG TEQKLSRPLSVPGSPRDRSGMMTPGDFASLQEGHEGLSTEDYIAWKLPEEEEPEEHFP LTLRTRKMGERSASPLDSISINGHDESEKGAGTE PEX2_070500 MSERKKTRKRSKIKRWLRSWVFTPLGNVRIKLINKVERKRRSIS DCELNRIVSGALTDRYPSRFFRDRNDHTSIPVRRSLLENEFDISLVDLVEPIPDQSGN PQQRSSRLDLGVGNDPLVDGGELAVPTAQPKSHDTRGSWRGDERSVLRIMNPDVSELS SEDEKAEESGAISVIEVNRNVYELSALGEMSPDLPTELSGEEKQSRQSCPILVESDFH MANPLVIAELAPQLPDLYFIHQPDPPLCCLIISTLQDSSSPVLFEGGVCSGEHSSHGP KPVIQRPLSDMSIKRANPQMNTMEFLIRQTQRKRNAIVKNRYISPFVPLQPRPLRVRK SCDITPTNTQNDVLSPIPAETSRDVTAPQDGTVLSTAIHIITTVATAHNLESLRCHTH LAPENQPTSHLAWTVYQLHPGLARSAGTVRPVDLGPSLRQWWLVLGFDLGRWIVSPPP SQTLLLNHVGLVLKAPGGFMLYLKMACPYYLLKRIVLIKVLVHLAWNCV PEX2_070510 MVRGAEFDNGVPQSDNPIENGPNKAHGVGNEPADLSRSHKAAPM PEQTGSGRDVYPGLPTAGSDHPHGGAAKPISLDEKNTAGK PEX2_070520 MSTTSPRKSIDSLASGMSSPSVSYSTSQLESPRVAPQRLPLRRG STASSIVSIGGVLESSHYHGSISESVAESGQNAISTLLQPPIIRTGMTTGSATSSAGF KPPSSRDIPPVTLTNIKHVDAKVFQPYLSQVGSLYDVFQQSKEGAGEDTLPAQAAKSP KPDSDRDSLMPWSPERRSSIMSIASRSSSPYDTRGRRPSSARGRAPAVTPLSTIPPVY FEEGFHLENPRTFDVISEKSDVVRPPRPPTKDDKHTNGLVAEPVQTGRKALATNAILQ EKLSWYMDTVEIHLISSISTASKSFFTALGSLRELHAEAADSVNRIQVLRKDLQKIDK EMALGGLKIVNLRRRRENVRMLAAAVAQLRDVVESVSRCEGLVEQGDIEEAADGLEEV ENLMAGEKLPDRASSQDEEGSPRHLIDLRGIKALEGASDDLAQLRQRIGMGYETRFLN DLLGDLRQHVQTVPSNLTLQRWGNSFQRQRGGQRSGISISPAYMNFNNELRSQLNTQL NGLARAHYTMPAATSFKTAVLREMKGLIRKHLPSSSDDDNESMVSLSTHSGPQLSQQE KSSILARNLRALDPDDAYAMLMNVYTGISESLRRLSVQVKVLLDIASGLGNSPTSGVK SPPRSPNPQSMSMDQAINSSQIGPTASDLAQDEILQVLDMSSLLGQAVDIAQSQITKV LKVRSEQTAQLSKEEFLRYFTLNRLFADECEAISGRSGTALKTIVGNQIRDFITRFGD GQRHQIVNVMDADRWDARDFGDAENVILTRILDASTKDIDAWVEVSKIWIPRPENDQG KPADTAAENGTDKSKVRSAVIDEQKYILPDSAVAMMRSIEEFDFLMSNIPSMIQDIAP HLLDILKLFNSRSSQLILGAGATRSAGLKNITTKHLALSSQALSFVIALVPYVREFVR RHGQANPLMAEFDKVKRLCQEHQSGIHEKLVDIMGSRSSVHVNSMKKIDWNAKGATPA VNPYMETLAKETGTLHRVLSKHLPDMTVSMIMIPVFNSYRDQWTKAFQEADVQTEAGK KRMQADVEHFRTKLSKIEGASEVGKKLLEVVRAKAITINNEPKKSETSVKQQDQDNGS DSTKS PEX2_070530 MDRRTPYTLSVLAPSTNGADEDRTTIQNRLRDFVLEFQLDNAFV YRDQLRQNALVKQYYCDIDIAHLISYNEELAHKLTTEPGDIIPLFELALKQCTARIVY PGQRDITLPSHQLLLHSSASHISIRDLNATNISHLVRIPGIVIGASTISSKSTIIHVR CKGCDHSENIQVDGGFSGLSLPRRCGRPKNDNDQPNEQCPLDPYVVHHERCQFVDQQV LKLQEAPDQVPVGEMPRHVLISADRYLANRVVPGSRCTVMGIFSIYQAKGVKKEAAVA IRNPYLRAVGITSDLDQTAKGASVFSEEEEQEFLELSRRPDLYDALARSIAPSIYGNA DMKKAIVCLLMGGSKKILPDGMKLRGDINVLMLGDPGTAKSQLLKFVEKAAPIAIYTS GKGSSAAGLTASVQRDHTTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAME QQTISIAKAGITTILNSRTSVLAAANPIFGRYDDLKTPGENIDFQTTILSRFDMIFIV RDEHERGRDEKIARHVMGVHMGGRGVEEQVEAEIPVEQMKRYISYCRSRCAPRLSPEA AEKLSSHFVSIRKQVHRAEMESNTRSSIPITVRQLEAIVRISESLAKLSLSPIATEAH VDEAIRLFLASTMDAITQGEGQGSKELMEQSSKIEDELKRRLPIGWSTSLATLRRDFV DGKNYTEQALNRALVVLQRRDTIQIRSGGSQIYRNGV PEX2_070540 MSFHESASHIELEDGHILKAVLRNEDGDEQESSIDLNDHIGNDN GHFHWDGGDFHSSADDVRFDREGDDGVPVLRAVLRDVDGEEHNADINLAERIGNDNGH LVFN PEX2_070550 MAFKDTTTLPQIILWYRRMTTKLSLFLSSLTSKTIEATAALSVA RVCCEWVLLETLYYTRFGIVVRRPWLAVPSLLRLGQKSYPQ PEX2_070560 MSSIFCCSSPSPYRPAHLSHEAKFDPFIAWAKGSESSPSAVSAS FFENPDNPPPYAVQFVRQVNFGAIEAKRYFIPHPEANGDRAEFVEITEQDLIAGNFQK LNSYKNFKCTMHNKFFEVNLYQKDPVNRHHWRLNIARPASDIDL PEX2_070570 MGISRTAWVLVFAFQPFLCGALPAPSPPGIPSASTAASELAGLT VAAQGLQDGYSREEFPHWITISGNCNTHETILKRDGMNVVQSSSCGATSGSWFSPYDG ATWTAASDVDIDHVVPLSNAWKSGASSWTKADRQAFANDLTNPQLLAVTDNVNSSKGD KGPESWKPPLASYYCTYAKMWVKVKSVYDLTVTSDEKAALVEMLATC PEX2_070580 MGSTSFRCPPIHQAEGLPYFTPANNAGAAINPRDPDTPTLFRPL QIRDATFKNRVIVAPMCMYSTESDPTSPAVGALTDFHIAHLGHLATKGVGLILIEATS VQFNGRISPNDSGLWQEGTDSEQFKGLCRVVNFVHSQGAKIGIQLAHAGRKASTAAPW LASQANQRGIKADESVGGWPSNVVGPSGGEEQIWAPGDQFWPPRELETAEVEEVVRAF ARSAGLAVQAGVDVIEIHGAHGYLIHQFLSPVTNRRTDKYGGSFENRTRFLREVAAAI RAVVPSGMPLFLRISATDWLENQTVAAGTGSWDIASSIQLAKLLPDLGIDFLDVSSGG NHRSQRIEPHTNYQIDLAGQIRQEIHAANHTTLVGAVGFITEAESARDIVQGAEEEAQ ATEAMLSGPNPRADAVLMARQFLREPEWVLTAAKKLGVKVSLPWQFARGLL PEX2_070590 MVTTIFTNGRILCPGLWGTFVSTLVVEDNHIAHVGWDNEQAVVK VQATASTVDLKNRIVLPGFIDGHVHILNFGMSLQKLDLLPCKNLADIRNSITSYAKSH PSDPRILCRGWVQSVTDGNALATVLDDLDPRPIYIEALDLHSTWCNTAALKELEADSK PDPPGGKIHRCQNDAPSGLLEEAAQFDIVWPFLDRVTSMEKKVSAIDAAIGAHQRAGY TGLVDMAMGESEWTALNIYRNQRGGLPIHVAAHWLVPYSKCDADICSHIDRAIELQQT FNRKSSPDFCINGIKLLCDGTVDGCTAGLHQPYGGSAEIIDPIWPADALAAAIRRATD AGLQCAIHAIGDRTVQQAIDCLSQIPNLRSRRHRIEHLEITTPEDAKRLGQLGIVASV QPVHLDPATFDGWPEMLGIQRCKRAFAYQEFVDAGAHLAFGTDAPTAEHHALPNIYVA TTRRSSINPSLQDTINPEFAVSLATAVSAATAGAAYASFSDSWTGSLRPGFDANFVVL DMIWDTESLLEARVCQTWYKGKKVFDEEAGDR PEX2_070600 MFVGARFLLGFGDIIVICTAPLLITEIAPAQDRAILVTISGATY HSGAFIAAWTTYGTLKIKSDWSWRTPSLIQGVFTLIMLAVVWWIPESPRYYVSRNEPE KALKMLAYYHAQGDDSDEVVQVEFTEITTALAMEKNAEHSGSYVDFLRTPGNRKRLLI IISFGLFAQWSGNGLVSYYLNLIMDSIGIKDPNQQLLINGALTSFNLATNLFFSFFVD KCGRRPIMLISSAGMMVAFVVWTILSAKYSDHASSALGSGVLGMIFIYYLFYNLKSGL IASYTTEILPYSMRAKGYTLMEFAMYIALFFNQYVNPIALDNIKWRYYIFYCCFLAVE VVVIYFFYVETRYMPLEEITKIFDGEDVATATNLEMEKIGENGKGTSTVIHIEEASA PEX2_070610 MSEAYETVKPPKGHFYLAGRLFPRVKWWKQRNMRVLYFYILVLI ATNTANGFDNSMM PEX2_070620 MVGTGGLYAPTIRHHNGITYIICTNVIHGPSNVLGDERNEQFII HTTDIRSGTWSDPIVFGFPGIDPSLLFDDDGRVYVQLCKTGPEFHIYNGEIDITTGAM IVKPTLIWKGWKKGYTEGPHIYKKDGWYYLLCAEGGTFRYHMLSMARSRNIWGPYESY DMNPLYTASGTTQYVQNTGHGDLFQDQSGQWWVVMLGIRIKEGRSIMGRETFLTTVDW PSDGWPAIESITSDGKLGASFNEGQDSLAAAPWVYLRDAKLDRYRIQNRCITLQADPV EFTSPDESITFVGQRQRRLQGNTTVTVYKPQQSTSVRAGLALYKDEHRFLTIGYDFQL QQVIFNGLNQAKSFSQSETQSVEFQGSMSFRIGYTETSLQFFFRQGKANWRNLATVDT AILTDYDFTGPVIGIFAIGDDVAVEFRDFAVDTV PEX2_070630 MRPYRPEHIEKVREITRVYLSTHGEPVAWGWDGAKQLGILDVAK PDFGEPQTFEEGEVPVFWACGVTPQIAVEAASNTIEGLVFAHEPGHMLVTDWTAEDLQ KLKPGSI PEX2_070640 MLDDTVHDTPLELKDSLKKEDAEANYAIAGESLDVVLDPKKEAK LLAKLDAAFVPIIMLTYLTCFLDRSSIGNVKVANMPEDINASPEQFSTAVSIFYVTYV LFEAPWAVMMKKLTPRNILTGLCIIWSLTTIFTGFIESVGSLYATRLILGACEAGLFP CLNLYLTMVYRREEQAKRVSYLMSCAAISGAVGGLLAYGLLQMDGIGGKAGWRWVYII EGLFSIICGLLIWFGLPNNPAEAYFLTEEEKWMMRVRNEQRRKYMGSEEFSWEEMRIA IRDPKLMFSGVIQFCQDILLYGFSTFLPTILRGMGYNSLMSNVLTVPVYVWAAVIFIA IAWCSDRYSKFASYIFTANLFGVAGYIILLTVDNTAVLYFATFLIGITTYTSVGLNVA WLNVNVAPQYRRALAIGLQQTIGNCAGIVAGQIYRTSPYVLGNSFSLGSLVVAQATVT AYGLYLRRENKVKEQIISGEKEETRRVQTGDGELEFKYIY PEX2_070650 MSFQGPTTSAFSFDLAKSSLQQRGIVERVEAGDERDMTQEPSPL ASPSAPNEKLETRQTLDPLWTLPKAEALRLCQVYEEEMGIMYPVLELSEILEQVHLLY GPMDRALGPTHQPNGHNGLAREDIHILRVVFACALTAEASGLSEQAIALFDSVREVQD NCVWGSPEIKNIIFLTLVSMFYFQMDEEILAWRTVGIVERMCLEKGLHRRETLNQPTI IAVGKDRVLRLFWSIYILDMRWSFGTGMPFALEDTDIDPWLPEPTENTPYLRVMIRYS RIAAKVWKFIAAFNNTNEIKKEEMNYLDWQVLRWVHAIPDSLRLDNPSSAESGTAVEG TRSLRRLRALLYLRANQLRMLIHRPVLHTSAHVNQYPGESETVVEIAKDTIRFITRLN DTSDIYHLQQVAFNWFLVSALAALFLAVAQAPTQFSGSCKEEFYWALELVKGVSAQSY ISRRLWKSIRSLRKLGPQLGLGVQKKRLNIEAGVESEHSNIHGSPQLPQSTIPESQTP QNGEQMTQELMEWFEAVGSLEDQIMGMGTGPVPDRGPYQQMPDGGFVFDYGEELSSVM KDFF PEX2_070660 MAIQTLAVAVITVVYFIIRYFNRTDMPKIKGIPEIPGIPIFGNL LQLGDQHATVTGNWAKKFGPVFQVRMGNKRIVFANSFESVRQLWIKDSSALISRPTFH TFHSVVSSSQGFTIGTSPWDDSCKKRRKAAATALNRPAVQSYMPIIDLESNSSIKELY RDSQNGKNDVNPTAYFQRFALNTSLTLNYGFRIEGNVDDTLLHEIVDVERGVSNFRST SNNWQDYIPLLRILPKMNNEAADFRGRRDKYLTYLLDMLKDRIAKGTDKPCITGNILK DPEAKLNDAEVKSICLTMVSAGLDTVPGNLIMGIAYLASEDGQRIQKKAYDAIMEIYP DGDAWEKCLVEEKVPYVTALVKEILRFWTVIPICLPRESTKDIQWNGATIPAGTTFFM NAWAADYDEDHFKDADKFIPERYLDVNEGAGTPHYAYGAGSRMCAGSHLANRELFTAF IRLITAFHMHTAKEAADRPILNAIECNSIATALTTEPKPFKVGFSARDSKKLEQWIAE SDERTKDL PEX2_070670 MIGTDPQNSRKYHERNNGLISQYLYIDRLLDSSLPHNLIEDYNG CNHHASGNGILQSPSLEANQAEVSEPNPKIGRIKRTPHNLYRIPDETTPLFQSPEAED SPLDPFPDLESHGGMSPDDEERVINLAIRINFVANVALLASKIAIMAMTSSMSMLAGL VDGVLDFLSTVIVWITTIMIRRQDRNRYPISRRRLEPISVLIFSVIMVTSFFQVALSS MKQLIGDDRTVVELSIPSITLMGGTVLVKLLCWIWCRLIPSPSVQVLAQDAMTDVVFN TFSIIFPLIGTAANLWYLDPLGGLLLSIYIMWNWGQTAAEYIQRLTGAAASPDDHSIL LYMTMRFSRVIHKIQDLKAYYASDKLNVEVDLVVDEKISLRDSHDVGESLQYIIESVP TVDRAFVHLDYDEWNLPSHMNQMDR PEX2_070680 MSSRFPPSSGFNSRDRSPQRFGDRRPPVGPRGPDDGPAPFGRDP PRGPRALVDSPRGGHFGGRGRGYGRGDFRDRDRDPRDRDRDREFRDNRDGPPFRRDMD RDWVRRDRDFDPRDNRIGFGRGRSRSPTRDFRDIREPPGRDFDLARMRRNSRDSIISA SSGGPEGPPPNGGHIHRGGMRGRGRGDWEGGRGRGRPPFLDDRDLFRRRSRSREPWRG RDRMMDRDRDRDMDRDRVLDRDRMMDRDRDRDLPRPRDRDRELDRDLDRRDRFDRRED WDNRRPDREDRDRPVDFWKRDRPPSRADSRAASGSTTSSHPPTASGPGPGPALADRLF EHSQVDHGRKSSTIPSTAALEPTRDFERNDPVAVRASAVRPDAVRPDAVRPDAVRSDA VRSDAVRSDAVRSDAVRSDVVPPDAVRPDAPQVDAPGLDANFPDRVPPDPQPDAIGMD AAKNPGPMIRNSPPQAAPQVPAFGSVTAPIADASSNKDSSDQRTTPISTFPTERDRYE ILQRQTPQPPTGPKAERTEITQPLEPRSRLEGSREAGKQQVAPPRLSKPSINFSDVSP PTAPAAMTRPDSGVGPNEGFGVGRSNSLTSSPTFSRIPPPAPRALSREPSMSPRMQSS GIPTGPRAFQWKAPSPRGRKGSKQWVRPGYGRTPSIPNALPKQEPIDDSEGVSPANEA TRSLLPHEVDEPESGEILPNEPLREPSPIFPSLNPPLRRSLSAVDIQTSDVNEPSEQT STGKTALIPDFQGSSDEEDGENIVFTQEYLDERKQIFEKDMESLRAELPPLPLEDSAI VALLLKVQLLGMVANEQTVGRSPEPLAEQVLERPVDPLPSVEDEESANHPSTERVVSF ASTLPEREPEPIAETVIPPVVPADEVTVESLPFLHLGPPTPISDMDVYHENIATQKSL RDTFRTELSKVQAEVFRKNALLRDEYVSHYKLWRMAIWELDRMKDKKSVTPGPASPPV STVPTTPAPIPEGREGRRYKGNSELDFLNALKASEISAQEELERRRIKMATARPDLGR EAIIPNMLEPREAKARIYKDVNNTIECSRALDVFGFVPPPNDFTPEEHITFTDAFMAH PKRWGKIAESLPGRNFQHCIVHYYLTKEEIKYKAKLNKRWSKRGKGTRKGPRPKSNAL IADLGVVKPDFVGEDEPPAVTDTGRPRRAAAPTFGDSTEVESAPVGRRGQLGKDGEPV ERSSTRRGGRGGGTRGGRRVKTATQPDSKAQVTIPQGNLPPIVPPAPLHPGSEMEVAT DHILEGYEARERERSEKESLPPIPRGRVGRGRAKEGVYVFESTEVEPPLATKQLETGY GSLQPTSYWSVPEQRDFPALLGHFGRDFEGISAWMKTKTTVMVKNFYQRRLDSGQKDF ESILTDAEEKKARGEATGPLPVPSVAPKRRYEATPSSIIPRPLAPHGDPMAEVDEIRF PKGKPVGLSPQPMSLHGRPPSDKDRNVGRYQPLAQASAASPVPSTATLIEESTRAIRA QGGPSHRIQGPRLGYFTEDRRDSSALPHATSLAQDLPMSSRHSGPIPPDMARMEPLSA QAYMPAQQQTSLLSSTHSRHPSLTQPPGSPTQQLRPEADISSVHRDPFAQRPYYSLAG QPMGLAQSPRPGLSPVKDVPRPSATPAPDATRQVPAKRSNIMSILNDEPEEPQPRKRF ASEQAPSAPGATTGINPRPVYQAGGPSRHEDSIMSGMPQKPSGYAQQSQYQPSSRGYS EYPGYGPPHGGSGTSANNDWMARFDPRAQQTPPQPQAQSLPPQQQQQQQQQQSGRQGS YSSYAATPSQSSLTNLPAPSPAPTPPPTNASQRSAYPNVFSQASSTQQPMASGPRDMA SQPASYRQGSPGPRSSMAYASRQDPPTPAQSSASLYGMHPRQSATPNSVQPHSYQQHV QTMVSGSHQPQSHRSTPVNLPGASSQYGHNTPPPQPQAGRSMASLASLGRSFTPPSAL HPSMSGGTMGSYHPPQSSASGSIPPLHQRPSGSLGDTVSTPTHQRVYSHGSAQGGLPP PSQPPR PEX2_070690 MSAQQPSAVPSSVTDSVLQSSQPVPEGSHVVSGVDFDKFQGRDI TVAEMVDNLAYTGFQGSAVAEAAQIINEMRAFRDPETGNKTTIFLGYTSNLISSGLRD TLRYLVKHNHVSAIVTTAGGVEEDLIKCLAPTYLGSFSTPGAGLRAKGLNRIGNLLVP NNNYCAFEDWVVPILDKMLEEQEAAKIKARETGDEEDELHWTPSRITERLGREIDHED SVLYWAARNGIPVFCPALTDGSLGDMLYFHTFKSSPQRLRVDIVDDVRRINTMAVRAT RAGMIILGGGVVKHHIANACLMRNGAEHAVYVNTAQEFDGSDAGARPDEAVSWGKIKA DAKSVKVYAEATAVFPMIVAASFARAGSTQN PEX2_070700 MDPLPNLATSGTEVEDTVRTANLETESSNQSPESSRSPPQPAPA LPSEELEHAYWAEYEEDTTIPDEDEMKEIDGGDSDYSASDHKYWETNFFRDLGDPEYI PTEKARLTWTFKGVRGTPENPNRDKVIRSPPAFVGGYWWRIKFYPRGNNVNALSVYLE CSTTMPAPEGKLPETEFTVRRGPADASLDDSTPDIQIKTAATDDAAAWFETYKSQYPA ARNTPESDHGTLDSWRVPAQVGVIVYNPQEPRTGWMQSSCHQFNTHNLDWGWTYFHGP WDQIHSRRRGQHRALLNNDTLSFDAYIRIVNDPTKSLWWHPSDSEPTWDSMALTGYRP LGDSIINHSPEVAGLASWLHIAPFCKIIQSIDVLEHLTNADARPKPLCDALQRLLWQL RRQTLSPSYVDTDGVTTTLRNLHEFSSDVSEFWERLRRNLELELKGTEAGKQFARLFD SPAVHAPSSDGEVPVNMLPTDFNSRLYVPVDQAKSTSEAVSGYLSAKPGRWSLPSVLH VEFGRHALDKAKRWQLRYDKVELDEELDLTPWVVDGQGSKYVLYGYIVHRGRRTSGKF FSILRPAGPGTTWLAFDDGSDNRVECLTQKTAMGPHLGLDPSQAVDHKKGHDVPVVAM YIRGDMISELLPGPQGPWEVSETFKQYYETGVYHTGDKPADDVQVEVYALPQYDQLTS LFDSYDLMAQAKAANSAMYMTLPRSSRLAELRKRIAIWKSSATEPISPERVRFWQIGN SFAQSGSSLAFDRTMDLNVPLDPSLSTIRFWMETISEEDAQFFAIPDPPAAITSEDKP DDLVVENLIHGAPENVTSVAEGDAVASSSGNAPHESPAASNTINSVIDDRSLLPLATS SLENDAFATGRPSQEVVLAAVTAHDQQATAAVAASPSTEEATSSAPVSPSEVESSTPG VAPQEQTQSVVKLPVGHTYYFIQMFDADNQVLRTVGSFFSKLDSNVKASIRRHLQRPL RQDFLMWKRVDGATVTAVSPADSFDDVVAPHGACFIVGDKLTKDKRTQLASSGLFTSP DRLVQYLWADSRGHPIQGFTGTKTIEATFTNDYYSGCFLKGYHHGRGKHISSTGMMYE GDFVFGRRHGQGKLTYPTGDSYDGDWVEDVCHGQGTYVEKTTGNKYVGGFKDGKRHGK GISYWEVADAELDLCQICYTEEMDAVFAECGHLCSCVTCANLVSLCPMCRKEVKKVIK IYRA PEX2_070710 MGVGRRMKKQGPPAPLDESKITMLKKRKAGGAEAPPKPAPAKRR RSDADEAISKKEAPKKKKVNGAAPKADSKEPKKQPSVTKKAVPVPMSDDDEDMEDDDE FGDLDGVSEGSIDSDDQVEGLSDLEDDNSVVDSDEEGHTRGAMFSDDEDSDAEERLTA ANIEGLSRKLDAEQQEEEEAAEQELQEAALQTNIAAPDVFADQTQQGLAPDLQLLRQR ITDTIRILGDLKTLGLPGKSRTDYIDLLLDDICTYYGYTRFLAEKLFNLFTPREAFAF FEANETPRPVVIRTNTLRTNRRSLAQALINRGVVLEPVGKWSKVGLQVFESPVPLGAT PEYLAGHYILQAASSFLPVMALAPQPNERVLDMASAPGGKTTYMSALMRNTGCVVAND ASKPRAKGLIGNIHRLGCKNTIVTNMDARTAFPKAMGGFDRVLLDAPCTGTGVISKDP GVKTSKNERDFLAIPHMQRQLLLAAIDSVDHASKTGGYVVYSTCSVTVEENEAVVQYV LRKRPNVKIVDTGLGNFGSPGFLSYMGKTFDPKMALTRRYFPHRENVDGFYVCKLKKT AVTPVAKSDDSEPRSKGSKKARSASSAASTDDESIDRTPIVDENGHAADFEGGAFSSF EDPEDVERIARAERNRIRRKGLNPKGVLNKPKKSKVEVSEKTGETAAPSQEEETKAVA SKKTVVKEDKKPVTKAKEEKKPVTTEKEAKKTVAKGKVEKKDKKSAKKASK PEX2_070720 MSELTFTKSFLSTLDSRPIKLRADHVFDPEQIGLRVPYTLPRLS APHPPMPKKVRSTQAPGASKSITVRVKSARNPALEFLISNAPLSTTSLQDIRDAVQVR VTDAQGGQVSLDKIKILYKRKPVTGTGKTLAELLADEPAIMAGGKEVEIGVMIIGGAQ VVESTVTQPEITEKRDESPPKPAIGPSGEEVLHTEAFWDDLQGYLEQRLKDEEEAKRL RVVFKEAWSSTK PEX2_070730 MAGIGGMPGAMDGSNGPQGTEYTLQGVMRFLQTEWHRHERDRNA WEIERAEMKSRIGRLEGDLRTSKRLHESLGKHVRLMETALKREREKVKKLSNNEKSED SKDPKDTARESVNFLKAHRPKPSTDHDNDEEPDNENQHEGQIEDMDKVRTYLSKASHE IAYHVIPASHPPPDMNDSDMSGQLYGNSQLSQQNLEEAYLQQQRQKANHVMAREMALQ KQQPMSNHYSENAMARAQNQYLSRDGMDRRSIDPQQAPIAANENRTQVYEQGIVEDRP NQAYDAQGPRVVVKEDVGLQNLTEERTEDVDGWNFDEPAEQELVVTETVPPHRPDTDA FPNANFMHSESTKGGSLPHRRKSLESRRKSDGAVNTRETGATSGQQQDSNFQVRFELR GHLDVIRSVIFTGGSPENPEICTCSDDGTIKRWTIPGTSGGSGAGSSRGSDVISTFTH RGHEGAVTSLAACSPSQNISNGGRALGKGLVFSGGQDGSVRLWEPERIDPKATFHEHK DAVWGLCVLPGTMGSVFGDLSSQYGGSDRILLASGAADGYVLIWAIGAAPPTGNRRQG TRQRANSNSMPSGSNIPTLPQPSVATTPAFHYTIVHRIPRPNSPSPTCISPLSLAGVN FVVSYSDASIIVYDTRTGEEIASMASLETYDGTPATGVNSVVATTVGFDGTVNLDPNR AIAEEEEVVHGATGSSSVEGVIISGYEDRYIRFFDANSGQCTYTMLAHPAAIASLSLS PDGRELVSAGHDASLRFWNLEKRSCTQEVTHFRLMRGEGVCAVVWSRDGHWVAGGGGD GVVKVCSR PEX2_070740 MESSARTFNSPLEAKQPFPPLLTEDLISPEEPQESYEDESITSP GYEEIEVEDDADFQSHYSLEHQSFSDTDSDDSSNIERNHPFRQSSSSLHGPNAFAPPF YNRPPTPLPPSPSLTSLLRPPFSTTTSRPTTPDSSDVETPNDTEAAVAKSARRATTVP RASPKVPTYEYYGFVLYLASSLAFLFYLLWSYLPSPFLHQLGIYYYPNRWWSLAIPAW LVMLLIYIYAALATYNTGYLTLPMHSIENIVDEVANVSVIDGKARRRPGGATKMKPGA TSYQIMGPQNRKVNWREIWSEGTDAVMDVPPSTPPSQSKGSGAGQLSRAPITPEQQRR MEINRMKAKALREKREAELSQAAPNTSQPATGAKRSFTSMAASNQPANMRDASSSNRP LDSIKPARNFTSYVDYDFSKMTDTKGGFLTQEDDPFNKQLHVPDGKEMQMPAHMTQKE WERHQILQSLKRNREGPFEPGLSVLDDKSKQKTCRECGSLEIDWKWEEELKCCICHAC KEKHPEKYSLLTKTEAKEDYLLTDREYLIVSYEKREVLISLRTAELRDEELLPRLERP NPHKSTWNSMMLYLRYQVEEYAFSDKKWGSTEALDAEFERRENDKKRRREAKFKTKLQ ELKKRTRVEAYRRNRQGASGGDFGDDLGSGRKHVHQWGRSIDNPETGIGVKTCIECGM EVEELEF PEX2_070750 MDTTELEHVRGVWERTKNDSPIYRLLLPDVDIISATRGQMQARL KLTAEHVNSRGTIHGAVSAAIIDWAGGMSIATHGYERTGASIDIHVTYLSTATVGDTL DISAVADRVGKSMAFTSVKISRVVDDEVGPLVSKGSHTKFLPVSKENREPKS PEX2_070760 MLSLNGKVALITGLGQTQSEGWGIGAAIAVLLARQGAKIFGGNR TLASTVSTKEAIETEGGICDVVETNVTSSTSTKALVEACMARHGRIDILINNVGRSEP GCPATMTEEVWDSQVDLNLKSVFLMCQHVLPIMEQQGSGAVVSVASIAGLRYIGKPQV GYSATKAAIIQLMKTTAVIYAPKGVRLNTVVPGLMDTPYTKCMVSRYADGQAERYMEM RHAQVPMGKMGNAWDVANATLFLVSDEAQYITGQELIVDGGITSSTGRT PEX2_070770 MSSITDNDTNIGSSRGDASTTSGDIASGLIGGGGSSGSALMMTL LPALVYAMFWVSLFLIFRRTQRRWYAPRSYLPDIHEHQRSPELPSGWVNWLGTFLKIE DNHVLHHSSLDGYLFLRFLRVLAATCLTGCVITWPILLPLHATGGNGNTELDILSFSN VKNPNRYYANVIVACVYFTFVFYVVVRESLYYANLRQAYLNSPAYVSRMSSRTVLFMS VPDAYKNEKKLRQVFGDSIRRVWITSDCSKLQKMVDRRDRLAEKLETAETKLIRRANK VRTQAIKTGELNIDMCLDCESSNPAWSHKVKRPMHRLRLFGEKVDSIHWYRAELVKKT EEVSNLQAKHQNGEAKQLSAIFIEFNSQADAQVALQTLSHHQPFHMTPRFIGVSPREV VWSALNLSWWQRIVRRFAVQGFLAAMVIFWSFPAAIVGAISNITYICTLIPFLGFILK LPDFLKGAIEGLLPAAALAALMSLVPIICRICARRAGVPSKARVELFTQSAHFVFQVV QVFLVTTLTSAASAATAQIVKDPLSVKDLLAQNLPKATNFYISYFMLQGLSMSSMALV QIVSALVFKFVTTFFAYSPRRLFQGWAELASLSWGNVFPIFTNMAVIALTYSCIAPLI LGFAFLGLYLVYQAYRYNFLFVYDIAVDTKGLVYPRALQHLLTGLYLAEICLIGLCAI KGAIGPVIIMALFMVGNILAHMSLNDALTPLNTFLPRSLDAEEEMLQEKEDAVAAINE ERRPRAMAFWRWFHPNVYKDYAALRQKVRRNVEGVSYTPEEMRTAYFEPCVASPPPTL WIPRDKWGFSSHEVMETDSMISITDEGAHLNEKNKIVWDKYDPHLPLRELKTLY PEX2_070780 MQILLSGALVALAGIAKATTTLTTATKSTAQTGAASTGAICTGT FDAISAADFVSNLHPGWNLGNTLDSVPDEGSWNNVPVVASTFDTVKAGGFKSVRLPVT WAYHFTGSSPDWTVDPTWLQRVSDVVDMIVARGLYAIVDVHHDSWVWADVTQFGANLT MIEEKFYSLWYQIGTKLACKSNLVAFEPINEPPCNTVADGTELNKLNKIFLQAINDAG GFNPQRVVTLVGGGEDSVKTADWFVAPSGFSNPYAIQFHYYSPYDFIFSAWGKTIWGS DSDKNTVQSDFQRLRNAFPNVPLLLGEYDASPTNTEPAARWKYVDFLIKTAASLDISC VLWDNGLDHLDRTTGVWRDPNSLSIIAKSTESTANSLPDSTEDSSATTQSSSAYIFHQ YGTAVTAQTLPFIFNGNTLSSISDSTGSTLSGTDYSVSGANIVFTASYLSKHISSTTT PGVIATLTLKFSGGDSSPIIQIVQWKAPTLSSTSAVASSVSGSDLSIPITWGGLPTVA AVKALTKSGTYLVDDWTVYLGPMQQARATYSSQYNWDDSHIILTSSAISSVISAGQST VFTFEFFPRDNGAMNAVNFTLTV PEX2_070790 MGLRKQPPPRLENINKSNTRLDGRLPKSPNSASSHRPTHLNRLP SEDSIYSPDLNTSPAFDLMPLEEAQRSPVGSPTSQPPNSWPGNNRMPDDGRSDQYNQY EGAYKEHANTNGHSVPPTLMVYQQLEAAENVWRPNSDAAQALTGELPVQLQSNNPFLK PRPTEHTQDLLDRNERGRDSRATSNSDALSQSEGYIPMTARLSLLDEPEHESPWAQAP HSYSHSEQRPVLSGHHLDDNSPWGSQQSIKVSAPQEIYLQGVQSNPYIPAVAVQPSDS SAGETHARAQYKTPSNAGRFGSDAGINIPSVALSSRTSITSHELIDLGELSATGNLGV EIGSHAASSVYSEPVANGARSLSEKQDLASSVAQQSQQPRASPVLSVPEAARQKEQRS ETYTIRHIRWTDRSGQLLESPILVQNQNGPCPLLALINSLVLRANPNAHPPIVRALST REQISLGLLIEAMFEELTTCLGPDDEFPDIEALTQFLTMLHTGMNVNPRLTMESTEGF GTFFETSDLRLYSTFGIRLIHGWLASWSSPTHAAMSRTGQYYEDIQMLPFRRQEFEER VARGEALEPEEENIMADIQTIQQFVEIENATQLSPFGLTQLSTKLMPGSVSILFRNDH FSTLYKHPQSHQLYTLVTDAGYAGHAEVVWESLVDVTGFNTEYYSGDFRPVGAGPSAP SGSAPASPRASNEPATYSENANEASKSPEPTQEQSDADYAYALSLQFQEEERRENAGN EQARDRRTSAPSNSSSRPSPSPRLSNVPNRTSSVANAVGSQPRPQPQHGPGIEDPNAP PPPYEQAASGPRYSPPDRRPYGGASGNQYPGNRNSRNRTSQYSHRSRPSMRAGDHNVM EPERNKDCIVM PEX2_070800 MHSYIRPGQFVGIRLQSDQLRLIQIIPDTIVNLSRFGSFAANQI IGRPFYFTFEILDACDDAGHQLRVVSATELHAETLLADGEGDGEGDDVDTGENGIPMR TNRQINDENSSQKLTLEEIEELKREAGGAGKDIVAKLLESHSAIDQKTAFSLAKYTLR KRKKYLKRFTVLPLDVALLANYLIEERDAQKSMELRDEHIGLIGCWGNVHHSGNVEVG EGMKPHGRYAIVDETGGLVVAAMAERMGILYPHDADEDPTEDQGVPAPNATESQPTNG PSKPRRIRPQPMSATTNTITVIHPYSQPNLSLLKFFGYDTNNPDESHPLHTHLKSISW MQLADPNSDPLYANEPPIIPAEELAELKQSRRTAYYHKRNRWGRVKAVVDESRAGNFD GLIVSTLLEPASVLKTMVPLLAGSAPVVVYSPTVESLVELTDMYSTARRTAFINKKRE LESQSLEGEAVDLSPLHEEFVVDPTILLPPTLQTSRVRPWQVLPGRTHPLMTGRGGAE GYLFHGIRVFPAAQNIQAAGNVRKRRKVETTSTPISDRDVEMTS PEX2_070810 MQAYHSIFLEDRDVPVIGNFPILPLRTRTRGPAYTLPPLPNTDD LDVSPESESYDCVDEILSLFRANVLFRNFEINGPADRMLIYGTLFISDCLGKVKPTMN AREAEKALTNAALDNFAIPGDVSFPLNQAFEPPRDRQDAETLRQFISQVRQEIAIRLH ARLYPGGVGPSKFWLSFTKRKFMGKSL PEX2_070820 MSDYEDEMDVDAPKDVQFSSDNVSGKKRTVADLPVEAQDNLPWV EKYRPSSLDDVSGHQDILATINRFVETNRLPHLLLYGPPGTGKTSTILALARRIYGTK NMRQMVLELNASDDRGIDVVREQIKTFASTKQIFNMAPQGTAGSPLAGFKLIILDEAD AMTSTAQMALRRIMERYTANTRFCVIANYTHKLSPALLSRCTRFRFSPLKEVDIRALV DKVIENEGVRIQPDAIDSLVTLSKGDMRRALNVLQACFASSIPLPMRDAPKAPRPEPE TVTNATIYDCIAAPHPSDIQEIMTTILSTSDVTSCLNTVQTLKTTKGLALADILSALA DQLQQLEVPAQTRITWLEGLAEIEWRLAGAGSEAIQTGGLVGVVRNGCELMSDKGVTV A PEX2_070830 MRYSIQSGFLLAASFSPLVDAERVLGAYIFARHGDRTPKVFGNT QLTDLGYREVFDSGSFYNERYISSNSSKQIEGISAEVVNPKQISASAPSDTVLQNSAT GFLQGVYPPVGKVASQTLGNGTSVEAPLNGYQLIQLVPASTSKNAEDSTWLQGSSGCQ KATVSSNNYFTSEMYTSLLSSTKEFYQSLSPMLDGAFASSDMTFKNAYTIFDYLNVGN IHNSTSQFPHKSDLTDEVYHQLISLAGSHEFNLAYNSSEKVRAIDGAVLAGEILAGLN ETITTKGKSKLNIGFGSYGTIFSLFGLLQMPAASVNFTGIPDYASSMVFELVTNASGT EFPTDKSDLSVRFMFHNGTITGSSEPTAYPLYGQSSELLSWDDFSSKTSEIAVTSDDE WCTMCGNTDGKCAGSDSTSSDSGSASTSSTSGSGMSLAVAGVIGAMVTLAVILGLEAI FFLLGGFRIAKRNKASPETMSSPVVVEADKKV PEX2_070840 MPRQQINLEPYRDDIITLYHHGISSDSISRTLESCHNIQVKERT IQSRLRKWGIRKRHRTTTGDEALHARIKMLFMQDRLTDKAMLNMLQKEGYGISERTLR RLRFKLGLHARASEQEQHQELEQEQKQKQTNIPQPRPEDQPV PEX2_070850 MSSQYASEPNPTASATLNTTVGPIQIALFANQAPLTCKNFLQHC KDNYYADTIFHRVAPDFVIQGGDPTGTGSGGTSIYEYPEFEFDPEARDPDERVVLRDE IHSRLRFNRRGLLGMAKSEDGTYGSQFFITLANTEREMNGQCTIFGRLEGDSIYNVLK IADAERIAGTERPVYPVKVTSCEVGELGPLEGKLKDRMTVSTAGKAEKAVPKKKKKAT KGGKTLLSFGDEGDEEMPMRPAKPKFNTNLVMDAPGAKPEISKPKPRAEAPSQPRKRP RSPSLDRSPSTERKKRPKTPEPEAQLPLPDPESPTRSPTPDAPPAKESKLSRTNAQIA ALMASMRRDVDVGPKDTGRKKSALEAMIPETSIRGRKRPPPGTANGSSRNGTGPSSAA EREALQLFNAFKAKLEGAKPEPTTTASHSKSHTDKDTENRTEEEEDEEAQLCDLHFIA NCQSCQAWDDPKVEADDPEQQDGGWLSHELRFGKDTLGKDLNWKREHGGEADSLMVID PREREKEVVGRKRGFQRDRERERKRERVGDLEWDKGR PEX2_070860 MTERKKYLNAKDFQDGRFPKHVSLVALDREMRQNIWLTAFAPIR LITAGGFLAVSYLKNRDSTGDVDYLIDPEFAADKDIQNALHSTIRTVARQLQYDDDWI NEAMAVFVTSKARQTLFERAEKQGIILFKGENLEILAAPIEWALERKLRRIYAADRDR KAEMDISDAIAFLKHLREHNNGLLDSETIRTMNMNGFDVIPDQKTMQQIADAYRREHN EEIFK PEX2_070870 MPPRRPRPKISLWARFRTWLRYWESPLRIRSSLIRLRHQHKYPI LALLRLFIPYPSWYFPIPGPFSLRALIEDAKNETGIIRSHFGAIHNLRCIPIWRARDT PLRSIYRLYELHLADRYELMGYETEYFFFRSDWKLGEIPDPKDENPLRYAMIASIMEE LHEAVNWRLGLGLRRNREHVFREEDGDPWPPFTPEKLPDWTKNVAPIDKSLLRLSVPV EMVDAEGNLVLEKNGKGRNFARRNIITNTGWLYTI PEX2_070880 MSSQGMTRKRPAPGTVPPVHPQMNPVPNFPTAANPGSQLSNDQF LQWGQNPPVNAINTTAFSDPSYNPAGFAPPQDVSAPAATASNQLARRQANQVVSRNRG YEQPPASYVENGGNNGNGESGAWGESLEELYRRALTAKRDSQAKRKQIPPFVQKLSSF LDESKNTELIRWSDDGNSFVVLDEDEFARTLIPELFKHNNYASFVRQLNMYGFHKKVG LSDNSMRASERKNKSPSEYANPYFKRGHPDLLWLIQKPKNAGGGGHASKPSKGGTRVK TEDIDDNDMDDYVEEGAPAPRDNRAPRPQQLSLVTDSSVPNEQLSGVYRELQAIRQQQ SIISTTITRLRKEHEQLYAQAANFQEQHTRHENSINAILTFLATVYNRSLQGEGGPQN LANSFAGAISQDQGNIVDVDDDYLTNALGSMTSPSAQRTMKKPPLLLKAPPAADAYTR SSTISPSASGSYDTRSRGHARQPSANQHGHVEEVFDHSPRPSAPNVSPGQPTDENSPQ RDIMSVIQNSNARNGLSTNFSEFPNVLSSLENHGGNVPLTANQRADMLRLMANETNSS EPNAGVSPNNALISPHPPPMPHNYSGRLASTRQEIDNLVKMQAEQDRSVQNLTSLLQP LSPNGTIPGIDSEGNVPPPPLDLDQIFNNDYFTDIGDAGSDIKKQAENQMSHLGTGQN THDSVGTAQGDDGDTNDLFDFDHIPAEPDLFETPHNGQGDPTYNYGFDGVGYDESGRV IEQLTDSETTSPAATENPGETVNDGGSATKRRRKA PEX2_070890 MTRTVSNMWEKYCLRPTQGTVSTIPVFVYGTAWKKERSADLVYN AIKAGFRAVDTAAQPRHYQEDLVGDGIRRAIADGIVGRADIYVQTKYSPVSAQDLANM PYDPSATVTDQVHASIKSSLHNLRSEVDPISVDESYIDTVIIHSPLSTLAQTLEAWHA LETYVPHRIRNLGISNCTLPILRELCTSSKTTVKPSVVQNRFYEDTLFDVPLRAFCRE NRVIYQSFWTLTANPDLVRSEPVQQLSHHVNITPAAAFYTLVMGLKDVAVLNGTKDES RMKEDLSAPKQVENFTQRHPDLWQQILTEFQNLIGEASSI PEX2_070900 MRTQQLLPLCGLLLASVAIASKLDHDDVPNRCWTVCSSVVDISK SCDTKHERDSAEIQCICDWDAAQTQIPLCSACITQYQTDRRNRNITQHDDDDDDDDDD DDDNEALDLVHSCSLTTTTYNSAAATTVISTSTTTAGSNTATTTDSSSTSGTNSQDST SSVSSGSSDSAGASSGSASSPTPTPGAAVGISAPGAASMAVVMGLMAFAWL PEX2_070910 MRTPLRAAIAIALIITLFLVKFHLDSILQWRNDGITSDLYVLDG DAYIELVSAHTATPASRTQTRRKWTPTARPTPRPVIANPEPKRIPVDVPGAEWAGELF FRSRGTPKFYDLETSFDDAQLIAPAEHNDVMAPSSTAPRRPKATPKSDRVIVLGKMSY EDTNWLEDELPEWQHAVYLVDDPEASLQVEQNKGKESSAYLQYILDNYEKLPEYMVFL HAHQYSGHVEFWEQDNVLTVQRLQLDYLRQVGYLNLRCDWSPGCPDEVQPFRQTAGRT TELAFAGAWIRIFNNTDVPEIVATPCCAQFAVTREQVLQRPRSAYESYHHWLMTTELD DETSGRVFEYIWHIIFGQDPVFCPAKAQCYKDVYAMDYEEPPDDFFDDDFWGNWYDET SVDETPVDATPAHATPAHETPMKETPVNEI PEX2_070920 MYHDHSARSPGSQRHQQPLHRQPSRQFDAYGPMPTNMYEQDQMR YESARLERLNPSLQNNYGYDISGSQTWNPNGFANAQTLGGIRSASASLKPNARGRAGL PTAFSNLGPGPIQSSAMRPEASASSADDDELIPTAIVIKNIPFAVKKEQLVQLMTELN LPLPYAFNYHFDNGVFRGLAFANFTSAEETATVIEVLNHFELQGRKLRVEYKKMLPLQ ERERIEREKRERRGQLEEQHRPMGGGPQLQTQSSMSSLTSHIPATSPSPVSQRGQKID VDLNDTQTLSYYSQLLLFKEDTVRDSVIFPHNLTPSQRRTVHTLAHNMGLGHASRGSG EQRQVQVFKIAAGANVSPPTSSIPPSGQSDSGRRGLNRAATIDFSEARQEAGPGAFNT LRGQNSGFLGVMDSPGNFANGQNLRAAKSFADLRSYTPSPVPSSASFPAALQSNGTRL QYDGAPTATSNTPTLTPAQSGSSLGMQRDDNLLVNSLGGLSLGTGIGGPNSSPRRLRG MFSWEQDSQQSNAGAIGSNRGMGMGYDGQQERMPVRQPRGPTPDKGTGFRRQNGHQAR GSDELRTNSGVEIIVE PEX2_070930 MPGGKGKSIGGKGGKGDAAGKAQKSHSAKAGLQFPCGRVKRFLK NNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDEE LDTLIRATIAFGGVLPRINRALLLKVEQKKKGGKIEL PEX2_070940 MVSPQITNLAIILVMMQLAKKVPFEDPQVLMLVRGCYILSNVLI LAVHLYTQLKINQKKDMTTLKYVEPATMGSTEEPKPVTTTNMDYDKAQLRQLLRSQLM GVGMMGVMHLYFQYTNPLLIQSIIPLKGAFESNLAKIHVFGKPATGDLARPFKAAGGF MSQGGPKSDKASIEAAEKNWRGGVKEE PEX2_070950 MVVQVGINGFGRIGRIVFRNAINNPDVEVVAVNDPFIETHYAAY MLKYDSTHGQFKGEIEHVEDGLIVNGKKVTFFTERDPAAIPWGKAGAAYIVESTGVFT TQEKASAHLKGGAKKVIISAPSADAPMFVMGVNNKSYTKDINVLSNASCTTNCLAPLA KVINDNFGIVEGLMTTVHSYTATQKTVDAPSSKDWRGGRTAAQNIIPSSTGAAKAVGK VIPELNGKLTGMAMRVPTANVSVVDLTCRIEKGATYEEIKAVVKAASENGELKGILGY TEDQVVSTDLNGDERSSIFDAAAGIALNANFIKLVSWYDNEWGYSRRVVDLISYIAGV DSQ PEX2_070960 MDEDEDTVMGDSHTLSTLTANPSFTGVPLPTHIINHPTPNLAPS SIPQVVPASLSPDSEQSQPRETIASTGMPPPERSTKTSEGDSSAAEQLKRDSLVQPDS DWSDDEVMAKAGLPLASLATGLCYDHRMRYHCEVRPQSDVHPEDPRRIYYIYKELCRA GLVDDPESSRPLAPHTLQRINARDATEEEVTLIHNDAHYAFVRSTTDMPNEVLIQLEK DRDSIYFNNLTFASAILSTGGAIETCLAVAQRQVRNAIAVIRPPGHHAEDDAAMGFCL FNNVCVAARVCQNRLGDACRKIMILDWDVHHGNGIQKAFYDDPNVLYISMHVYQDGRF YPGGPAGDWDHCGSGAGVGKNVNIPWPDQGMGDGDYMFAFQEVVMPVAQEFDPDLVIV AAGFDAAAGDVLGGCFVSPACYAQMTHMLMTLANGKVAVCLEGGYNFKSISKSALAVT KTLMGEPPDRLLSSSPTDSAVVAVRRVRSIQSQYWSRLYPKTSAHPVYANRLHDVLRV YQSNQLYESCKLTPLHIYRTAISKSFDKQVLASTNYHQRVPLIVIFHDPPEIVGQAHP VTNKLEAHNVWMADSLTEYVEWIVGKGYAVIDVNIPKHVSRETAPGRYEADDEDRPSA TEELAGYLWDNYIDANQATEIFLLGVGNAFFGVSNLLINRENLYKRVNGVISFVSENP VRAVTSHTQTWLSKWYRENSLVFVSNSHGIWATPDRRSSKRYGQLVHSPKSDLNEMLD EHKEEVYKWISERADRAEEESDEDS PEX2_070970 MRFSTAVIASIAALAPLASAVGNAVVTNHCNFPVYLWSVGGSIG PKQTILAGGSYTEALHHDSASGGISLKITTVDNGLYNGSPQTNFAYTLDPGTVWYDLS DVFGDPFAGKSIVVRPTDTTCSNICWAQGVNPGGSQTKNCNSNTSINLNLCAAKC PEX2_070980 MAQIERITENLEKPELDDRSYRVIRLPNKLEALLVHDPDTDKAS AAVNVNVGNFSDEDDMPGMAHAVEHLLFMGTKKYPKENAYNQYLASHSGSSNAYTAAT ETNYFFEVSATGDSSAPKSSGDSTPAETNDNNGVATNGNGSDGKSPLYGALDRFAQFF VAPLFLESTLERELQAVDSENKKNLQSDLWRLMQLNKSLSNPKHPYSHFSTGNLQTLK EDPQKRGLEVRSEFIRFYEKHYSANRAKLVVLGRESLDTLEQWVSELFSDVENKNLAQ NRWDDVQPFTEKDMCTQVFVKPVMDTRSMDMYFPFLDEEDLHDTQPSRYISHLIGHEG PGSVLSYLKAKGWANGLSAGAMPVCAGSAFFTISVRLTPEGLKQYQEVANVVFEYIAM IKQRDPEQWIFDEMKNLAEVDFRFKQKTPASRFTSRLSSVMQKSLPSEWLLSGSLLRR FDSDLIKKALSYLRADNFRLVVVSQEFPGTWDQKEKWYGTEYKVEKIPQEFLGGLQKA LESTEATRTSNVHMPHKNEFVPTRLSVEKKEVAEPTNTPKLIRHDDRVRLWFKKDDRF WVPKATVEVTLRNPLVWATPANLIKTKLYSELVRDSLDEYSYDAELAGLDYHLSANIL GLDISVSGYNDKMSALLDKVLNTMRGLVVNQDRFHIIKERLTRAFRNAEYQQPYYQVG DYTRYLLAERSWVNEQYLEELEHVECDDVVNFFPQLLEQTHIEVLAHGNLYKEDALRM TDSIEKILGGRPLPPSQWYLRRNMTLPPGANYVYPRSLKDPANVNHCIEYYLYIGLFS DDVLRSKLQLFAQLTDEPAFDQLRSKEQLGYVVWSGARYNATTLGYRVIIQSERTAQY LESRIETFLRQFGPILEKMPEEEFEGHKRSVVNKRLEKLKNLSSETGRYWSHVGSEYF DFLQHETDAANVRALTKADLIAFYQQYIDPSSATRAKLAIHMNAQSGAQVEAPKPAEQ RTRLVEVVTKHLEAAEFTVDSARFAKALDEVDTTAADKSQVIFAMKNFLETETGLSQK KIEPVLETLDEKLSSQLKELGLDSSSDTQGAANGNGEAQKAVVITDVPTFKARLPVST GPVPVTDLSEYEDFDAKL PEX2_070990 MGAWGKLPVLDHNNNERPVNMATTTEDVPVSGLPPIVPKDFTAQ QPETVRLYPLSNYTFGTKETQPEEDPSVLARLKRLEEHYGLHGMRRTCEGILVCHEHN HPHVLMLQIANAFFKLPGDYLQPEDDEIEGFKKRLNERLAPVGSQFSGEGVNDDWEIS DTLAQWWRPNFETFMYPFLPGHVTRPKECKKLYFIRLPKKKVLSVPKNMKLLAVPLFE LYDNTARYGPQLSAIPHLLSRYNFEMVDENDNIVAVTPGTPLPPGYTIPPRVLVNGDG ADDGQDTGMTGVEEGMKIESHQ PEX2_071000 MRHETGDIAEFGNNLIYERMGVVPRSSKMSGFVKDFSIYTDPYG FADHT PEX2_071010 MLHLGRLGAIAALAVLTVAAPSRSVPRDVSTDVLGQLTLFSQWA AASYCTNNDNSTGDALSCEAGNCPLVEAADTISLYEFDDSSSYGDVAGFLAVDKTNKL IVVSFRGSRTLKTWVANLNFIFTDASSICSGCEAHRGFLESWETVADDLTSRIKAAQT TYPGYTLVVTGHSFGGALAALGGTILRNAGYELDLYTYGQPRVGNAALAEYMTNQGSL WRVTHDNDLVPKVPPSHLGFSHASPEYWITVGDDTTVTSSDIDVIEGVGSKAGNAGTL DPSIDNHYCGPQGPRRRSRMKAIFLTIFIVIALYLLFFSTTKSPVKTETGSYAEKHGS TKSDELARPAVPRRKEMVVASMKSDDTSWLSEYFPDWTKSIYVVDDKHAPLTVTRNKG RESMVYLTYIIDNYNNLPDTMLFIHSKRYQWHNDDPYYDGIPPLRNVQIPYIQEQGYV NLRCVWTLGCPIEIRPHTDTHRSNVHAGEYFKNGFMELFPGIPIPEEVGVSCCAQFGL SRAKVLERPRSDYERFRTWLAETPLEDDLSGRIMEYSWHMIFGKDPVHCPNAKECYCK VFGLCDLTCPWEGGCDERYSLPPFSSLPKGWPEIGWKGQAQDTSHGLPET PEX2_071020 MLIIGLTGSIATGKSTVSSILSTPPYSLPIIDADLLARKVVEPG TAGYKAIVNYFGPSTPDLLLDDAPTNPNGKPLNRPALGRRVFGSTEERKRDRQVLNGI VHPAVRWEVYKALIYHYLRGQWAVVLDVPLLFESGMDLICGTVIVVGVHDPEIQTARL RARDAHLTAEDAENRVRSQGDVRTKATQAEFRGTTTGRGVVVWNDADKAELEVAVKGA MANIAASSPRWWAWTLLVVPPVGFGVAAWNLIVNFATQKGWEKKKQEEKAKL PEX2_071030 MVTGIEAAGLALAILPLLINQVDAYALGIEKIRLLRRYRRDFKG YSEGLKTQRTILLNTLERALEGVIDDEDEITQLINNPQGKEWANAALQSRLRRKLDRN YDAFLQNMTSLSDLLEYLSQKLHISEDQTNPAPETWDLWKFRKILSKAVYDDLLIKIN AANAILKLLVDQSDHRETSKKRRQSWSPLLQRYKKARKNAKGLFQTITEGDYWRCGCK EQHSVQLQIQTNPLKGIEEHPLGNSQPQLRMLFSNKAVVGHNTNTDCLLSWTEVVFKP SEVKEVVELATLSLYDDSTLHQAQRRSRVQFNGSTLIEEASELTLETPSILPIQDFCS SLHIAEMHSGRQKTISFISNKRNNSFRYTMDAVKRLPQGVSQRPLGEVLSKISRRDRL HIATGLACGVVQFCGNWLKSSWDSSDIHLAAADDGYSVLLDNLYLSWPLLPPGTGKES YNSTHYPNVRSNLLLPLGLALVELSLGKSLSVFFTPEDEHEEPLVTKFRVASRLVDNV HQEVVHITQKLFIAASTGLA PEX2_071040 MATVPDLLQSCLIQFSSLITSDGLARHILEVPLQAWRDELGRLR VWAADIAQTGQSSLDYSLRDASHIKVQILSLLGRVKDLLLDLIEVLEEDVDTENQYPR EGVEGIGDYPEYDDSITEIQQIYQGLLEAITHLYQMSMMIPQPAHHDRLLGTRKVDAE PFILWAKQHTSNKYPHADEMVIDRLSSMMARQRAILKYRERHHAKSSHYIDLEEEKST MLPEHPVKDVYKETNEPDGMVSEDGVSETSYNGTLFKGPDGCTKIPPIPPKACGQNYF KCPYCFYTITVRDDRAWAQHILRDLMPYVCVFPDCSTPNRLYESCRQWYAHVQQTHPI PDIYKCPICNQEHLSSVEFQQHVAGHLQELALFYLPRATPEDNESPETRQEEEVQRMN AEQRWRLNRLEQAEKRDTKKEMPKAKSRKGKSKASLEMEEDELDNLTRDINENDTRKS HGVVDNSKKKITKGAAVEEWGPQEHNKQKKKEFRDHLSSMGYSEEEIELIMKKEKKEK KAKKEKKEKKKEETNPTWIRVHHKHLLPETLIAYNLPWDWDEHNHNYIVIKKLITEDF QEDLFSHTPRIREEKVVTQTSHSMTELKGDDRNKEKMSLARKTSPDDASRIRAMMATL T PEX2_071050 MAGSSSPAGKPEKTMSSNLLTMKFMRRAAAAKETQSPSSDASSH NSKRPRLSTEAESPRTSDMDAIAAALAAEEEKRQQAVARAAAEAGETHWVLDVPATPQ STQQPMVLAADSLDADDDTYSGGRRAYGNFKRKERKPQTTRKEGDEDDEDDYDEDEDT IINPSNPQEVTKMMEKARLKAEAKARANKRQTKLSELTSISGSGRGSLLGAPSSDKKK KKRKSY PEX2_071060 MMSCGRRIATFVSVRPRFVVRAYSSTVPRLNENPIQVNDPTPRK PAPNVSATNAVPTEEFGRQRNDGTLQEAPEVGERIRSMQAPNRATTWAASQQPREKAM VGPRFEQTIMETQPQPYAAIELIHRQPVRWTKSKVVSCDGGGGPLGHPKVFINTDKPE IATCGYCGVPFAHEQHRAYLKSLPATSYPLEPTGDAAEVNESQRVTEGSLEQR PEX2_071070 MDPILAESTLAYHGLRVKSTNTDGSGVDSGKAQTDQIKPLIRTF LEDIGFDRTVHVIQDHEMTTAVWQYFQSLELGEKTEKSVQQTLHPSVNFSYHGYTTLP FQIRVLAAIQFLYMFLVDDVAEEFIEDLQAFGQNFVLNQHHKHSILTGLDYHLRALSR YYGPYCHSVMIKGMLDYINGRVIEHKIKQSNFKFSSESRLTPMFLRTKVGGAEIMIHF LYPKSVFPEEEYVMQYFPITLELVLFIDFTNDILSYYKEFCLNDETGNFVANFADTHH VQHLDVLRHLTNYTPGKTFTLPSRSRGSYLITDHVLQEVPEIRDYKVGMLNLFVQHTS CALSLNENWDDDVRADMSDALDRIAPMDKKGNLYRHSAEGEDDMPAHIKSALIGASVN IPITNGRLATGTWQGIWYLEFRTSRHSRKVVATIQGQKD PEX2_071080 MSEIMSTHPAITATGVKQPLTLVQVPTPQPQQHQIQVRIEWVPS APLDVYQVDAGLMAQFPQSIGDSGVGTVVAVGPSVECLHVGDQVMGFFFHNEKEKGQQ IYVTAPEHLFGKVPPGLSLAAAATLPTNIATAFLTLSDKLGIELPWPRPSEFSSKDQN VPILIWGAGSSVGQFAVQILKYWGYTNVIATASSKHHAKIKGYGAKHVIDYKDQDAVS SILDILSTESPFLPLRAFDCVDSKFGSLQHIAKITTLPGSIVAAVLPVVVRPSSEEGG VQVSLDVAGEATWMPGVEIHGIVSYAFEANPFLKDHLLPDIIPGLVKLGAIEPNKYRE IEGDSLLQRATAALDTLRSGQVSGERLVWKVWTEGEFPQFK PEX2_071090 MSNMAKKLSLTSTRKILSGYEIPVLGYGLYQVAGAIAEDVTDKA LKAGYRHIDSAAVYANERECISAVEGSELSRSDVFITTKVLPDAVGYEAAKRSIDDSL KKVKTNYFDLILLHAPFGGKDGRLGAWRALVESQKAGKARSIGVSNFGLHHLKELEEY IQRTGEGRIEVGQYELHPWLGRAELVDWLRERDVLIEAYSPLARGTRMDEPILWAISK KHGKNPAQVLIRWSLQKGFVPLPKSATPKRIQENADVFDFELDEDDMKALHTDDYTPS TWDPTVQKD PEX2_071100 MSLQKAIIVDSPKNAQLVSDRVIPTLRDDCILVKNVSVALNPTD WKHIDFLAPPGVLVGCDYAGIVEDVGKDVKKSFKKGDRVCGFVHGSNAVQPEDGAFAE YIVAKGDLQYRIPESMTFEEAATLGLGATTAALGLYQSLRVPIPASLVHGEKKTLVLI YGGSTATGTLAIQFAKLSDLGADVAFDYNDPKSANMINDYTNDCLTLAFDTISVESSA KYCDISLSSQGGDYSALLPIKIVRDNIRDRATMAYTAFGEKFTFGPNEVPARPEDRAF LEVFCGIFEGMLLNGKIKVHPPRISDGGLNGVLDGLQLLKEGKISGQKLVYNIKDTL PEX2_071110 MHILNLGTLQADESWIFRGGNASSLSNLNPVNKRRDLVLLSALI EYPGVGLILYETGCAEDLEVKWPAPVTDVFPRIEYSDNHKLPNAIKATGNDIKDVKAV IIGHLHLDHAGGLEHFAKTDVPIYVHEEEFKHACWAVATGADLGVYLGHYMLLEELKW NTFNESHLDLFQGITLHHSPGHTPGLCIMQVNLANDGPFIWTTDQFHVAENYELGHPH GGLARDHNAWYRSLNMIRRLQRLYNATLIFGHDKEVALRLKEAKPYYE PEX2_071120 MGLFRTMALGLLAGTAAGLNYTEPYRPQYHFSPAENWMNDPSGL LFHNGTYHLFFQYNPAGIEWGNMSWGHATSKDLTHWEERPVALLARGYPENVTEMYFT GSAVADVNNTSGFGVEGKIPLVAMYTSMYPVSQELPSGKRVRAEQQSQSIAYSLDDGE TWTTYDTENPVIQNPPSPYAAEFENFRDPFVFWHGDTQKWIAVTVLASLHKILIWSSD NLKEWSLASEFGPYNAVGGVWECPNLFQMPIKGHPSAKKWVMVLGLNPGGPPGTVGSG TQYFIGDFNGTNFKADPSSIHPDNKTANWMDWGPDFYAAASYNGLPDGDVVQIAWMNN WQYGATIPTSPWRSAMSVPRQLSLKKIDGKVTLLQQPQHSWRSVSSHRTSKHSWKTVS KGSTKLGSPGKAFKIDLSFSDHDCKNPLASTFAIAVRGSSDLKQETLIGYNFTSKEIF VDRRNSGVVSFDKTFASLYHAPLPASADKRINLQIFVDWSSVEVLGGQGEVSLTAQIF PEGNATEARLVSTDGVTQDVRLRINGMSSAWH PEX2_071130 MTSYFPFFMVPSEATVLTMCKERPFVLVAALAAATSSDKKLQKS LGDKFRTCALHTIVVNNERSLDLLNGMLVYLAWYQFYYIPKQEQFNQLLHIAIGMVGD MGLNLTPAEAMSRKAGLRLTHYRKFSTPSANHDEFFSREARRGYLGCFYLSSITCWIT MKPTDLEHPTDALLLPLVQLQNMAEVNHRSLSTVDNTTHDHMNGLDLESKVQSFQVEF KQWKHSLPLVSQQPNGMDLACDVAVMHVYEMGLVNVFATKMRQTKHSSKNSTSSSSTS RIHLEVLFLCLKSAGQLAQNFLSIPTSEYSSLSYIQWSGLIYAIIIIYRLTVGIPQLR DWDVRVARRTIDFNSVLDTLCSRFKSVSICDPNLLENGDLFSMMGSIFENIKQTYERL EQLPQDDSADDTSPVHATSFSPLTLKYRHPCPAFPTWRTGRLG PEX2_071140 MAPIQFGVIMIPFQLSDVAGPVDVLSSSSISYLREFNNPDIADR GIDIEFHYIGDGMDPVTHPAGFQSQPTTTLSACPKLDYLLIGGPSPNYITNIPPAIAS FVRERANEVKTVFTTCTGGIFASALGLLDGLNATTNHEAIAIAKQFAPNVKWTVEKNW VVDGKFWTAGGACAGMDMMAHWVMENYDKDIAEAGFAALAYEPRDVNGKQVILTKHVS K PEX2_071150 MHLKLGARLAVLVASHVAALSQITDGEMGALLDAGGVDLADRYA PIWFFGQALNQPPCYPTWTYGGSPNTADVYDDAHRTPAAPQCDYPDVGCHCRNPGVSI GNAGPAFPIYYTYQKCSDTEVRVVYNLFYEKDGAEFIGIDTGHDYDWERVVIVHSRDD SNMWAPSRALLSAHSGYHNLAWGDIQNTLTTDEVNAGNAKTPNGVKNNDHPKVYVSWS KHAHFDTRNTGWNDPASQSLDNAFRSDDWWHFVEPQYYIRADDSTEAGKVIGAADWGS ATSDPVSVQSGVCKAS PEX2_071160 MAEINRNFIDPLPWPAHTNTLPESTPEGHWKHLPPYEVQSEEQF GPIKWRGKCFCGKITYLLKREKPLNAKYCHCRVCQVTHGAPLQWAAIFHKDDISFTKG ASDLVFYSSTHESQDHVLPTKVSCANCRTPIMDEGRNMCLIFPQLIELEGSSHERREK REAFKPTCHIFYRSRTLDLPDGLPKWSGMENASDQMDDHGNRIGNL PEX2_071170 MGLFDKLRRSSRRSSRRSDRETTDPPSPRVNVPARDYTKQLPRP VLAHIFSFVCPHSTDNTYGTSEESASDGCMLCDMRDLAHCTLVSKRWFLDARALLYTN VRIDPVHYCELEIELAAKRKRRSFLNRNGDPIDAPQVRLELFMRTVRESQGLGNMVLS LRMPYMTREANKANVARTISVLPNLRFVDLPVGLYSDEASCLSLKQELMARCPELRRM AYRQGAEGSFARLPGSQLWMNLEVLELSGVHMEASTLRAGLGSFPHLRELTLEDLDWL DDSAFAVNVPLPPFPSVQSLTLRDTPNVTASGLAAFLSMPANRATLGSLILSSTGVAP SQLHYILSAAPRLESLSVIQEVSRSFPMEQIPPMASRSLNLLHYELTSQTDNHGMPPV VSSYYTYLISSLVSRTLPALRDLYVRDSSFPDTLLLMPPPRLLGGGENGPQMRGGLSQ PLNVYSKGLDEFEWNFTPYDPSPAARGRRDSTTRPVSFHEAQLNRSWGGDARKSVLVG NGFGGFLAVPADEERPMSSQGHPKRSSKQDIWR PEX2_071180 MRWFSSPLRDCASPRDISVSRSRVFIYLGLAVTLLLLSYQLILD RDPILSTSWTTVQGIIVAGDVDRFVPDPVQPAEKELVLAAMQASNMSWVEEHLSDWKV NIYRADAKHGDIGLTVPVNKGNEAMVYLTYIIDRYWSLPEVSVFVHGGRYQWHVDNPL YDSVISISHLNLDFVQEAGYVNLRCAWIVGCPRELEPARYLRERPDDKGHPTAVEYPD RFMELFPLAEVPEVVGTPCCSQFALSKTKIHEQSLEHYVRLQRWLMETDLDAGISGRI LEYSWHMIFGKPAQYCIDQRECYCQTYGYCNMTDSDIQKQWVWRGLQLPKGWPEQSET EE PEX2_071190 MLEQRWEISRHDGGRAAQRGSGGAVRKPVRGGLCVSIYQQLVKR NPATQGMAGFISLGSLQQRPKEKWACRRNSTNTVILTKGNGGQHAIVIIGDKKGLDIE DTGNRTQCDGCFGLLQFHQDCSFDLAFLWVALLVVAASVKQHFWVLLVIRGIGILENI LVAGSLRYPAAFGMPLNFENVIGEAKFMNTLFAVEQAHPQVGRRMLDTFFPGLRPKER DRWDEFGRLADGLDERFILLGLAFASIALQLLHSRATAA PEX2_071200 MRALTGVGGGILMPNAVATLTIMMPPGKARNFTLAIFAASPPIG AMVGALIAGAVLKYTEWKWHFIILSCLATVSFGALLFILPREKPVDEDGKVDFIGALL GLSGLLLFSVAWNQAPSVGWQTPAVIVILILSVILILFFFVWESRFAIEPIMPLGAFK TPSFNALIFVVLFIYMSIGILLWYMVAWEQLIRDWTVLHIAVGWIPYGLGASMAVTMA AWLVTLLEAQYLLALGCLTSFAASVLLATMPEQQLYWAQVFPATVLGSLCPDFVFVAA QIIASNSVEKHEQGVASSLIGTLNLYGNSLGLGFAGTIEGQVSKMTDSRVAGFRAAIW FGAGLAVVALALDLSFVRLRHEDREDWNSPQPVDLEAMEAR PEX2_071210 MPNPPHQAHNPQVVELDASAPIEEIVKVIQRDGGIIIKNFLSPE VIDQIHAEAQPYWGKLGKYVGKLFDAADPPLSGFAGKSSTFAHKAINHPTWREVSKAL LKEESNVYHDGKRYDTVSYPVLAVSEAFNRGSPSTAQQLHRDDMAQHFDHLEGSGQSS LLGLLVAGVDTDFENGATQVIVGSHKWPEAAISGAADRSLCSTCEMKKGDAVFIIGSI WHGAGENKTNPPERRIIYSCHMTRGSMRADENQYLAIDSDLLQTYEPEVQALIGYSVS HPNCGHVDGKDPIMLLGSKEDPFGYGSFGGQLAVAASGV PEX2_071220 MPATLKRFSALADPHVVHQYLRADGVVVIEGASTRETIDDVLEE IGTVPEGQTYSTFATTLLMNPLFIDQTNRILTDTCIVYYEQERTVSISEPQVSQTSLM SAEPGAVAWGLRRQDECHHVSHPAKRESDLGIMFAANDVTKDNGAIRIVIGSNNWNDK RDPTPEEECLVELRKGDALLCLGSTFYGRAANKTVHTSVLLTATSTPGYLRQEENQYL AVRWEVAEKYPTAVQRFLGYYVSRPYGGAVEHMEPLDFLKVKGDWSRYHPVDLI PEX2_071230 MSPVDSVAPKKRSRSAQNSQKGQMRAKLKRDGPETNLRQSTATN MSDVSLDTDLHNMGSVSQPPEEENISFLEALSAESLNGNFSTHFDMSISSSDSNTINC ASANTWVTDYDFLDENAEILDSSLLGSSCDPYLYQAPADRFDQTLFCHYMENLSLQLY PIKLDNNPYRKVYGSLATESQLVFKAILLASALHLSKLGKLPSFAVKPYRWAMQRSFR DSVQTKSAEWSLGATVLLSIIFDVIGTGTDKWSSKLLGCRQLLERTLSTRDGNVDAGL RCLLLQYNWAVTMSKTMLRGVVSEAVFDELKCIDEITALRDVVADEDMALHQSQWWDD LPDYQMHLFLRQATEYSITIEQLKLSPDSTDQILQMMPHVAQLVNKIQTWKPRAVTVQ SKYMESIHYFNEIWRLGMICLVHGEIYGLDSSDHFIQTCVEDALDHLRKLTWLQACLF PLFMISVHAQTAIARAAFESKLKKMHESSGFQSLLSVACVLKTIWERSDATVGRRFRW RDVLKDLNMELNILL PEX2_071240 MLVLAECSARYSTAGGAYHYGTFLLPDEYRRCGAYILGWFNYLG WIMTHAGACLFVSTLIMALINLCSPDFDVSSRWQNFLIYVGFATWAWLVNVFGVKLIP GLELLGSLSTALGFIAFTVALLVVAPKATPESLFKTINNDTGYSSGSLSVFLGLYNSM TTLMALDGPTHLAEELPSPKRIMPRILVITITSQAMLGVVWILVLGFSIHDLQAIIYT STGVPVLELVRQATSNNAAAIIFCLILIINNGTSAVASATTVSGSSMVDTSPVFDYSP HWISLFVFQLSFQRYNWKSGGIHDFELRLLPYSPRWNLGHFAYPICVVAVGYSLLVLS VAFIPQSAPLTRLNMNYTILIVIVFLVIMAVTWLLEGRKAFRPPNQNPESYIIDSQEL SDGDRVTSIIDGTSHRKEEQMLSLGKDQQML PEX2_071250 MNTMFSNFIQLSDGNEMPLTGYGLWDVDAAICADQVYAAIKEGY RCFDGACDYGNEMEAGLGIARAIQDGLVQRKELFIVSKLWCTFHDPEHVKTIAQRQLS DWGLEYFNLYLIHFPVALEYVDPSKSYPPGWAASSDPNVKDVTFAHIPMHKTWAAMEN LVEQGLTRSIGISNFDTQLINDLFSYARISPAVLQIEHHPYLTQPRLVNYAQARGIAI TAYCSFGPQSAVAAKLANVSKLDSLLDHQLIKKISSDHDKSPSQVLLRWATQRGIAVI PKSKNLERMRQNMDMQWNLEDSEIEAISDLNQGLRLNDPVLHGFNVPVFS PEX2_071260 MFIEEGVNVVICEAHRGGQFDATNFVQRPAITAITKISRDHVEN LGGNLQHIAWHKSGIFRDQALALSVPQDQEVEGEIERRAAEIGAPFQFISNKKALLEF LENDQIENIPPEQRENCALAAKIAHGFLHQSLDGREVLA PEX2_071270 MPAHHHCNAHAGLPRVWLGWGELGNRKAQLQADQNEYFETHNPD FKLRHPDTGRRSWNVHLDVIRKSTRWIDWRIHKTGDKQKLVLPDLVRAEQVDSLLNFF YTGDYSVDEADLRYYSIRPCPGACVTCPQICQLLRIHLSMFQTALLLRITDLQAIAFR RFRDLMDTAPAFVLQYAVHAVYSRRPIPDGSNNFQITGLKSVKDYRPELVLPAVLRYC GYYRLNPQQITRHGKKVRVFGEAEFTELRRKSPKFGGDLALGLWLDTVDITVPTIQFP GNSEPIRSLHPYMQTPLSPQVVDRRRSNYQYVTYLQPLPFKDFNDQRPSNTPTWTPSP QRTSAGSFATPQASLQQTPNVPQLSLEQTQELDFLNAALTDTTMDQITAQLPQEYATG PDDLNNIDWTSTMDWSGADVPEIDFSALLNDEVMGEPNVNALDLTQVMNWTEEDLANV DFTQLLNDGTMDLQYFDPSCLDLPMNMELDVPDLNSFDMAGLPDIDFSGLTQQDSIES AFSNQPQHVDSIFSGVDDHTTVPPRAKSRASVICQSQGSRSSSSRLSQDSTQTRYNLR SRSSVIDLSEEL PEX2_071280 MAMAGTGKQPDNNDDAPPTPQRPVSSLLSHFENLSHRRSPSAAV TASPRTSTSHLLATPEPSDDLRSSSRASLDLPRPHSPWTPAEDRPSPLQQSNGENSRD RGFSGRRYGRPISMNFHRSSPQLPPTLTVQSPQSPPRGQDRDEVWNPHGDSRKTRSPG HRPRESMSVSSAPPRPLSPIPKFGPSVNAGDTMPRASPGSLRVHLTGSPADRKSKSAS LPPPANRASKPKIPAKPAMFSHPDTSSLTPRPGRVSPDRSISPFSTPPGSPEKQRMVK PQSTGKSSARPAPARPTTEPPAQRSFDERSPVPSATARHDAREMGFSRIRPGPEPSRS SKPLMVQIPSAPVDAPASAATAPPLSAQRSRASDIPYDRPGLPPRPSGVPRRTGVSPS RESSRNANSSAHMTPIARSAPSFPRASESSAPKPIQRQPSLPRESHLSSSLPERRVVR TDTEEEEPIEETVISRTDYPDASKANRRPPRFRTGPQDILTKYDTRLLAVCGKYVCTT GYLTRVWDLTTGDQVMSLSHGETVKSLSLAFKPGKGLEDEGERIWLGTSAGDLHEVDI PSQSIVATRAYPSRREVIQILRHKKEMWTFDDEGRLLVWLPDETGAPNLLYSYHSPSE RVARGHTFSMVVDDKLWLAAGKDVHVYRPNARDDVTFKVFKRPLGLQHSGDVTSGSYT TRDGGRVYLGHADGKVTVYSSTDFSCLAVVNVSVYKINCLGFVGDYLWAAYKTGMIYV YDVSTNPWTVKKDWRAHDSPVSSFVLDMSSVWTMNRLQVTSLGTDNCIRLWDGMLEDD WLDARMQARDVEFCKFREIKAVVLTWNAGASTPGSVRTSDFIRNAVTPEDPPEIVVFG FQELVDLENKKITAKSLLLGSKKKENGEKEHMSRQYRVWIDHLTRSLHECMPLEESYV LLHTANMVGLFTCVFVKHKERHNITKISASEIKRGMGGLHGNKGALVLRFVLDDSSIC FVNCHLAAGQTQTANRNNDIAAILEAESLPAENSMTLRTDQFASGGDGSMIIDHEVCI LNGDLNYRIDAIPRNVIIDAVRQNNLPKLLDRDQLLASRRKNPGFRLRAFIESPITFA PTYKYDVGTDDYDTSDKKRSPAWCDRILYRGLGRVKQLDYRRHEVRASDHRPVSAAFK IRAKTVLSQERNATWDACNNEFQDEKRRLASEASIEYLITVLGTDPRQARTLILGKQ PEX2_071290 MCKHILNAQVAIRSHCCRKWFDCAECHQESESHNLEKSAEMIFA CKKCKKCFRKDAAEFDETDEYCPHCDNHFVIEAREPEARLHVEGEDARMDSRMLKDER VARDKERSLFNIRDVSDRMG PEX2_071300 MSGYDRALSVFSPDGHVFQVEYAMEAVKRGKDIVVLGCEKRSAL KLQDTRITPSKIALVDDHVCLAFAGLNADARILIDKARLEAQSHRLTVEDPSSIEYIT KYIAGVQQRYTQSGGVRPFGISTLVVGFDKNDSTPRLYQTEPSGIYSAWKANAIGRSS KTVREFLERNHQEDMDREQTIQLTIKSLLEVVQTGAKNIEVAIMAPGKTLEMLPDDQI ESYVKSIETEKQEEAAKKKTRTGTTTAAILTRPGGGESTD PEX2_071310 MEEKTAPTTRSENKEQKKKRQKTKKKQKQTKNPTIKKHHAKKHR AAKARERNPKIFDNANPPAPSNPMHQNRRIPYTNAYQRISTNAETRHNAGLQYGQHKC ERPVREWGVK PEX2_071320 MAAVSAPTPKLDRYIVIHVATTCDEHGVYVTKDSAEVIELGWIL LDTKTCEELHRESVLVKPVNTPITPLCTSLTTLTWEHVRSAGTFRDAISRFDAFAQEH LTSKHLEFAFVTLDSWDLRVQLPREARDKAVVLPAYLQHSRTFDLRTEYQRWQTHHPE SLPFGPSSLSNICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEESVTLARVLR GLIRKSQPPQEHPEILTRPMDAHADVRAFLAERSKVLHLSGLPHDTTQSELESWFTQF GGRPIAFWTLRTPDQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAMAAAPDPMNYGNY GYGPPSMMPPHMGHGGGHGMGGGHSRGMGGNGGVVPFRAGDWKCGSEGCGYHNFAKNI NCLRCGAPRSGAAVVADSAFPSPMDPPSQFNMGPNSMASTPAPAPFASTAGGFGGFSQ QFGGPPNNYALPSGGLGNNTPGAYPPMGQMNSGYGSSTTSHSAASFANPATQAAFTGA DHGVPSTSASNGAFYGTDGASDPFAFLSSGLGGLTVSDDPHSRRNGSGANKSPA PEX2_071330 MPGRVAARSTSATTRRSSAQPSASGRAGSVTPSFAIPEEPTEPA SSPTLRRDVCLLFADAQRSTTGHRKLVVRLRKLQEFCCGISPKKNNKGKDQEPEEALI PGEETEAEKEFNVEVGRCMLRILTIKKSEPVGDRILRFLGTFLGHAAEKDNEIFASGE DEDHNPETPTARLTSSLIALMGPVMSAKDKMVRFRATQITAHIVNSLESIDDELYHTI RQGLLRRLRDKEASVRVQAVLGLGRLAGDDGEDDGNDDNSALLEKLIEIMQNDTSADV RKTLLTNLPLAPVTLPYLLERARDLDAPTRRALYSRLLPTLGDFRHLSLSMREKLLRW GLRDRDESVRKATAKLFYDRWVEDCAGTNNDPNQGPTGQRSAPDINALLELLERIDVV NSGMESGIAHEAMRGFWEGRADYREAVVFDEPFWENLNGESAFLLRSFNDFCRVENEG KYDSLADDKMPEVTAMAYFLGKYITNLLGRKKISKESGEANDDDAVEHEFVVEQLLHI AITLDYSDEVGRRKMFSLLRETLAVPELPEESTKLVVETLRCVCGPDTAAEAEFCSVV LEAIAEVHDTITTEDSFVSARSEISDDTSRRSETPGDEEVTPFNKEEAKAKIVREIVV NMKCLYIAQCMLQNVQGHLQQNMNLVTMLNNLVVPAVRSHEAPIRERGLLCLGLCCLL DKNLAEENMTLFIHCYSKGHEALQVTALQILCDMITTHPSLLAPVTQADGETVTPPPM QKPLLKVFARALRANSPNSVQSGAAAALCKLLLTNTFTPSGPNVPPAIQEHNQNAVES LLLSLVVSFYHPRTRENPALRQSLAYFFPVYCHSRVENTQHMRRVAVPVIRAVMNAAE EHYSLEAEEDSDGEIDPSVGEREVKALMTGVIGMLSEWTDERRVVGLGGERILAGGAA SSNVCGWVHLALVKEILERVLGVSAAANRCSREERKLLFSLLSKLYFAAPTVPARASS RAPEGDDQFRTSIRSATGVEIDPENTALATEVKELLDQTIEEGLAAEASSRNALVKAK NAILKIIAVAQDGRAASARPREGTEEPDNDGASVRSGSVRRSVDPTGGYSRRHFSVEP SIMEEDEGEGDSRLTSATANRPIVSIEPSIMEEDEEDDHDTSRGTIIKEETGDE PEX2_071340 MFRQSVRRFATTAARAAAEGSTAYCTRVSTAQGVVNGLTEAIGN TPLIRLKKLSEQTGCNVLGKAEFQNPGGSVKDRAALFVVKDAEEKGLLKPGGTVVEGT AGNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNPQNYNH QARRHAESLDNAVWTNQFDNVANRQAHIDTTGPEIWAQTEGKIDAFTCATGTGGTLAG TTRYLKTVSDGRVKSFLADPPGSVLHSYIQSGGTLIDRSGSSITEGIGQGRVTDNLQP DIDLLDGSVNISDEKTIEMVYRCLDEEGLYLGASSAMNVVAAKEVAEKLGKGNTVVTI LCDGAYRYADRLFSSTWLESKNLRASIPKHLEKYIVLP PEX2_071350 MAFPGTTGTAIPMTSLGGNPGTQGMNEQEQAMVKMMQRGMESCP IKTVISGTMGFGLGGAFGLFMASMSYDSTFTPQGRAIADLPWREQVRRGFKDMGARSW SSAKNFGIVGALYSGTECCIEGLRAKNDLTNSVAAGCVTGGILGAKAGPQAAAFGCAG FAAFSAAIDAYMRMPGDE PEX2_071360 MESLPGAESLVHGPFPDNQNSTVPQPQPDSASEQFSHSNLSRSS SLAQKRISSLPHVKTARHAKRLTLNFPINLNEPETPDNNVTSPGPITPVTQSSTRPSP AQPPGTPMAFDVPDDGYDFLRAIASQERKVMELREELTRAEADLVTIKKQWALSEKSR KRAEINHAEPLVPLRSPDFSTPDVPSSHRREQSISSVTSSTVSQERMSRDLGRRSSVR AAVATPPGTKIGSNGRRVFHGTHTRTLSLLSPVSGPSISSRGEPGFSDAASERVGRTP RSATLPSVDRNNAALMSAQLDESQVPEHLLAQWQKTLPPPSREALVRTGKQMASDLRE GLWTFLEDIRQATVGEEGISGTQTRTSPSNSLAPPNGRKRDSLAGSRSRERLLADGKL SRSSSSSSRGRGPAAETKTGKDTKPAEISTSFWNEFGIDTPAQKSPNAPRTPSDKKAV NRNEANEAEHRGHRSSNSIDAQDEDLDNWDSWDTPLSAQKTHTPSSSRSTVASKHDQS PTTQGSSPRTSASFGDLNPASSALDPSVSEGIPWPAITKLAPSKLQRTASNLMAEWER SLSPSSERTASSSLTRKDSKKD PEX2_071370 MNWRACGLRIRSNFSIIHRSKISSQLTISAYRLISPFDQRKAWS RQYSSQWTSNPRVFPVFDLGLLDSSLKIEDELAVGYEPNETYTYPAEEEETLNDRYRI MHKIGYGPTATVWYAVDLVEPRMVVLKIYVVDHMLKTYGRVHPPKTYQQSECPLHEVS DRFPIKGPRGPHICVVHEAPSLDPEQMHSGDKMDLESMRSTMKQMLIMMDFLHTDCYL TARIMPNATFNVDSGDRRVAGPSNDELITPMILPGDGVPLRLDFPDEDMSGIEEKHCR PPEQVLKSKSDHRADIWATAIVAWNYTISQGLIDGRNSDGAFDDRAHIAELVSLIGPP APGFREKMRLGSMFWDEEGNWTGQAPIPDRSFESLAAGNVDSEDVEGFLQWMRKALQW DPDHRPTALGLLRHEWMSQKTKAMEGERKHVSDENTQV PEX2_071380 MNCNQSLDILGKVEEEVWIRRIDQARKDGTLSTWVTTLLPGQAS CHLASWAMKGSYNHCQKLFSEDGTAYVLRFPLVSGVSSDCADEKAVMELEAIDLTRKK ITIPVPKVHAWGLAKANPLGLGPFILMEFIEGDRTEGFSTTSEYFHHTIHQDQQQFRD QPNSVLEEEEGESNLASLKILEFMIPEIVKKDYDQGPSNMIVRSHDDLTIVGVVDLEW VYAGPAQLFASAPWWLLFDRPTDDNWDVVNGEPPKVATRYFKHLENFKRILDEEEGKI PESQKEFSNLVTWSEESGAMWLHMLVSNGFFGSSTFPCFQLQQNVGVEEWEEQIDEIL DQEESIELLAKKPGERELYYKELEKVGECKHWLECEALTKEAFIVSVRKLLAEGPSEE IEEPSLLDRWVRPWF PEX2_071400 MGGLHLARILEFDDGTKWVARIQQHKLTSELEKRLLHEIHTLSI LHEQTDVPVPEVYGYETNPDVIGRAFMIMEFIPGNTGTDFTGPGSAAMSTIRFPKIGM VAKRNDGTYEIEAIPGLGGPFDTATEYFAAWGEHTKFPESEIWMRDHLPEEYADEIIA STGDYPRRIKEQRFEITVRNEGPFPLRHTDFLHSNIIVDAEFNVLSIIDWENAGTVPW ERVEFPTFLIKLPPALDFPWKYGADGKPLDDDRRKVWEERERYVRSVVEAEKLMGPTR VSDSKERTDTKDAANGKELYKCENAKLGLYSEWKKE PEX2_071410 MDDMASHYQVMEELGSGSFGVVYKAIDRTDGEIVAIKHIDLESS EDDIQEIQQEISVLATCASPYVTQYKASFLKGHKLWIVMEYLGGGSCLDLLKPGCFDE AHVAIVCRELLLGLDYLHNEGKIHRDVKAANVLLSQSGKVKLADFGVAAQLVNIKSQR NTFVGTPFWMAPEVIQQAGYDFKADIWSLGITAIEMINGEPPHASTHPMKVLFLIPKN PAPRLEGHEYSNTFKDFVAQCLTKDPDLRPSAKELLRHKFIRNAGKVESLQELIHRKQ DWDGGRGEARDMKYYAESLNTMTRPDDLDEDDWVFDTVKAPPMWKPKGTDWMTFDEED ENTPDPAQMLEDMHISAPPPPPKHQANSTVRRVPTDRSPSVRRANTKRHSSGVKQPLG LDLSYGNSPSTVRQFRRVSDKVPTDLNPAKQVFDENQSPKMVSTPTDTSSKEAQIGRR AYSKAVGMSCQEVLSTTGDGDKREAISRLAEAWSDLEMVDPEGLYHIVRIMNEKLQAD ARLSTLLPSTQPDSPSRPRLVLAQNNPHLKSHRRRQSYVPEPQPQSPPSVPAQAAPGM EHTKQLSDVLYQRWSEGLRNRWGGV PEX2_071420 MSGARNITAALRRARVPRPRFAIRTVQSLTPLTARTFSASSTRT SDSRQIKFTSDAYPNLKRNPNFAEISAEDVANFKELLGSQSAVIDGVTTDATDDIEPF NSDWMRKYRGHTRLVLKPQNAQEVSKVLKYCNERKLAVVPQGGNTGLVGGSVPVFDEI VINTSRMNQIRSFDAASGVLVADAGVILETADQYLAEREHLFPLDLGAKGSCHIGGNV STNAGGLRLLRYGSLHGSVLGLEAVLPDGTIVDALSTLRKNNTGYDIKQLFIGSEGTI GLVTAVSIQCPPRPKAVNVAYFGLESFEQVQQAYLAAKGQLSEILSAFELMDGRSQKL VIESTGNKHPLEGEYPFYCLVETSGSNAEHDMAKLESFLESVMGDGIVADGVLAQDET QFQALWRWREGITESLSHLGGTYKYDVSIPLSDLYLLVEDCKARLTEKGLVGDDDSFP VRAVVGYGHMGDSNLHLNVAVRQYSKEVEKAIEPWVYEWIQKRQGSISAEHGLGVAKR EFIGYSQNETMVKLMKQLKNLYDPNGIMNPYKYI PEX2_071430 MSENSVTATAQSVPQKPSRYRSMRGGTNAEVEMSAPPAPANSVG EQNPSIARSRSRYRRNRPSSNGNIVPPTPAIPGHARTQPQAQRNELRERERPTTSRTL STQDEEEAHTREVDRQGAMAQLTGGDNSAQHIRRPKVSTPPKQVAQQPRSQPAPAYPP YSSNSGNDGNRKSFFQKVKLSRSKDAKKNEPVPNYIGVGGTGVVPGVDAPVSAVNAGE RHALVKYRDATADLTVTPSTQVRDILLDATKHLSRDIDADKFILMESYSQVGLERPLR RYEHVREVMNSWSHDADNQFIVIPPSSVDALTLLDAGHAPSGQPSPVTVHIYHSQRRR KWDKRYVTLRADGQVTTSKKENAEEQTNICHISDYDIYFPSARALNKDIKPPKKLCFA IKSQQKSSMFLSTENFLHFFSTSDKSIADTWYRAVQRWRSWYLVNKKGACQNLETETL VKRAGTKKSTHQPRNVHNEVPLLERETSAESPKPESSDQRRPASSKDVFCRKKPLRGH VPPPSSYPHTLTLDTDTEGPFADTQALLSGISPEEVETSTFAPSGLLGRTYTQRQTAM RERDDRDKKAKQEAFSGTGFMNGGSIHTPGHDSNPNSRTNTMTRAPDASAFARTPSLN QKPLVDLTPVYAEPPQHSRKGKGRGVKVQPGMQLIEGATGPDQTPGGISIPPATSWRR PGADTTPQRRNTTRSVRHHSNKYAVPASAEESPALPAGKFTANSLLAHSASTASHRNP RTGHGVATGDRNATRPLLDMSPENPFADGSLLRQL PEX2_071440 MNQESTSQPREEHTSPGSLNCNQGRICALIQTSDGERHYFSGTV STDLRLIANNAQVVYFDLAEDKPYCQEFKAMIGPVHANFWWQGGAIHLSGTLLHRIDD TIEAFGEGWWGDIDNKEYEENGEERYSDESDDPRA PEX2_071450 MENRQLPYLNRYSGANSSIPTLETKSPIATFSQPERDRDAPAQT PWYNPQGWSLRKKLIVAGTTIVTVLAAILIPLEVIQNRYPNYTPLKYTLIDDYSGPTF FDQFTYFTDEDPTKGFVVYVNESTARHLNLTYASESSAILRVDASTPNARSGRNSVRV ESRNTYDSGLFVFDIIHTPFGCATWPALWLTDGYNWPTNGEIDVLETTNVGSHGNEIS IHTTKGCHMDVKRKQTGQAIFKNCDNATDGNSGCAVIGDENSYGEAMNNRGGGVYALE LRDAGIRAWFFPSGNVPADITAGYPDPSTWGIALADFPSTSCDIASHFKNLSIIVNID LCGELAGQKQYYDDLYHCPATCSGFVASSPGSFVDAYWEFNSFKVYRAS PEX2_071460 MFSAFLRRATTYKEKVPFLNELHLNFIFLHYAYIMAWAIIGSII LYPGGNISYIDALFQSAGAATQSGLNTVDINRLWLYQQIVLYLITCLCTPIFIHSALV FIRLYWFEKRFQHVVRDARAMRRTRSRMETVTDDGDSGDININRAELGVSGRPIVVIR DDSGDARDGRLTDPGSKTIHSGINTPEARESSVDSSSEGTDTSNEPRFGLGSLKVPTH LNPEHHIAFLEKQRKDKGALRIPSPREYDRGGAPEALEEDVDQEGEQAQHSSDHDDQD DQQSPGAHPEELDQIGPLEGPHITINEPDISRTRGRGNTFPRLETRPTFRETKDGNET TTLAPTNTRNTFRGVFRSLTQERDLNTQPYLSWNATVARNSNFVDLTEEQRDELGGIE YRALKTLAVVLITYYLGFHLIGMISMIGWIMTENTWGDVVRADGVGRPWWGIFTAASA FNDLGFTLTPDSMYSFQRAVFPLLMLAFLIIIGNTAFPCMLRLMIWVLSKFTRQGTAL WEELKFLLDHPRRCFTLLFPRNATWWLFAILVALNGIDMIFFVILDLNDSAVTALPPG IRVVDGFFQAAATRTAGFGIISLSELHPAIQVSYLVMMYISVFPIAISMRRTNVYEEK SLGIYDATDEDHDEDSNAPTYIGAHLRRQLSFDLWYVFLGLFIIAIAEGSKLQNENDN AFQLFTVLFEVVSAYGTVGLSFGYTNVNTSFSAQFNVVSKLVIIAMQVRGRHRGLPYA LDRAVLLPSDALNRHEAEEGERRMRRRASNLSGGGSFAQSQSRTLSQARNDAGLSSGM ETHDWQTQPSPNADSLVHRSSTVRSNR PEX2_071470 MNPGHMKKEDRIELFIHILHNHGGCGINADKLMEIATDVKENLR NPEDIKIIHEVLQVRKMQEQAERGEIDANTVTYVNKEYASINAASAVRGAPGRGYQGM EQGYVAPNISSTPLEFEDVDGFTFSSQVQEFYGTGTDIRPYESSMTSHFDVSFYDATN QVFIRHNGLPGYYDGSL PEX2_071480 MASNHDDSTVQVNKEELRRAGDLIVMRLMEVQSYIADLGKAYIQ HVNNITEGRDATIELPLGPSGFMGPDIPFRAGSPGAKSEAGGPKKRKRAPVDPNAPKR ALTPYFLYMQHNRSKIADDLGNDARPKDVADEGTRRWQSMEDTQKEVWKKMYAANYDQ YKRDMAAYKAGSKIDEEHDHEHDPAASQLQQDFAGAEPEVEAEAEAEAEPEESADEST ESSEESHSPSPVKEKTPPRSTTKRRRSDTKAKEAETPAKSPVKRGRKAAEPVSTPAVK TPAEKGRRSKKRKSEA PEX2_071490 MQLIPKELDKLTIAHLGFLAQRRLARGVRLNHAEAVALIASVLH ELIRDGHYSVADLMSIGKTMLGRRHVLPSVLATLVELQVEGTFISGTYLVTVHHPISS DEGDLERALYGSFLPVPAPEAFPDPDPQDFLPEKMPGAVIPAKHARVELSVGKRRIRL KVMSKGDRPIQVGSHYHFIETNPQLHFDRLQSYGFRLDIPAGTSIRFEPGDTKTVTLV EIGGNRVIRGGNWLANGPVDLSRADEIMSKLQVAGFAHVPEPQADSALISGFSMEREA YSRMFGPTTGDLVRLGLTDLWIKVEKDMTNYGDECAFGGGKTLREGMGQASDRSHTEC IDTVITNALIIDWTGIYKADIGIKDGLIAGIGKAGNPDVMDGVHPDLVVGSSTDVIAG ENKIVTAGGIDTHIHLICPQQVDEALASGITTFLGGGTGPTTGSNATTCTPSPNLLRQ MMQACDSLPINLGITGKGNDCGKKSLREQILAGAAGLKLHEDWGSTPAAIDNCLEVCD EYDVQCMIHTDTLNESGFVEQSIGAFKGRTIHTYHTEGAGGGHAPDIISVVEHPNVLP SSTNPTRPFTLNTLDEHLDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDLGA ISMMSSDSQAMGRCGEVILRTWHTAHKNKTQRGPLGTDADSGADNFRVKRYISKYTIN PALAQGMSHLIGSVEVGKVADLVIWKAATFGTKPVSVLKSGMIVTAEVGDPNASIPTV EPMITRPMFAARVPATSIMFVSQASIDAGIVQTYGLKKRIEAVKDCRSVGKKDMKFND AMPKMKVDPESYTVEADGMLCDAEPASELPLTQAYYIF PEX2_071500 MATVPPRPGPVSTFKRERAAFVFDLEMQARILRADPRASEDVAG NLLWLVESVHRLKDASMAMAVDARGNAYVLAKPYGFYSYNVPRMCNDLVASLLHWADI LVNTDGRRTDGIVVDSIEGMLGSLGF PEX2_071510 MTHINQSVVTSFRKARDDLVILLGQQSTFLRHDINSRAYVIPNL RLQLIQHLDIVASLSMKMAVDSRHHPYVLVHQTGFYETVVPMHCAALKGQCAHLADRL RDNLCTDVPIAVARLIDQIVANLCF PEX2_071520 MSESIATRFFRGTRAILKYRKERGILVNNIRFYITSLRQMPEGN YLIEVALNIHSLKVQADKVKWASQDLATTAANMAYVTSQGIEHFAHTIPQICDEVGHD TRQLAETLQDHIHQPVANTEHRVALGLEHALANLGYI PEX2_071530 MSTFDGIVEEFPEIRIDYFRKCPERPAPLACFLSHVHSDHLQGL ESFRTPFIYCSPATRELLLRIEKYPHRMNFNKGILESRRLHYKHLAKLLRPIPLNTPT EIELTPRRRIKVTLFDANHCTGAVMFLIEGNGKAIIYTGDIRAETWWVSSLVRHPVLI PYTLGPKRLDKLYLDSTFASKTNPFREFPSKAEGLSELLQKVQAYPDDTVFYFRAWTF GYEDVWIALSAALDTKIHVDRYQMGLYRSLVQTPGNRGVSEAPALCGFELGNKAVTGC LSSNESSRIHSCEPGVSCPAARSTNTVYIVPIVNRTTSGAEIPEVGAGGGIGDLYQTH ELELPDEFALAELEKLCLKHVQDKQALTQMRNALLDAFRSRKKVLSLDTYGVKEEGEI PLKNLVTALRNGPSITSSRSSQPDLPNTIRFPYSRHSSYSELCELVAAFRPKDIHPCT VDPKTWNEDVSIRRLFGHLCSGANFSHDNHMWQTVADFDGDGDRPRKRVRYDMDLSTQ STQETSSGIEDFSLGTADASQHHDQKQDSLHHLNQSEEAARVKRNEMRQAHRYLQEHA EPGLFQVNPLPSTWPTEKEDRFDLASDEGSEDKDMLRPDRPDLEPSALSLRLRSEPST STRISDLTGDGNTSPRPITQQADSQHTDILSLSVSESAFDSPEQNSIENGLPDPVRRE ETLNRSRRARVAAYLAAREGTFSAWTDVSLVSADNHGEEEIEL PEX2_071540 MRKPSVGQIVYNATFPRPRTNDPGSFGAHISRNLVPEVRVETSL FYGSLDCIEAQYPGLDYSYGPHRMRLSRFPWHRRLFRTFDELGLTEEEISSLCRWEGT KSARQRYEAEEGIIVRDTTAHSVRPASPQPAPSIEIHFNDCDFCGTEEEDDQIIETQS NDTVRAIDSRASSYRSAEEEHEIEDELSDEEMESCGVALNNRILAAMAARDQGTDVPL DEDWEQWLKEAGERGSFGEMVHAIRANQPLTLVADNVRLPRGRGAARVVTRATLFAVP DSIIATNTALPSTSNPASGTAQ PEX2_071550 MSSITTEDGGCDTPTYSSVCGGSTTSSPPRHLNTSRSMDCPWKG RSFIIRDSNTSLVIGLQKGILRLVPEHSERGCGIHWSCVESDGMLLGFQNMVSGTFIG HNNRGKLIAGAKLHRDWESFCVREHPDGGYLLLVKQGSGFLPMRIDGGTELVVSNNRD EGVVWEFTRVEDESSI PEX2_071560 MIKAIFYSKFDTIEGPKVVHQVPDGAIVPSPTAPSQPPFLTFSD VSFFVIPRQELCGNLLQVCTNGYRILGYPICMKSVRYDRNEFIFNFCIVLAEEDDFST YKSVVQKLADLMHGLEEQNGFLSRDFSKSGEGKVYSLCEMLMEDLNNYCECMIPIDEL NTLNIKLFPIYPSPPPVKAWQVPLFTLRYQAFMDENWDLTMQRIVPHINGVNSVRIIS VLADTDFSLTCRALRHLLYYGCLFILDIFSFTAIYAPTAQFSQTIGSDETMQLECARY VNLRFAPHPPTGPSSPIPPTLIPSPSAHGITTGSAGTAVLSSSLTDPTPSLGREESRF DAEEIWPLLGTEETPSSTSPTSTNALHKPAIVDGAALVELYASLKQGQSVKQWYAAHS RSLANIDIRRFITFGVIKGFLYRVHKYACATSQPAPPPRASSFTPTALSSRATTGTNT PYAVSSVSEDAPISAVARRESSRERAGSVVSGGRSGNGNGSAGAPSAFWEEEDEFVGD EVLGKYLDGTHCFDQICTELEMSERELTARLKRYPWEVLIIHR PEX2_071570 MPPAYKGKGKGRDARQSRSRNTTPSSGSAPTIPALPIQSYLEND VTKHIDLASVQYSDVLDLVSAQNIPDSKTLETLVEHLKGLSDMADLRGEVCNAGMREM SQKRKEVEDQELDREVRDRSKLKRETEEDDDIHKGGKLKKRKERPSGKEDRPLNHGAH EVARQDGAETKVEGAASPASKNSKNAISDESSSLSPPSMMSPNGTTTAGDGPPPGTPG SDTSADSHQPEPQPAIPQVQIFGDNPLKFDDPTIYNIRDVLPDMTDDEKKEIYSVAHF PKTDLAHMAAGVAPDKDFSNAKPSNQVSANTFQTYIEPYVRPLTEEDIAWLKERGDRT TPFIMPRRGKKNYRQIWAEEDGVSYDSSQDDKDQLPLNQGRGSIEQLTDDKAETNEVS VGPLLSRLCSLLRYEHRTFPEDSNPTANGDAASVTGLGGDAMDIDQPPVEKENKGEPK ALPAATTFRDADPNDFKTSVAKLDHAQLDERAKAELRFIGFLGADDSPDYDAHYDDEV AERLRLLQSELKKQIVTNNARKARILKIAQEHMAMLEFTTIQDDLDSQVQQAYLKRTR TMGKSKKGAQPKHRPGGAGGGSHVAGSAGVSRPAVGDAAKIVMDRRRRWNEAFLPIFD DIKTTIPSEGESIFDQEVMAEYEKAELENWDEE PEX2_071580 MIMSAATMSTQKNSVGKVATLWAGEGQASNTTSSVEENVAYRFV LDRKVQTISSNSIPKDGLVSGLLFVPSLDPHDPCYDITAPSVPSNVTRYEDVSEFGYS LVGLAPWVTAECSLSFLSAAQKVGTHAMIFFQPSDNETGIPPDSNDSRWAINDGDKWR MDNNYPVYAISGPAGTSLINDLSWYSNATPEDKRDNSTEKFALQTETSRLFARIETGE EGTTSSTSVWSFVLAIAGTVLILSILLIIIYRLVLRKRRAQLQRRINAGQVDIEALAL NQMTAPQEVVNKMPLYTYLEIKPPAEATVLQDDTLASPIEHDSGEKTNSLLPNEDNHA QDDAGIQKPEAAMIKPEQNDSCRSKYRLSHTQTTCAICLDDFVVGSSTWVNSLIASVP HTTSASRRFPPRTFCDNDNRRPRTADKMGALKYVEEIQKKKQSDVIRFLLRVRCWELR QLNAIHRASRPSRPDKARRLGYKAKQGYVIYRARVRRGGRKRPVSKGATFGKPTNHGV NQLKYQRALKSTAEERVGRRAANLRVLNSYWINQDSTYKYYEVILVDPQHKAIRRDAR INWICNPVHKHRESRGLTATGKKSRGINKGHRYNNTKAGRRHTWKRQNTQSYWRYR PEX2_071590 MPSRSERHPPVPPISTASNTVIPGLHPSQVPGLEISPRRIVRPR DASAMPPLNGSTPKGSYHRGHSRSISNPFAGFGRKRDKTAAKHATWDSDDDEDDEVTY PMEPESSSPRKGAAAGNDIAEGKCQTCDATVRWPRHLKVFRCTDCLMVTDLEPTSHES KGTPPQGTQGGASKLASKVFPLTANQTRGMIGGCLNMYFDSLLDNGSRPWLSPDDDRK NKPLPDLPPMQAHEPGPNNLAPSRTGDSRSRSASHSSERERLAASKENSGYLTPQSVH WEGNDTPVRVRANSDIRSSPKPDCHLQDVSQAGNPEFQSSDHRTHIFKRLENTIATAF KGCDCLNESFTTYPPPRSARAASNGNPPRMRMEPTTMPEPAHDAPLFEPDAKTLLLGD LTENSSWWMNEWAEAEGQNPAYSKEKSTPKSRMISSRSPRINWTELAQWYHSILSAGN SWTELWAAKKPDPTRSEADLIRAKRWASIDPSLIGRQVSESRLHLQRTLLKASEALLK RPRRVLKKPEDTRFLFILLANPLLCSPASYCQHAPTSPSHRDDRRPSHPKDHPKLATR DGKPPQKESPKAPARVEGSSHHYGIIKRILGLMSNLPNDCHHYMVSWASRFSVRHFER LVELVGGFLTYRLSRQHGRKRPQKPQEGDDLIPSFASASGNTAAELHAAINRSTTNKK PSKKTEDPIVYAEDWQIRAAARVMSLFFTSNISSATRKSDGTPRTLEMPKNPGPRQGH VIPISTFYNTLLDYSDLVTDFETWEAKVSKFSFCQYPFLLSIWAKIHIMEHDARRQME VKARDAFFTSVLNHTAVSQYLVLKVRRECLIEDSLKGVSEVVGTGQEEIKKGLRIEFS GEEGIDAGGLRKEWFLLLVREVFDPLHGLFIYDDDSQYCYFNPYCFESSEQFFLVGVL LGLAIYNSTILDVDLPPFAFKKLLSAAPHANGPQTATSMRSTFKCTLEDLAEYRPALA KGLRGLLEFEGNVAETFCYDFVAQVDRYGEIVSVPLCSNGENRPVTNSNKREFVDLYV QYLLDTAVARQFEPFKRGFFTVCGGNALSLFRPEEIELLIRGSDEALDVSSLRAVATY DNWSHPRPESLPVVRWFWDFFEKSQPQDQRKILSFITGSDRIPAMGATSLIIRLACLG DDCPRYPIARTCFNTLGLYRYPTREKFQRLLWDAVLNSEGFGLK PEX2_071600 MTSLLTTLGLRASVTPNPNYGPAFLTFNFILAYGLLSSRTLKQW YGLDHQVSPREDLTKYGEAAVREGKITRRQLDMLKRNESAHANAVENFPLLVAGVLFA SLAGVPAQRVNAAALSYTIARVVYGAVYIYVDHPTWSQVRGKVA PEX2_071610 MSARALLKQHFKLKNKLPSRYFSSTRSTNADFTHAVIGAGVVGL ATARQLAAREGTSTILLERHDAPGTETSSRNSEVIHAGLYYPANSLKTSLCIRGRNLL YDLCAQNNIPHRNTKKWIVAQTPTQWEACLKIHAHAQRLGDAPTRLVGHEEAQQREPD VKADAGIVESETSGIVDSHSLMTYLQGDFEDRGGDIAFKTRVTSVEAIDGGRGGYKIT AVSDEDGSITSITAETLINSAGHGACDISNMLLPQDRHFVPHYAKGTYFSYASSRPRT SVLVYPATLPGTGGLGTHLTLDMGGRVRFGPDVEWVDSPDDLVPSAARLEQALPEIKA YLPGVDVDAIALDYCGIRPKLGRGGAVNEGKGFQDFIIREEEGLPGFINLLGIESPGL TSCLAIGEMVNGILYR PEX2_071620 MPQPGMFSRADGTNIPEVDVTEYVHWVTVDFTKFGGRSKPHSGE RMMRLMAEQCRSGVATITTMPLHHTQPCCLSLPVVGDADLVRVHIMWRAAEIADWHWE EIMAGKETIDTKRILGDTPWWSVDEVEVNGA PEX2_071630 MAPSGTQEYIPLEALNANANDDEAEVKQPHRKQSNIWHRIDITS VLTVFLGFPLLFLAVILLALFWHESINAIDGAEPGIYWVRIVNAGWAAQLVTVCTASI RTVVTFQAGLATAMVAAIVLETTGAPLLQGPFYSTLRAVKAAPSSLWTATNFQPHLSY LIYALVLTEVLVTAASQFLSTIFLSDFGNGTFSQHKNSTNVSILHTTKNADIAWSTPP AASWTFAELSGSFEDRSGFHDTGHTFRAFLPFEEEAQRTKLRQLRGPVPVMDHRVVCA SLPLTNLSLDSTVQYYVHLSGQIPTENLTYPFLIDSYSHPYINFTCKLPIPMDMYDNN TVGETSLCAPISRANWTVLNEDPLIQPYGLPQIKSLFMLLDVVSTSAMVGTLSHIRAL QTIRTDGPWTILSNGSAHIEALRISACLTNIATQTLTVGMSSTSDNLEPRTAWNHHTE SFNTEPSRLQLGVSSPANTSSIIHRGILTLEPRSQWESFHIPAGTPGLSTLELGEDPS SFFAAILNFPNFLITNLSYTFDSGVTLSRKIPTISFGNAHPTLVDLFQDTLITTASPA LALQALLTRICQMAYYEQLVKLTSPIPAVTAFSLTATIPVRWTGFILGMVLILVHSVI AVVVVVLFMRSTEISIIGSYWQTVAQVVSKDTVPILEEADRMDDKAVKEWAKQKKVVE SLDDRWVVREGADGRVTLGLVENEE PEX2_071640 MALDEPPSKTDVSANHGPLQIGPVIATYVIAVIVVLLRFYTRFQ VQSVRILADDWMIVVALISIQLAVTAGFALIVVVSGNPSLGRHIWVVPGIEVENMMRI LFAFVLLYVLSTPLIKISILLFYRRIFGMTLSIWFPSGGKCVYDLYNFYIAYAGVNVI TDISILMVPIPIVWKLQMRRTQKFLVCGILLIGGIVCIASLVRIYYITFIRSHDYTWV IGNVFIWSSIEPAIGILCACLPTLHPLIRSVVTRVFGTSSARYTGSKNKDATNKRTFI RRQRPLDWDETLLTTHDIQVEMSGVRRDHGADGQIVVDMDFRIVEENNQLNTKERPYE CNVCHKRFSRSDVLSRHAKGHIQADATAGPSETPNRQPSVIAGASSMIPGSGNSLIST EAQIPPQSTTSRDALTSTGFPSSLDYLADISVHHGRPQSDLGVMTMDDQQQYFGWNAV TAADQVSHRAGLAFDPGPKDMLQMWLEPRTDSGSNDSLDMMRDSHFPLMGDNLMITPD QQNRHSVDSSDDIPNERFARVQQCWLAPPNTGRLINSLWRDIAMSPVDNIFSVRSSHL PSEPSVAQGSRCGFDEDCRRRLQAAFGPMNVSTHMHSPINRNIPPATPTSTLNHSDFP PAEILDMALDLFFRLFNPLLPFVHQPTFSAKKARPSLLYVMTLVGMTLLGTKGTTAFV SRNFSGALDKVTAEFARCSMGLESASGTMTLFATVLLLLNLAGLTGEKAHLEQCQPLY INVMSVAQRHGLFSATEGQILDMTLFETVPDIDVRWKAWSKVESTKRIIIGLLLLDSW YSSFLSTGPITVPDSIQLILPCSESLFCAHSSTQWTHLIRSGERILSSTILAPSENIK VPALEAPTDDFYLQAILAIIQLRQSESYHRLLSNRASYPFAPCHTYAMDGRARCLPSL QSQLITNYGETLDRLNPNALITWHNMCMTLTADIQIFDLAAGRAGPAPARKAFDDLRE WSQTPAARRACLHAAHIYKVMTNRKASDHPTFQSMCSLFSAGLVLGLYTFMLSDSTSS PSSVGSVELMDDVDWRSVGTEGFTSFMEPRGSQTLAPTDDPAISFIRNGATVYLRGLP LHGGYQSARRIMLDYASLLKDSGKWSVKQFSYILYIMSDVLMDVE PEX2_071650 MRNIHHGVQRIPLQTGRLEAPGVGCDVPAHSYIFPFEPNPNWSK CDADGAEIDKCIVDTVDKYGLKEPIVFDTKLVKSIWNQERCKWALELKQNDKIIHDEA DFLTNGSGILNQWKMPGIAGLNQFTGKLVHTAAWDKAYDWTNEKIAVLGNGSSEHNKL LFDVDAISGLMRQHLSENPDLTDKLIPKFEIGCRRLNAGGGYLEALQQPNAEWCFEGI DKITKADIRTAAGEEEFDWIWKETPEAYFSICAGGGIANYFMFNGPNCPIGHGSVPQM ISWTANYMLKWTKKIAREDIKSVVVNDSAVRNYNRQAIENIRGEEFDIRYNNSSDPAS YLGNGELAWERGDGADLAFYLK PEX2_071660 MNKHRECQYQAVDKRKLPLRVAIELLSSRVEQLCLYIRESGLEV PPMPQERDTALANVLDLLGLTEVHSAARNNHATSKSSNPKSPSASDDLPFDAPPSVPF SAPGITNDSKSTLAQTPTAPGNTSQIFQPSFTMFSDPHAVSTLPMVLDTEDLDISLAE QYPPADDHSDNSLTNWDWTLDFETCITPPSPEIQGIDTEPLQPPVEQLERQAEPFEPA VVQTPLSLDNDTRSTEEIEDLIDELSDRMGTLRFGPGGKARFYGPTSTFNLADAPVSI NSQTHRAVNYLDDADYDRQVPLSLEEHLLNLYFTWQDPSFHVVDREMFEKGKIAWDGK EETPFYSEALCYAMCSLGAAFETRYHPSFVTYPKSLGEFFGDRAKELLEIELDSPCVS TVQALVILSSHEIGVGSDTRGWLYSGMALRLAFDLALHIDLSTYVARGSVTAADAELR RTVFWAAYMVDHLVGFYLGRPFYTNMEDVTVKKPNNDVQYRKPCKWTPYASPIPFEHD SELFDYVGAVSQQEVSLCELMAPCGYFLYGTSVIPRALLQQLNENNVAKLLNWKAQLP SILQINLNDHTSPYLPHVLLLHMQYYQNLIYTHRPWMSKGYLQPQPPKGPGSTHAREM CINSAVSIAKILILYETRYTLRRINIKAVSITSSAVLLLLFAAVSQYQTEGGENIIAH LSTCFRALEEFSLSWQSANRAKDLLVRLQHKWEVRTRATKPDRGPDGAGYPPRKRSRT LNGSDAMIPNHRRLINTQPETPWHDVQLESGLGWMLMLSGQLVSNGDEDIYSFVGNTV IPE PEX2_071670 MSAPKLRVGIAGLGRMGQRHALNYHVFTPRAEVVAASSPDLNEH KWAAENLTGARIYKDYYEMLEKENLDAVVVAGITAVHADHTIAAIKKGLHVMCEKPLS LDIKIAQSVLDAYNTSLKTHPNQKVMCGFSRRFDASYREAHERMVSGQHGRPVVFRSQ TADMLNTTGTFVQYAKTSGGIFLDCSIHDIDLMLWFLGENTNIKSLQAVGVTAVHTEL DSSKDRDNAVATVEFDGGKIATLFCSRMMAAGQEDTTEIICEKGSLRVNMQGQKNHLE IHDGQGARRDLPKHYYERFREAFLTEANEFTSCCLDNTTAPVSLSSSVKAVVIGSALQ SSLLTGEKINFNSGKEARL PEX2_071680 MPSKSKAKTPARITIACNACRSRKQKCSGRKPICEQCLENNRPC NWPEQLRRGPEKGYAEALENRLQLTESILLNLLPHISDEQLSAAIPRRANSGAYVPFP RLEKRGMESWSEFPLDTSHSIRRWQQACTESESMGVETQGSKRRRLQNERPYDSIQMS TAIREIDGTVNETSGHSVLESSGNAHEESAPVERMSSWQGAPSFEFQRQFLW PEX2_071690 MTSKNSYRIASIPGDGIGPEVVSATIQVIEKLAKTLGTFNIDFE HIPWGTEYYKQTGRYVSEGYLDTLRQYDAILFGSVGSPDVPDHISLWGLLLALRGPLQ LYANVRPVRTFPGTKCPLNTATNGIDWMLVRENSEGEYAGQGGRSHVGQQWEAATEVA LFTRVGIERIMRFAFETARSRPRKLLTVVTKSNSMRNGMVLWDEVAALVAKDFPDVSW DKMLVDAMTVRMVVKPESIDTIVGTNLHMDILSDLAAALAGSIGVAPSSNLDPTRKNP SIFEPVHGSAFDITGKGVANPVATFWSAAEMLIWIGEEDAAAQLMGCVERVCAKGILT PDLGGTSDTQGVVDAVCLEVEKL PEX2_071700 MFYQNGRLIQEPEYSPRRTKWVNVFFNARDYRCDDLAIMRTVIT CIRTRVVSITGHAMHHDIPLCISIQVPGEHDDEESILAAAELSAEYLQAEVALGHNTT NKPQGKQPTPDSHIQDIGILTSNPGKMQSMFYFDGELIPEPEYDPRQVSNWVNVFIKA RDSDSDDETLMRTVAAYIRTSTASISVHAKHHDYPLCVSIKVPGDYHSNKASILAAAE LQADYYCQEIRNGRVRINRALLFRREVQDRG PEX2_071710 MWPLLFAFSDDSAYFDDAPGERLGISFTRDDIYTMASEFVPETS EIGSLTAHSNEDSQFVGSSSGVYFIKTVKRAFNDIDGPGSSESNLPTAEETLVGAETS PHDKHQRTSSVRDTASSLEVVESPTEWTYDPSLTASLGKLPPADVAKGLMMMYFKVWH PLFPFLHGPTFLQAMEKVYSNRNQTQQTQEPCIDHRSTCWTTIFQCVFNLGSLLAPDL DLPSESKIQSPTSFNSLLGTLSSRHDIVSLQALLAIQVYLVATMSLRQASTVGGCILR SMLHAGLHRCPFRYKQLSTHDRQLRKRVFWCAYAIDRYLSQALGLPLGIQDSDIDVCL PAAREMHSPRGQATQSMNGSSAPQHGNKEDQGKESVLASYVDSGTLTGRALELFHKSI LVRSVRRSSVLFLVTDVHKWWNSLPTELQRKPAVFEQRVGSVMTDTAFDFAPFFTVLY QHLILIIHRPSLSLDPSTAEFCSGLQTCIGAARAILSALGLQVDSRQALFWPGFLSAA WMSGLVLALACQLNQYVLTKGLQEIEEFSEFLRLMSTQWETAKHCHMSLSVLATKIQR SESGSDPRANSQAYVTRGSEGSPTLAESLTAREENRRRLGRLSHLQEEPCLSAEPSQT EQRNNVWGDSIHRGHDPVVAPSVSMHPSQTNEQGILADTPSSLQMDLQETNSTQFEGI SNFDLNMADLIEGADFDTLFDMIGQQFPSF PEX2_071720 MFQSFTGNSRRPRQVNLSGRATNPFAAFPGNSPTRQTPHAANPE SAVAIAQRERALRQHERDRQTASRTVQRVWRGHQSRKTTYKGWKSEWDTFEWERVTSA LGFDDDRGPTAELERLECLARLSAPPYPTAEQCLDQLRLLVQFVGLVRKKEDVLRLVY FCNVFEQTFHALPTIATENDWTELLKRLAIAILHALGSSRDSPTHEAAVLPLLRTIVF LVDLIPKKMATIAKHYYQTMAFLTKNIGLLEGTLSPERVTNAVLALLRPITSETMAAY EALAMSYLTIPNLPAYLGKLDGLAHQINYKLLAPAIESCIPLTKERLSAEDVEGIEGR LWLLAYLIFFHRYALPGQANLQAPDLGFLNVVSGLLNSTSIYLTRCLEADENGSDPVR GPYLDQFVADQVSSLVNQSSITGLLSRIKTSHLSHADVSSNEYNASREAKVLATYALN LLRVFPRRGDDIRMWLYLGSAPSAGLTSGQPGAKIPAIKYFWQASRSSRIFDTISKDS TRVLPLLQLPEDGDQEDQEQEWTIILLFFELYTFLLKVMDDEEFFSSASPFTSSTNVL SSWTKESALPLKDIKDMTVFLKNLAFTLYWNVADLTKKGPVPSSAVDLRSYFSNTAQV SGPIERTEVEAKKEINDLPGVTGIPLDYFKGLVTGLLRMLHERDSRRKFLPQNHWLMT DRFDMEGFIPAVVAEEEKRHEFQDDDEESEPDLLDDEYPEPSNLVGNSHARRLIHIQA MRNSQRRQAQNNALAAIAPRLEILRNMPFFIPFATRVQIFRQFIFRDQQRRRKGFVDP ESWRLSVAQNAMINGPPEGAADILARHHADIRRESVFEDAFSQYYGLGDGLKEPIQIS FIDQFGAMEAGIDGGGVTKEFLTSITSQAFKTDDHESMFAENDHHLLYPSPTAVDQLK KVLSEAGLTSSSLEWQDDVRGLLRRYEFLGRIIGKCLYEGILVDVNFAPFFLLKWALT GGSRSAVKESSYRANLNDLKDLDEGLYQGLLQLKNYPGNVEDFGLDFTINNVIRMPSG ANRTVTAELKPNGSQTAVTNKNRLVYISYVARYRLQLQPALQTNAFLQGLGQIIQPAW LSMFNQSELQTLVSGDNADIDVEDLRRNTLYGGVYVIGDDNLEHPTIALFWQVMHKMN NEERQKVIRFVTSTPRAPLLGFSHLRPNFSIRDSSEDQERLPSTMKTVAIMSAEAPAT APVTDNVVAAAPEANGTPVEATSEAAGDAANNQPHSASLYVGELDPSVTEAMLYELFS SIGQVASIRVCRDAVTRRSLGYAYVNYNNTADGERALEDLNYTLIKGKPCRIMWSQRD PALRKTGQGNVFIKNLDNAIDNKALHDTFAAFGNILSCKVAQDEFANSKGYGFVHYET AEAANNAIKHVNGMLLNDKKVFVGHHISKKDRQSKFEEMKANFTNIYIKNIDLEITDD EFRVMFEAFGEITSATLSHDQDGKSRGFGFVNYANHESAEAAVAEMNEKDVKTQKLYV GRAQKKHEREEELRKQYEAARMEKASKYQGVNLYVKNLTDDIDDEKLRDLFTPYGTIT SAKVMRDAAERSPSPEGEEKEADKETKDSEEKEVKTEETAEVKTEETTEEKKTEEGED SEEKKTEKKAFGKSKGFGFVCFSSPDEASKAVTEMNQRMVNAKPLYVALAQRKDVRRS QLEASIQARNTIRQQQAAAAAGMPQPFMQPAVFYGAGQQGFMPPGQRGGMPFAPQGGM PMPNMPAGRPQYPGPFPQQGGRGMPPNQQLPPNFQGMPMGMQAPGGIPNGMGYPMPQA FGRGGGGRGVPGMPMNMRGGPGFGRGGPQMGRGGGRGQPAPGGQPAGGEPASANQALL AAPPAQQKQMLGEALYPKIQAQQPELAGKITGMLLEMDNAELLGLLDDEEALRGKVDE ALNVYDEYMKNKGDGETTEPKPQEAAKEAPVEENKS PEX2_071730 MMVRLRLTTKQVNGGYYKGNRTGSMGHFDKRGTYRPDLTKHRVY MPAEKLEIPGRHYTAETAKVPFTLAPFITKHMRKTPSNYVQDIERNGRKITVIRGFEG KDYLEKWAETAEAEEARLAQPYTKKIEKIESKPTPQLKQTVQIETQELAQTPKTPKPS KTLF PEX2_071740 MPRSQTQKQGPKDRSAQKSAPKRKSTRSLNALSIAEKTIHTRLG VKRSRLGAYDDGTFNRKRNTGGDDDDENDEEDTTAHYKRRRTEDTGSLDGGSDSEGHE WTLGQVNSDNDSELDSDEALGESDEERFEGFTFRASKSKSQPKKAAPKPKKPTEISLS EDVDESDEESDGEDYDEDDDLGEDAIDLTTAWDMNVAAEEEEAQEAAKKAKRAAKYDS DEDSGSEGDSESDASDDELSISDEDADADPHGLSKLQDFVQSMETEKPIKRTQKSQEQ NKHSEYGLTSANKLTVADLLPSITDSRLKNSLKHIDSVVQASKSGIPGKLDAPLAKRQ QDRLDRTAAYEKSKETLNRWLETVKANRRAEHLSFPLRDPDAEQTHRLEAAKPQTDLE NAIQNILVESGLAEAEGKSAEDQIQSFEELQARNIPIEEIQARRAELRKRRDLLFREE VRAKRIKKIKSKSYRRVHRKEREKMEQQEQNALMEAGVDMDDMDQEKNERLRAEARMG SKHRESKWAKGLKQTGRTAWDEEARNSAADLAQREEELRKRIEGKRVSNGDDDYLGSS SSESEDEDPWNEEGSDVERQKIQKKLKALEGGNDAGDGVKGRHADLFEMKFMKNADAA RKEQNDAELRQINRELHGEESLSEAESEVGRRKFGQSKKPDAKSGVKQLLKNEFEEKL DSDDEAAQAAGSDDDVDIVVNGTTKHKSGASGNKKVSQSSRDSSDQQKDTPAEEENPW LIQTERTNRRRTAPDAQESIDISLDATQPEVAPAKQQSKKQKNAKATLAKQQFDENDS DDEEKVPVLLKNHDLVKRAFAGDEVVQEFEQDKMDTIKDEGDQVVDNTLLGWGSWAGE GVSKRQQKKQKRDLTTVAGVKPHQRKDAKLDRVIINQKRVQKNKKYMASQLPHEFENK QQYERSLRLPLGPEWSTKESFQSGTKPRVMIKQGIIKPMSKPMQ PEX2_071750 MHGQDIFQPVATGPVPANIKSRNDHPVQNEHANTTDPVATNKFY AGLFLGSQTNATFTQPYSLAWSKGTGSLKSWGIGVSHIQPHMLAYGPPNNKIPGNPVQ YYINPVGLQHLVFSATELDQSSIMNVEQPKAFSAHAVIKRSPGSAQQITFPVVQGMGY ITAVYNDLQPLIESGVFFRKVVSAGSPRPGIFKYQVFLEDDTTWLAYATPTNGEDPMF KLVSKTHLQGPKGFSGTIQVAKNSAGRSGEKFYDNSSGVYPVAGEISGSVTDNVGSYT LSWTKAGKDIKGTPLIMFALPHHVQSFDNATQGRITDIHLRTTTKGNSTAVIGESWTM IEQNLPINIGFAPWSTTQGSAHELSAAAQHAILQAAPNELKQNISDQTNLNSMYYSGK ALSKFATLVYTVNQLGNNVDLATDAFQQLKKSFDLFVQNKQQYPLAYDAVWKGVVSTA GYNGDLNQDFGNTAYNDHHFHYGYFIQAAAIIGSLDPSWLAANKEWVNMLVRDAGNSV ADDPHFPFSRSFDWYNGHSWAKGLFESFDGKDQESTSEDTMFAYAIKMWGQTTGDASM EARGNIMLGILGRSLNNYFLMEDDNVNQPANFTGNKVTGILFENKVDHTTYFGANLEF VQGIHMLPLMPHTPFTRRKEFVHQEWQAMFAENASTPASKVDGGWRGVLYANLALIDP KASWDFFAQPNFNYSWIDGGATRTWYLAFAAGLGGAP PEX2_071760 MSTIKTRVCMISDTHTSTPHPPQKTDYAYRYPLPKANILLHAGD LTKVGYRVEHEAMIAMLADADAELKLVIAGNHDITLDEEYFTTFGYTRHKRPEKLGEE SILLSDDNLQTTLRTSSTKSPNTDSLKEYVRSIKSLWTSEAAQKAGIIYLEEGIHTFT LSTGATFTLYASPYQPEFYNWAFGYPRDQDRYNPAPATQTQPQNPIPDYPAIDILLTH GPPAGVLDQVPPDLKVGCEHLLRAAWRAKPRLHLFGHIHEGWGAQRGVWDDEAGGGGV KLEDVPTDAEEMLENRGAFYDVSAGADRPLRVGEETLFVNGSIMTVNYQARNAPWVVD LELPVAGG PEX2_071770 MSSKDFIIKHMNADHQESLILFLQAYCGITSTQAKNAHLEELST SNLIITAHGTRYSVPIEPAMKNYSEARGRMVAMHKESLKRLGRSEITLTEYRAPRGIQ AVIFVLCALFYVTCFQRSNLQPGSDLYEYLELQRVPWFPRLVCILQPYVVGIHIIETV ALVVTQLKPLNVPVLSGLWWKWVASCFTPPSIANMGISRDSRHKRSATGAKRAHYRKK RAFEKGRQPANTRIGTKRIHLVRTRGGNQKFRGLRLESGNFSWGSEGISRKTRVIGVS FHPSNNELVRTNTLTKSAVVQIDAAPFRQWYEAHYGQPIGRRRQQKTEATEEKKSASV AKKQAARFADSGKTESAIERQFESGRLFAVVASRPGQSGRCDGYILEGEELAFYQKAI RK PEX2_071780 MATLEKTKQQPKKLPVQEDLSDGEDYDSADDYTDEEEEVPQTKA QKKKQLQKKPLQKQKQPVQEYDSDEYTDEDDYGSDEYEYSDDEAVQPYSNENSDFKPS GGMDVLHKEEKSMLDEEGMKLRLELNLEIEVELKARIHGDLTIALM PEX2_071790 MSDQEQPPTPNPDSTTTTPNKPKRAPPKLGKKSPAKQEQTPPSS EQDQDQDKQPKEDESKPEEPAKQQEPDSEPEPEPEQQEDEPEPEPETEPQPKPERRRR RPRPRPQESDTESIARSEMEPEPRPQRRVRRQRQPQQQQQNGSPLGGLPGVGGVDQAG QLVQNTAGNALNGVTGSAGKAVGGILGGGEDKKEDDGGRDEQLRLRLDLNLDIEVQLK AKIHGDLTIGLLLVFPFFLIPF PEX2_071800 MAPRLNLFTANKAVSALRQSTTPALSSPRSIASPVRFRAVQSLP IQRRWNSSRDASKKVEPQPDQQTYPTVDQLPDVTEEANEISRIMDKEKRCDGIPSTPE LDQGTPVEEILSRDKDAMKHMPKVMRDALKKSGGSRSFSTSARSLMNDLQGVEPNSEA NLIPNVPGLEGASDEAAAALASMIEQVQGQALEENPGLKFDPPAVPEDMKTLNFRKRY DTMQDQFTKMMMESGKLTKAQKNMALVLDHLRTASPPQINPRRRLLGAPPASQLPLDP VLYLTLVVDSVAPLFRIRQQKGIAGGGTAVQIPHPLTLRQRRRTAIKWILDASEKRRD ALFANRVAAEVVSVAEGRSGVWEKRDQQHKIASEPTTLRPPPSTVAPISHSDSIELVE YNPTSHPAPTSASGTARSDQYQHSAGRFDPAADSQPLADFDTGGGEPQEKTLEFDQPQ GSRLRFWAEALRRRAHNASQGNVDPDSETKMKFSHSVQFNAVPDWSSNYIAYSNLKKL IYTIEKQVNRIEGPATTDVESAPLLGGAQPSNPDVIFKRALDVEMEKICSFYQKKEAE IFELADELIKDADLYISETDGLNMDPVSETIIKASSRRNGSTSIPRRRSSAVSNDSLA DDEGEAGDSDDAQSPTTLPQRRRLLQSTDGESNTDDQYGDMVDSSYFGQSTTREPHES HFNDEDFLALYNTGISLKKRLVECYVSLCELRSFIELNKTGFAKALKKYDKTLDRSLR RDYLASVVHPATPFTDSTMAEIDRHIENVEGVYAGIVTKNNKQFARRELRLHLREHVV WERNTVWREMIEIERRAQAANVGIRRTLLGGDEDPATARRQGDKNEIRTQEMSTPLGR FQFPLWLCSLSFGTLVFSIALFGAMLSVNIMETPEEQNCLAMLVLVSILWATEAIPLF VTSLLIPFLVVTLGIMRSDEEPPMRLGPKESVGKVFAAMWTPVIMLLLGGFSIAAALS KYDIARRMAMFVLSKAGSKPSVMLLTNMFVSMFLSMWISNVASPVLCYSIIQPLLRNM PPESDFAKALVLGIALAANVGGAASPIASPQNIIALQNMEPNISWGTWFFIALPVCII SILLIWVLLLVTFRPGRNATVMPIRPVKDRWTGMQSFISIVTLLTIVLWCFSHQLEHI FGDMGVIAIIPLVLFFGTGILNKEDFNNFLWTIIILAAGGLCLGKAVTSSGLLHTIAM GITARVENLSLYSVLLVFCSLILVMATFISHTVAALIILPLVRQIGVSMENPHPNLLV MASALMCSVAMGLPTSGFPNMTAIMTEVPQTGQRYLQVRHFLTRGIPASLMSFVIVVT LGYGLMYVAGL PEX2_071810 MSSGNNGSLNANERTGLLGAHRGSFSGLEPHEHIEHGQHHHHPK DSRVWVHWPMHVVHLTWKTVVRDYVNVLLIFVPLGIIAGALGWDSTTVFILNFFAIVP LASLLSFATEELAATLGQALGGLMNATFGNAVELIVSIIALRQNQIRVVQASMLGSIL SNILLVLGCCFFVGGLRYREQSFNSTVASTMSSLMAVASASLIIPATLYAAISNNSEP KHGGKGGHTDPDRAAQDNILILSHGTSIILLIIYVMYLYFQLGSHSDLFEETNGSDTE HTAGSEEEEEEEERILSPWAAAVVLVIVTVLVSICADYLVDAIDPLVKTTGMSKTFIG LVLIPIVGNAAEHVTAVVVAYKDKMDLAIGVAIGSSLQIALFVTPFLVILGWIMGIEM TLHFQTFETVAFFISGLVVTLLIQDGKSNYLEGGMCLGMYIILALAFYVYPDNITN PEX2_071820 MPWRPLPRIAFAVAIYPFNPSSPADLPLELGDELYIIEQGGTDG EWCRGYLVAPPSLLAGLTSTKGQTLEARVFSGIFPRNCVEIREVLGDGDGYKALLNSD RKSVDRLTLSGWDGEYMSRNSLAYSISDLQAAGEVSDVVFAKKGKPSQIIIHKNDGSD QASPRWPTGSIPHTPVSLTPRDPNAPKPAAPVPMLKIGDETPTSLTEPLVDEIASCLR EWHSTNLHELLLARRYDVLEQMSNIVQELDFARRQLLHNVLTGKEKEALRDETVWKLV KANKMLSGDVIVRDPEQRGRLLTGDDSAIQLAKLQSEMSMLDSRPTPTSDATALHHLL LEINAVSGNAPGQVTIGIQLFSRSDDGSLSPLSETFSLDIPSPDKFVNMSQGSRLKTL FTELAATDIGDGSANGRQLYLVAWVRASETRSTTDTTPVSRPSISRESPTPTKATANG GIQPSSKGSLRTRRSMMWAPKQRGPSFDQPSKSTTQGIPRTSSSASSTKEPATAQPTP TKEVSAIRTVGVGILEISPILRQEKDAEQVINIWSPRRDGEDGEGLTDGFDKLIRALL PSPTGRYVRADPAARLHLHLRPFASPDAEFLIRQNPTILHDVIQTQRIGFSKAPTKPR SDIYVTLSQAVFPTDALLSHPQAGQIPLQASSGLHNLQLTLEVRDAKGARIDKCVFPS SSNTSNTAWRTTIAQRGTPWNQTIRLKIPTEQIPGSHMVMSVADAPEFPFALAWMPLW DQQAFIRDGRHSLLLHAYDKQTSSIENGKGAYLNLPWSALGKNESAKDEAVTGPLATL HLETHLCSTEYSQDQVILSLLNWRERPVGEVLDTLKRVLFVPEIEIVKQLSGVFDALF GILVENAGNEEYENLIFNNLVTVLGIVHDRRYNLGPLVDRYTDEQFNFPFVTLCLIRS YLRLFNAAADGTQSRNLRAAFKVGRHLLKFIIKAREQQKAKEEGIGITTVQSTFNRDM HTIFKSMETLMKNSSPALVGSKTLVVQHFHTWLPELSNVLSKDEMIMIALSFMDSCKD VTGMLILYKLVLIQNYTLFEVFASGDERRTLISSCIGWLAPYWGSTSGVSDLYRDQVR LSNSIVAQLLSQSDPQLYGFMPSIVASYCAISTEGVDETEYLSLLFSKAFPFQMKTAK VPQSFDESLVELSALMAAIAKIPSPKLPKLKEMELALFVAQTLEAHNSILDCEAYPET WFSIHVYNHRATIKSLEHIAVLLIDKLLPAPDDADTFDTKLWESFFTTLLKVISSDVL ALETFPEQKRRAVWKIAGDVREQGADLLQSTWEAIGWETTDDERERFNLKKLGGYQVQ YVPGLVPPIIGLCLSVHEGLRHVAVQILQTMILSEWDLNQDISIIETEIISSLDALFK SKQMNESVSQKIFIGELLDLFETETISDDLLSNAVKSLVGTVDELLDLLVASQSGAST QSLHALKLMEYMKDMGREDIFIRYVHELAEAQAAAGNFTEAGLALQFHADLYEWDLTK PLPELLRPAFPPQSAFERREALYFSVIQNFENAMAWGPALACYKELAAHYEHTTMDFA KLSRAQSSIARIYDFIAKGNKQFPRYFRVAYKGLGFPPGLRDKEFIFEASPTERMASF VDRMQREHPTAQVMSTGEIPDYEGQFLQISGVSAYRDVSHPVYQRPKVPSSVREHLLI SDPSRFSATSRRHTSSSDVREQFVEKLIFTVSEAFPNILRRSEIISAQEVALSPLQTA IERTWRKTQELQLIGRRAASGEDSTLSNLTEALESLLEVRSSTSNCVASYRVFLSEAE LARNKLLEEFDEDAEEVQTQHPVDPMETALSVALVDHALAIKYALSLYQRPAQQATQA ELLRRFEDVFEPELGSLIPAPLEYSSPTPTQTARQSPSLSDNRRMPPIQRIVSPEQDQ NRTTRGNAHTRKRSDRQSVSHRISIINPFKRSHGATNSIFTIQQSDSKGQIVGEQDEN IDDDAATIHSRTTSHSRGGRSERRRSFFSGDKTYKHGSSPSVALESQTSLNAHNVSRD TAPRSNDGRSRAGSQPRGPTATGAATDRAAPASSGGWSTLPSTRDYSRPVTRDSNAVT LTTTNGTAPLSPVLNNNNTGMRDSVLRRFSMLKGVGRKGSRMDFKANGALPEE PEX2_071830 MVVLEWRIKLCADYPSRVKKTILIDLFLTLPMYTKIDFHFSKAY FHWSLLIQDAPLPEILLSGDSRKVMELFIREEPGEGCEIYLKNLEDPATVHAIASSGG MENFCVVLQY PEX2_071840 MEEFPWHTDCSYEECPPQFFALHVLQPDTCGGGTLSVLKVDQLL ALLSPFAKECLFAPNYLIAVPPEFKKSPEDEHIVGGLLATSPDKKTIQLRFREDIITP LNPKAAEALEELKSVLLGPEANPHTLHLTAQDLSRGSIILMDNRRWLHARNHVKDPNR HLRRVRWDARPFGASLITP PEX2_071850 MLMIVRSLDLMAEVIENAGGTIFCAGHLMPHAEVAEMVSAFRIN VITADSGQILQFALYVASLPEAQRKEIRITKVVYTSEPLMRAQREHVRSVFGDVLICS AFASAESGPWAVMNHAVTNHEDDDSADFIFDTRTIIIEVLSQTVTEPGNIDSHGDIKP LADGEKGVIAATSLQRLRNPLIRYLSGDIGSLHPLPENDIIDPEESQHLKVLRLYGRD QRFSFSWQGEYFNFNTLLRLMQTDEFSLLQWQLILTECSTSIHNLEIRVLRGQSGSHT ISDEELVQELTKFFCVYPAIEAYFQVVFVSSFQDFERSKTGNKVMRFVNIRRPLR PEX2_071860 MLVNVENHIDQIKDLQEALTIAIVNIVGRWWDPEVDFPSRMPLE PYEEDLLRWMESLPTGTIPSFDQCLGSWRPDFLIENVSDSSKPNWTCEKFRICEINAR FCWNGFFHGAYGQQALDDMGAEEKGFQGAANCEEIVNGLFNLFDTSKTLHLLKGEENG IDIGMLALAVERRTGISPRFIRPEDLRLIPCSEALLGQRLCCVIPNDAAVSSTSTVFQ YNGERLEEIQQVNLELHQRELRAIPTDILRHLSLRCFNDMRTIFLVHDKRMLGLVQQE LDSLVYQQVLTPAQAEILREGISTTFLPGSMELDQLIQDFHTNPNIKNQFILKPIRGG KGAGILFGDELSLEDWQKRINDCRCATISPDHTSYIVQRSVPQPLYDVVSGDGCESKQ VRLVGTYFVINGQYSGLGIWRASPARICAISQGASWMCSVF PEX2_071870 MSRQHPLQNVTASEIRRATAVVQGCAKARGSLKSIRFKYITLHE PPKALLMPYLDAESDGVPVDSRPFVPRLVAALYTDENTGEAFESIVSLDSETEVDVVK LLAGQQAPTDGGQPRQFIKTILNDPSVVEAIAKLKLPANAKIYCDPWSYGADKFSDID TRAQIQAFLYACTSSHLEANQYAFPLPISPVLDVGENKIVRIDTLATGGTEDGLKHNT APDAPLAHCRAKEYHPDLLDLPVRTDLKPLHVVQPEGPSFTVSDGNLVQWQKWRFRVG FHYREGLTIHDVRYDGRKTFYRLSMSEMTVPYGDPRAPYHRKQAFDIGDAGAGACSNV LGLGCDCLGLIHYFNGWLADENGEPEESRNAICMHEQDSGIGWKHSNRRTGVASITRG RTLILQNILTLMNYEYIFAWIFHQNGTVQFETRATGIVSTALIDDGKHSPWGNVVAPG VLAQNHQHLFCLRIDPMIDGVRNTLVQEDCVALPETDDENPFGNAWKVEKSIFEKSTF ADAAPEKNRVFKIINESRTNRVSGNPVGYKLAPLPSQMLLAGKNSITRQRARFAEHHI WVTKYRDGDLWAGGKWTEQSVRETDGVFDYAARNENVKDEDIVIWHTLGMTHSATPEQ FPVMGVETLSVSLKPADFFEYNPALDVPQSTQEINKSVQVSGSMCQSC PEX2_071880 MTRGAAFEDEKKRIIHSCFAKKDEDGLLLESYITHVRITEDSAH PSSPSPPNGPPENKKPRIIIISVRKSGRVRIHKARENNEGTYSIGKTWMLDDLSAIQS FASFVPRTPGEQQQKEWAGNVGFTVTIGKPYYWHARTSKEKEFFIGSLVKIYRKYTNG KIPNLIGFDDKERQMLARHGQSAQPGQPAQPAQPGGPSNGPPPRGQPVPPGQPGGPSN GPPPRGQPTQPGGSSNAPPTRPPPPVPSTSDSPVPASLQPSYRGRDPSRDAPREVKRK PSEEPTLRTQKSHEQMSRPSTSSRPSTGQGRPAPSPFDLHKSPPPSLLSGPPLEQQPP PRAAERSAVNAKPPSSQLEPRGTDNLTAATQASGYGGIPESLRPSSAPKPPPLATQQA PNKAPEFMRSNDALRPTTSGSSNNEGRDTAPRPTPSFGPKSPQRGGGEKAFTFNQPSL PQEKSVPDSLLAGASAQPREPSSSPAIEGLASEPAAIMAVNLPSPEPIQPSNEKPKTP IEPVPTAEPSSKAAPEQVEESGEFEPHRPGLGPMVKKKEVKDIAGAWKKAATAYAGFK PRVGGAGERLLAAAKKSQAELAGPDGITSVVPAPSLSRSLTDPPQSPVATKSEQELQL PAPAPAPAPAPAPAPVPVPAAESETPTVEITEPAPEEIAVAPVPTPEVSRENLSDVVI KVEDRSRSPSPATQGRRRRRREDHTMKYCQALGINPSILDGRGIDFDEILTDLGWNGR LADEQKIEDLEADVRREIGRVEATSWLGNLEQQEGKVEQLASLIDRTIEECDELDGLL TLYSHELNTLHEDVAYIEAQGQGLQVQTANQKLLQNELQTLLKTLSISSSELGPLKEA SLSNPDGLKDTESALSTLYKAMLTIDSDIGQNKKRQVDASVGVYADTEIGQMRAIKEK KEEYRSTATMFLNRLQQFMALAFKMAEQKRVDWANGGAKDAMKLDSAARGHFRQEVWR YNPLMLFAKEVSMAEWRSLVGLYEQQSKSSYQGDFRDNNLAWKQTAHKPTGDEQELLF THQEKEKETEGLTMAARKLTVRRGKTVRAAAGLRLASGGQKKQGKAEPSEAFAGTLRE TLKMMAQEQNFIIQFFHLNSLASADFPDLVSAAIPENRTCPDFSANQPHDPDRGMAKR VEQIMDEVYSFWPNDMQSLVDWAMQADPLQGIGILHALETAMNDFDDTNQEFILHALQ KIHSRLMGLFNRFVDEQIRGIEDTKVKVNKRKGVISFMRVFPNFSNAVESLLSSPSGS FCDIRVSVNDAYDRINRAMWESLKFIAKEAPGQPPGATAGAGDPEDKEILNYHILLIE NMNHYIEEVDVHNIPALERWTDRAHQDFQEHMKLYLDAVIHRPLGKLIDFVQSIDSLL AAGGNPTDIAARASHSRVVAKKVMTSYDNKEIRRGIEMLKKRVEKHFGDADDPGLSRS LVLKVLRECENRYETTYDRTRQIIEDVYEGQLDLDWRKEETLSMFRK PEX2_071890 MSSTTINFITGNKNKLAETRAILGDTVQLSNQNVEILEIQGSLE EIARDKCRKAAIAVNGPVLTEDSALEFRALKGLPGPYIKWFYSALGDDGLCKLLAAYD DKAATAVCTFAFSAGPGSEPHLFQGCTEGKIVDKRGEGGFGFDPIFEVEGQTYAEMSF AYKTESSKTTKSIPPQLIASVAAFLSENFPETSTAFTKEAKSGKKSDAKNVPSLLELF QASEQGSSVKKSASNSSSSSSSSGSDSDSDVEMGDAARSSSPSSSSSSSDSDADDEDD DKSPAAPTPAPAQVKKSAGVKRKAESSSESSSSSDSDSSSSEDDSPKAKKAKTSPTPK EASSSSDSSSSSSDSSSSDSDSSSSSSSSSSSDSSSDSSSDSSSDSSSDSSSSDSSSE SESESESEKESKKALKKAKKTPLPESDSSSDSESSSSGSNTVAPTPETEIKPVKKVEE AVKTSSSSASPAHGNGPVKKKHTGARPTPLALLSELPHDHPSNDYMSYAYADRAWKDL SVTRGKGFTKEKNKKKRGSYRGGPIDIAPGTTSYKFED PEX2_071900 MLSELPSEIIYHIATYLPTANSLAHLSQTCHRLHGIITAENSRI FRAFVRSRFPSIETPPFWKDAAQALTSRSRALDRKAIIGRFVVPPENTTKIGSHEVNR QDNPTLGYRPAIDSYEIWNGQSWADRKEVLAWGAANQLVMRIKQTGARSKEDWLVFND VDHISSYDDICSLHLLSSESHYSNDTNVEHLIFGRVRGDIAHLAISPNEATFEYKQKF LAHGLRLDRTDLSIDTTSTLAAHFENGSIAFYHTETDKEEIEPFTWLLPEGSSRINYS KLLSSSLVAVGTGNVENSLSISKITPDGVSAYRNIGIGSLDTDSTSLKSKVNISAIEP LNKHNLAGSPGEVFLTAWDDYTVRLHDLRSPRPYEVSYKDATDLNPIYSLHAFGHESF LAGAGGEAVVKIFDLRMCNTYSYLDAQVPNSQSQSTPTTTKTKANSTLRTKANPHPKK GFSIFLSYPPPPTFPQQTPAPARTRQRGPYRGPIYTMSSPSPSSPTVYTGIVDGVVQL DFASTDDLTGPHEEWYEYNLDLGVNTGPEVSPRWNAESFNLAGYERPDDDDFATTSKL RNQRAFADLGPGDVAEAHTNWDCRWETLEEPGAWRRQDG PEX2_071910 MDVAIAAFTTAKVPIVQLNDDNYREYEKAVVTSNLLFRFTRPPV VVQPETAAHVQSVIKQVKAQKLLLTIRCGGHSYAGFSTALEKNNILVDLRKMNKVRLN VKEDTITIDAGCQWGEVYRTLINGDHNGFIINGGRCPYVGVGGFILGSGLGPFTRTFG MGSDTLLEATLVTADGNLVTVGKSDDPKSDKGRLFWALQGAGQANFGVVTQMKLKIQH LNSKNGWVVGGRFLWFPGEKDMGTLLPTMNEFYKVDWPKEMTIDSTWICDLRQKPGGD GVRFTVYYDGSKEDYDALIKKHIKNETVQKQLIKRALPEPSTRFLYETLEEQWFDESK KFFAENKTYRVFTSFVFTRKEIDKNVEEITRILKGEMETFRALYPEESVNLDIVWIHT GGHASTVSKPTETAFFWREALYHTYVEVLWQDKWMELNMRGFMSRLRKKLRPFSLNKA AAFLNFPDRDLVQAGYERAYFGDNRQELRRIKEIWDKDNLFKWAQGIQLPKDAVDDES ADVDPDDARDQTDQIAQDLWDKRNWQHKVVRDIGAAGKKLEAMGF PEX2_071920 MDKFKDYVDYVLSVTGYALAWVNKTAKGVVQKLFGLNPEFVEET DQEVNPDGKPKSNAEFVANVKKAFENTPAARFFKNNPKFIEELAAKAAALADDPSTPI CGKDLLPKTAQVTMHQQVLYCDDSGSMKREGRWDSQNKLINRIARVTTRILPEGEGVY MRYINQEIPNSNSLSFEDLGEVIKPLTWGGDTPIGTNLKSKILEPLVYSKLPNDLKRP LLVSVITDGMPEPEPKSTFVDAIAECGDKLEAAGLPRESVKFVIGQVGTATRATKFLQ DISEDERISDVIFVASGKAHSALVYISHY PEX2_071930 MAPSIVEDVGKAPAVGENGYPSKLEKTSVSMGHEAKHQIPDGAV TTAINGIDPKSGFEPKPIAICGMAMRLPGGVHDSGAFWDVLVNGKDTRGPIPQDRYNA QGFTDRTGHKGAIKTQFGYFIEDDLTALDTSFFTFTKTELERTDPQQRQLLEVAREVL ENAGEANFRGESIGCYVGTFGEDWLQMSAKENQHAGGYIMTGHGDLMLANRISFEYDL KGPSMVVKTGCSASLVGLHEACRAIQYGDCKSAIVAGCNLIIGPTTTAAMTQEGILAA DGSCKTFDAKADGFARGEAVTAVYIKDLDDAIRDGNPIRAIIRNTGTNSDGKSEGLMT PNSKSHEALMRKVYTDAHLDPSLTGFVECHGTGTATGDPLETTAVGEVFGASGVYIGS VKPNVGHSEGASGVTSLIKAVLALEHGVIPPNIKFNTPNPKIPFVEKNLKVPIKPTAW PEDRERRISINSFGIGGSNAHVILESAQRVQSRNQARNEGDDCQVVIVSANTQESARQ YTELIHDYMKTNPKAINDLAYTLAFHRERLPFRAYLIADKTAGIEVSPSVKAPAHTPN IVMVFSGQGAQWPQMGHELILSDKLFREDIEEMDRLLQSSQFPPSWSLKEELLKPVET SQLGRAELAQPLTTAIQIAMVNRLRRTGIEPQSVVGHSSGEIAAAYASGALSLIEALL CSYYRGYVTKDQSKEGGMAAVGLGSEQAAAYLIDGVVIACDNSPNSVTLSGDVAPLQT VLEIIKRDNPDILTRQLKVDMAYHSHHMNNMGDKYRKFLETEIQQQNLVRENPRVKFW SSVTGQVINDGHELGPKYFQSNLTCQVRFNATVQHILEHQPNNLFLEIGPNSTLAGPL RQICSTQGLLCNYVPTMLRGKNCQASALAALGQLYQSGISMEERMVFPAGRALTDLPR YAWKHTGPYWYEARVSKEWRLRGTGHHGMLGLRVPETTELEPVWRNQLSLDDEPWIAD HKIVNDVVFPFAGYISMAGEAVRQLTGIEGGYRIKHAIAQSALVLSDSAPVEIVTTLR PLKISDSVNSEWFEFTISSFSGSTWIQNCQGQAKAINDVIPNSKAPEGELARQIPRSR WYRAMADIGIVYGPHFQGLSQITSSTTQNLAAAMITSPIYKKDQFPFHPASIDACLQL LLVAMAKGIGRNFGQLRVPTTIEDLTVSQSSGEMQAVAWSNGHNDVAVDCMVDGKPAL QLRGLQLTSMDNSAKTTSLEPHAAARLEWNQDFDLADHSKLFNPPQSIKEETWMQEEM TLLCMLETAIRVRHLKACQPHFEQFRDWLEMENKRAADGTYPLLGIEARKFVVMSSPA RAKLINELEEKLLGISTKGSMVIGIKRIYDNCERIFTGEGDTLNILMQDDVLTYIYNS MSFGKGEFFRLLCHSRPTLRVLEVGAGTGGTTETILRELIRPDAIPCYSLYSFTDISA GFFPQAKERFGYAPNMDYRVFDISKSPIEQGFEAGTYDIILAPNVVHATPSLNETLGN LQTLLRLGGLLVLTELCAVVRTPNFVFGNFSGWWLGKADGRPYEPYVPVERWDDELKA AGFSGVDTSICDAEAPYHYCAAIVSSKPLTDAVEPTTPRPVTILCDMPENGISKRFID DLILAGVPTTVCSLYETPPADQEVISLLDLEGSFFEDLSSERLAAFQNALNRDTANPT ILWVLRPTQMKCQDPRSGQAIGVARSIRAESTVPFYTLEISQDERDFSKLVLGVWKKI INSEDNELLSPDKEYVVDEGIVKVSRYRPFLVGKEISQSEVEEGVSSIKSLNIVTTGQ LDTLQWAQEPLEPEVQSGDVLIDTRAIGLNFKDILYAMGILRSGKDEVPLGLEVAGVV RKIGADVTDMLIGDRVLAMPPFACAKTAVAVPSNLVQKIPDSLSFEDAATMPICYATV IESLINIGQLEKGQSVLIHSATGGVGHAAIQICRMLGAEIYVTVGSDAKVEYLMSNFD VPRDHIFHSHDASFATKLMQVTKTRGVDIVLNSLSGELLHASWDCVAEFGKMIELGKK DGTEFGKLQMNNFLLNRSYCCVDMTHLAQLRPQRVKMILTKMVELYSTGHIQPLHPLT SFEAGKAQDAFRHVQNRDHIGKAVINVPSDFSVVSSLPKATKLQLNPASSYLLTGGLG GLGKVISTWLVERGARSLVFLSRGAGSPENWNFLRELESTGCTVTIVPGKAESPDDIN AAISKAPNPIRGVIHLAMVLKDSPIANMAHSDWLAANAPKVTGAWNIHEAFKEGNALD FFVLASSVITVVEQPGQGNYSAANTYLEAFCQWRRSLSLPASVLNICPIDGVGFVAEN QLARKNMKAQGLYFLGESELLDFMELSILTSRPIAVTRPEDLKTGWKNPGQIVMGLKS AEDLNDTNTRTNWRRDRRMGFYHNSVEKVESGRGSSNELKQFLANVSNDPDILERHGS VVYLATEIGRKIYSFMLKSEEDLEIAVSLKQIGLDSLMAIGLHRWLKLTLGLQISILE IMSTGSIEALGSLAAKHLRALYIGECK PEX2_071940 MATASQKIVLITGGNQGIGYETAKNLLRTSASYHVILGSRKLSN GEEAVKKLQAETDLKGTVSTIELDVTEDKSVDAAAEKVAADYGRLDILVNNAGIVSLA NPPSRVEYRKVLDTNVVGSLSMTEAFLDLLRKSEERRLVFVSSSVGSVSQAADPTSKY YSGNGFEYRSSKAALNMLIVLYWNSLQKEGFKVHGADPGLCGTNFTGNAQSLLDRGAA TPAQGGERIATVVKGEKDADVGRVLGEYGVSPW PEX2_071950 MTYSITALAAAFFAGIVFYKVLIYPAFLSPLSKIPNVHWSASIS PVWMLWRRFTSRNNRTIQAAHERLGPIVRLGPSEISINCVEGGIKSVYAGGFEKHDWY PRVFASFGTVSMFTMTGSKTHSTRKRMLSNIYSKSTLQTSPHMKLVSDAVIFDRLLPI LHEAATTSKSVDMHDLNQGLTMDFVSSYLFGLHNGTNYLQDDSVRKHWLHLYMCRKPF EFYAQEVPYLDGWLRRIGLRVIPKYCDEANAMLDSWALDLCDRAEQIISSSVPGIEPT VYKQLKQSLDKHSTKNGEAKPDKAQQRLDIACELYDQLTAGFETSAVGLTYLFWQLSR HPEVQDKLREELLTLSPPVSYPKTADLPPAKSIDNLPLLEAIVTETLRLHAPIPGIQP RVTPSPSCTLAGYADIPPNTRVNAQAYSLHRNPEVFPEPETWEPKRWLEAENSPADLE EKRRWFWAFGSGGRMCVGSNLALQEMKLAVAAIYTNFRTTIVDDENIEAIDAYTVKPT GDKLILKFESV PEX2_071960 MAPKGSLSTRLNPMRLNTINHLRVRRPNQNEQNPCVVVMSSMLN CWASAGYGAEGCTALEAQLRKCMDAPKSREQKKNTVNYHLMRMYPKVVGPRKKDGVLG PEX2_071970 MGRRLAQMTEDAMLEGGRSAQRNIEQAGFSEELKNRLAERIAAS SFRSDYAAAHSIVEMPSAAGQGTRENAAAPKWTGTERLEDTTLRMLDDASKPIRMPYK IPDPVNLKLTPKPKKSRGERLAEAKERTSTYTLSQAPGFSEEERENMRREMREQLTPG AHSMPISISGLSSLANERIEDAIARGQFKKIRRGKGVNTKSDHNASSAFIDTTEYFMN KIIQQQEIVPPWIEKQQQLGMELNRFRERLRADWRRHAAMLIASKGGSLDAQMKRAKA YAAAETRLAEKANLEASFTEDTSVSEINSDGRIVSKSETPQSPTIKNDGDEPSEDLAH LPPLRDPNYLAIERSYHELAVKQINTLARSYNLQAPASAHKPYINLDRELKACYAAVA PELAEEIKRRATERARPSSIVPPVSSSKLGSLGMAQSVQVHEEDQSKGYGMKQLWRDL FTKS PEX2_071980 MGNKISAVHGVKSDHFYDQGNAVNEIWVGDVEVISRLPFGDSDL EQAGWPKGDFPKIPWHHFIIPMHKRDEGNNMTAKASSWDMDGTYCTCRDWLPEHGYLG MYTRTSASAAYLIGALFLLLAAFLWIYFTCKLCNSFRAWKCLREASVLHPDPVAVRRT ANVDLWIRLGQTYVVLFLSILSLVLGLPALAASTKKMDAASFILYNGFWGGLGAIIGG LVINPLVLLWDARKTLPAKKSDPEDFHPSP PEX2_071990 MEILKPPRPPISMDPSPVSPMSHNLPSPKSSGFKSWGSLNRSAR PSQPSMNGSMLTIDESIVANDRQRAQHDMEMLYAAVMEHDAQKASGVDQSVREQDFQA QSPDSPLTNPFTDRGSHVSDTSLAPLAPLKSPTKGFNSSRLSKLFNSGSRANPDPSKV RSPRLAIRNMPISSPLASPAVVTPKAYIPENPPLSPRIYNPGPPPVVPRLQASEAIRP APGRARPPAPLTLSTHSPSSSIPSNLPFREAYPPQSAPATKTTILERPIKNRAGPVTG MATPYSPYMPFTPVTPFTPGRTVTKRQRKREERGNGLQVLNEDDLVKDDDDIWG PEX2_072000 MASGQTLGFIGCGNMGGAVLNGLLKSAFSTTGTETTSPKPITRF IACTKTAKSAARLQTTIAPEHEQLIKIVSDQTVQTMQESDIIILGCKPFMAEAVLSEE GVRKALSGKLVISMLAGPSCPTLTRLINPDPDAADQPHLMKAIPNMGAQFGQSMTIIE TPASTIPESMVAATEWIFKHVGAVKYLGSEQIGLATVLVGATMATLTLPIEGLLDGCV AEGFKRADAMELVLQGIRGLSAVLESGQHPAVVRESISSPRGCTIQTLLSLEKAGTRS DFAEAIVRGNTHLKEKM PEX2_072010 MPLTVLSRSQLRELLHDLSRDEIINLQRNLAEALREYSSGSQEE GCSAAYQPQRTAITRKNGTTTIFMPASTGQTIGIKMISLQDGGDAGCTIERDTVDMQE KEQTRRRGSFRNSVASISSDLSDLSVGSEDKEDSNSISPVTTTTESSVAGSSTGSSTL LTGCVNQQNVTSNISRTLGAWPGAGTRDTSPRGSVTLLDGESLPFALINAHELTAFRT ALASLMMFNRRKKVRTIVVFGAGTQAYWHIRLALVLRGEDIRRVYIVNRSFERAAKLL QEIYQPENIEWRGDVKFSAVSTDFGEYSRVLKDHVRKADAIFCCTPSPEPLFPAEYLT SGEGRQKGRLICAIGSYKAHMAEIHPDILRDEVNVQPAHRHWHKHIHRSGVIVVDSLD AAMKEAGEIIQAGIRPKQVVELGELLMVRDATRDAATVDEEKSLREWSQRGNVIYKSV GLGLMDLVTGGDLVRLARERKLGTTVEDF PEX2_072020 MRNTTCYLPNGLTFNVSPVFGGFTFKSADFNVQHNSTFPPGWTI IIHTEKLPKPDKQKDEADPEKPRDDEDNFTRFTTPTLTRDSLYISYIVNPPTSDFKPV TSPTRQIAMMLWATLWWYFHEPEPDLHVETAASSMTPRNGRPKGEWRINVKREGIFKG RNLLQKLERMGLVATEDSSVGLQPMETRDSSSWSTMFVSRRSFWQLDPRLFLFTLTPS RGRPSTPPYRSRNVSPAPDSGPAVAAPLALAPMEATFHTANMGPFTSVGPFTSGSHLP TYYPPPPLQFTTTNGVRHPVRPKPPHQGEVFYVRYIPSLSQYLSFRVAFVPMTAQGAT ESRPGTPVTSSVTSIAQHLTEDISSDLDTLHRWMNVPRVEAMWGEGGEKHVQEKFLLA SLTSRHSFPVIGCWDGKPFGYFEIYWVKEDRLGRLVDNVGNYDRGIHLLVGEQEFRGA HRVAVWLSALVHHCFLSDMRTESVVLEPRIDNIKLINYLQQAGFYKEGEVSFPHKHSA IMRIKREYWESPAL PEX2_072030 MSDTSEDTKIPSFAASTLPLYHGPLITIRIEPINREYTVSKNLL CSESPVFSAMLEGRLRESQEQTVTLQQIKGVLSTRSFEALLQWLYQRTIEWGYISEKM RIQAAVELARLAEMYEIPWIEDALAEYIKDIYARNDRLADTRYKLDDEAIFLSERHPV RRVMAQAFVLRYLQWEDDYESSDDLMTQEHPKFAADLLYEVRETLHTLSNLDVLTVEE PITKKRRLLAKVHE PEX2_072040 MTTDGLIAVSPLYIKLAFTSFKVVDKSVEMSKTSEESAGHFATA RLPLFHGPLITIRIQNGREYTVSKELLCAESPVFTAMFEGPFREAQEQTVNLEEMEGV ISQRSLEALLQWLYLGVVKFDIETPGEHISAAIELARLADKYGVTRIEPQLSNIIKDT ILANPDPTATEKFTPIDNNTYWLNDQDIISASFLRDGHPVRPLLAQASVKGFLQNRKH KFAKIAQEYPRFGADLLHEVSLALSTLRPHNTVDFEDPIDRKRWYMRRD PEX2_072050 MGDPTKGRVEQFTSDIIPLFHGPFIKIRLLPSEKEYTVSKPLLC AESPVFSAMFQPGFREEQEQTATLQEERGIVSTQSTQALIHWLYLRTVKFDIQDKTDR ISAAIELARLADKYGITGIESDVSDYIQYIIDYQDPEFSVCNDNNTWLISDHIIWGSF LPREHPVRRTLAVACVAGYLGGKNHKFAQEAEDYPNFGADLLREVRLALNTSHCSGGN VSFTDPFTEGEIFLGRS PEX2_072060 MAPPSPSYTKVIHSATYDGINPTQPGLSSAGKVVLITGASGGIG RATALSFAASGPRALILLGRRADALAETANIVHVRHAEVLIQTYEAELCDAASVRNAM DKVAAEFGGIDIVIHCAGVLAPVVPLLDADSATFLDGYKTTVVGSLVTAQALVLANKN VSPDEDKPVTLVNLTTAGIIFPLFPGMGAYVSSKMAAVKILQSFAVKNPQVRLHNVHP GFLQTAMSAKLSESIKLPFAFDDISLPADFLVWIVSLDAEFLKNKIVFASWDIEELKA RKEEIVGGPSGTGELWLGYQGFPRFVGGQPLPGTQ PEX2_072070 MITAKLTDNAAPRINAFKIPRVQVLLKAVQGNIKPGGSGTYINL QQQYMSLAWEKCGSAQALGAKIRKIHAENPLLDPEWVRKGCGD PEX2_072080 MDSLTLNRAALDEFVTTRVTREMVSYLAQQAAQVIRCEAHVTNT VNHNGQPTPPSTPPMEPSDLPALPSIEAFIASLVARSQVQVPTLMSCLVYLGRLRSRL PPVAKGMRCTVHRIFLASLILAAKNLNDSSPKNKHWARYTAVKGFESFGFSLPEVNLM ERQLLFLLDWDLRISEQDLFTYLEPFLVPHRQRLIAQEQERLDEEEYRRKQQQHREWR RLHASADLLASRLRRQKLEARGETRRGNESSIRRLPSHVSCPTMHNAHYGTQNVAEHE RYNPYQRQRASPARINRSTSPPSVCDVPALSHAETFNSLCSRSSSVAPSSRGTPASIS TVSSQGADDLLLTDTSRSPASLSLNYGYVNVAHIESKKSLEPIRQPSKKQKTSSHAHS GGFVARFLASATGSYMGGRRSH PEX2_072090 MKSFIAPMSLVSLALSAVGDASTVPANGALNARSVQFCDQWGTT TTDKFIIYNNLWNQAKDTNGKQCTTLDWSNGNAVAWHTSYSWGGAYTNQVKSYASAAL KFTPQILSTVKSLKSAWTWSYSNTNIVADVAYDLFLSSTPTGSEEYEIMVWLAAIGGA GPISSTGKTIATVSLNGVEWDVWVGPNGNMTVYSFVAKSAVTNFGGDLLYFFNYLTQN HGLPTDKYLKTVQAGTEPFIGTAELTVSNYWVELL PEX2_072100 MKEAVVSEDLKVTIQDVNFPTIPSPDHLIIKVHVSGSNPKDWAM AERFPGINQGDDIAGVVHSVGSNVTEFRPGDRVASFHEMLSPHGSYGEYAVGRADTTF HIPEQVSFEEAATIPLAGMTAALGLYQRLNLPVPWRPAQQRLPLVIYGAASAVGAFAV KLASLSNIHPLICVAGQGGSFVETLIDRSKGDTVVDYRNGDDAVVAGLREALEDGEKL EYAFDAVTGKGSYQNLAKVLDASTGKITVVLARKTYESIPDSVELSYTQVGRVHSASY PGIKREKRLLGALNDEDFGPVMYKFFARGLSKGWFKGHPYEVVPGGLKGIESALQDLK GGKASAVKYVFRIAETDGLRQSRL PEX2_072110 MPSKSSKSSSSSSGCRCRCFSLKTLSYLAIFLAFFSAVYRYLDA RLEQFYIFDPEHLHDVSQRAISAHGEDTRSIVNFIVAELEQKVGPKYLSTQEEWVFNN AGGAMGAMYVIHASITEYLIIFGTAIGTEGHTGRHTADDYFNILQGTQLAYVPGEFKP EVYPAGSVHHLVRGQVKQYKMDESCFALEYARGWIPPMLFFGYADTFSSTLDFPTLWA TTRITAREMVGNLLQYKL PEX2_072120 MAGYCGCLWNEPGTWKPRYLPQNLDTDIFNPSDKAIEEISYNFP LYDGVSVVGFECKVGSRLLHSKVKTKSQANTDYQNAVAQNQTAAVMDHTSMNDVFVIR LGNVPARGKITVDITFVGELKQDSQTDGIRYTLPSTIAPRYGAGASYSQMQLSSLGPP ANLQGMSITVDVQIEKGLVIRELESPSHRVKVSLGRVSSTPATSAAFEPSQASASVIL QNNRPVVLEQDIVVLIKADGLDTPCALLERHPAIPNQRALIATLVPKFNLRPANPEVV FVIGRSGSMGDKIPTLRSALQVFLKSLPVGICFNICSFGSSYSFMWETSRVYDAISLQ QALEFVGTIDANMGGTEMQHAVVATVQNRLNSKDLDAADNTARFFSLGIGKAASHSLI EGIARAGNGFCQSVTEYEELDRKVVRMLKGALTPHVHDYKLEVEYDTETEQEFDFVSE TENITDSETEIKDKEGDGDVPREETTHSSSQPILLYDESFQEPDVDVDAKKRATVKLP ALTSPRVIQAPYNIPPLYPFIRTNIFLLMDPHSSEKIPTSLRLSATSHDGPLELRIPI CDIVTGETIHQLASRKAVIDLEEGHGWLSHAKGENGNEFKQLHAETKQRLAEHECQNL GIKFQVTGKYCSFVALEESSCSSSEQHIPKEHTPKEHAVERVSPSFQSSRSSYAPAAA RMMSSRFSNACARTGGSQYCEIPTFDSEFPRLLSVLRSITVLGGFNQAQMAAGPPLQM AAVGRPRIQMMAITRRGIPIQTETPPQTRMHELIQLQKFEGSWMWSTELFELLECDMN ATMEKLAILYHRANREIENGFPHGDEATVIATLLAMEWLRRRHPALRAVWELVYAKAS EWVRLELNKMRSQGSPAAIIATMQTGIFHMI PEX2_072130 MSLPEEVLVKISQHVVDTLSWQDVWKARLVNTTFNREITALLIK SPQLKVKGLGFKPGYAGLRTHSTPGIPSFLGVKAYAIVSVFSPPPPPLTHACRGRGSK LLSR PEX2_008390 MAFHRSALHAGFLVFDGVDVLDFAGPLEIFSHVHYGMDYTAPDP AFKLTTIGASDVISTSAAVKIIPDVSVAYARSKLDEFDILVVPGGPPSIVYALVKSNS EVLQIVKDFVRLPCEDSKRPRTILSICTGAHFLGACGLLSGLSATTHHLFLDDLRAIC EREGRTEVVQARGRFAIVIVGAGIAGLAAAIALREKRHQMTVLEAAPQFSDIGAGIQV PPNSVQLLRQLGVYEPISKLATWPRSITLKRWENGANITKTPLYPRMDEVHGYPYFLI HRGDLHKVLLDRAYEVGAEIKVDAFVSEVNESASSVILKDGTVHRADLLIGADGIKSK VRKAVVTDRDVEIIQDPNCAYRTLIPGELLDRTRRPHHGVSHLQRSLINFVMCHPGSV PVGQPNGRASIDDMNERYKTWDPVICKLIALVPQCLKWRNAEIEKLETWVAKSGKVVL IGDSSHAMVAYMGQGPPWPWKTRLHLVNAWTMFP PEX2_072140 MAPSAPGTANSPAKKTSAPEKKYKCQFCNRAFSRSEHRSRHERS HTKERPFKCLKCRSTFVRRDLLLRHDRTVHAKDGGIPLVAEGRRRGGGVQKNSSAPAK PSVTIDPTTLEQIEASSDGMVDLETAAMLMTDFQHKAAAAATGQVSERSESLSPGRGS FEPYLSGNATLPQMPWDTLISPAEPGSMPTLVDRHGPVGDVLMSNSLPMSGHSTPNAL SPYPSMTGPVSPVNYRRSPGPSQALTLPKAPQLANDMERNYVVDRVQGSDSLGALPDT FQLPSTVALNRYLSTYFNLYHPHLPFLHQESFKPSQVPAPLLLAVLSIGALYTFEREH AFMLHVGSKVLVNQFLQHKDNFDSRKCPLSLMQATLLNMVFESWSGDPKGLEWTCSIK SLLANMVAGNRYQLKLRTQARQNVQPSREEWIEDESCRRTYFAVYIFFGMLTLTFNHT PAMSFDEFDDLELPSSESLWNLDAADDESWRRGTVSSALTVREAHDFLFQGEQTRYSA FATRVLINALFLQVWSHKRSFEALQDVVTEYKLRLALETWENSLEVCEPETIVVPLST PQKGHPLIFNSMAVYRNTRARLEVDLKSIQEAMRYHSSYEVAAAMTVAREKVKRSQEM NKVIQQCFECIEIAAIQGINWVAKTSATNWSVEHPLCGLDLMVILSLWLYRLEHDDEP ATEAEMALYNKVRNLFDDDAIDAFGKLSSTVARVWGNILDGVVVWGITKLMGESFKLH SQALVGYEDSLRVGKDQPIHAVPTKSLASVGTAY PEX2_008400 MYPVMLPTPPPSPAPRRYAPEDRLGLVLADRLELTRVLGVGAYG VVYTAVDIHTNEVYAVKVLCKDGLDARQLKFQQREIRLHHLASQHPNVVPLVRIMDSV DCTYVVMEYCPEGDLFSNITDKGNFVGNDFLAKSVFLQILDAVQFCHSLGIYHRDLKP ENVLVTDNGMTVKLADFGLATTDYYTTDFGCGSTFYMSPECQQSNPRPSSCYMSAAND VWSLGVILVNLTCGRNPWKRASIEDSTFQAYLKDPFFLKTILPLSSEMVGILSRVFEL DPINRISIPELRQLILECPRFTENPVVQTPWVPEQIDYIPQPQMPLFMGPMEPLYAQT SGSSLDSAQYSAFSQSAASDGSAITEDYSDFDTMSPAVSEQVPDCKAVFPSVCAEPFF PADPFAYGLLHPNLQGLEIPV PEX2_008410 MAPSDRLNQVNEHLNYPAGLLAGQVAIITGAGQGIGAEAARLFA NEGAKVVVADIDAKKANAVADAINSAKAGRALAVVGDVLDSNYITELVKKTAEFGNGK IHIIVNNAGFTWDGVIHKMTDKQWDTMLAVHNTAPFQLVRAAAPYFRVKDQEPRVVIN ISSTSGIHGNAGQANYAVAKAGVVGLTRTIAKEWGPSFGVRSNTIAFGFVTTRLTAAK EEGAFVTMPDGTKVALGIPGKQLATKKGEKEAAAAPTYPDIPLGRPATPVEAARAILG VASPWFSYVNGETIRVTGGRNM PEX2_008420 MRGFRGSRLVAPVADMEPLAGNSYGSHIPDPAIDNDACLISNQP GFWESPRSFGNTASLESSICPGQLMTVESSPFTDLVDPCPALPAGLGYPHTPVTPTFL SGELADGMASPSLAGSVTSPYPISSCECVDMQLFHMNRLNHLLAGSMPLRLDHSLQTI KCTFCACQMFLQCTKCAKDSANLLLTISVLNLTLQLFEYWVSRETSRAPRPEHGVDIR YGYYEICHEENRQIRNFLLRGLLLQCRDVLLALKSTASAADVQISKLSDHEGLSESKL SDEHVSQHWASSEHVGLLPDLDTDIPSGTPRSEGLLPIIAGYEATVSAFLQSTSWGEC ICGSGRVMHDESL PEX2_008430 MLHQRRPIEHQKVSVDSQPTEMTVGEEAHNLVKRLLHWDDLPHW QRDNHHIHTGYRPASSSFLSSFQSLGYVHNETVNIYTHLLPAVMAAPVAYWLYHLLSL RYRDAADSDILAFSCFFAGAAFCLGMSATYHTISNHSPVVARIGNALDYIGIVGLIVG SFVPSVFYGFYCVPDLQHRYWAMICTIGLCCVIVSASPRFRTPRWRPFRATMFVGMGL SAVFPVLHGAFLFGIDRMQQQIGLNWLVFQGFLYILGAGIYAARVPERLMPGKFDIVG SSHQIFHVLVVCAALAHLTGLLKAFDYRHSGAAETCQIPRG PEX2_008440 MSTHGKMSRLRPVSDSLENVGFVSKGDKKLLDHKTQTQYFDKIV DRYMRFCAQHSKDLDAALASLPTSPSNDATSNPPASRSPLKLHPAQKGVPPPSSELST LLLSLRKLREAVLATATTTPAEFSQRVHVFSIRLSILAHHPPSYFPSLRYVLDKLHST SHPLPGTEATELVTYLILDYACRQGDMIAAFELRARARKEHSYQSQTVDKVLAALMHD NWVVFWQLHNSVDSHIRAVMNWAADRVRRHALKAVGSAYLSVHISWILGGCTGDEQSW TWEKLVEQEKLRWEREDDRIIIKRLKQRAPPKPEPGASSA PEX2_008450 MLAADDTFSGASFYELDPLAPDDLPETVEKDLSFLLRHSNFHTL SHLDIPSPLRSEFLILNPGEPLSTSLGTLEKLLGEGRFLIAAHFSASILTSSLISPTD IKIIFSLFYTRLACLDLSGNTVLAAQESKALEDLSSAFYYVDLKPESAAVDNDKDSEH EHEHEQDPRHIVPWPLRVLAVRLQSIGFGDSRRSIGGLYEIGLEVRQEIMRSEANEDE RELWKQRLADLGVRSVNALIEMGDFDAARRSLDNLRVPGPESDITKLRKALLLLRIGD LDAASEVFGDVNETKEAALLKPLISMSDGRFADAVAEWRTLGEDKTRTDGALVAQNLA VCLLYSGQLDEVFDPYTIRLFGGCFGPID PEX2_008460 MAAAKNKYSVILPTYNERRNLPIIIWLIQRTFNEEKLDWEVIIV DDGSPDGTLEIAKQLQKLYGPEHIILKPRQGKLGLGTAYVHGLKYTTGNFVIIMDADF SHHPKFIPEMIRIQKETDADIVTGTRYANRGDVKGGVYGWDLFRKFTSRTANLIADVM LMPGVSDLTGSFRLYKKSVLEKVILKTESKGYSFQMEMMVRAKAMGYKVSECPITFVD RLYGESKLGGSEIVEYLKGVLSLWVKV PEX2_008470 MSSHASRGPSHSGVLHEYAPKLVAFEFTPPTEGINKTNSLIFVG GLTDGFCTVPYVSKLAKALENTEWSVFSVLLSSSYAGWGVGSLDRDIEEIGQCARFIR DLKASRQPGAPTKAGKIAVMGHSTGSQDVLHYLYSPNPVPHKEFDFGLQHMVRPELDG AIMQAPASDREGLQAIIDSSPQPDELRKVYDQLVGFSKDQPYTAEKNDTILPMNLTAK LSYPPDTPLSARRFLSLVSPESPDNPSEDDLFSSDLSDKRLQDTFGMVGTQGIIKSKL LVLYSGEDEYCPHWVDKEKLLQRWQQATEAGGAKWDAENSGVVPGASHNVHGEGQQDL IERILRYLQAL PEX2_008480 MRLSDGRTLGYAEYGCETGYPLMFMHGYPQCRLEASAIDHIFRQ RRIRVVAPERPGFGLSTVQPNRRIMDWPADVQELAHHLSLSRFAIMGGSGGGPYALAC AQMLPQDMMSAVGILAGAGDWRAGAHHMPWIYRASMLAADHWPAGLRGLLTFAVWMFR NVLNTQMATRRLNEYLLKDQDQHADSVEERRAQLLRMLFEPFTQGAGPAAYEAKLLSQ EWGIEFGDISYNNLHIWHAGKDWNSPLPMTEYYVKLLSNSPSFKVFEGDTHFTIHRHL DEILSELIPEIVA PEX2_008490 MPSGRRSGRFKGPRAVYIDDPFKAAGISDDEDSIKQEISRKGKK KRTPTDDSASDNEFVAGSGEEADEGIEEEDEAEDEPEEEFGLEADASDPEEMEIDSIE NTPRKDRSISSQHDVIFKKRRADGTVVPSAEETHIRGIIEPRDHLSKGTYYTLTFGSD DRDLMPAVHFRMRWNKGIDAVFPSRFTLEETEKKPEYLYGPTFGVHPDDVMKESTRGW DWYYDRDTGSRFRKRQRIETIEESMAFQNYLPRPDTRKHGILMGPHDNQQLYELGYHE TFDFGKAWEKSGSKPDASKTGTKRIREGWMLNIGQKAHCMTWAPNQNGLTQYLAVAAP ITEDQKNQYKSEESEPISSFQPSEPFPSALQIWEFKGNPSETPTMTLDMESKPRLRQV LCADWGDLRRMVWCNMPRAERAEDDEDEKESIGLLATVWGDGYVRVLDIKTGRGSQQT EFLKIKSPAFEAKPPSTVCTCVTWLSPSDLVVGCGNGFVAIWNIEPSSASEPTPFFYR PLHATYILNITSAYPVHPQLIITIAMDGETRMWSVLDPTSEMASTGRMRGASPYISYS PICQSVIAGDENEFARIIPIRRFFTTTTIARLSSTISAMAQCSNHHPCALFGSAAGEV VGTNPFRRIVYNKEQIWQQIWFTHEWVPTSKTDTVGTSRFHDGHRAENQKLATYKLFE TNPVNGMGTSTIFEENTHVTALGWNPNRSCAAWASAALGCGLVRVEDLAI PEX2_008500 MHFSSAALLALCSISVTYAAPFVNPEADELARRATYSVVNADEE SSSSVAREVDTVFETSTVTAPGADPVPITVTVTATPSSVTQSSTPVASSTPCWETPNV LPTAGSSSFFRRGLRAAGQPALFARDYFSSSSAAVYASEYPTASAAESLYARGWYSVA SGTPSVTTPTASPTLVARGFGGLYSSASVSPSSPSASVAATPLVARGLFSSAATPSAS ATPFVTHVARVARDLGDRFSSASPSYSSVNVPLSPTPLVARGLHASPSGTPSSSSITT SAAPLAVRGPYGWYSPVPSASSVATPSASPALVARGVRSWGSSSVSLPASSTFAASAT PVAY PEX2_008510 MPGTVADGPTVSMSFANNFWGRDDAGLQPMLDRVHGSKITNDEL KVFYNIRASIEDEYARKLQALCRKSLGSCETGSLRASLDVVRGETEAIAKAHAAIAGQ MKIELEEPLGAFASGIKERRKIIQQCIERLHKTKMQQTQLVNKTRDRYEQDCLRIKGY LAQGHMVMGQEERKNKAKLEKTQIQMASNSQEYEAAVKQLEETTGRWNKEWKSACDKF QDLEEERLDFTKSSLWTYANIASTVCVSDDASCEKVRLSLENCEVEKDIIFFIKEQGT GQEIPDPPRFINFCKDDDSGSDMDEADGYSVAQFQRTMNPAFRTSSPQPSTFDSHHDP QSDLAAQMGHPAPPAVGNAPPPQQQPPPLQQHQPPPLQQHQPPPPQQHQQPPPQQHQQ QFMQQQPLMHQQPPPQQQQFMHQQPLMHQKPPIQQQPLMQQQPLMHQKPPIQQQPLMQ QQPLMHQQPPIQQQPLMQQQPLMHQQPPIQQQPLMQQQPLMHQQPPIQQQPLMQQQPL MHQQPPIQQQPLMQQQPLMHQQPPIQQQPLMQQQPLMHQQPPIQQQPLMQQQPLMHQQ PPIQQQPLMQQQPLMHQQPPIQQQPLMQQQPLMHQQPPIQPQPLLQQQPPLEPQPEQP APLDFRRGGAPPPNYDPEQHGEIGAVPHNAYPTDGMTMFCRAGPPSERSSATSAYRPS SRDSQSEVSNSTSISSVEETPTKKSVVKPTNSAPMSISGDDKSPKKKSAFFSNSPFRR KSRHDKERPVIASQQVVSPQAVVSPQPASRGGWDSPTKQMSPTKQTSPPKQMSPPKQV SPPKQVVSPPKQIIPPKQVSPPKEVSPPKQASPTKQVSPVRPSAGPPGRASGSPEPVD PRANFQLNVGNNVFDVASPEKSSPQKGAQPNQASEDDLDPIARALADLKTSGKQSATR VSADRYHGISTPTPSTQSSAYGGSNASVAAPPPAYNDPAVKRLGAPQPAFTAKQMHKT TQKYTGQTQSMLRGQNSSMGSRHSGQTQEAPRARSPAPAPRARSPAPTSRARSPAPTS RARSPAPAPRARSPAPVSRRSVSPQPQVASPRVDTRMSQNSGRGPSPSPSSYHSNSMR SQYSPSPTPRRTQDPPYSPNDFARRASPAMSHRAVSPQPQFRQQARPSSSGGMELQLS GSHDMYGSSSASAYGGSVRGSSRPSSTYGDGPPIGRSRSRTMAVAEPGRKFSRDGRPI LHFARAMYTYNAAIPEELSFGKGDVLAVIRLQDDGWWEAEVTNARGHPGLVPSNYLQI I PEX2_008520 MLLSLVPYFLALTVLALLLFRVIHHFWDPKGLRQHPTPSIAGFS SLWRIYHNLRWNHYAAVHEAHQQLGTHVRIAPNHISILDPMAPHQIYGHGANMLKDIW YDAGAGPHRNLADARDKAEHQAKRKMFAHTFAAKTVVGFEPQLRENISALLEVLDRHE AAGTKANMRRLLNYFLIDLFGQLLYGHKLGCLERNDDLLEAESKDGRIYRVPFIQSLL DSTVINNVLAITAHYAHISRLFVKNHPYKKAGTDWDNIVYYNTKRRLANTAPSDDLCQ RLLQDSKGQDLNLSFGEIMAECSVMMNAGTETSTAAMTSTIFLLYTHPRVLQKLRDEI NADSENEIPTYEVVSKMPYLRACIEEALRLRPPISVPTYSILRDELVFKNASEYNPDR WLTEDPEEKKMMMNNHIPFSTGPRACIGRNISYFEQAVVIATIVKLYDGDIDEGFQLE TQERFNSNPGDLPMAMRRRRV PEX2_008530 MIVGTKRDDVNINHQGPADVISRRTGHRELSRSLSRQPSEGKQE NIQLQGETLENQPQEQERDEEQSKLQELRPDASGSPPTPRFVGDLNPEARLLDEHHSP EDVQETNPRKVGVWIQPGPNNKCGNTDNTGFAPTQYSSPKRGLGRLQYPISDLLSDNT IKVLSGFYFANIHPIIPLLDEEEYWQSLSRGTIPMPLVHVVCLLAAKDNGAEKHLKLL QCRDTLVPVRKFCNQLYVSLSTLSRHTMKKTTMMRVLGLLSLHQEGSDGVEEASGCIA QAIHYAQSLALHLPRPNDGDGDLKRTFWCLWTLDRLNAATNSRPCVMADMDIAVSELT PQESGFAAFNVLFRIAQMLNKVIGLYRPKLEEAYSGWDSDFPGFEHIMNEMDAWSLDP STIATLHLFFLATSILAHRLKTITTLPSPTPARLRQQLSAIQVIRYMQDPIRRDALHP FPIVVYATSLALSVSYQQLRYSRIFSDQEDARQDFNTGCEVLQELRRKWGSADAMAAL ANRISGAVDQLPCLDILRVHRSDRKKSDSNLAENLASMVDQQPPDLSTDDCSADSQTA MSCLETMNLFAGMDDVSWMYLDAENPVSFDSFPAEFDESYSVW PEX2_008540 MMDSIKDADFFALRSLSGKTAIVTGGANGIGAETVRLLNSWGAN TLVADLERTRSDAETLIQSLQHPESASFVGVNILIWTEMKLLFAQCIQQFGKVDIVVA NAGIMESHKLFDIGNVDEHGELRESTEGFRVIDVNLKGTINILVTSTSGYFGSTGVGA YIASKHGITGLLRAAQQVGRELGIRINAVAPFFTPTPTFKALAEKWKHSGLKSNTLEC VSMAISLACTRDETGKCYMIAGGKFVEVEGSRELLLPQWLGNETAELLQSASKLFEGN SYPLPGENE PEX2_008550 MQKQKAHVVIVPASFAPPSLYSDFVQHLSEYGLSATTVDLPSVG NRDPLPAASMMEDAEHIKMVTTKLADYGHEIILLMHSYGGVCGTESTAGVSKAERQAL GKTGGIVHLVYISSPVPEIGGSIVTMMGEKMPHFIKLEGDYLTSEPDGCASVNFSDLP YAQSIQYANHMKAHSATSFAEPLQNAGYMNIPVSYIICEDDISVPPALQRSVIDMIAM KSGRQVTTLLCNSGHFPNTSAPSELASLINIVATSC PEX2_008560 MSKHIAIIGAGPSGLTLAALLQHHSIPFTIYEREKSTSSRFQGG SLDLHPESGQQALFEAGLREQFNKYARYHDQDYRFGDKNAVTWLYHQAPADADGRPEI DRAMLRKILIESLDLANIKWDHRVSDVSPQEDGRVKISFGDQHNPVVTDLLVGADGTW SKVRPLLTSWKPEYTGLTVIDCRISDLDKRFPELGEFIGRGTYFCLSEGSGIFMQRNG DGSVRVYPCLRVEENWASKDASFDWSDQKKMTEYLIDTFFSTWDPRLQDVIRNVDASM TPRAQYRMPLGLRYNHCQGLTMIGDSLHVMSWFAGEGANLAMLDALDLFHEIYAHPKD LDLAVRRYETKVVDCGRADATNEMSQDLLVKAMSDDSPRAYVEGMAKAMDVWFADGKL LDSMDRDVLYSN PEX2_008570 MDRLPINSQRVVKLSAKKRRPPLACIQCYQRNADRCTYRTNPAI SSSVDGMRSEDHSGSASAMATSSRTPIPLSETPERHRAPSDRNGKMTHLRGQEAITRF YGYSYPLNFYQQFTELPSYIIEIKTKNPAINTLRDEIYPLANDEYRLRPLAHDTVLGD TLRQLIPTKPVADTLVQTYIDRFEIIHRVLNISAFIADYNRHWASPLSTPASFLVQFL LVAATAASFHPEICINVMSQQTVHGHALDWIEAAESWLNSSTNQPPQSWDTLATHCLL LIAKRANHIQESSFWTYTGALVRWAMAAGYHRESGSTARISPYFREMRRRLWMTIVEL DLQASVERGMPPSVGREDFNIISPLNIDDSKLQESGQDPLKGMPLATLTDTSFQALLY RSLPVRLRICAFVNGCCEEDDFDQVLHLGEELEEALQDIPEWNNPQDNPRQQQTTMYV KRSLSIYLHQYTLLLHIRFAIQTPPSFKSTICQRARLEASLKILDHHQRLIHDENVPE QACRTGLVLAALNICHEIYTNFGPHGKQNHNLASPLLLLCALKKDPQLITGKL PEX2_008580 MSTPKVSIDRATPNSSEGSDRLEVEKPSTAFPEGGTRAWMVVLG AFCVSFSTFGYMNAFGVYQEYYTEHFLSNETASNISWIGSVQVCFLFSGSLVGGPLFD RYGASIIHVPAIGIVLSVMMTSLCKKYYQFMLAQGVLGGIASGMLFAPVMTCVSHYFH NRRAAALGVTVSGSSIGGVVFPIALSKMLGNESLGFGWSVRIVGFIILFMVLIAMVTV KERLPPRYGKVLLPAAFTRAPYTLVTLGIFFMMWGLFTPFFYLPQYAQSHGMGSQLSS YLLSILNAASVFGRILPGMVADKIGRFNILIITSVCTGILLLCWIAITSNASIIVFAV LYGFFSGGIVSLMSPCIAQVTPSPDQIGTHLGMSMAIIGLAGLTGTPICGALLERYGT YTQAAVFSGVVMLFGSVLVAGARLHLQAKLLKIV PEX2_008590 MLSCLARPRLGRVTTSIARAHTKRSVSMKNLSSNSKIYIATAGL ISMPGLWWLTATRDDVPRFEGPLAEHLAPEPGPSKEDVTHILSKQAYSFQVKNVAGVN RYDGAQLASNSPCEDRFIHGKFSSPWNENGQWMSWAVFDGHSGWQTADLLTKQLLPFV RRRLIEAKPPPNDEPVPDEWVQSAIMKGFVDLDDSIIQTAPDTSKSEQSFQDKVKKLA PAFAGSCALLSLYDPITSTLHVACTGDSRAVLGQKGSDGKWEAIPLSVDQTGSNEEET ARISKEHPGEENIAKGGRVLGLMVSRAFGDSLWKWPLDFQKKMKRNFNGPAPLTPRYD VRTPPYLTAEPVVTSTKIDPNKPSFLIMATDGLWDYLSSEQGVELVGKWLEPQGTEKK KNKLEPKDGEFDFGRFWKDVNWKFEEERTTTQDDNAAVHLMRNSLGGNYHELIAGRLA FGPPFSRRIRDDITVQVVFFNTKK PEX2_008600 MSATSVANGTLREPKTDDRSESQPRLEETAQEDDAHPYSNERKG PKNAPMVIFCISCITFFSSYLGGLATVSVPQISADLHLSPGMELWPVQMFTLATGCTL LISGALSDTLGNRILFLVGCFLLGIFSMACGLARSGTELIIYRAISGVAASFCLPSAM SIITEHFPTGKLRNVALALMGSGQPIGFGVGLIFGGIFADTVGWRWGFHSAAIATCPA FLLSLWQLPRNKKGQTGDLWTRVAFGIDWIGAFLISPALALLSYALAIITNDVSNIHE TVTIVLLCLFGVLLLGFILWEGRQERLSKPTLIKNSLWKQAAFTCICVVVFMIWGSFN AFEQVVNFFFQDVQELSVLNTSLRFIPVSVVGFGVSVITGMILHRVQADIIISIATVI SSLSPLLMALVNPAWTYWRCVFFAMCLNPVAADVLFTVSNLIIAGMFPVETQGLASGV FNTVSQIGRTIGLALVALISNSITEKASSAEDHHSPESLMTGYRAAFWFLFAMNMTSL VVGLVGLRKVRNVGKEKPS PEX2_008610 MWISAQEISQHCTRDDCWVVINDHVWDLTTFAPEHPGGFSSIYC FAGHDATEAYNEVHSTDVITKALDPNKLIGKIDRSSIDSNWTSRYIQQAEKQAEAKPP LGTILNAHDFERVAQDTLSKKAWAFYSSAATDLVSVNANRLFYNRIWFRPRLLRDVKS VSTKCIIQGVESALPVVVAPVALARLANPIGEKGIAMAAAGKGIIHCVPITASFSVDE IVSGIPSPTTTFFFQLYVNKDHAQSESLLRQVWELGIRTLFVTVDAPVPGKREADERI RSEEVISMPMTGTKSHEDGTGSGLTRTTGSFIDSTFCWRDLVWLKQHWPGKMVLKGIQ SVEDAQMAVQAGMDGIVLSNHGGRNLDTSPPALLSLLELQRHLPSIFGRTEVYVDGGI RRGTDILKAICLGAKAVLIGRPFLYALNYGQEGVKHLIDILELELQTAMRLVGITDLS QAHPGLVNTQDLDNLIDAMQRFE PEX2_008620 MAETYDIVIVGAGPVGLMFAACLSRLGPYKIKHIDNRAEPTATG RASGIQARTLDVLQNMGLKRSIMAYDPGRVYEVAFWNASDQNGIQRTGNSKSYPDYID TRYPFSTIIHQGRIEKIFLDDLKNRGVEVQRPWTISGFREDNRDSTYPLEVDLVSVDG SKRSTLRTKYIFGADGPRSVMRQILDIPMLSKDPTVHVWSVIDGVVKSDFPDIQIKCT IHSPAGSAMIIPHGNNRVRIYLQIFPKPGEQPLEFATLPRIQQVANVILSPYKVEWES VEWHSAYRIGQAIASRYTLDQRVFIGGDACHTHSPKAGQGMNYGFLDAHNLAWKLHLV ESGFMKRSLLGTYEEERKLAAARLIEFDAKYANLFSSRQSSNIGGQNSEFIQVFKENS LLTSGYGVSYPPSPLIWASSHTPGSLRTGHSFPTVTVTRVVDAHVAYLEQEIPFNGSY RIYIFAGNSATTSQAILHLTDHLHAEESVFRRYQRNDVDLSYEKRHNPHSDFFTFSVI FSAQRSSVEIHECLPDFLACYKYHIYADDHRGRDTTQNGERGAAHIKMGFDVEKGGVV VVRPDGYVALIVDLVDGRKTAEALNGYFAPIVLEEKSTSALARL PEX2_008630 MAPTNSKADGDMANAKDWKAGIRSLGPGAPSTEYFPFYGMDWDA ASTEGLKFGGSSTPETTRFHAGKHDSQNGESIYDIAIALQYGQGTGSTQLLKFLSEHI NLIHNPPYKDWKCILTAGNTSALDIVFRMLGQMGDYILVEEYTYPTVYETGVPMGYKF ATVRMDELGIIPAELDSVLTNWSERERNGKKPVLLYTIPCGQNPSGATQPIERKHEIY AVAQKHGVYILEDDPYYFIQMPPYKSSLLPLDEKQSLEPETDSFPTSVEQFARALIPS YLSIDTDGRVFRMDSFSKIIAPGTRMGWVTASEQVIERMVRAHEVSVQNPSGFSQIAI FKLLHDTWGHVGFVKWLRHLQKEYTRRRNTMFDSFERYLPHQVVTWNPPTAGFFAWIS IDWKKHPSANDMSAREIEQEIYKSAIEHNTLVVPGSWFMPSNGENVPMEKIFFRLNFA SVSLETVQEAIKGLGIAIRKTFCLRQLEQSL PEX2_008640 MTVSVGDMRPSEVSPNVAVIQGINPNYTGFDHISWYVGNARQAA TYFVVHFGFKVVAYRGPETGSYLTSSYVVSNGNARFLLTAPITGPDNTLEKRASDEDR KLLNEIHAHLRDHGDGVKDIAFQVDDVNGVWEHAVNNGAVSILKPRLLQEGNLGSVLC ATIKAYGETAHSLINRSDYKGAFLPGFRPATDLERLNEHLPKVNLIEIDHCVGNQPWN GLDSTVQYYEDALNFHRYWSVDDKDMCSDYSAMRSVVVASPNEVIKMPMNEPAAGLKK SQIEEFVDYYNGAGCQHIAFRTDNIIEAVESLSQRGMNFLSVPQSYYIGIRERLATKN VKIAEDLKLLEKYNILVDFDEGGYLLQIFTKHVTDRPTIFMEIIQRQNFDGFGAGNFR SLFEAFEREQALRGNL PEX2_008650 MNFAPYQDQSPELERALSPPHLDGHQISPILRSPRASADLPSPR HFASGGYGNIGFGRDVEGGHVSLGAFETSLPIRMDIEAALAYLLLPPAGGVFLLLAEH KSDYVRFHAWQSSMLFATMFIIHLLFCWSSFLSWTLFIIDIALIGFLSMHAYQDVDTL DHFEVPFFGHLANSFVDDE PEX2_008660 MAFRTTAEEMERLQLSDDETDDLWNSPSKRVSHKKIHPRTDEKT TPEPRASHDGEDPLFDHREAREAALRAELQGVRNINEVIEGLLGSLDSAKGNMETVSQ TVTSASTLLNTWTRILSQTEHNQRLILNPNWQGASQDMIDIENQAIQRQQAAERREQA LQQQREVAARKAEEIEKRRAQVTRGTRGTRGTTRGTVRTTGLGRTASVSTTRTATRGS TTTTQRPASGIARGSGISRGRGKV PEX2_008670 MASTPPPPSPSELRVPRAPRHGAKHDNYEPYPTRYSARIAGQRA PRIVQTTPPPSHPSASAKPGPSKRTLSPSSPGTTQPSPKKAARSHRTAASRIAPFDSE LALPRSSAHHTSRSSAEQALPTPAKTPSKKKVINSDSSTSRSLFPSTSTPKRRKMDTP KNHAGEPPAIFVDEPLTGYTIEAAEQELGISIHEDSRDRIPVVGPLSTDSFISKAGRA VGRPATRSTTNHKDGAYYVHRGKKIFKRFDDMEDEAEDEDDLGLFANRPDLLADNPDI LKSVKPLKRGEIKPRLLFSAPVQSTDGDEEDVTDVEDHEPMSPTPAYEDQASLTASIL PDPPTPSFESEDSSVVHSAPVGRTGLRFPRPAVGDVSPFQSASFSEDLGLSASTEDQT RSRGLSVSSGSSLLKYWPPVSRKTRDVEARSDRVKRLREARGSPAPRTRQALRAEASG EASTAEPSTSDS PEX2_008680 MQRTRENLTSPLKRSSTTPNLRSKASQIVDEDDGEEEDEETLQL QLAEIKARLKLKKLQQKNRGRSSSNLEDEDVRPNSATSALRTEDRGATPRITQGGRQR TSSDGIQVPASPTRREVPPSDSISPRRYVMGIDKGWKANDVSLKRPPSSRPNPRPSSH MGFRDGATPRSNDIFSSRPQTSPSSTGGINRIKSFSERMAEGRAAEKSRLERAERVQA NRSSAFQVDKSEIEAYKSAASDTRSSPPSSVSRERPVESFSREDILRSMGQSRPSGLH RSNTTPNVRRTEGSGHNERQSHLHRRTHTAEEEPSSAHKLGQTDDASVKAPDSSKFES YSSLHLSSRVLPHSFLSRTLAEKRVLRIPDLLKTVKAPAFELPEDIDGDFVVFGIVAS KSDPRDKKASGNTSAQEKDPYDDGLNNTNRYMAITLTDLKWTIDLFLFDTAFPRYYKI SEGTLVAILNPTIMPPPKHKLDTNRFSLSLSSSDDKVLEIGKARDIGFCKAVRKDGKP CQSWIDGRKTEFCDFHVDIQIRRTQGQRSGVNADTGMLGPGGKSGSRTGLFGEGMKRG GAFKQGMKHNGPQYDMGSQSLYYVAPSRNRGAGRSSYNPRGGSAANLIDAHDDPFIAS GMMGRGMDSKEERMRRRLATQQRERDITQKLVSGRVGGVGAEYLRTRTGNESPNKEKA LGTPLPKSPITTNGMDMTTFGKAKNVRLSPMKRAHDKPHGSGVKKTRFITSRGIKEAG RESLGAPAETTSSGKQLLLDDDDDDDLEFI PEX2_008690 MKTRSAPVSKSKKPEDEAQTKTLVAAEKPSKTFILPSSSGDNAR LLSLPDPQSGELTRYFFCPDRGIYEFTVVAPPAHMARSILFTPRTRKTPSPLEEEKKD SDEPSVHGSITKKAEFLVATPIDAMFFMIPLLAPSSKSGRSLFQPFDDIIDSQDDVPK HLRQVLYNDEFRGLLLARAEAICEVVEAGDEKMFRFNETKLVQELITKAERMADRGLP VSMEEHFVRQALATPLMSVKREDVATSQDPSNESQETSKPEERQDSPSTVDTTATPSV ATPVGESTPVPQPPSEQSTATDHITRLLRISTALSFMKESYLPATIALRLDEILTSAE SPIDFKPLQDRLKEIAELRATALASRDMSNFSRKRALDDEEEDIRGEKKRRKEEEEKK SKAAQSQAVKNLKKVNTSGMQKMSSFFAKAAPKKKT PEX2_008700 MTPQLELVPQTESIAEVYATDDASVTSVAPEHQKRWTNLITQFN KTYNYRPDFVARSPGRVNIIGEHIDYSLYDVLPTAVSVDVIMAVKVVPASAEGTTITI ANVSPEKFPTREFSVPHDKDVEIDPKKHEWVNYFRAGLSGALKFLRKEKPDSSLIPAS MQILVDGNVPPGGGISSSAAFVCASALAVMKANNHDVSKQDLLDLAVVSERAVGVYSG GMDQAASIFSKRGYLLYTQFFPKFSARQVPIPTSSDEITFLMAQSFVTSNKAETAPRH YNLRVAECTLAAVILAKHHGVTLPKDNSSLGYSLRNFHNELMTKEGRIQDPLEYQIDS VIQATMDLFTQEEGYTREEMAQLLSITVAELESTFLSAFPVQAERFQLRQRALHCFKE ARRVLDFKACLSKATQLDERRIHYLGQLLNESQESCATAYDCSAPEVDEICAIARRAG TWGSRLTGAGWGGCTVHMLPQGKVEAVTAALRDEYYLKKFPDISKEKLEQAMVISKPS NGSFLVTGAAIDQIPL PEX2_008710 MNGDSGESPMPSPRKVAARSHRNSQKGKNGPAVTPRAYHFSRGI GEYGETLV PEX2_008720 MMPLRPSKTALRAFHLQKQLAGRRPFSTSFVASAASPHRSSVQK RTQSTATASNPESRPVPSPAFNQEPHRNEISPLQHRQLPELDDSMVGMSGGEIFHEMM LRQGVKHVFGYPGGAILPVFDAIYNSKHFEFLLPKHEQGAGHMAEGYARASGKPGVVL VTSGPGATNVITPMQDAMSDGTPMVVFCGQVPTSAIGTDSFQEADVIGISRACTKWNV MVKSVGELPRRIQEAFEIATSGRPGPVLVDLPKDVTAGILRNPIPMHSTIPSLPSAAT VAAREMSRKQLEGTISRVANLVNIAKKPVLYVGQGLLARPDGPEILKEFADKACIPVT TTLQGLGGFDELDPKALHMLGMHGSAYANMAMQEADLIIAVGARFDDRVTLSIPKFAP QAKLAASEGRGGIVHFEIMPKNINKVVQANEAVEGDCADNLRLLLPHVKAVSERPEWF EQINDWKQRFPLSLYDRQTEDGPIKPQAVIEKLSELTADRKEKTIITTGVGQHQMWTA QHFRWRHPRTMITSGGLGTMGFGLPAALGAKVARPDCLVIDIDGDASFNMTLTELSTA AQFNIGVKVLLINNEEQGMVTQWQNLFYEDRYSHTHQQNPDFVPLAKAMRVAADKCFK PSELEEKLKWLIEHDGPALLEVITDRKVPVLPMVPSGRGLHEFLVYDKAKDLERKELM RQRNVDFHVRKE PEX2_008730 MDSQRICRDFARGNCRWKNCKFAHVSNGQSHSPKIPTIQIQHPE PLPRRDRGLPNAEKAFRAWQGSIPLRTTAIRPSSGKKTTIFRKARELIDSDASIRQEV IQTLASEDGLHLVLDLVQENFEDMDAATRDLIFKTQVLPFLETVSNPEVISSLVLEQA VGTIYNVLFGIDGSRAARWLNFICGVLEVDTTNEGSAMLLEASLHTFSRIADLNSTAP IQDCLHAVAQRFEIVFTSMNSKNGMGTRLYQSRLHLDRLLQRMETGKSLPTGTPEKKT KNETNIAFVPNRETPGGRHNNDFDDICQIRIMPSFEEISSVRGEYLPVNNPLQWHIDG IEGLLDRNFRLLREDTVGQLRDAIHHELSPRAQQSQLRKFVYPKSSVVNLEFNWLSGL YFEVDFPQPAAVANYTPIAREMWWQNSKRLQPGALVCLMIQKDVVLFCTVTHRDMSPR RKRDSVLPQDHAPPQNKPEPKTLWKSSTRGSVTLTLVDSRYTNTQVVLDLFTPKKPTM SLVEFPGVILPAFEPALQALQSMKAAQTLPFSELFVPWVSDDFNISDMAPPLYASQPG FAFNLRCLMKDDTNFYVRVNQPSDVKYVQDHSTLDDAQAHALINCLKRKLGLIQGPPG TGKSYTGVALIKVLLANKEAAGGKLGPILCVTYTNHALDQLLEALLNNNVTSQIVRIG SQSKSERLERFNLQTVSKDTARTKVEKKERWSTAERLSLCEDEFRALGLKNEVPISRL KSYIQRTDPDHHDQLFSLVQEDGFLRVKTDSPQTAISSWLDSAAKDNACARPVNELQN INVFEMSRKERQHLYGRWCRDCRAEIDESVRQIISSHRAAKQGYDSVQDEMHLRCLAQ VDIIGATTAGLARRLNMFRRLPCKFMICEEAGEVLESHLLTAFIPSVEHAILIGDQQQ LRPQVHNYDLSSENPRGGVQYSLDISLFERLVSSNKGPMDCGAPFSTLETQRRMHPSI ARLIRETLYPKLKDDPSVSKYPEIMGMRKRLFWLDHRLREGGSDSDAMSTSHWNSYEI DMTVSLVNHLIQQGAYKHGDIAVLTPYLGQLYRLRKRLDELYAIVVGDRDCEDLKQAG YADYEAKDKSKIKAALSQTLRVATVDNFQGEEAKVVVISLVRSNAKNQCGFLRTSNRI NVLLSRAQHGMYIIGNSETSIHVPMWAQVVKILKQDKNIGKTLELQCPRHPDTPIAVS TPEDFPKFSPEGGNLKTSNSIMETASNGVTGIILRALMPAAFLVTVRILVLLAKLHAK CTVVILTARENATSRVLLAQKKNAFQHALTVSAQCHVQPLVITMDAQMDMARYYKVDA SGKPVSISVSLAPFSIQDIKTCATCRGPLRDIARYGRLVRRAILDESTKKLIILLNQE YVPLAQELPQLVRELHASGGQRKYPWPALIEISGPRNHQIQTMGEIIQKTNPDRWNSI LDLRKRVDLYRRRVKPEEQPFERVRRMVENARQRRKIKINPDHVDNVLQTKGFLQGTA LLIRLDIALLVDLLSLVSQGRPSEVTPRFELDLQKVKDDCQTLIHQAVIHQRLLQQTE GHIFLAQLYALERAHCLTPEKRENILKHGQTAIQKAKALCDAHAGQTRGLVDEVRSVE KMLRRGTFYTIITSEERMEVLSAMAREFRGTGHWYYCRNGHPFTIGDCGLARETSRCP ECDSPVGGEHSRLAEGVRLAVDWDMDRERLNL PEX2_008740 MASPPPVTEDQNRLLEEALGVVRQQSSLMRKCLETPGKLMDALK CGSTLVSELRTPSLGPKQYYELYMAVFDALRHLSVYLKENHPVNHLADLYELVQYAGN IVPRLYLMITVGTVYMSVEDAPVKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARDYL PSGTGDGPEGNMQDSINFVLTNFVEMNKLWVRLQHQGPSRERDRRIQERRELELLVGS NIVRLSQLVDLEGYKSGILQALLEQVVQCRDVLAQEYLLEVITKVFPDEFHLHTLDLL LSAIARLNPHVDMKKIVIGLMDRLSSYAAREADSTVEPEVRKQSEEEAVTKLLQKLEL AKETKAEEPKDASTDESSKENGTENGEEETSQETPEPAEPPTEEKQVENGDDSKSGIP SEIKLYDIFYDQVVNLIRTRALPIQDTMALLVSLVNLALNTYPDRLEYVDQVLDFATQ KTAEYTDHADLHSAPTQQHILHLLNAPLKSYISIFTALALPHYLPLLTSQSYPTRRAV AGEVIRSLLKNKILVSTTENLDRVLQAARVLIKEGMQQSAGYPGSQSQRRGGETDETV EEQGWLARLIHLIQASDNDTQLKLLQATRKALADGNERIRYTTPAIITASIRLARKLK SREHYDDNWQSQSSALYRFMHQSINNLYQRVNPGCADLALRLFVMCGEVADQTGFEEV SYEFFAQAFTIYEDAISDSRAQFQAVCIISGALHGSRGFSKENYDTLITKAALHGSKL LKKPDQCRAVYLASHLWWVVENPQREEDDPKDLYRDGKRVLECLQRALRVADACMDTA VSVELFVEILNRYVYYFDQQNETVTTKYLNGLIELIHSNLQTNEDEANANLDGPKRHF QRTLEYIRSREYEGIVTEPPK PEX2_008750 MATHMSATPLPATNGDTHARGPQGTTSAGSTATSAPSVNRKKQK RRQKQAARLAAEHPDAYASGDADLIPTDEQRSYDEDHEYDLDDHHHTASGGHYGSASP EPPRGPSTMNGPDDHHAASGETSKRKKNRKARSDSQNHADRSSTPLSTPSATFSHPPR PPQSLPYISRFTGKPMKNSSIWNQSTLEERENIRTFWFELGEEERRQLVKVEKDAVLK KMKEQQKHSCSCTVCGRKRTAIEEELEVLYDAYYEELEQYANNNQGAFDEGAPIIPPP RLYQPPLRSPGQHTRTHGQFHPSRSRVQELPEDNDEDLEEDYDEEEEEDDEEDEDEEE DDDEELYSDEDLEDDEARAARADFFAFGNSLTVKDGILTVADDLLKNDGKHFIDMMEQ LAERRMQREEDTQYGIAAAHQSYHGHNHGPLDDEDYDDEEDDEDYDSQEEEEFDEDEM DAMTEEQRMQEGRRMFQIFAARMFEQRVMTAYREKVAEQRQKQLIDELLQEETLNEQR NAKKAREAQKKKDKKRLQRQAKEEEKARRDAEKAAEEAALKAAQEKKLEEQRLKREEQ RKKRDAEKKAQEEERARKEAEKQRRLKEERERQAEAERKQREQKEEKKRREDAKRKEK EEREAFEKRTREERERKMREDQARKDRDAAREQEIRDRSGKREQVRTSPPHTLPGVIP YNLQPQAPPGFLQSPHYPMATPIVPKVSTPGRPRQQSQHGSSTSSPHSQPNSADSSHH PSISPRSMGHPQSGAPFGTRQTYQQPPLHHPQPSAPLSPLGRTNPSAFAGLGGLPFNP PGIPGMAPRLTHPPESMYSSNAGMMNPLRSFNGSGGIPAPPGMNGVRPMPPSRAFPPD PGHGLPFAGSHGVPGAFPLQQGGLSKAHSRQPSLSFDRSPLESGAQPFPITRPSPIKR PSSSAQDRHNNGNASVQREVDGLSAHLGSSALLDDTDATYPSNLSQSLPGAPGPGAFL GPTRASFQGSSLFSDPLGSPQNNFAVGSPMGSTTWGAAPFGGSPFPTTWGPTPTGTGW PPNNAFATGGHHRPHTSRPVTIRLLVIQACKQLNSMTSPHKGAGSFHDVNLVLGQVEQ LRNSSEPSISLDEMLDICDTEGSPQNGGGSFSIKEGPSGKSVRFEPDPNSAVSGHRGS IVPGDIGSPIPSNSHPAPFSGFGGPSVLRQYSSPPTGLFGGTSLS PEX2_008760 MSQQQDDNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTVPTVFE NYVTDCRVDGRSVQLALWDTAGQEDYERLRPLAYSKAHVLLIGFSIDTPDSLENVKHK WIDEANERCPNVPILLVGLKKDLREDPLAIEEMRKKSLKFVTTKEGSDIAASIGARKY LECSSLTGEGVDDVFEAATRAALLTFDKRKSSCCVVI PEX2_008770 MKSLSPALASLANVFKIPMSMAPVRPSISRACHETLNRQTQQPG PVTAALQSASFSTTSAMAARKGNGPVIDKRITLIRYFLHHPLTPRPLRFSRNRYLRHW TIHRAWQLFQAQQRRKHELEMMRQYQSMQDACEELRTGAGDGGKLFRVSMNKKGIFTD MFPIEYARMQTESPPSDGWNYDWKKPGQK PEX2_008780 MASVPGYSAAGRTRLLDDTTSANPDENAISQESISPQDESMEDT ETVFLNKSVSTPSHGSDISSKSLSPSSKPSHASDEDEPRKCWICYTDETEDSPLNQEW RSPCPCALTAHEACLLDWLADMENPRSRKSNGGGVTMMCPQCKTEIVVTRPRSYVVDI LRLVERVAGRLVLPGMMFTVAGTVWAGCCAHGVYSMYLVFGTEEAKQIMEETMEGPWN PGMNIGLPLIPLVLIFSRTRYAEGLLPAIPVLFFAAHNPGQEPDFDLWPPTPAMTFAA LPYVKSFYGALYERIFGGLERKWIAEVQPRAAEEILDDAQQQDQAEGLNRFGDNENGQ ILMEIDLQLQMGMDDGDEQAPPVGQDEDADGGPNVAQDAGQNNNPLGLGRRQNEIIAD TSNLADIVLGALVFPAVSASMGGLLKCLLPKSWTSSVTDRGRLGLLQTRWGRSVVGGC MFVLLKDALVLYCRWKLAQTHRRRKVLNYDRSKKHHAAKRSTG PEX2_008790 MSTQAAPAIPPRPARSPKPPSSSSSLEMPKIPPRPGNRHNDRSV SPMRDSYAPSPFNEWTGPSMSRTVSNDLPPRPPSVTIPSLGEEGIEYADLDPGNVSDN HHQTPAETRNVSSDLKIHAPRPSLPISSAEAKVQAVTRTDSRQAAAAGLGRGVSPARE EPERSSRSLHSRTSGSRAESSTASHERRLSTHVGEEQGFRVPMYPHAGDVQAPSPSPY LEQGSQRASRNHNRTRSARDSSLPPGSYGLHGHGVQHPDKFEKAWYEKHPDEFVKEEG QYGPGVGTPRPDWAMSSDDLNKIVRSSAQTGSGLGTSPTAVGTPEEEVGYIASDEYIH HIASPPPDARLESQPTVESPLRQMSFPSEATQKQNTASPLRQRRSSSHGHRDRGVIHV DDPMQRMHHPDGFAPTPALEENEPTYENAVEEEEPILAADEVRPESAYLHPAISPTFD RRTSFEDDGRSRTPSVTHSRSHSRSASAQGQFPVLTRYDSREDTHTPLEDVEEYEPLF PEEDAKKEKTVSAADRFKKRPDTLKHRFPSQDIWEDTPNSLQLHATVTTPDIPKRDSS EIFETPEQEATRRMQNTKVNPHQVATHILEGESAKDKTPARPDTLKQRFPSRDIWEDA PDSHQLVTTIEPAKEELKSPEVPSRPGIPPRPQRQPQSTSPTEKRQPPTIPGRPKPQV PTRPAKPSAQAAASTTEPPREAPAVKAKPTIPIRPGGSKIAAMKAGFLTDLNSRLQLG PPQPKPQEKVPEAPVEKQPLSDARKGRARGPARRKPAVEKTTSRLPTIPEIKITESLN IWQVGQDGNLVVGTGNDKGKPSVTVEEPSVPAEHPMAPPIAKNTAGESVDPTPASPVV EDVTSPVITTKDVQPTQTAAEIKEPAESPLVATESAEKEEEEEKNDEEKGEEKDDVNV TKSSGPASEPTAVAAAMAESVSTPAKLEDALEDKTASADGKRGSDGSIHPAQ PEX2_008800 MSLGEELRSRNFSIYGQWTGVLCIILCLALGIANIFSFNVVLII ISVISIASAFVILFIEVPFLLRICPTSPKFDDFIRKFTTNWMRALIYAVLGGVQLISL AERASSLIAGAVFLFIAAVFYSLAALRKQDFVGSKTLGGQGVAQMIV PEX2_008810 MALNICPVDSDNQFNIRVDVDCRAFDFTLLFEDAIFNILPSVAF LLWLIPRLEILRRSPVKSNSLKFAIYKSVLLFVLFVLQIIFTVYQVQTVALHTKISTP AAAINIAITLAAIILSFLEDQRAIQPSDTLIVYFSALSILYIPHLRTLWLIPSIPVLR GLFTAIYIIVIMITILESARKVNFIQPLYRNVAVEKVHGFWGRNLFVWVLPLFQNAYK TIISLDDLPDVDSTLLGQYAEARLAQTWLNAHGKYRLIKATLRAYCRPLLSAIVPRIL LAGFTFCQPFLLSATIEWMSSPKTAERQRYGHALVGAYALVYTGIGVSTAIYYRQASR LATVVRSGLVAMIHEQTLALRSTTGSKAGDAVALMGTDTTRIISSMRSLHEFWASLVS VAVAIWLLEMKVYVACVLPAVIAVGCIIATGPVSARSGDAQKKWVGLIQERLAVTTTM LGDMKAVKMLGLEVVLFDIVTRYRKVELEASKRFRKLIVGVVMLSSVPMDFAPYAVFL LYTIIALAKHDTQILTTQAFTTLSLISLLTTPLMGFIQSLPTITQSIGCFDRIQNYCL RERSSELLPTQTKSEFSMGDSVELSNLSGATKAPGISVSCDKFVSFQNTSISWTAESP AVLHDLTLSIPTGKITMVVGSVGCGKSALLNTIMGQTQIDHGTMYLAPENIAYCPQTP WILNSSIQDNITGWTTLDQKWYDITISACGLVEDISKFPQGSMHIAGSSGIALSGGQK QRVALARAVYSRLRNVVFDDTFSGLDAQNTRLIGERLFGRDGILRRTSTSVVLATHNR SLLPYADEVILLESGRKVLQCTYQELIDQRPEYTQSSLGDKDDDAVNTQPNEITEPKP LSRHVSRQGSEYESTELKKSLARRDGSWSIYSYYARKAGLLHVAFFAAFFLAYAFMTQ FSSIWLKWWSDANEAHPNSDVGKYLGVYTVISLLTILFLGAGSWMLIVEVVGTTSLAL HSDLLQSVLRAPFSFFQKTDSGSIMNRFTLFLCVVSLVILCVVGKYITIALPFIILAI WVLQHGYLRTSRQIRLLDIEAKALLFSHFQETVSGLSVIQSLQWQPQFHQQCLAKLDV TQKPFYMLFCIRQGLKLALDLLVMLFAVILLAVITALKDRFSPGEIGVALNLIISISQ NMNTAIETWTEMEISLGAVARVQEFMKETPAEASEGIEAGWLTHADIRFENVNAGYSP HDKPILSDLSLHIPCGHKIAVCGPSGSGKTSLIMTLLRMLEPSQGRITIDNVDISTIH PAALRSQITVIPQDPFFLPGTLRDSFNPTGTLPEERIVAAIQKVGLWESMREKGGLDA TLDALDWSYGEKQLLALARALTTPSPLLILDEATSRVDWETETRMLEIIEQECAEQTV IAVVHRLQHIERFDRIALLQNGALVEFDAPGALLGRESEFRKLYTASRK PEX2_008820 MVDSKDTPEKTSLHQFENAPVQDSPYSDLKQDGLVADAEASSLG PPTFEGVDEKAVLRKMDIRLIPMLSMLYLLAFLDRGNIGNAKIEGLVDDLHMTGPQYN WTLTVFFFTYCVFELPSNLLLKKLRPSRWLPLIMVAWGIVMTLMGVVHNYGGLLATRL FLGVAEAGLYPGVAYYITLWYPRHRAQFRQALFFSAASIAGAFSGLLAYAIAKMDGVG GYAGWRWIFILEGLLTILVALIAPFAIHDSPETATFLTEEERRFVIHSLRIQNSADSR EIDQTNDKFEMRYVIDAFIDWQIWLGLFMYWGITCPLYGISLFLPSIIKDLGYKSSTA QLLTVPIYITAAIVAVIAAWVSDRRKQRSPFILFFMGMIGIGFIICLASTGRGVPGVM YFGIFVAVVGIYPAFPGNVTWLSVNMAGDYKRAAGMAIYIGLGNLAGAMASNFYRAQD APNYILGHSLELAFVVVGMIATVALRLAYQRINRKRDAMDPSEYPENPDSLGDRSPLF RYML PEX2_008830 MLTTTLTSGLATILVCAHSVNAAPQAMRRSTPELSLTAQLQLAD TAVDRYNLLPKDEDFVFDFTKSEAPFANRKNFPALVGTGTSFSVSQLPACSMAYLHLH PRASELFVINSGRVISQMVPEAGVLDTEGKQRVIHAELGPGMMTIFPAGSFHIQVNPD CEPANFTAALTSEDFGIGLVASQTFSFSDDVIAGTFGQSVAGEDIDKVRNAIPGDVVI RVEECLAKCGKEKRSV PEX2_008840 MPSTSWIQPDTSTRPIAVIGGGVMGRRIAMMWIASGFTVMLCEK VLDYGDAVSYVQEHEGEQAAKQGTKPAELKTTTSLEEAVGNAWMVIEAIPEKLEMKIE LMTELDKIAPDDCVIASNSSSLRSSQMIVNTKKNYRICNGHYYMPPEQTYFEVMSCGH TDPGIFPFLMEKATQAGFKPVHAQVDSTGLVFNRIWASIKREILLVLAEGVSDAHTVD EMFKSWFKATKGPCQMMDTVGLDTVYNIEVVYSQQRDVDTAAMKWLKENYVDKGNLGA KAGKGLLG PEX2_008850 MVNEVSASLSPDRSESSPTIHAVPFENTVAAPLANRSVSLPTAD APIASNGRSFSTSAASPCLSDGHASALMFSRLAPSISVGDETRTIRSEGAPSLRSVPS IAVNEPGPRPSSRPGSRPGSRWSERKWGGLKKRSLDMERKLSSEEPPPVPQIEHPFSG IPLDIPTASLDGLNRPMKFSNRGSLIRSDTKRPRPKTPQEKLEEHPEQQQDEMPQEKL EEQHEQQPDPRQQNIEEEEPRQELGQPAQEVLEENCDHQLDRQTSEIVPPPAITTSRP EGENGTPKAVPRHARPQGTLRPRQTSFPSRAISADEDMLSRRVRLMYEKGEDNVTDSE VAKAMASENGVLWEEGTVPVTETSKTLAAQMNQTGSETKPRASVEVERIRIKRETQEL AGGAEYWQNVGAEQVDRYGFIRPAKNSNGSDINPLQRVTTSLLLASETPRRKRSTRPP TAPASNRSFNGPSLVRKMSHNSLSARPSSSQSNYGPPLRRSTSRLRAATNHLPYNRDR KAKDEAADMLTLPTPSGDEKEDAPITRAMRRKEWQREDKWTKMAKPTKKTIDGGGMTF EFDTQSSKLIERTWKGIPDRWRSTAWYSFLEASARRHKGSPPADELIEAFNELQFISS PDDVQIDIDVPRTISSHIMFRRRYRGGQRLLFRVLHAMSLYFPDTGYVQGMATLAATL LAYYDEEHSFIMLVRLWQLRGLEELYKSGFAGLMEALADFEREWLAGGEVATKLNEVG IPPTAYGTRWYLTLFNYSIPFPAQLRVWDVFMLLGDAEDNTGSPGKNRVPTPENPRTF GQGLDVLHASSAALIDGMREIILDSDFENIMKVLTSWVPIKDTEMFMRVAKAEWKVHR RRKSP PEX2_008860 MAFFFNRGRSRQPADIVRTTKELLLRIHDSQNAPKAEEELAKQL SQMKVIVQGTPEVSASVDQVHALVQAALQEDLLFDLSKSIHLLPFEARKDTQTVFSHI LRFRPNSYGPEKDPPVISYLVHHRPEIIVELCRGYMQSQSAMPCGVILREALKFDVIT AIVLYDQSSEGESAIRLSDVKPNQPQRGDGVFWRFFDWIDKSNFEVSADAFTTFREIL TRHKSLVTSYLATNFELFFGRFNNVLVHSDSYVTKRQSIKLLGEILLDRANYNVMMAY VESGDNLKLCMKLLRDDRKMVQYEGFHVFKVFVANPTKSVAVQRILINNRDRLLRFLP KFLEDRTDDDQFTDEKSFLVRQIELLPKEPVDRARPTRDSPSAHTAAVA PEX2_008870 MSASNAVNPPAGERFPDTHSVSDSSDSSNEEGWEDVEPDEESQP VVGLFTDQVFPDARSMLKECKEKYSFDLLKIQKDLDLDFLDNIKLVNYVRTEVKNGNT TPDVSSKSKFEDDVYLKPVLEDDALLYSLDDLAEEQGEDAAPAAETNRQVLELQENLE RLQTQFSEYRLAVQKSMDDQLSKEDDKLAESDPQPSAKAIDRHQDAEDGYFVSYAYNG IHESMLKDTIRTDSYRDFVYENKHVFKDKVVLDVGCGTGILSMFCAKAGAKKVIAVDN SNIIDRAKEIVHDNGLEDVITCIRGKIEEVTLPVEKVDIIISEWMGYGLLFEAMFDSV IYARDRYLAPDGLMAPSHATLRLAPYADPEFIASHISFWHNVYGFKMDSMLHKIYDEA VVRSNQPTTVVGESQTFLTLPLHTITVEELSFLKEFQVTLYQDIDALDGWIIWFDIFF MPSRESVLPEHAIPEDMQKKGIVSFTTGPHGTETHWQQTVLLIDHAKDHAKQGSQALK KGQVITGKIGYEKTEKGSRGVDISVQWESDANEKGVQLWSLV PEX2_008880 MPLHLLGKKSWNVYNVDNIARVKRDEAQAKAREEEDERIMQEVD AERRIKILRGERPPTPPPAPSLVSSEPGARPDRKSASDAGGFRKRRRVAGEDDTDRDI RYAREDAAQATAKREELMLASHKADIAQAPILDKAGHINLFPAASAKTEKNSEAEAEA ARKKRSYEDQYTMRFSNAAGFKETISQKPWYSSAEQIAMAPGSMPEKDVWGNEDPRRK ERTQARMSANDPLAAIKRGVRQLKTTEQERKRWNDEKRRELETLKAEEVRKSSHRRRR SPSVDSFDGFKLDAPDREREKDRRSSDGNHRRHRDQSRDRSHHRSRHHSSHRSHRHRH DDRSEAARREKHLETKNKS PEX2_008890 MFNRGNIPNPFGSSGQPSPQGHPNPNQAPPRYDTDRFPSPSAGH AAPIHDEDVPMTDIYNVLRGYGGPPPPGGRPPQQQMPSRMQSGQRAGGQTWTLHPSKS PNENYTFGNLVAVSPQDIPPSRDGTDVLLLINDLFVFSARPLDGFPSGYMSMSDPQRT WANIGLRDAIKVQLYDPFSQGGQAYLGSADVEVSFASTKKRVETPYDQDELAQAVIRN FENQLFSPGQRILMDNKSIPLLLQVKTVQRVDLTSEKADLSSGQVETDPTARGILTRH TQLNFFKDTQTGINVKPSNRRPAANSIIQPGFKFQDMGIGGLDSEFSTIFRRAFASRI FPPGLVEKLGIQHVKGLLLYGPPGTGKTLIARQIGKMLNAREPKIINGPEVLNKYVGQ SEENIRKMFADAEKEYKEKGDESGLHIIIFDELDAVCKQRGSGAGGGTGVGDSVVNQL LSKLDGVDQLNNILLIGMTNRMDMIDEALLRPGRLEVHMEISLPDEHGRSQILNIHTE KMRNNNVMDTDVDLAELAHLTKNFSGAEIAGLVKSASSFAFSRHVKVGTMASINEDVV DMKVNRADFLHSLDEVKPAFGVSEEELSSRIPYGIIDYSPTISEILREGELFVKQVGV AESTPLFSVLLHGPPSSGKTALAARIAIDSGFPFIKLISPEDMVGFSEPAKISHISRI FDSAYKSATSIVVIDNIERIIDWVPIGPRFSNSVLQALMVFLRKQPTHGRRLLVLATT TERALMKQLDIYNSFNSDIMVPNVSSFGELRFIMEKSGAFDAQEIAQALESVGGIADD SRLSVGIKKILLGIETAKQDTDKVGRFVRVINRAIEEERSFQ PEX2_008900 MATQRHYYQPAAYPYHHAPSKAPAPASLYPISRVSGSPPDFSDA STTAGSRSSGGLTFSSAGEYDSSFASYSGVDVVDVLSDRMQDAFDPTPLDKGIARQAQ TSGQLNAKQQELLELQALAQRRLKGARANFSEGLKTARETKRDLEWTQKRVSALKAKA EKAHPDEYRRSSKKYAYDDY PEX2_008910 MNFSRPVEPSGGLIRRFSDDISDSSPGEPLGRALAAKVAVNRNQ ERSFSLRVEWDQLLLEGRELAEESVPDEEAVLLQQSQRLYAAWNKFRSDLPRDQHLEL GDWDRPDINFLVATVSKASATWQSGRDESKLGRLKSKFQGLCNTCLSHSTLLSVIPKD DKYVTLLTGSLSAIAQATINHQKIAEGVADTLEDLSHDIDIWNRQMIEHVDIPSLRRY IQELYVVIFEFFTEIFTKWSKSSWKRFLTSFDESAFNELFTSKKNRILAIQSRMNSHI SLDFHHRTTRSLEMMIQQQNMLYQNQERLSQILPDQLNQLCKQRLLVGESLERLLDQQ QYFRLEQPRPSSITSVQEDTAGEILPSASTNNDLELEAPKSEVSPVSQTHYQFTRKEI QAEIKRFTDQWKSQVDHLIQVTKQAPLLQVNREVHNRLVTWLRASSSTNFWIQGPHDV PQPSQNSMTAVSLAALARNNNIPCIIYFCSFTECHEPGDSKALDLGSFLASIITQLVQ LIPDYGYTEADLSIARFAALARGMLSVPEVLQLILDIRVLGPRVVYGFIDNIQVLEDQ SDQDYTQDFLRTIATLCRLGRGAPRPDCAIVREDEGVTLGTRMCFTTDGYVDGLAQAS ERQLLDKVEFDLETNDPLPGEIGGGPEWDREEKDD PEX2_008920 MDIEEHIKELERSNYEEEPGTSSASDSGLSIRIQSQRDFKPTKS RVFRAYHPSAKEIDLAELRRRRNEESECPSSESGIVWHAKIFPRQTRRLGPDQNLENP SFIFVDDRPIELSVDATPRQPQPDAKERIQQAATWWAGPVITIEVFVETDLTSHRVSE IMKDPRTDQLPLKHVSRRHLVVNSSYLAIGLREVVQYYPSFHSMMRKLNIPEPYAVLI HHFDSIEAMAGSPVSATMCMSTHDKSQEEIDLTKKHMQILLEFLRPIREERIVRCEEY LSESTARVAFDMIWYLLKPGMDVYIHIDGSPQAAVVIDVKHGSSDVSSAWGPGNSDWW LIDLWRLQTDGSRVRRSATTAIITFYSGLQEVKNLAVCPCAIWDAHDSGERRKNILRR SITFFKALHEGNLLVDYNGPIIGSNQYYTGKLVIDHRRGQEEAGYAELAFSRIRDYSN RFRDYDNIIVNDDGSFNTGQESQRRFFSNLEKSRHPSPRRLSVKAVTGWDDDQKGWPG VVPVLEYNDTDRVEFNGPVVDELTEHQLLLLCPQALAYALKHKKWILISLDHVQESVP SYESISNLVIGEAELKTIQALSNRQNSKTKHWSADFIEGKGSGQIILLHGPPGVGKTY TVEAISEWLHRPLLALTVADIGTIETLVEGELLKWFNLAEAWNAVLLVDEADIFLERR QNRDLARNGLVSGKMLNHIIISSTDTYDCYAAFLRRMEYFKGLLFLTTNRVGQIDDAF ISRVHIAIGYSSLNEEARRKVWNGFFRKLVRDRAGKIQIAPDAKSWVLETAGETQLNG RDIRNALQTAITLAEFESEEDPDYDETLVTIVTKTHFQKVLDMCNRFRGYVTSIRRED ERKRAQGRGDRNDYGRGTANEMEGPVDLSNSMGR PEX2_008930 MQPPRSPSHRPPHGHQSQKLVDELAGRVDALEKSSLNPSRLSRG DSTEQVVGLTPITEDARSETERQEDQGRSSHTLKELRKQMEDLLVYQQMQQTQPVSSQ THPVSAISNDTPRKRRLSKTLSNTSTEAPASIVHTSAGSVGSAGTIKGVQTETSSDRK PAHTPSYPFPHIPHIQNKPAKMGVEPTPRGGPFRLKLPSEKSQSSTPGSQTLPPESKA SDPHPTFLPAHHSQVQEDPNFISPNLYDLTLQLNADSGLEAWWSNLIDILQIHYGAER VSLAVPGDVTDLENVPWGQKAIFNQNVDTSLDELQERHIEKGPSTEVPQSRKSVTQQK TETVFHQAKMPGPSRPSLLSRHSFAGFDKNKGHSPHDLNQPQVSKINVKSEKKHVHIA SQNPPCTDHLMGEHSEPKDDTINSLLGSMRQAVFPTARPLEVELDPLIKRTGVVRLFG RTRPTILTREYAESAQHPRRWAESADSPNEMVQVTPDVDGPGDRGIGSIPMQQGLGVS GWKQYDEYEQVPQSPWSQSPAPSPAPRTHADQNPFFSNHSVDEGAFSKHPPSHDYSNH LPLEAIGIDQSKTVIHIPLLHGGHSNQDSPSTLRFPVAVVSMLCTIVPYPPNLRQSLS LLMPHLTTSFCLAQRYSQLERQLSSKIETPRYGHLLGLGGTFSDASSELELVAGLSGH VNYSVGDNNNSMSARTSITSPSDRSSIKFSPAVSAMGTPGFDLSHIGFGSANQSPGVA SRPGGEGADSYFNVPQNKSSRDNASQNRPRLLKSKTTTIDSSTPLSPDKVAWKPTTSD EHNLQDQSFYVASPLQETRPPNAFSPTQQSSRQASTNSLYAQLQRELPRPFSDTIAQL MLNSIPLHLFLAKPQSGEVIWTNSKFDAYRRSQHQEQRFRDPWQNIHSSESEHVHVKW ANALRTGAQFTERVRVRRFNDESAYRWFIFRANPLLSTTGEVLYWIGSFLDIHEQHIA ELEAIQEREKFAIDAKYRAFSNSIPQVVFEATENRGLIFVNEQWNLYTGQPLEEAHDL GFAKHVHPDDLEKCGELSLRSTSQNADQNDSGPYEFMVGSALDELVKRGIASLQQDEN GRVFYSTEIRLRSRGGDYRWHLVRVVRVKTSSFGSEEASWYGTCTDINDRKNLERELN KAMQQLNHQMESKTKFFSNMSHEIRTPLNGILGTLPFILDTQLDSDQRRMLDTIQNSS TNLRELVDNILDVSRVEAGKMSMVKSWFHIRSMIEDVIDTIASRAIDKGLEINYLIGE DLPSMVIGDRFRIRQVLINLLGNAVKFTAQGEIHICCDMYRDPSANPSDTQAFMNFEV VDTGKGFSSQDAERLMQRFSQLGENVTQQHAGSGLGLFLSKQLVEMHGGKLTPSSKEG QGAKFSFNVKVDAPPPPSPSEQPKLMRQNSTASRRPATSRTTSYQQAPPLAPRNSDSS GKSSDTTLSASLSITSSALPTPDIGPTPLPPPLEQTKALVNLPDAVSNTRIQPAVTKP DTPAGVASPMSQASASPNTPIGPVPVPAHGPFSIVILCPLTNSRQAIKQHIEQVVPHE ISFNVTTLVDVDEWKDVMHSASDPNPCTHLVLNLPTDDILEVIQNISDSSLDPAPVVV IIADLYQKRQISSRVKELAASGKQVFIVPKPVKPSAFSSIFDPKSKRELSKDRNQDMA REINNNFKTVSKMVKEVLGNKGYRILLVEDDETNRDVMLKYLDKIKLMSETASNGLEC TNMVLSKEPGYYSLIICDIQMPIKNGYDTCRDIREWEQKNHYPQIPIMALSANAMTDQ IENAARAGFNDYVTKPIKHNELGKMMMGLLEPGRPLMLLRDRLSPEQQQAIATRR PEX2_008940 MFKKINIFYGNSLRLLNSTTVLPLARFNTNTSPRCGLQAYKSRS YATAAQFPHQNYSWPSNASFTPYDVLNLPRSATYSKRNYYDLVKIYHPDRALKDHPLF HQLTAETRLQRYRIVVDAHELLSDPIKRAAYDRNGTGWAHTVLDTTMARDTHGPNIYS NATWEDWEDWHNRHQGPQQHIVEQRTFFRLVILLVLFAGALQASWIGQVNNGVTDRLR EVNAKSARVLQDRKDSTIKQMDSNDARVQGFLIRRDPTGSGLKDNEQPVYQKELNPRR SLDESSQVGKDSQEPAQSVGIKSESSEFP PEX2_008950 MNADDEMRLKTTTIRAQDDAMKTAGALTVKVLDADHPAAQLKEL AAEVIVIGLVASAESDQQAQLVKTAGDTDTAATDTVIPAAKMKDDRLGGIGMRDHALA LAHPENHAALHHKHWNGVAPSPRSPTHSPMWRTLQMHQYQKNKSQTSMLLGGTEIVLK YHEPPEARKPPSKEAWRMYVFKGQDLLETVELGERSCWLVGRERMVVDFPLDHPSCSK QHAVLQFRFVEKRNEYGDRIGKVKPYLIDLESANGSSVNGETIPAGRYVEVMDKDVIR FGLSSREYVLMLPPS PEX2_008960 MSAARFAPLLRTRVAVQTAPGFQAIRSISATAPYNKGPVDATKD TLKKADRVVSNVAVKGINTGEKAAHKIKDAVGAGAKQAREKGEEVKGEASQYAGKAKG EASEYAGKVKGEAAEYAGKGKGKAEEALNEAKEKTK PEX2_008970 MQGIDRGWLHLTPIIELCLSIRRYTTEELVSFQCEYVITARFSL AVPEYKRDTLDAEITQLELRSYISEYL PEX2_008980 MELTANSSLVNLPEQEEGNKLPGHASCEQEKVAQTAKAELNAEQ PAGEPTTPEGTEHRKGPQLALITLALCLGVFLIALDNCIIATAIPKITDQFHSLDDVG WYGSAYLLTSASLQLLFGRFYTFFNVKWIYLIAIGVFELGSLICGVANSSLTLIMGRA IAGIGSAGVFSGSLIIISHAIALEKRPLYNGFISSMFGISSVAGPLLGGLFTDKVTWR WCFYINLPIGAVTVLVIAMFFPAPNLGNKKSTWAERIKKFDPMGTALFLPAIVCLLLA LQWGGTIYAWNSWRIILLFCFFAVLILLFLFVQYTQQDFATVPSRIFFRRTVWSAAFY SFCIGSAFLSSVYYLPLWFQGVKDASPVSSGIMNLPMLISVVVTSLLSGIIITQVGYY TPFMILGTVLLSIGSGLMSMFQPDTSKAVWIGYQIIAGAGAGAGMQQSMIAVQVVLEF DDIPTGTAIIVFAQTLGGALFVSIGNNVFRNKLVEYLAKYIPTVDPALILKTGATGLH SVVNKADLPGVIHAYNDALTQTFIVGAAAASVSIIGALWVEWKSVKGKNIAAGGAA PEX2_008990 MPRDLINEMGGTSSNLKPRTDINDSKATMHVPSEADISATTDIQ PAPITVVRHVGSLITEPVTTGENNSLRGNMTEMGLDSDSFASVFQHGFEQIASWYPFP NETLTDLKQNHPLLFAVCLLAGIRATAGLNRTNLHITLYTLVKTHLGMKTLDTPIDIS TIHAMLIFSAWSFGPLVPGGRYIDSWLMSSTTITHCMLSFPLSELVSQVGLYDETNRN MCRMWIQASLVHLKYAIGTGRPSVVSCDRLHKWTEIVKYPGFETFDHIIAAELKLYIH LYEAIYHTVSSVPEAWENVNRWGRKYLGDGNNTLRWAHCCASLILSRWELAKQNQTMS PNSLMRNERISELTQTVIRHAQLVLREIFVLCTSETAFVRPTYDYLLTAYAGVTLAEY CASITDVHATYTLMEDVRTQARIPKSIEGVFSWATNVVQKNAKDLLDSKVAVIPEDTF YSYPGSVADWAPFRFIDSMPTSDWDGMNGSMHQF PEX2_009000 MTTLLEYTQVELLTLNGPEFRRVSTAPPRPSTEDEIPIIDLASI DGDLEARKALASKVRTAAESTGFFYIKNHGISEELIQNALSQAQAFFGQPIEKKQLAS SKIQKNADGWHGLGTTQINKTETRDRKETFSLRYNRKNDPTVPGRETLSSEDDFAWDT TSHLPGFQETTIEFYERRLTLARKMIRIFALALDMPEDYFDSVTTNPGADGLYVHYPA TPADALEESNGDVDVGIGSHTDIQCVTLLWQDMSGGLQVLSASDEWLDARPIAGTLVV NIGDFLQRLSNNRFKSTVHRVYNRQPSSRYSMPFFLGFNPDSWHRNRLELAHGKPISD PEX2_009010 MSKLSRLHELLLVKRTQRDGIDRPVDMLDNDSIRPTPLKDRTWT QLTYIMFWFSATANVSNLYTASTGMAMGLTMWEAIGCSFGGQILAGALMAINGRAGAM YRIPFPVLCRSSFGHWGALWPTFNRAVMSIVWNGVNTVQGGQCLLINCAFLVVPVPKM KSLVYIKVVVYFGAAFAMLGWTVSLAGGSLKTINAPSKVHGSEKSFLIFRFLFLGLAS CGTFISNASDLQRYARKPNDVLIGQFISFPLSNFLVAILGNLIASASKAIFGELIWSP LNFLDKLMEGERYTSGNRAACAFISLAFVYSTVFSAIFENSIPAGNDIAALLPKYISI KRGFFICAVLSFAICPWYLLASASIFITFLSSYQIFLSAITGILICDYYLIRRGLLNI PALYVTSPSPYRYFHGFNPRAFLAYVIAIAPNFYGFLHQMGVKAPLGIQRFYYIAYPT GLIIAFVVYYVSCLASPPADMKEASGWIEPKDFVEDNDASGSDGYTINAVEPDFAEKG NVAVTTAAHGGKGSF PEX2_009020 MPFGTLSVNGNAVPQFAPPYSINTQSFSDVTLITIPYRVTAASI RHLVPDVLELENEPLVSVMLVDYRMSTFGAYKEYVHSVEVTYKGKVFGYYLSLIMNSD SSIFCGREQYGYPKRYGHVSLIADTGSHIVRGHVERPVGQKIVNLDFAPSSLLPTASQ TNPGLNLRVIPSALPNQPPSVKELVPAITDIHPKEIYGGTGSVSFPEPSALNPLHELE ILPYEPAVMLRGATGVLNQPTEVFEL PEX2_009030 MSIPIRALGRNGPKVPAVGLGLMSIGGIYGPAGSLDEKVAFLEH AHATGQWFWDTADVYADSEDIVGEWFKRSGKRDDIFLATKFAVQRDPKVGLSVRSDPE YVKQACAKSLERLGVDTIDLYYCHRADGVTPIEKTVTAMVELKNQGKIRYLGLSEVSE ATLRRAHAVHPITAYQVEYSAFALDVESPSVNLLKTCHELGIAVVAYSPVGRGILTGE INSPADFPEGDFRRMAPKYSEENFPKILELVEGLKSVAQAHGITPSQVAIAWLLAQGP DIFPIPGTRSTKRVDENTKSARVELTDKEVQDIRDLVERTEISGARYPAMMMGSILAD TPPL PEX2_009040 MSGLVIRDLSNGSFLPVTRPSLDSFGSATSINFDFTPLFEDTIL SLLPSALLLLSLPYRIISLYGQRPKVSPGGFLRESKSVFLAMFAAIHMALLILRVLNS SLRTSATIAESALAFIASLGLCLLSRLEHLRSIRPSPIINGYILITLIFDIARLRTLF LASNNRSIAGIFSSMIGIKVMVLLTEAVEKRKLLLGPYRDLSPEETSGIYSKSFFFWL NQLMTSVFQRVLQNHDLYPIDSEMSSTVLQQRMKNAWSAATQHKPRAFFWAVLRANTK PLLFCVVPRLLQMGFRYAQPFLLTRTIAFANDQGQPDSIGWGLTGAFFIVLLGVAVSN GVFYHMTFRFVTSVRGSLVSIIYSKTLDLSVTALDESVAVTLMSSDVQAICDGFQLIN DLWGVPLELVIVIYLLTRQLGIVALVPAILSLISTVAIISMAKSMRRAQRIWMKSIQT RVDVTSTILGSMKSVKMLGFTDWLVGIVQGLRVSELQEAELFRRLLVLRVFLANSLRF LAPPLTFAIFATIPQKGHSLNVNSVYTTLSLISLLAGPINTFIRAIPAMNTALASFNR VQKFLQSEGRRDHRMNLEDSSPTTQRIQPSLEGLELSDLCPLRQSSVSEVITARDVSF AWGNHAAFAVHDINLTVQKGQFCFIIGSTGCGKSTLMKGILGETPSTQGFLYTKYRDT AFVDQTPWIRNTSFRDNILGVSNYTETWYREVVSACGLDQDVANLPNGHCTTVLLSTH AVHRLSYADHIVAMKGDGSIAEQGTLEELKASGGYLAHLKAQYKSQANDKVNPQQQEA TNPVLDSSDQRNHDAMEGELTRQNGDFSLYLYYFGSVHWASSAFWMTFFVLEGVSPKL SELLVKSWVSALATHGSAVNPFYLGLYALVSIITAFALVGGSYHLFMFFAPKSAETLH KRLLNSVMHAPLSFFTSVDTGTTMNRFSQDMTLFDHDLPYSALDFIFSLSGGLMSAIM MCISARYFAAVVPPFFLFLWMLQKFYLRTSRQMRLLDLEAKSPLFSQFIESLSGLVTI RAFGWASAFEDQNLALLDASQKPFYLLFCIQRWLELALDLSVAILGAILMALVVKLRS AVGTGYVGLAILNVITFSQSLSQILRNWAELETSLGAIARIRDFVTHTASENQPAENE GLQAMNFDLSSWPSKGAIEFQNVYASYKAGEDQPHVLRNLNLLIQPGEKIGICGRSGS GKSSLLATLFRLLEIESQSRILIDGVDIAHIPRQITRAALNAIPQEPFFTHGTVRANI DPSNVNSLQEIERALRRVELWDIVERKGGFNAPLDANFFSHGQRQLFCLARALLRKSK IVILDEMSSNVDIVSDALMQRVVREDFADCTILAVAHRLETILDFDRIAMIQNGELIE FDTPEVLL PEX2_009050 MGSYTERPDFCNESVIHRNRLPARSYWIPEDAVVLNGTWDFHLA PTPFHEVDEWKSIQVPAHWQLQGYGKPHYTNVPYPFPIDPPFVPTENSVGTYKRNFSI PSDWSRSSQIRLRFDGVDSAFHLGVNGKQIGYSQGSRNSAEFDITNHISFEQDNEVTV LVYQWCDGSYLEDQDQWWLSGIFRDFHLLAFSAMARIEDFFVQTWLDENYENATLKVG VKLHLEEACTIEVHVRDHDDIPIQHSTQAAPTGCESLEVELPFLAPEKWTAETPYRYK MDLLLISPNGKKQTIKQKIGFRQVELRNGNVTVNGKAILFRGVNHHDFHPSDGRAVPL EFLRNDLILMKKHNVNAVRCSHYPSHPQFYDFCDEIGLWVIDEADLECHGFIRGDVDM KELPEEVWRANGSESIEEYLSPVLAKYISDNPSWREAYLDRITQMLQRDKNHPSIIIW SLGNEAWYGCNHVAMSEYAKKHDPTRLVHYEGDRKSKTADLCSFMYLELENLERKALA EGDDFEKPIILCEYGMAIGNGLGALEEYQQLFHKYRRLQGGFIWEFANLGIWREDKGY FAYGGDFGDSPHDGTFALDGLCQSDHSAGRGMVELKKVVEPVKLSIRDGQIFLQNLFD FDVLENLLVVVQICTFDGHEQNTIISDRKPCPKVLPSETGTILLPEVPTHLENILECW VTVSLQISQPTSWAEAGHEVAWCQSSLLEHERPSIPATVQDNLAINIHETATCYQVNG SKFSIEFDRIRGRMASWTMHGQNLFTTAPTFAAWRPPTENDTKYDAARWSAYSINNLQ QRVISVSLRNPDTNSVEITVEAYIGAVIRDWGFTSTIVYTIHGDGTVVISHNVRPRGY QPTILPRIGLDMQLPSDFTAVDWFGCGPEESYADKRNSQKLGLHSRTPDSLFTSYEYP QENGNRTGTRWLQLTNSQGVGFVVTRVDEARKTGDEFDFAALHYSAEDIANAAHPKDL KRRADVFLRLDAAHAGLGTARCGPGTLKKYQVPCKEASFAFSFTPQVPL PEX2_009060 MAENDEKAMFSASDVVQDHNTLTTDLPRVEELPLPKDFAWGTAT AAYQVEGGASQDGKGPSIWDTYSHLEPSRTNGENADVACDHYNLAEEDVKLMVSLGVD VYRFSISWSRVIPLGGRNDTVNEKGIAFYSNLIDQLLAHGIEPVATLYHWDVPQALYD RYGAFLNTKEFQADFKHYARLCFTRFGDRVQKWVTFNEPYIISIFAYLNGTLAPGHCR EAGTDTKTEPWRVGHTVILSHAVIVQMYMDEFHPLQKGQMSIVLNGHFYEPYDDQSAL DRIAAEHRLIFYIGWFGDTVFLGQDYPPVMRAYLGSRLPEFTPEERQLLAHTAPMNSF YGMNHYSTKYARALSDPPAEDDWSGNIEELPTNSRGEEVGPVTGVAWLRVAPDGFRKL LNWVWNRYHLPIIVTENGCPCPGEAVVSTAVKDVLRQQYIGLYLDAISRAIYEDGVPV HGYYVWSLMDNYGKLSFEQWNSQANIPQSGLLATELDSALFTLISTLWNGR PEX2_009070 MTSAAETDLTPSAGLAQTIRDNFMDLMGSVLQSLPVVGIAEHCI ELYMQYTFPTAPIVHEPTLRAVARRFFSEIDVTELFRANTHQEEVTLMRNFALITGLC AAVASTIPQSILQYRELIGNICLNSSREMLKIIEDFDVEHPNSTSISTRILHTVALQN ITGTAQLAREETFKSHDALEAQLLRHIYWQMYAADQASVCLRNRPFHLHDLLYDEEPT IGRPTAELLIPQIDMSKASYDEILERRLLVGFYFLPRLWSSAASLIYELKIHGKGNRN VEKTQLTHFYMEFLDIMDDLPTWLQAANIIISPNDGNAAQFQKTAFWVQRCTILVTFQ CLRLVILQQCIESKLCDIMGLNDQPLTLELTKIGMIHDFVQTLHDIPFVYLQVKGEPT VERIRRVGSIILEILDNAINERIRIRAEHYFTRLLDILARLDSKSSDVLVELRESSQA RIGIRLHEQLAL PEX2_009080 MPWSFALPHVLLTNPYLAVATPITVGTAVALLTNRKQNRETYKQ LKQPPYSPPGWLFAPAWTLIYGLMGYASHHATTTASQSFSLAVRDANSTAQTLYTTQL ALNFLWMPLFFRLGRPAVALGDLTLLAGNVGALMVNWWTADRTAFWLLVPYAVWLGYA AYLNAGCGILNGWTVPTRKERSE PEX2_009090 MTDINPIIPGFAPDPSVVKVGDWYYLVNSSFHLFPGLPIYASKY LLSWHQIGNAIYRQGQLSLARSNTTLNPSSGTGYSQLATGGLYAPTIRHDKGTFYVIC TNAIRADKLSADPKAVKKNFIVSTKDIWANEWSDPVLFEIDLLTGEKISPERTIWPGS GGLYPEGPHIYKRNEWYYLMISEDGTHENHMVTMARSKDIWGPYEGCPNNPILTARGT SEYIQHVGHCDAFEDGEGNWWGVCLAVRKDSSGRQIMGRETFLTSGRWDEEWLSFDRV QRNLNPTYSTRPEACRDLSTEPNVDFVYIRDPDLSKYNISNSGTQVTLTASKIDLPHP TQSPTFVGKRQRRLSGESIVTLRHSSSVPEPTKLKAGLACYKDEHRYARVFYEAASSE VVFELVNHAQKTTRTEKHTVPSFEELTLRMTYTEQSYTISYRAWIGSDEGFTQIASID TLALTAVDFVGTVIGIFAVSEDDGPVVEFTNLSIE PEX2_009100 MGSIKEVSAEIEHSSEMTAFHDEHKEADPVTVVSAAQAAENLDH VKPSPWTPSMFRLYTVLMCAYLGACTNGFDGSVMGGLNAMTSYLEYFHMESASASTGL VFAIYGIGSICALPLVGPVNDYLGRRAGMFTGATIIIVGAIVIAKSLSTGMFLGGRFI LGFGVAFCNISAPVYVGEIAHPYWRGTLMGIYSSLAGIGSIVATWVVYAAQFLGPNGW RIPLWCQLISSGILFIFVWTLPESPRWLVAQGRLDAARKVLIRYNGEGNPEHPLVHLE MAEMEAQISMTGSDKRWWDYSELWKTRAARRRLICVLTMATFGQWSGSSLTSAYLPVM LQNAGITEQNKLMLNGIFTVLTFLSNLCGARLMDKVGRRPLLLGTLSFCVVCFAIIIP TSKHATEHPENKLIANTSIAFIYLFGLSWYLAWAPLSPMYIVEVLDTNTRAKGKSLAQ LFTAVCSTVITYSSSPAFAALKYYLYAVFIGWDLMELVVIYFFWPETRGRTLEELEEV FSAPNPVKKSLEPKSTQTVMNAIHARKRDVEMTETTNPV PEX2_009110 MSDTNPPISRYAILVGINAYQEKPLEGSVRDVQRIERYLKEAST SVQTEMLTATKGDNDSGGRSLMEPQHLWPTHQNVISALMRVLSSAKTGDLVYVHYSGH GTRVGSKYLHSNWSTGDLALVLLDERNEGREKYLYGAVLANYLRKMADKGLAITLVLD CCFSAAVYRREDSRARYLPYNPDLANSCAEETSRSGTGDRDASMLPNWLINPDVYAIL AACGPHEVAKEIELGNGQMHGALSYFLFSFIEINGLGIRHDAIHKHLTSKFRESNLHQ QSPVVYGNRNQPFFQQSDFGNPRMITSIIMNRDKSFMLSAGNAHGVSIGDCFALQGID SEGDRSQSSGHSLSVQVVQVGPLTSKVELLGSSASSLNRTTWFATGYPRIYFQKYPVR LAGDLPHLQKLLGALQALSLSCHMNEEQPYLFYITMNNNSQYEIYDVHGKIIENLPDM VHGKNDFSSISNIVEHLVRYNFTRDLNNNTNAEQFQQLFDAHIISASGETYAPGNLVE TDEGVVHRVIVTNKGQTELYVHIYSLGPSWQIVNISRGCEVIPPPNPDLGFKEMRRKF AMKVPTELVGKGHRQCEDTIKIIITSQPTSFDLLELPAVSKLGIRTSNKKGTVRNGGY SRNGTSENWAALSFPIRTYVK PEX2_009120 MDEAQQYIPLTQTVVDAIPDDHIDWARFFDLLGHDLEQAKWPAF LNHLAQYLYDLYFDNDELPDLEEAIKLTRGAVHLTSEDDPNYDHASYLNNLANLLGDK YIRTGIVNNLEEAIAVAQQAINAIPKTHPSRSKYLNNLGNQLNDRYLITGVLADLDEA IQVGWEAIRITPEDYIHRPAFFNNLGNRLGDRYLRTNSLDDLDEAIRVKQEAVKVTPK NHPDRAGRLNNLAIELSARYLTTFDPPDIEMAIQAGREAIRSTPAGHADLTMYLHSLG NSLDYSADASNLEEAVQVGREAVNATPRDHPDRAMALNSLGKRLGERFLNTGASSDID EAIACHHDALHQGNASTIHRIVAGRAVIKFCATRQHWLQAYTAAETAVDLIPKLTLRS LENSDKQYQLGQVVGLASDAAAVALNAHKSPSVALWFLEQGRALLAASLEEMRIDILE LQEQYPELAREFIHLRDQLELPIDPDESLPISDEFLGQSFEQAAHADLRHKAASDFDR LIAEIREQPGMNDFLLPPSTADIHSAAEKGPIVLINVSDYRCDAILIDQHRIRSLLLP ALHNADVKRFAQSDNLGSPKILEWLWTAIAHPILQTLGLFQPPSANEDWPHIWWILTG LLTKFAIHAAGQYSHDSGGDIVTSYESVLDRAMSSYSSSVKAIIHGRRRTVSTSANMT SIPGGASSQQEALLIAIEDTPGKWDLPFAPEEIRTLHPLCMSMGLIPVSPSTGRRKED VQTHLPRCRIFHFAGHGYTDTYDPLNSNLRLWDGGMLRVADLLAMNIRESSPFLAYLS ACGTGRIRDARFVDESIHLISGCQLAGFRHVIGTLWDVNDEACVGMARITYETLRDRG GAGWGAGADEAICLGLHEATRAMRGRWFKKVGKITRRGQRKLVRNGSLEESLVLDGQH GNRLPRDIDLFDDDDDEDGDSEEGETARALWVPYVHFGV PEX2_009130 MSTGRTLTPKPHDPSQINATKGPDLEKNIQKEVIPQWKKNKAPD GGLAAWSVVLGSWCVLFCTFGWINSVGIFQNYYESTLLRQYSASTIAWIPSLQIFFMY AMGPISGHLYDNYGPRYSILFGSLLHVFGLMMCSISKKYYQILLSQGVCSAIGVSIIF QPATSVIPGWFDNRRGIAYGLMSTGSSIGGVIFPIMIQRLIPEVGFAWAMRAGAFVIL LLLTIANLTIRSRIPPSPRPVSRAALTQPLKEPKMLLVIAGFTLLTFGVYIPIDYLVV EGLSSGINTNLSQCLLAILNAGSFFGRLGAGMVADRIGTYNVFAVVCYLAGIFIIAIW IPATSTAGTIVFAVLFGCCSGAYVSLAAALVVKISPLPQIGYRVGLIFLFASIGGLTT NPIAGGILSHDNGSYLGIKVFAGVLLLAGTTCVFGTRLLHTGLKLVAIF PEX2_009140 MATDRLCNSLQGAMTWNVERSCLRCHERKLHDTNTDMSAPTGDR TALTEGLLVKEGTSTRYVNELLFSRVLEKVSEPQDLFISRNLDLPVYEQESELQYAIN TPASTNNSEASPMISFDGLISNPQLTVDALSLFPSRGQSTHLWQVYFNNVDVLLKVLH IPTTQPAVFAAINNPKTASQDLNALLFSIYFAAVTSLHQVDTLIIFGEDRQSVLKRFQ RGLEVSLHSAAFLDSPTIVSLQAMSIYLLCHRNHNCGISGWTLNGILLRTAQWMGLHR DGERFNLSPLECEIRRRLWYQIIGCDARVGEDHALSTNGFSGFSNTKLPLNIDDRDIS SSMEVAPTSKPHWTEMTLFLVAAEMNQALQQVSRLSVAVLNGDDKMTTLEQLLQSTTS RIKDRYLQHCDPNIPIQKSALLLGQVLMGKLSVFIRQQYLRGLSAEESASRATEQTLL LACDTIEVDNELKTGELLSNFHWLFSTFTQYHLLTYTLWHLRVRPGVHCADRAWQVVD KSFNLVEDPSWPSPGLKWNVLRKLREKAINIRISFSSSPFMSARTSNNLTVPEITGPP EDDIRGDAIPSSILGFEDAMGWNLDSICFPDWNPSGLWLAGQG PEX2_009150 MESSDHAERTERPRSDSVSSVHSHDHFIERLFIESENNLSRDVL PLRPLIEPIPDLTPESAAESVEGQKEEKKPTENISSTSTSTWFVLEGLAIVLSLLLLI AIIVTLSQFDGHPQPAWKYVSLNSVISWLSTISKACVLFVISEALGQLKWVWFTQKTQ SLPDLGTFDRASRGLHFAVLGSLAVILALAFDPFTQNLVHYYSKLVTDVSQIATVSSS VLYNVIGLTIHSGAVWYVDPVFKANVYSSLLNNDKTKPWSIPQYTCSTGNCTWDPIAT LELTSSCANITDHLKFVCYNDKEFIAGYGGPNCSVNLPGSPTSASFVFNKSDGYPVTV AAVKSTQAIVYKNPYHFATQMIAPDGLLLEGPFLPGKTKWQALECALIPTVRSVRTTV TNGTYHEESLATWTNITFQYEPSGPGYYLYPPWGPDMGMEHNQSFVLSSLSFVAMGWF FNSIFGGRAKLSSRFGVTFTSSADSYAGADIIEAIAYANITGCTANTAEKLQCVVENV AAAASKTFRDSVAPGYEPGNGTIVGQAKSSMTYVAVHWQWIALPAVVWLLGLATLIGT IWKTRRGSVPQWKNDLIPLLFLYKNEQDEGVPGERTDSLKVRLYKSDDRMVLGE PEX2_009160 MSDPRSYNVGWICALGVEYVAAQEFLDEEHDKPSFVSPNDTNEY ALGKMGDHKVVIAVLPDGEYGTASAATVAANMMNSFPNVRIGLMVGIGGGAPSHTHDI RLGDIVVSAPRNGTGGVFQYDFGKVIQEQTFQHTGFLNQPPSILRGAVAGVQAQYERK GHQIEEAINIVLHNNPRLRQKYGRPESKMDTLFRSDVIHEAACAAGSCTQVASNLVAR RERTAHEDNPAIHYGLVASANQLMKDASIRDRLTAEKDVLCFEMEAGGLMNTFPCLVI RGICDYSDSHKNKGWQGHAAMVAAAYARDVLQRIQLSRVEAEERISQVISDHFKGNKE LLDRAYAHQEYQYNEQKEQMLADKRQRCHRMFKTSNYEEQKNVNLQRAEGTCKWALQS FEYVRWCESNCNDLLWVSADPGCGKSVLARSIIDEWAQNPPSPGLTVCYFFFKENDKQ NNLATALCSVLHQLFSQQPWLLELAIKPWEQNGDSLRQDVNELWEIFLKATSGNHPMG SSLTVQGTRSSKTICIFDALDECRESDQDYLIRKFILFHSKQHSTQDTYLKFLVTSRP YNSIENHFRTITDSLPYLHLKGEEENDQLHEEINTVVKIQVENLAETARLSSDVREKI EQHLLQLKHRTYLWLHLAMDDIRSTFENSLRPADELIEMIPPSVDEAYEKILRRVPSN KISEVRKVFQILLGARRPLTITEMAMALGISARSDSRTAAEAGIDPTLLERLLPSLCG LFVFFNNSKVYLIHLTARDYLLRETYTSHPLSTFSCSFTDVEDEMAQICLRYFDIEDF DSYDTLQSSNSPPFGKYSGVYWNSHVRHMSSIAAQEVRDLLYRVYSAKRKKLATRFGL SSPFFSKVMPPLGPGSKPELDSMQIAAIMGHRQEVLRLLLEGESKLKLAEYTTYHPLE LAAEAGHSAVVLLILNNGGDVNACNGSALIAACVSNHSDIVQILLRHGADVNQRSESG TALAHACARDSIQIVQTLLSHGADPNISDSLGKIPLHSACGGGNEKMAVMLLEYGADI NARDELGCNVLEATCIGGAANEKIILLLLEHGFDINTTETADGRSFLYNAFHNQDDKL MHILLKHGAGVNTRTSGMTILCFATSKRRSKVVEMMLDHGADVNARTEDSKRFQLPRE LPSKTAQMLLNHGIDVNADHSIGTSALHIACLNQDNDLVQILLRHGADVNSQDQMGTS ALNIACTNQYNDIVQTLLKHGADVNVLNQIGTSALDVACVIQNHHLVQTLLKHGADVN SQNLKGKSILQIACLAGNEGIVQTLLEHGANANLHDQVGTSALHIACANQDNRLVEIL LKHRADVNSESLKGKSVLQIACLAGNENVVQTLLEHGADANLYDRTELSNLEIASLAQ NNKLVQMLLKYGADVNAQSPNRRSFLEANCAAGNEEIVQILLDLGADRDIEGGRHSSA LQIAELEGHKKIVQILLEHGAELQAIRNFLS PEX2_009170 MSRQIQVFVDYPISAASKWADSTGRTYSEVKTKFLKSDPSKVCG FGCFLAGNVRLEMSIDWDPQNGFHVDVQKGNQYRSFIKQGAGQKKGAAAGGGNVSVLD WRTAISDLNNWYTTGSEKHMVDALVSGQVICQN PEX2_009180 MEKELDVLHSRLFKAKARPEKTMAVLISGVPGSGKTHLARQYVF TQRDCYPGGIFWIDAKSRESTYKCFWEIAQAATLIEQKATVNTEYHETEKYVNAVRLW LQTRKDWLLVFDGVTFDRDDDINNFREVLPWSKQCSIIYTSVDTTLRKKQRLYEPYCL MISRLKVEDACKLLFKDLGITRATPEQISKATRIVEHYECLPLAIHAIGHRLNATGKP IEKYHVKSQVTDKKLAEPFLSIMNDLFRLQQKQALHLINLLSFLGHQVPVGLLNLGRA AMAAENLEIQTSAQIGEDPDLDTTLGILIHYGLVERISDADLFQQRSSMHRFESDQMG SDVKTVPDLSDSLTESSQEGFFSMYRHESVVDVVKIHSVVQGFCRDELRIKDEENKAM MNNNDPGFFDTWLVVATRFLITSYDTAMGRMAHYEDCGLVRDYREYETHASRLLELFP KKAAINLHPLIVRETRENLRRLTKMISKEIENTSPSSSHHSLRNQKSVFDRSSSSSSS FRESSADEGPSRRSTFNWSDLGSPRAESPEEMAMPPPQFRLELFPPHIFRQSGYESEE GYETDGEAKGAVRISPAMSQISQATEIPNNTSSSSSPPTTGLSEWQVVNRRSKPWSNK ENQTKRPNKGRRRFRNTKTDIPLVKLSSIQGTGSSSRTATGDMGSSLRSASEAEKALA AVRRSSNSQASADALQPRITSQPKNKENMPTYASVATRQRLEEDSTVKRRSSVSTLQA LDPASGLHLNGSLQIKPSTESLDSQAGYTFTSPLYHDVSEELMVEPLSQSTYSEPEYN MPSHHRIADLHTAPGSRAPSRRGSVPHLEVPRSLSASVPSLLPYPPPLPYDENISVTL PSRRRPSQLALGSITAPGPSRPSSIAHPSAIMPSGLSLSNSQLHVGSAPERPIPEPMS RDSSGYSYQSWVTEPVRYPPRFSPIPSFQQAPEMIPSPPSSIQHQQQLLAGTANWTTE LPLAGSALQSDPIYPSPPASSHGQLRSMDERLKYIDPSWNQEIEPVQYLHFGGHRVDV RDARLRLHESTRILPPHPQQYHLYHPNLSGPLIQHDGQVYAPAPRLEVYGTRPRSGSS PVGSNYSGLGVRFS PEX2_009190 MSKPRIADPKAIKAFGLTQIYTPRDDPTIDIVFVHGLNGHPHDS WTSKTTGCFWPTDLLPDVLASLRPRILTYGYNANVTAFTDGASRDSVVSHAETLASSL AANRNLRDCSNRPIIFICHSLGGLIVKRALIYSRSLSSEKTEHLRSVYVSTFGILFLG TPHNGSDIAKWGLLLHNICNAVFPKKFMEASPQLVKALRTNNETLQNINSLFVDIMGR FHIYFFHETRSTDVHGTREVIVDEHSAAPYMEGVERAGIEADHSHMCKFDDDNAAGYE VVAEAILRYSRQAPSVITERWEEEKKARTQEKKAKAREIYDARVDDPANRSMPDLNRT GRDLYLLPAPEVAVTLRDYEIEEPPV PEX2_009200 MSSVFKHARSLSGVSRLRADLLNSRLYKAIYHHDTEFPIPHWRN SRAGLSPGSFRSFQSTALLNVPESLAIDTQPSQLGDHFNKKRLDSSPDEQCATVLQDS VDSSLGKKRLQKTPSIRVKPKPTEYLSKALRNAGHALGHNGQSAGLDRAHTKFALQTQ NELRTVGRPKKPSLPVILGEYIREVDSLLSSTSDKRADKGLDIALRKVFRNTSHDYLS SRGYDVADVTAWAWIMKSRNPHEAMVRLFLFETDRAKSGATSPKIPPFIPLQLLRQHN LDAHTFRLLLIHSLHLMSGHTFPITETPADFAENDPELSPEDFRPQIDSGTCMILVVR LIRHARRVWPQSLLTIARAFARFLSASRTDDAERSVLAARHDDRVKTVKFNECLWLLS IPANIAPYRSTAIQQQAQFELLRAMATHNPVLPVTRQGYRAVVAVQLAHKKTSEERQS AELKAPSWPPWKEEKLGIDSQRGNEGMFSRAMQVLSQMKDAGYSHRLWEDISSILAGW DTDHSPTVQTRAMMRRPQALPDRHGFKSNHHEMWVARIRSTRTVREAWACFLSYQDRG LPLKGAIYAAMAEKLIYRRNAIEREFDQMSHALPGDGREVHPEPASARDIIYVPTEPP TVDEFLDQMRGRGLRPSGRFLGLLLQSATSLRSGLYYLESSGLTVAQIEALSIVRAKS RTYHTLDLDAFHEMPDFVFASFIKFLCTHSDIASLDAGNRNILTADRFPALIAANCST GAKVDLVAYSEEHPGNKHHPRALWHAIQLTKLRRAPYTPSWTHILSALTRERLTGYYG PRSRSLQRILAWHQALRALGWMRQRDVALGEEGFRILCVAFTKAIDAALRHPGTAEQS FMLIHKARIRRLKARNEGDDEVDALMQHALQVLKHEFDYLVLPASKTSEHAERSVFAA ETTSETQLNVPSMLQIPSPATLHAFVRALGSVGDDEGLLHLLHWMCRSADILKEAADE HANGDKMMRRTLVAIRVFLERQQQRIDTRVPSDLIVEAYDLISRTGWDWPSDAEVAEY FRLSTPLAHLRATAVPTRVFISRYSTSTEDDIIKTQQVPAPGSGHVRVLQLNRPKARN AISTHLLDTLSKHVDAIAAEGGNGPTRALVVASNADAAFCAGADLKERANMSMAETED FLLKLRSTFQKLASLEIPTISAVSSLALGGGLELALCTHLRVFASSSVVGLPETRLAI IPGAGGTYRLPPIIGVNRARDMILTGRRVTGPESYFIGLCDRLVEILPEEEAQEGVAR ERVLRESIKLALDICEGGPIAIKMAMQAVDAHALGERAENAAYEAVVETDDRYEALRA FVEKRKPAFRGR PEX2_009210 MSADESEDTTPMSATNGEPHSDPTNATSGKRKRSTQDDKPSTEP SATASRDRANLHETLRSLIELLLKHDTELQLLSCPFPNSTTKPRTKRAKISGDPETSN IQTRVNSGKYNTLQEFLSDIERASAAVIERNQTQANGAKEDGAPLNEVVNRIAAFKKH MNSLIGQSFVNQPEVKTETMEDDTDESTDLHAINVCQREDKPALTLFGNPSNPKQLFS SLQKSVKVPLQSESGPEKFVEVQEELREVALPNGITATKVIPFNLDAASQPKRTFGEV FAPRETLPKLEPPRKRSHRANSTTWTDRFDATFDVRTFLGERSNYCLAPLPSTQWLQY GGVTSSPSYWDRVEKHADSDNVHRHGDPALWTGPDSSAFQGVFSSFAPSYDSSGAIVQ LASKDMVWWGQRGANRVNKLLSVPWEGDIEQSNTQTAQPGNIGELDESILDEMVESFN AQDFAIEITETDPSSEVDPESKEIKQMLEEVSDLLDTLSSYQRLRSLKLPSDLQVPGQ QVPESNETPGPELGDVNTPSEAETLVYDTLKSSLAAIVSNLPPYAVAKLDGNQLAELN ISQKVLIETPDYNGTMEKDDFTLSQERAAALLAAASAANRTSTPSAARPSNYQGSHGA YNQRAFASNARVQPQPGFQVTPQHARQPSAPTTYTHAYGAGRPPSTPSQRPGNTPQYA QMNPQYSQGSQAPQYQRPASNGFAQVSPQPYTPQARQPGTFNATPQGRTPYATATSNQ RAQYPGQTPSQAGYSNSAVSATYSRSAAEQAALMDRNKAQLAARQTGHSPSTPQPQGL DARASQEGSITPGSRPNGTPLST PEX2_009220 MPPLQTRKSKKDGRKRTYSLVSNTTNAQAGPVASPKTVENSSPS QQANGSRQALNAPAVPENPPPRPAEPAKKLPNVFEFLEENEETSSDSSSASSSSSESE SESDEEAEPPRPIQTTIKIQSPKPRANTVPHGVLVSGGNIPPKKVTQAAPVVSKPPNE ARKPASPPSPSTGNQLVTRKRQPTRKPSPISTANISPGKGQLELSRPPTYHGSSRDSG AVHRPPLPPSPPRSPEDNLHRTPTKRRDSNTTQEPSGYGLLASHLTKSTSEESGSFPP LYRRFETINHRVLLHLQDEISQMEEDLHTLDEYEEMHRVDMAEQEGAKPLPASRRRDA QSQAYSSLHYRRMDLMSALIQKTEQYNTALSAYSKVLQTLPRASEQGITGYRNWMREN KPVAGVETRFLIHDADLVSLTPRLAASAAAAPVYIAIIIASGALLLPLLAFSLIAEFS GRLVVVTVVGGAAAAIAANYSAGIDTLVDSRDGWRCATIYFGFMAMAAMFIP PEX2_009230 MAGQDITTDRLIVGSGPVGATYARKIMDLSANSQKKPKITMVTL EDEYTTSLKVALTCAAPEPHQVELPDKYRPEDWDKLMKEAKELINVNNTAFDETSLRQ AIVKETSEKSFAGRQIESLPLACKKNATDSSVSWSSVSTILGDLIDPKSSTSQNFELL YSQLCTKLVFQDPSNKDNNQISHAIIKDLVQGKERKITARYYVICGGGIRNPQLLFSS GCEQSGSSERLPALGRYLSSRIQTLCQVILTKDLTDTVPRANSEVWQGLINEHKRKYP EDVIEIPKGDPDPQVALPFSQKKPWYTEIHRDAASAIPEEKLPFAMFGSNPVSGGKPS NAIDQRVVVHLQSFGYSTPNAANHLKFRTDITDMWGMPMGTTRVGTTKDDSCVNANSC VHNSTNLYIGGNSVIPTCIAGNPMLTTIAYAIKGASALYKKMAN PEX2_009240 MLRSWIGSGTVRSLCVRRLTRSSLSSPKAPFSSTPNRYLQPPAS ADRVPLRKQLKQEAKALRSHKKQRKETEEASRQEWELTVGVEIHAQLDTESKLFSRAA TSTSDTPNSNVALFDLAFPGSQPAFQIATLLPALRAAIALNCEIQPVSRFDRKHYFYQ DQPAGYQITQYYEPFARNGYVDLFDYDGIAPEDGERVRIDIKQLQLEQDTAKSQEYPP STQLLDFNRVSHPLIEIITMPQIHTPATAAACVRKLQAIVQSCGAVTTGMEMGGLRAD VNVSIRRRGEAPGQHQYDGISGLGQRTEIKNLSSFKAVEDAIIAEKNRQIEVLESGGV IEGETRGWTIGSTETRRLRGKEGSVDYRYMPDPDIPPLIIGEDLLSNLRESIPTAPDA LLTLLVGSEFSLPIEDAKPLIELDNGARLEYYHDVVDILRTLQVDQDEKTRASLARVA SNWVLHELGGLLAKADQAWDAQLVPARSLAHLIDHLQRKLITGPTAKQVLATIFDGDH RPVPQLLEEENLLLRPLSREEYQALAESVIALNPQMVEQIRSKNQLGKLGWFVGQMMR NGEKGRVEAPKAEEILRELILK PEX2_009250 MTQPSTITSRFLTNPNQLGVVAVGFNGGQCKKGVEAAPMALIES GLLTQLSEDLDYEVHHDDIVHYYENDIPAEDPDHRGMKKPRAVSAVTEKLSTQVYNYA KDGKFVLTLGGDHSIAIGTVSGTAKAIRERLGREMAVIWVDAHADINIPETSGSGNIH GMPMAFLTRLAREEKKDIFGWMQDEHIVSTQKLVYIGLRDVDRGEKKLLRDNGIKAFS MHDIDRHGIGRVVEMALAHIGNDTPIHLSFDVDALDPQWAPSTGTPVRGGLTLREGDF ICEAVHETGNLIAMDLVEVNPSLESTGASETIRAGCSLVRSALGDTLL PEX2_009260 MAVRKRREARRRYHWPELQLNIWIMVVLSCSATCLGIFSWFMAV QSQMHLGTPWLFPYMVVSSALGVCFIFLIMVLASRHFLLPGIIIIGSFILLVLWLTGL IETSLQLYGVVGNVNDNCQIYVKDNKSWGNNINTLAWLTQSTICNCWKTAFALELVNT IFYLWMMIMSWQVNRDVYD PEX2_009270 MLLRLPTSLHYPITVTSLLKQPGDTVERDEALFWYTYQTTVTEG DGLGNTIEVKRRYPTRFESTVDGEIVKWQVQKGDVIDEPVNVVEVDEPCAHEIQLGGL CAECGKDMTESTYNTEITDSMRAPISMAHDNVTLTVSEREATRVEEDAKRRLLASRRL TLVVDLDQTIIHATVDPTVGEWREDKQNPNHEAVKDVRQFQLIDDGPGMRGCWYYIKL RPGLEEFLQNVAEIYELHIYTMGTRAYAQHIVDIIDPTRKLFGDRILSRDESGSLTVK DLQRLFPVDTKMVVIIDDRGDIWRWSPNLIKVSPYDFFVGIGDINSSFLPKKEDIGAN KPQIEAKAPEKTKEHHVNGKTQEGTEISALEQLVTMGGGDSPRLLQEQTDAQEETILH QVEDRPLLQKQKELDAEDNIVETSDASSSAEETHEPVKHRHHLLEDHDQELYQLQNRL EQVHLQFYDEYDKRRTLALGGRVAALRGEKVHSRDKDVDLKLVPDVKDIMPQIKRRIL GGVVLVFSGVLPLGIDFQNADISLWAKSFGVTISSRVNARTTHLVAGRNRTAKVREAT RYPNIKIVTTQWLVDALVQWRHVDEEPYLLPLHPDDRGDPLTPSQLELEASMLSSTDE DMTGSQWTQEDDAEDIFKSSGLDETSPVGYDADEQAAVHDELKDFLGSDDESESDNES LLDEPSTGGKKRKRNEETESGTDEDESGDDDGDDHDKQGSRLSQRIKRSYERNTKLRE ITSAPASGIDQVSPDRPKTPVEGVSDSEAAGTSSGPRSDYPDPDDDDDELEREMLAAF EGGGYDSQAEADAAAENG PEX2_009280 MMKSFTLLTASALLGSAAAEVHRLKLNKVPLSEQLNTHNIDAHV HNLGQKYMGIRPEKHQDLFHDTSLNPASGHGVLVDNFLNAQYFSEITIGTPPQTFKVV LDTGSSNLWVPSSQCSSIACFLHSKYDSSSSSTYQKNGTEFEIRYGSGSLSGFVSRDT LQIGDLKVEGQDFAEATNEPGLAFAFGRFDGILGLGYDTISVNKMVPPFYHMINQKLV DEPVFAFYLGDTNKDGDDSVATFGGIDESHYTGELIKIPLRRKAYWEVELNSIALGNN VAELDNTGVILDTGTSLIALPSTMAELLNKEIGATKGFTGQYSVECDKRDSLPDLTFT LGGHKFTIGPFDYILEVQGSCISSFMGMDFPEPVGPLAILGDAFLRRWYSVYDVGNNA VGLAKAK PEX2_009290 MQRRERLVRRNLGRREEIRADGRWGSGGWRDDDGSGRVTLIPFG RWTHDMDSQATGGFQFLTYTKTPFLFLGPFTH PEX2_009300 MMQVKPASGGRRRIVKDFFPDVERHTAIYKDLHENPELPCQEQR TATVVADRLETLGFEVERGIGGHGVVGILRNGSGQNVLLRSELDALPIKETTGLPYAS KVEQVDADGIKKRSLLHEARNFWSGTVIVLFQPNEERLLGAKAMVDDELFSKIPLPSV CLAQHCVPTKSGTIALKPGRVLGYLDSLDVRVYGRGAHGATPQLGIDPIMLAASILTR LQTIVGREMDPKEPVVIGCGTFHAGTDASIIPEYADFQVDVRTFSESTQKLAKSAVER VIRNECEVSGSPIAPRIVTTASSPAIDNDAVATSRFARVLESYYGNESSKVIQVMPPD IVADDFVLLSLPSGGNPIPYVYWNIGVTDPQTWEKADREGKLRNLPPTHSSIYAPAIQ PTLQTGIEALALSALTFLEIL PEX2_009310 MGDVAVENPANNVTPLTKPGALDALANLDSLDGTGVDGNDEYAT LKRLQRHLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTG IVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNALVDILPPEADSSIAMLGENEK PDVTYADVGGLDMQKQEIREAVELPLTHFELYKQIGIDPPRGVLLYGPPGTGKTMLVK AVANSTTASFIRVNGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRF DAQTGADREVQRILLELLNQMDGFEQTSNVKVIMATNRADTLDPALLRPGRLDRKIEF PNLRDRRERRLIFTTIAARMSLSPEVDLDALIVRNDPLSGAVIAAIMQEAGLRAVRKN RYNIIQSDLEDAYAVQVKTGQDDDRPDFYR PEX2_009320 MNAWLADASNIPGQDNGAFHQSTIDPSTAFLHGSPTPQDPSQFQ RMFNNGVPRNASPGFHNPNQVIPSKRARPEDGMPMSPRPAPGGVTGSRSQTPHQPFPG YQGATNGNPQFPQHPTPYQHLQQGASPNVTQSPSMQDFEQQSVRMGTASPSPFSPAGP HPGPHMSPSQSDHGSRVNTPQNANFMQAQQFGGMTSGAPQPSMQAPQFNGMQQVPQGY HQALANQQQRLHAMQQQQQTRMNPNPAMAARPVPGGMNPMANPQQPMGGMRQMPPNMQ SNMPKPSNPEGFLRSLQKFMASQNLPMDPNPIVSGRPINLVQLYATVMKMGGSKKVTA TNMWPAVAHQLHFPQMQYPMAAQEIQAHHSRNLAPYEQAFISSQQKQMADHMQQQQQQ QQAQQQHQQQQQGGIPRPPSQFQSPSVKPNPGFEQPQTMAQSPQNNTPVHNNAPGNPV NGFATPTHARSQSRPAQAGHRQSISRGSQPPVPPTEAAAGQFAAPSPQSVKGVTPVPG QPQPVQQEQKPEQLVKRHIEETFKPIVLTGSRFHGPVVVDEMCQIGEDISRLKPDVPA FAELGVVDIHALTMALKSGIYAEIRVALDTLTTLSGEPSVQISLENCDDLVESLIDCA EDQADLLAEHTSEDSDTMILRSYDEVTRDCQSENTSLADVPEFGSIEYELDRAVDRLI CITTILRNFSFSETNFLPLGIPPVAQLFSAVFRYMGTRKMFLRTSHNTLDFMKDAVIL LSNLAHVVQIPGKDEALNMLIFLLAFSPEPEPTSPSGKVMFTTFNSSVDRYTPAAVDG LAKMLARDDPNRTYFKAIFSGDGSAPAQPELLTRAFGLAISCVPDKKPMAVVDARKVF LMQGLLSADVLTTFADASLAKAWLESVDGFAVHLLRLSCALCTERIPQINMRQRSQAE ADAYAFSSIVNRGLGILRRLAEKSKQVDNTSPLNIPSGILPRKESLLGALLLPNIDGG VVRQLLTYARLAE PEX2_009330 MSSGEVESFTKYKSKAKPSGLAMSCNQQTREKEKEKHASMACWF RFNKEKSACLTLFLSDRPQFSLPTLPTRQSRTFLAHLGRQAQALKQQSTTPSATPSPA KSDKKPTPTLQLTNLPYFVRRTASNQLPVYVVTKAGGTKQLTKLQKTEGDLDALRNDL ASALGVVDGNKPNPDVTLNRLTGHIIVKGWRKPEILNFLQERKF PEX2_009340 MADNAPPTAETLLSGAAAHPPKTAEDIASQHDLLPRLIPYLDRH LVFPLLEFSATGDEEDKEITRAKYELLKHTNMTDYVANLWQEINDSDTIPEEFVKKRE EVLAKLQHYQDQSEKISELLQDEEVVGNLRSDKAANLRFLEEQHGVTNEMVNSLHDYG RFQYSCGSYGNAAELLYQFRVLSTDNDKVAAATWGKLASEILTTNWEGAMEEVQKVKD SIETRLFNNPLAQLTNRSWLIHWSLFPFFNHDPARDVLTDLFFSPAYINTIQTSCPWV LRYLAAAVITNRSRPHKHSGVYQKQLKDLIRVVRQEDYEYTDPVTDFVKALYVDFDFE EAQKKLGEAEDVLRSDFFLVSAADAFVDAARHLISESYCKIHQRIDIKDLSTRLGLNQ DDGEKWIVNLIRDTRVDAKIDYKEGTVIMNHPPQSVYQQVIEKTKGAFFRTQVLSAAV AK PEX2_009350 MSPVQQYWLPGYGLSRHIVLGHIHYFLGPSATVRPYSYQGRDGY LVNGVPLTREQIDDLAVMSREYEKQEATRMAHNTTGSSTSSSSSDNSATQPEPYINEI IPLNQSGTRRRA PEX2_009360 MSSAVIHGDDLDMEPTLQSVLNQNTLRWIFVGGKGGVGKTTTSC SLAIQLAKVRKSVLLISTDPAHNLSDAFGQKFGKEARLIDGYSNLSAMEIDPNGSIQD LLATGDGQGEDPMAGLGMGNMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIVFDTA PTGHTLRFLQFPTVLEKALAKLSQLSTQFGPMLNSILGARGGLPGGQNMDELLQKMES LRETISEVNTQFKNPDMTTFVCVCIAEFLSLYETERMIQELTSYNIDTHSIVVNQLLF PKEGSGCEQCTARRKMQKKYLDQIEELYEDFNVVRMPMLVEEVRGKEKLEKFSEMLVT PYVPPQ PEX2_009370 MDSPQNNQQLGRTTNRLALYQQQISPAPHTKGSDPIKKPSTTMA ARDPITCHCLNTLSGTPAANLPVILTLLSTPTSAASGISFRAITNADGRVANWTPVGT TSESVPAILAALPAADSKTNWSVRFDVGPWYEAQGIESFWPEVEVKFTVKGRGREGEE GWRHYHVPVLLGPWNYSTYRGS PEX2_009380 MKPPTRSQNRSDSQAASSQKDQKSNVENQTSLAPPPRPGAPTAS DTPDYFNSMHNPFSLEPNPFEQSFGGNPADTPGKSLLPSVAALTSPALPGTSSASGYN WNNSLRSGPLSPAMLPGPTGPNDYFDSIGRGFPTPNESSLRTGLTPGGGGSMFPAPSP NSQALLQQLQNGGATPSTIDFHRTALAAKKNNSNAPTSNPNEQEQAAANMDVKPARPA DFTQHDAADAANGLFMLAKGGQANNAPMNHAPMSNDTRAAARRVSQNTNGTSAEDASD HEPAKPAKGKGKKNTAKAPAANNRRKAEDAPKGSNKRSKSSMEMPSDMDDEDDEDDDM KQFPMDTKKMTDEEKRRNFLERNRVAALKCRQRKKQWLANLQNKVELFTSENDALTAT VTQLREEIVNLKTLLLAHKDCPVSQAQGLGPLMMNGMSAGYDHHGYNMPPNMGMQPGG IPTQGMRR PEX2_009390 MGTCASTTAPARPIFRVLCNTKLKLLAVFLTVSTILLLTLYSGG LSEDISPSAKDELANEKDYWTWETSTRFRKYKHDDGSNDTGSSQNATCDAFPSDMLSR IQIILKTSATEDPKRVDTHMASVTRCISNLLVVSDKETKLHGHNAHNILADLAPSAWN LIPEFEAYDALQRGESNIDGAAGWKLDRFKFLPMVERAKKVNPSAEWYVFLETDTYFV WDNLFRLLEQFDPSFPLYMGSPAPGRDIGDGKVNWFAYGGSGFVLSRAAVDTLVAREI GQYGQFIGQSLSEQYMQVVKDDCCGDSVLGFALYEKGIELSGMWPMFNAHPLDSIPFG DDHHWCQPAISMHKSQLSDMIGLADWEDERDRTGPLLYADLVDYPRLGQLAERKGWDN GAWGGIIEQPDTLPQHESLEACRQACHDRDWCMSYTYDTAGACVFVRSLRLGAASKRE LAEQFTAGWDNDKIQNWRTNNTCEKPMWMKPSLTRIF PEX2_009400 MSNPARNPARGRRLDDALSQLVDGLTPALPISAIQDEDYSDTEE ALASAESRRHHVLMERAWRILDTHASTNAPDPGSPTGLGGRRGSLAGTESVNNAPDLI KRKLRRENVSPDKAVRFSNLYSRLLTQPVLSQKWGILFLLYKLSASDEPVEGGERSRS PLMDEAHLHNMLGKGGTRPRRGTMIDSEDEGPAISSSASQQHQRVLPPKMERQSSLRH DFDRDGVHQAGRGSTEIPAVRVDAGVNDRSGDEHARAASPRPSSANPSGPANFAGSVE HRLLRDLPFNLQGLSSSNLQFSSSSSLKLPSNLSAPITSLLNTLAEPCLLYKGLSAFV ESEEGGLVNQSLRAAIAIELRAYLSLVATLEAEIRQALTAIGDGTEPQGVRKGGVTLK RCVVWTRDATMALRLMSLIVEEAQSKRGGQLISLIHGFSTSHGDPFVCAFAEKLLAHV TRPFYDMLRLWIYDGELSDPYKEFFVADPEFRPKTDPRRLATSVWEDKYKLDDDMLPS IITQEFAKKIFLIGKSLNFIRHGCGDSAWVEAYSKKASKELRYGDTATLEISIDEAYK TTMARLISLMNGKFNLFDHLKALKSYLLLGQGDFIALLMESLATNLDRPANSQYRHTL TAQLEHAIRASNAQYDTPEVLRRLDARMLELSHGEIGWDCFTLEYKIDAPVDVVITPW ASTQYLKVFNFLWRIKRVEFALNSTWRRCMTGARGVLGNVEDKVGADWKRARCVIAEM IHFVNQLQYYILFEVIESSWEQLLEAIQRPDCTLDDLIEAHTKYLNSITHKGLLGSAS SSRYGTSTSTSAKQPEESFLSQLHQILKFMLAYKDAVDGLYSFSVAEFTRRQEINAKI ETRSAQGQWGLSERDLLSRQSNTRTGTSMASTPDIRPDSAGVDGVSTPLSLSGPNLAA DENMLASLRVRLRELSAEFRSRLTVLLGDLSYQPDVDMRFLGVVMNFNDVYELPKRRK AAGPSARDKEKEKEKEREKAKRRAAASAAATGSGTESFVQKEVRRERRDTGANDPGFG SGAGI PEX2_009410 MAHQTDAQSPASKKLGSKLKKSKDSTKDEGSADAQESTPSKKDA KSDKKDKKKRKSTSEDAAPETDGEMKKKKKRRHTEDDNDQKDKDDESHKKKKKKRVSF GPGTKEFDGDSESESDNADSNDATATDGAEADTEDVGDKTAEEMKKRKREKKKQRKEG TASTEVAIHETPILSYLSHYHRARATWKFQKNRETNLFKHLYSLEHVPSRYNTSLLAY MQGLKGDAAKVRMSNAARDVIKADMDLDRPKDDEESENQEPSTSPEYLEAINAFRECL PKGDEDLDNVNFGEKLEGDVQKRLPKRQRAELVFFAVAGKLFGAEDLKKPKSKPKAPE PPVNKKRKNRTMVVDISSSSEDSDDDAPAPKKAVSKPAPDSDDETSSSGSSSDSDSDA KSSAAPAPAKQRAASTPSSSAPSGVAVPKETKAAKKKKEKFVPVRAEKLKPAVPKKTQ KRKLRTAQIEISSSESDSE PEX2_009420 MSGSAYDRHITIFSDQGRLYQVEYAFKAITSANITSIGVRGKDC AVVLSQKKVADKLIDPSSVSHVFRISPSVGCVMTGSIADARASVDRARGEAAEFRYKF GYEMPCDVLAKRLANINQVYTQRAYMRPLGVATTLISVDDESGPQLYKCDPAGYYVGY KATASGPKQQEALNYLEKKLKNKDAAAGSWEEVVELGITALSNVLSVDFKKHEIEIGI VGGPRTDGEEGTTTAFRALTEEEIDERLQAIAEKD PEX2_009430 MADGFNQARAMRVAEIINDYRTLLVHISQQQVEASQEEYWEDGF VVLRESLASAQTLMSANYQPCPVTGQGNVETEKAELQRVILDSSARRFQAHKIYLRAA AARRWAMTRASVLRGSNPTAQLKAATATLHQDLGRFTDQHVVADLRAADLRAGHWLDD DPSLEAIRRWVAGQ PEX2_009440 MSEIKSVAYFVNWAIYGRNYNPQDLPAEKLTHILYAFANIRPES GEVYLTDSWSDVEKHYPTDSWNDVGNNAYGCVKQLFLLKKQNRKLKVLLSIGGWTYSA NFAQPASSEEGRTAFAESATRLVLDLGFDGIDIDWEYPKDDTEAENMVLLLQKCREVL DREAGADRRFYLTIACPAGASNYEKLKFQEMTPVLDFYNLMAYDFAGSWDTNAGHQAN IAPSTSNPASTPFSINAALDYYINNGGVPPSKIVMGMPLYGRAFENTDGPGAPFSGVG EGSWENGVWDYKALPRPGAAEQFDEEAGASYCYDGGSRTMVSYDTPHLAQVKANYIRE RGLGGGMWWESSGDKGGKEADPAEGSLIGIFVDGVGGANALDQSENALAYPESKYANI QAGVPE PEX2_009450 MTHPRDRTTILITNRLPRTSIFFNLARLRKTHLSRPSIKMQIFV KTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQK ESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKHKKTKLAILKYYKVDGDGKIERLRREC PAPECGAGVFMAAMHNRQYCGKCHLTYVFDEAK PEX2_009460 MNSNALGNGRHRRQISTPVAPYQPAPVMTNMQPRRSHRRGQTMD YSSFSQQPTMDQHMPKTVSQLRDYFNEKSGFSYQQRDVQQYQSYAQQPERSFIPSGMH MQQPYQYQPHQSLGSDCQAFNQEQLQAIHTTTGSPAPSSVGAPALSRSASESSENTPL KLALHRMQQEQFSNQQQQQFIGNADWELFPQRNMPMVQNEQVTAYAGPMHPVSVQPKA PSAKILSQMSTAYNSPLTPDSTPLKRSIDYSMYSNDPTPSKSQSFSFPQTPATAEMQR AQSFQGAPYVNSVQIKQQMPSPVNSPTSASFAEVADMPSPSSYGVTPQNSNVSMTSSA ARTKKRAPSTVSSPIEPAAHNDDLDARVKASVQQTGVSTDEIAKFISGPDPKDGKWVC LFTGCLCRFGRKENIKSHVQTHLGDRQFKCDICEKHFVRGHDLKRHLKTHSGNKPFAC ACGASFARQDALTRHRQRDMCVGGFTGVVPKTTKRGRPPKKKNSRPDIDARQAKSTRT RQRVAEKSTSIASAQPQPTLQDAPVFNSPNYAPSTAVSSFTPPTSPGDRYHTISSPCQ TYSPSPLDAQLEDDMLPLSPPQLAHARYEQAMAQYGSNFGSQTYSQESTYSQESLYSE SALSPREMSSPRSAPTLAESSVGSEIDIFMTQDPSEDLREEFGHLAHSSLSAFPNYQF VDTTDFASVSSYYPEKTFSGLPHMDDDLTDPIDCLSSEFLVDP PEX2_009470 MMRGSSQQFMGIPGPQKILKTFGSLWLSQTANENATPGTSSSCP GSEVSCQANYHGQDTCCFNYPGGQMLQTQFWDVDPALGPEDAWTIHGLWPDHCSGGFD QFCDSKRKHSNISLILVDAGRGDLLEYMSEYWKDFRGDDSNLWQHEWNKHGTCVSTLE PDCYEDYLPQQDVVDYFDKTVEVYKELPSHEFLANAGIIPSQTRTYALADIEAALEQA HGNPVTIRCRNGALNEIWYYFNIAGSLQSGEFISAGPGRSNFKVTILTLVDRKLTK PEX2_009480 MFARPYVCLSCKAPVLRLPTRPQTQYFHVSPPLARPRRKDFFSS NAHLKQKQNNTDEPIEFPADSQKQRRRGPRLPAAPTSLRRVAVEAQRSKDGILSKALL KEQGLYRTKTVTAYAVAEQFNIRQVRDILQERGYEPDPLETGLFPQVVHIQIPLDSIQ RMSNPSSKDLPSDEVGDLFVFPSGTVVAWSLPEGFTSFLATRTLLPAAEGAHVDDLET EDLEFVEDPKRENSVIKGDTIILGTNSGHGDAAGSPAAQQSVDTVLTKVAFSSGLARS TKLAVLESLLSNYFESTRPIPTLLSQGSRLPFTRDFILRKTGQLLSVRAQLNLYSELT DSLPDIFWDSRHELGLEGYYEQAGRALDVGIRIKLLNEKMDYAQEIASVLRERLSETH GLRLEWIIILLIAVEVGFEVLRLWKERVHEQEEARKQES PEX2_009490 MDAPGAISPDESAVQSTPKHDTSIRTKSPTDTTQGAQDKYQRYN DHSSSSSDEGEEEEEAEGEDDSDDEDEEPRLKYAYLTKHLGSVYRNGDATSSFLVAGD KMIVGTHNGNVHVMSLPLLQSLRVYHAHSASVTSISISPFPPPLPNPRQDTFSKQTAE EYRPSTRSSNTTGSLRGQGKLGNHPAIPPIPSNSIYIGTSSIDGHVCVSSLVDPKDVI LRNFGRPVQSIALSPEYKSDRSFLSGGRAGELVLTTGGRIGATSNSTTMGGAAATASS WLGSMGLAATSGKDTIIHSGEGTISTIKWSLSGNYVAWVNEEGIKIMRSNLHLDSSET EFAWKRISHIDRPNRPGWDEMAGVWKARAEWIDQSALDSRDHLDPNDNSASPEIQSTV FTEKVEKLVVGWGGTVWVIDVYPERASKTSKGEKLGSAEVVTILRTDCVVSGISLYTP RLLVILAYMETEGETASNGKGSGTRNRKKGLEPELRVIDIETNEEVSADTLSVNRFEG LSASDYHLSVLPPWKTPEGQVVQRGALGALGYGLLDATMYSARLFSSGASIRSTTSSG DKGSGRVAPSFISKLHAAEEALPKEVQEVAGAPGAKIFVHSPYDCVVAVKRDLADRLS WLDSHGQYEDAWRLVDEHPEAAGSIPDLAEVVPEAAGKSQTSLGEFFADDRSSIMTAG RTKVSAAEQEKARIGELWINQLISEEKWVDAASICGKVIRNATRWEHWAWTFIKNDKI DEITSQIPVDLNPSLSSRIYEAILLHYVPRDLAKFKELIGTWPSDLFDANVVTEAIEE QLKPESARAGSEEWRILTDCLAKLFLVGGHYREALRCYIRLQDGDAAMALVREHRLLD AVTDDIPAFIMIRVSKEQMKSATKSELEELTSEPTRLLVSEAYTGIVPPETVVSQLIA TDRFLFLYFYLRALWRGESLPHDASKPRRGRGTHARDAASKLAADEGKALIDNFADTA VEVFADYDRPLLMEFLQTSISYSFEKATSICEDHKFTPELIFLLSKMGQTKRALNLIL SDLKDVSQAISFAKSQEDPDLWEDLLDYSMDKPKFIHGLLVEAGTAIDPIKLVRRIPS GLEIEGLREGLTRLIREHDLQASISQGAARVMHSEVALGMDSLRKGQRRGIKFDVAEA REEDSNKTPTTKTRAQDQISVSSGARKFGGPGQGRCGGCKAAFRENEREILVGFACGH IFHLSHLHADKSQQPSGTNSRNRSADLTPRAMSPVDETRSPTASRTVGPKVTTARILR DKIGDGCRICAITRDIESLGGEVEV PEX2_009500 MVAWTRFKLLLAMVTTLVAMAAAAAECQYIESISLLDPHTGEQY QIGEVNVLPRALFGRAVHASDLITVTITHTTCGHEEPTTTVTTDVPCTTDHSTTLEST TPVPAQVTTPVPVLSQSTVPVTSSITVPVPTQTSVSSVPEVSSQSTAPVSSQTPVAST PEATTQSSVPAGPQSPVPGTTQVSTKSSAPGTTQTPAPGTTLVTSQSSVPGTTRTPVP STTEVPTGSGSVTNTTGSSATVTESVSSSAPNSTSLAPVPATTAEDHTTSTVSGTVTE VTTSPNPTGVAPVPGTTEIPGTASEVTTGSIISSRPTKVVPVPGTTGISYTNSTVLLA PSTVTDVTTFYTTSPCFNSTELAPAPVTTEITITVEVPCTETTKCVSTKVLTTTTLPV SETTAPALAPNATTTTEIKSTVPVTVPVPVATEEVTVTVEIPCTETTKCISTRVYTTT TPVETTTISVTPIPVTVPAAQTITEVVTTVVQPTVPGAPLQETTKVVTTVVQPTAPVP IPQETTKVVTTVIQPTVPGVLPQTTTLVVTTVVQPTAPVPIPQDTTKVVTTVIQPTAP GALPQTTTSVITTVVQPTVPAPVPQAPTHTVTTEIVPTELVPLPHATTVEATTLVKVP VPPAQQGTTTESKVSVVPPQQPSHTQEDTVPPSPRGTSSSGTHSPSPVFNSANVAGIM DSRLFTMSFLIMLSHIIHWIQ PEX2_009510 MASILSAHEIGEGLPVLIIHGWELSGRAEELDFEPIFNKTPGLL RIYVDLPGMGTTPADNVKDLDEIYLRLVQFIDSRIGKSRFLVVGSSCGGYLARAIAQK YNDQLDGLLLRVPLIEPKDSMRDLDAFSPVVANEQVMSNISDEDKKLLRNVLVQTPAY VKSLKAKYEDVYLPAGKAADNKVLDPIRADPNRYQLSFSLDNEDAKFFAPTLVVCGRQ DGVVGYRDSLRLLELYPRSTYVVLDRGTHGLPIDETGLFEALVRDWILRIDEWRASHG HTL PEX2_009520 MNPVHDMNLYTQQSSYAPSAFMHRDTGYDAMDDTLDDSSLNDVH VETASSVTASTDFSAQMAGDMSYTKGEKFRFNVSLRAPTAMVKNLSEIPVTYLNKGQA YNLSVIDTNPPMVNHEPIRYRTFVRVSFEEREQRAKPSTCWQLWKEGRGTTEAHQRGG KLLAVEYVDPLQGGSDAHRNRQVQVESMSVDGFCVTWTANPTTGASDCNIPVRFNFLS TDFSHSKGVKGIPVRLCAKTELLSPGEESGVSRDMELSYCKVKLFRDHGAERKLSNDI AHVKKSIDKLKQQVSQAEMGGGFAKRKRGNNASATAKGSDNPMKPSAHKRTWSIGSDD IPPEKVSLEDDLQAKLSMMQEMFSSTRSVSVFGLRGEKFDDPDLYPIQLPGEGDSPHY TNISRSSTRELESIMPSPPNTNTSSSNSPPTQALRLAKCPTTIQRIPSANQVSRGFIE AVGIDLTYRPPAERPPKPVACFYVRFTGNENRSEDYYRAIYLTERTVQDLVRQITEKY HIDPMRISNIMHAHDKGMRVIVDDDVVRQLPEGQDMIVDISEAPGPNGSASSTPGSPM EIQLTY PEX2_009530 MPFFKDLRRRSKATFHKTPSHSVEEVSGKSSSTIDTSSHKSITP PSSIRPTLSTPSLPALNESETTSAVSAVSLAPPTQRPGPLVANSQRNSVIGSSSSSVN GAYRSQAPVSPYAPRLVSVADNSWVNQKVLLVYGQIGDPRQHPLDGNVTVYHHQDNFP STCWPVTASHFKVLVHLVPGPNRLRFDFVSPKLSTGSTHPAIHSSWICINYLPLVNNP PLQLVILLGKDSDGTYDAVPERIEREGNGLEMAIRKYRMAAYLWQAFTGEQMFRNNFG RRCFRFEEEWQSGSLSRRDLAHGQMRNEAKIHVVRTEKTVAELRDLNIAQQNEKAEKK DELFAIAKEAVRNHFQPQPGQKQYVSVLLLDSHWDTQSQMITGHAALGSADDDIKMAM FGSHCLQSYPSCLEEVVDAFTDCTRTDTNYVANDCGEAGSNWESANLGIGAHLHEVGH LFGCPHQESGVMLRDYVRLNRTFLTREPFSTRTKAQGLKVCLPNDECSWHRLDALRFR FHPSFRLPSDPSPTSDDSVQVWPVENGKILFTASSGIAFIELYAEGDTFCHNFIEYLN SESSPNGLPRQVAVTEVELRQRVYGTEKEKKKNIRLVVYSGALGSYTVENIGDLKSKN SLVKLPKSQSGFKSGKLGQSAMEGSEPEQVLLECAFIKTKLLTSIKVYHGYAVDGIEF YYEDATSQLFGKRGGKPGGDEFVLDTRRGEILLGFYVRAGLWIDGIEILTSLGRKSGI YGNPAGGSGHTLIPPLGYKIAGISGSCASWIDGFSLIIQH PEX2_009540 MPLSQPGSAEKTIRKIDIAQVSLSLQDRLGLAKLKYQHGRLHGL NPNQQNGRTVLESDKPSDSSSEFSRSRCETPFTSPPLQASTYSKELPRSARNKHAATF NSRVMQPMLSASRKRLRSDSDSERPAKAARVSWKSSYRLPESSPGMNRHRTSRRTQAP FMSEATIPEMSSPVYPRPSEEIDPDLPLHSFQTMSSIVGSSPPRTPPPKHMRLPRNNQ PQNHEDGADLLLYLANSPTPARVARGNGNQAFPPSTPPSQHAVLPGMTPTMGGGMFAN ISTPNQQFNFADFVNVTPSPAQPTWGGRTPGNPARTPLTSNRKRLNFDALVPPSNSSP RLRGKETGLALQLGGELHP PEX2_009550 MTVPLLQEGLGGQINVNPGCAVDIPAVFYSLSFAPNPDFSKVYP PQAEILEYFNRVADKFDVSRHIVRNTEWEGAYWQDLTNSWLVKLKDLSTGQTFYHECK ILISAVGALVNPNPFGVPGAEVFEGDIVHTAAWKADLSLHQKDVIVIGNGCSAAQLIP AISQEAQSITQFIRRRIFDNNRYISCLQQRNIHLTDDPIVKVSSHSILTKSGQEYPAD AILLATGFALTQYDVELVGRNGRTRDEHWGNFGYKEAYKSTAMAGFPNFFYVLGPNSG KGHTSAIYCIENYVKLVMKVIAPVLQGHASFVEVKPDSEKDYNESLHEKIATTIFNSS CSSYFIDYKSQKNWFIYPWNSFVMWYSTHWSSSDDWTYDIDM PEX2_009560 MFIVLFPILVDAIHQIGWAKSIKIITPFFLLAVISTLLAWVSMV AFLRRKLVLWSNEDPKAENTLLGRPQLYPARLTHASFFPEKYHYWIVYFLVGVPVGLR GRVGTVVSIESDQQTSVTNPFYSFVKRIFRIPFWFRIDTSWYLHRGDGHLTLAAKLEH FLKERGEDPKQYPYAYLISIPQFLWWTKSPISYWYLYSPLKELSGIIMEINNSYGEKK NAFCRLTKEDSSSHEKVKTCEVFSAALGKGPDGDQLIRFTSSAPTAKYYKGSWEKDIF ASPVEKVEGGFALRFMDPLDPAPEKGGPLHSNMTLISTSGKPKISSRLFSVAPPIDPL ETSSWELVSFLLSWSFVVPVSIGRIVVEALRIRFRGNMPYLNKPDVKKGNIPRNASET ERGLEPFFRLYLSHLVKTCRFPLEVIYTPAKSLHLHPMSMRSPVKTFTSAPPPTLLIQ PLTPQFYTNILKYPDAKTGAISEMENVPQACDPESQRLWASDPAMLQRLIESAIDSPN TEIAHGYIGGVIASIAPEVKSLTVYRRTPVVVFPASPESDKFSNPLAASLTPEGSRAA FIRSPKSFTGLDYLFQDSESNVDVVDMRKEELTKITKNVIQSGSTKREFDVIICATGF EPLGTGLTRLNITGKNGVDLADVWKTRIISYLGMAVPGFPNMFYLCGPQGPTVKVNAP TTVECQAQWIFSTLKSLRKSHIDCCEPTLSASQGWVKKLNQQWNNSLYPKVNVWEARS SSGKSEPLWIEGVHKYYDYIQQCQAPDFRGFVELRPKPSASL PEX2_009570 MTELEGNWLQGVGARDRSLTKLGAWHIKRVPGQIPGPIYFKPTP RLQMQAAFSHAFMF PEX2_009580 MSHLRRLDSPSSGVAFVAGANGITGHAIVDYLTRRPETEWVEFV ALDFLSSPASIVEQIKELCGGVTHAFFTSYIHSNDFSILYEKNGPLFRNFLEAVDQAC PKLQRVVLQTGGKHYGFQFRELNSPLVEDMPRYDGPESLFYYEQEDDMFAIQKRRQTW NYNIIRPMGIIGYASQYIGINEALPIAQYFLICRELGVPPKWPGSLNGYLRVENQSYA PSIADLTVWAATQECCKDEAFNHVNGDVIVWKFLWHFLADYFKTPLGSSEPTETTEPM DMLEWAKDKRPVWESIVAKNGGDPDAFQLDSFALMNWYITPTGMKSPLISTVSKARKL GWSRYDDTYSTWLKTFESYQTAGVLPAS PEX2_009590 MPSPAKKRKGTGDSSPPKRSIKSFFKEQITEQNNLKVPDITEQT LSDEALARKLQAEWNEQENASTPTEDTQAEPQIDPAPSTLSSLVSPPVAPVIPKKSTL SLQSSAGTEDTISLAIPLDQSPQRFDASQYAAELRSHWASEGGDASYALLTRAFVLAN ATTSRIKIVDTLVNFLRILIEGDPSSVLPAVWLATNSISPPYDELELGLGGSSISKAL KKIYGLNSQGLKTLYDKHGDAGDVAFEAKKRQAFTLVKPKPLRIKGVYQSLKKIATSK GPGSQETKQRIVEKLLQDARGADESRYIVRTLVQNLRIGAVKTTMLIALARSVLYSKP AGADFEVRPHQLACLKKDELAELYSNAEETVKASYARHPDYNDLVPCLLEIGPTEELL VRCGLTMHIPLRPMLGSITRDLSEMLTKLQGRDFSCEYKYDGQRAQVHCDEQGKISIF SRHLELMTEKYPDLVSLVPQIRGEGVSSFILEGEVVAVDRETGDLKPFQVLTNRAKKN VEIGDIKVNVCLFAFDLMFLNGEPLLDRPFRERRELLRSLFVEIPKHFGWVKSTDATS ADSEPVLEFFKSAIDVKCEGIMVKLLDNERGANTQINNNLSDEIATKIPKQPNQAASD PITKAKPTRRKPLLSTYEPDKRLESWLKVKKDYSTSSETLDLIPIAGWHGQGRKAKWW SPILLAVRNPETGSLEAVTKCMSGFTDKFYQSNKEKYAHGSTNVISRPSYIEYHGEPD VWFEPSEVWEMAFADITLSPTYPAAIGLVSDERGLSLRFPRFIKVRDDKSIDEATSSD YLALLWRKQMERTGETGEVALEEGME PEX2_009600 MASLTELSSHERTRVEDYLNDKIQVSADLESLDSLLSSLRAQQE LQRKQLAEAGEALSNATKASNDHAEATRKQAEAFTAEQADIDRRLMAITQSETSDEAV RSLEKSMEKLQRLELSKGYVELLKEAEELSKQALADIASEPRAALKPYARLRTIVGLL KDAQPAAEGAAPHLVDYTDKLASALRQQMKTYFSDRLQKTLEELKWPTKQLNVTNQLL ARWRQDVELLIDLQLPELQSRDALASGLSFEPPVLFPLEVMVHHLDLRFKYHFSGDKP TNRLDKPEYFLSHIMDLINQFGGFFVSYLQPIFDERAEAVGPSLEWNFYNASHSYITA LLPMLRQKIGLFLPQISNYPQLLSHFIHELMDFDNEIRETWNYMPDPYADDNWKGVTW EELTKQGWYDRWLQVEKDFALARYKDIIDTVDSGEIDYDGMELTATKPTKAAIRVNDL LETITERYQPLSSFSQKLRFLIDIQITIFDQFHERLYSALEAYLAMTSTLGRTVQGAD GQASVEGVAGLERLCRVFGSAEYLEKKMEDWSNDVFFVELWSELQDRVRQNRDSGRNV AGTMSVAEVASRTSPAVANNNGTHSATSSDGALFDETASAYRRLRLRSETIITSTLSS NILAALKPYSRVSTWATLSTPSATATAAPLSPTSDIAHAMRVLSTQLSFLSRALGTAP LRRVSRQLLLSVQGYIWDSVLTKHTFSETGAAQLASDVDHLCHVVDSALGPSSEAGIS FRTIKKLSEGLRILCLSTADQGDLSAKEDVPLRLWDVEKRLFRDNESARGVLAELEID SLSEAEARSVLERRVEIGS PEX2_009610 MSGIAHPNRADEVQSLLKAVEDLLIPFIRSADEDHRGSSKQKNG TNGTNGTNGHTGYTGLAGTSLVDYKKPEELRDILQLEIPEKGTKQEGLIEVLQKVLKY SVNTWHQGFLDKLYASTNAPGVAAELILATLNTNVHVYQVSPALTVIEKYTGQRLANL FGLNGPRAGGISVQGGSASNTTSIVIARNNLYPSTKTDGNGGYKFVLFTSAHGHYSVE KAAQMLGFGSSAVWPVPIDKVGRMIPAELEKLVQKAQSEGRTPFYVNATAGTTVLGSF DPFNEIAAICQKYNMWFHVDGSWGGSFVFSERQKHKLAGAEKANSIAINPHKMLGVPV TCSYLLASDMRQFHKANTLPAGYLFHNEEDEPTNGDELEIDSPEVWDLADLTLQCGRR ADSLKLFLGWTYYGNEGYRQQIDSACDIAAHLANTIAQHPDFVLISENPPPCLQVCFY YAPGREFVYPRGIVSNEAQRAKNNSKVTEQVTHAIVHKGFMVDFAPPSGDEDEAGNGK FFRCVVNVQTTKETVDSLVRAIEEVGPGIVESLKASNSAVPQKRPGERGHGPVVHHV PEX2_009620 MPREQQKRGRRAEKKAQKEDSKRKFEETPEDPVAKRMKPSADDA DETNETNEANDDVQLPENEDYIPLDQGEEQEGERPNEDGDMVFYGLLDEEESEYFQRA NEMLELNQFQDAEERSLFVDSVYAEASGKELKIACSQGCSRLMEKLISMSDARQLRRI FSKFIGHFLHLVQHRFASHCCETLFIHAAPAVMQKTKSQPKKSDEEGEEEPELSLAEM FMAVIEELKENWGYLLTERFASHTIRVLLLVLAGEPVDVTSNESFVASRKKERIEIPT VQGEEKASKSKTEKHAVPEAFEPALKKIMTDMVSGLDDVYLRALATHPVGNPVLQVLL FLELSHFGKSSAKDPKSTIRRLVPDESFEEESESAVFIRGLLYDPVGSRLLETMVRYL PGKSFKNLYRNNLGDRIGSLSRNSTAGYVVLRMLERLGKDDLKIAMTNIIPEVPGLIE RSRLIVPKMLIERCVYRGVDTKPLAEALEAAYSQDPVIRLQQMLKFNPSENTAPQSED HDMDEGNDGKDRKPRGPPPISAAEKAEKLHGSLLAQAMLTVPGPLSQLVYTSLLAQSS ETIVQLAQETTSSRVLQQALTSTTSTPQIRRQLTTRFQGHLTPLALSASGSHVVDALW TATKDIFFVKERMAQELEQHEQELRDSFVGRAVWRNWSMDLFKRRRRDWAHKAKGLEE RTESNEGAERPKSKLEQARARYAAAKEAADAGATGANQTAVASR PEX2_009630 MRRPATHSILAFRPLRNSTPQSLNAPWSRFASSRSQKPGPSSHW VRNSLGFAVTGTAAFLGYSYMADGGKEAWMSRPEVTKKEPVDVNDLQAQFIQEKRSLK SPAVYTWGSNVYRVVDPGSKDTDIKTPRRFKYFDGQVLRDLKIEEKSGAAITENGDLV QWGQAFSESEFKPTTTLTGKNLISLALSESRIIALSSDGTVYSLPISKEGQSAGPKRT ESSWVPFWPNRSDLSYRVLNPSLNLGEKVTTISGGQEHVLLLTSSGRVFSAASSTENY PSLGQLGVEKLTWSTRPKGPADACHEVTALKGSKIVQIACGDYHSLVLTKDGRMFGFG DNSFGQLGVDFEPERPFKDAPFLMKVQNLYRRNIFLPKVTSIAAGGANTFFSIDVKRI LGYEEEAAHVHDLGNVTADTWACGRGIWGTLGNGRWVHLQDSPTKVKALSGVSEYDEK TKQMTPIRIRAMSVGTTHVSAILNNKTNVSKTTKDSLDQSKDWGYDVLWWGGNEHFQL GTGKRSNQSKPTYINAPPENKKTQAEARLQIMPRHKGKVGKRNVSMEQRVECGRHVSA IYSAV PEX2_009640 MPSTKQQRARRQTAPSGPPRKPTAKETESSLQALDEKSPSGFEW KTPGWSNELEFSQWLNSVKGDLIEESYDEYQNCLDELEQSKEHIDEILTNTLTLLEDL SSLSESFKSVETQTSNFEKQCQGLLSAQERDTKLANGIRNNLQYYDFLDPASRRLNAP GAGNTVRDKEFSDMLRHLDVCLDYMETHPDQKDAEVYRSRYRLLLTRALTLIRGNFVS SLKDIYQNVSKKISDQQLNDTALSALLYAKFRVGAPELKQIGVEIQKRAVPPLNPDQN NEAEYQSLMNELHSNYSATRGKLIIPLARKKLGEITQTPSSSKDLVAFARASISYIRG LCLDEYDLWGEWFHGEGGLYDFLETVCEPLYDHLRPRIIHESDIVKLCQLCNLLQTRY FSDPEEEQEQVETNHLDFSVLIQPALQDVQARLVFRALAVLRDEIERYKPRPEDTDYP MRNRQVSLTVSDTQISGKKDTSADTLIDMTAKQGDDAGESTQESDGKWDFETQTALKG WYPTLRKAIWLLSRIYRLVNSTVFDDLAHQIVHQTTLSLQNASTLISAKATPADSQLF LMSHLLILKQQIVAFDIEYVSADISFDFSGMTSTFWELRERGGLFNPRNLMRLVGHGL IPRVVENMLDAKVELDGRLRTVINDFINAFAARMTASLPAQFVDSRNLARGELILPSC RTIEKEVPVLRKVLNEYIDDTRMKETLVGAVQDRTIQIYEDFFEKYTSSEKAKGNFVS KKGKGRDDAVWDVNTFAEWCEGVFRVGVAGLQADIPEDDDDILSTNS PEX2_009650 MDGRQQYVPGPPPSSQTQHMNLPPPPPRHPQAQTMVPPPPPGPP PGATYGPQAGWQQNWGRPALNPGFPPPPPLAPAPNQHLTYGRPPAQLSIIPPRHDHQP MTSATYIPGNDTIGVGIPGLFDPHGRAVPYDPYAHTNAERQRLGLSQMHDHINTSVPY KTDPSLPQTPGGRTVSSPYALHGNIHELTNDSPQQQAIAQNTELTKTTSHRHNASGTS LGGLTHSEAAIQWPLDRVLLWLAKNGFSNDWQETFKALELEGADFLELGHGSGGRGNL GKMHQVVYPQLAKEVGRSGKKWEPGRERDEGKRMRKLIRQIHDGSQDYTVSTPLNQTQ PPATAFPETSTAYFSSNFPEPRSAGPVPGVNDVSSEHLSALHASNHGQKQPGQRSVTM PVPAPHDSPRYNDSPARENWVRSDYLRSEYTRNALSGINSDHRRQSPSIGSESGTFQG SSLRPHEESPNSGSPAMQNASPAYTGAFSSSTGDLTLRQNDHSRGNSTESIPGFNRGA TSRYYDSRRQGQEYDPRRQGPDASRPSPQDASGRQWSDQASSSYSKEHKGFFSNILKK KPKATASSHPSPDQQHEDSSPTTSPETRPNEAYLPYIKPAYNSSDMSVGERPSTATTK TKKWIFVTTDGLNFRLIDISDLDSFESLRNGICESLAVDPTNAQIYLTQPGQSEHEDP MSDANLAQSRSKSDAYGSLKLFVRGTPMLPMPSNTPRVDGLGVSFTDKSNMSPTATHH QVHRKPLDEDALNRLSPHRTRPDSPLLGSRQNTLKASTGRASPAEPVQDTSQALGSDK SDLLARHEEHLREVERKQRHYLQSKMPQSQQNKNAYSDTGYRRNEIIDFDSPRISPYD EKKGDTLVPLRKPPTAPIESNTLTKVNSLSRRPTTRESRESRETRLQPSLGAAITNVG RMTSAVGTPLPSVPAASPPSANTQDSASSESDRPRSFDSTGTFSSRTTLGQYMHDTAR SDRPPADYLSDSSRPASNMATEHGKPPVSFANQSPEKPVSEDPPRPGLQSRKSFGPEF DFEENQVSFQRTPQQQQDSDNDSDDDSDDGLFQVRPSRAQEEKREAQSEAEKKSERPS LTVNTKDQLRSKLSVRFKSPSTAGPSSSGEASDGKEPVSSTWGPVSPEDERPAPRRES FARDIWASRPAVEGVIDHLDDFFPDVDLDAPYLDEPSSPNTKAISEHDAKRDAPTPMP HATHAPDANPVRPTEPVNFARQKLARGGGGGGGLSRMKSIRQVAQGANRTNSISTAGP QRSGDLLRRKSTKMFGAKIMQIRPRPGTRLSQLDPIPQNSTNVASNTGPVPQRQPTFR IIRGQLIGKGTYGRVYLGMNADNGEVLAVKLVEINPRIAGADKDRVKEMVAALDQEID TMQHLEHPNIVQYLGCERGEFSISIYLEYISGGSVGSCLRKHGKFEESVVRSLTRQTL GGLAYLHDKGILHRDLKADNILLDLDGTCKISDFGISKKTDDIYGNDSSNSMQGSVFW MAPEVIQSQGQGYSAKVDIWSLGCVVLEMFAGRRPWSKEEAIGAIFKLGSLSQAPPIP DDVSMNITPAALAFMYDCFTIDSAERPTAGTLLTRHPFCESDVNYNFLDTELYAKIRD VL PEX2_009660 MGVINLTRGRKDNAIVEDLRPTQENEKTDINVEQNTADGSLNNL GRAEKEIREHPDEVSANAADGVRKAEAVALVWSKKAVFATYAWIWICFFMLALHSSIG SNVLYYAYSNFQNASQVSTATILASIVGGVLKLPIGKILTLWGRAEGLIIFTGVYILG IIILAACNNANSYAAGYVLYWVGYDAIYIILDIFIADTSGMRNRAFAFAFASTPFICT SFTGPLAATHFLKTSNWRWAYGSFAIIMPFVFLPLAGVFKYYENKARKMGVLRRPVSG RTTMQSIVHYLHEFDIIGAFILMAAFILFLLPFSLANYGRAQYSEAAFIAPLVIGFSL FFVFAAWEKWFARTHFIPYQLFRDRTVFGACGLSAILYFSFYSWDLYYYYFVMVVYNL DVTMTGYMTAIYTVGSCFWSPIFGLWIRYVKEFKYSCLFFALPLMTLGAGLMIHFRGS DSDIGYVIMCQIFIAFAGGMMVIGEQMAVMCASDREGIPMMISLVSLFSNLGGAIGYA VSAAIYANTFPQGLREALPESAKDQWATIYAGGYVAQMKYMPGTEERDAINFAYGYSQ KYGCIAATAILVLAVPCIGMWRHYRVDKEQNKGTVL PEX2_009670 MATTDIATKELQNPMDVAEYLFRRLREVGIRSVHGLPGDYNLAA LDYLPKCDLSWVGNCNELNAGYAADGYARVNGISALVTTFGVGELSALNAIAGSYSEF VPVIHIVGQPTTQSQRDGMLLHHTLGNGDFNVFTKMSAGISCYVARLNDPHDAATLID SAIRECWIRSRPVYITLPTDMVAAKVNGDRLNTPIDLALPKNDPEKEDYVVDVVLKYL HAAKNPVILVDACAIRHRALEEVRALVEKSGLPTFVTPMGKGAVNEDHKNFGGVYAGN GSNAGVSEAVESSDLILSIGAIKSDFNTTGFTYRVGQLNTIDFHSTFVRVRYSEYPDI NMKGVLRKVVERMNPLDPAPIPLITNRLPESEQTSTDQTITHKWLWPSVGQWLKEKDI VLTETGTANFGIWETRFPANVTAISQVLWGSIGYSMGACQGAALAAKEQKDRRTILFI GDGSIQLTVQELSTILKNNLNPIVFVICNDGYTIERYIHGWDAGYNDIQPWEFANIPT VFGAKDNYQGYRIKTRDELNQLFADEEFNVSDKLRLVELYMPRDDAPAALKLTAEAAA HRNSGE PEX2_009680 MGKRAMPDRSNHSSKRKKGGGGKYGNQKSRVPIESGDVGVFVTC DMGREAKCLNEAMDIFSQAIEGTEHQQEEEPDTDDEDIEAQIRRELEGLQPNKDKTRQ FRPIQMEMPCVTFMRLDPSIDPVQLVHRLCSEAHAHPETKKSRWIKRMHPVTSIRKTM SVDLTAFAKEILKPHFHSGGPPKTYAIRPTVRGNSKLNRDIIIKTVADAVGPEHPVNL TNYDLMILVDVAQNVIGMSVVQGDYDKLKRFNLAEIYDPSPKEEPAAKATESKA PEX2_009690 MQQLNLQTWSAMPLLKGTNGHSEPFYRQSRAAEVDEHHRLDDVL SLLFPSTVRVQHSRPIFGHIHSLRLLTLSNGAHLLLKGSPSSRTALLRRERSFLETEA QFLALLTQSANPCIPQLYHYDPHGRLWGSAYLIRQYMEGKSLSELEAELTPRQRDGID RHLGFLASAISQNAAPGFGSLQQVAHGAGRPSWREAFCALFEGILRDAEDTFIHLPYS EIRHELNRLAPALESVSLPRLVVVDFGRPSHVLVNEESGQLSGIVDFSSAVWGDVLMA EIFANASPAVLQGAGMAVSRTREENIRLVL PEX2_009700 MSAPSILLNPLSENLTLALKTRHSEMSDDSDSPEVEHSDVECFE ALPDDTVEAIGSTESAIRKIIREKKETTDVLKYIRFTNVPPAIADKFSLRNTRQMFNR STRYMIIKLLTGAHEAASRGLGGAVQNEIYNMGLDESIRPLGSKTIQGVFCRKEADAA YGPAQPVPGRDPRWPTVVVEVGVSESYRKLRADAEWWLTNSRGDVKLVIIVSISRKTP NIKFEAVALDSTVNSLRLQRPRYVPKIRQTITASRDANKPNSIITIRPAVPFIIGFEE LFCRQPVPPEHDIELSPDRLGRISKHVWGEQEF PEX2_009710 MAQFLRGKQAGIQKDLSEGLSPDLFVLDDFARCGVNSQISAIAY DPIQSLIAVGTSDTQFGSGQIYVFGQRRVSVVFEFPRKASARFLQFCAGKLISIDSRN EICVYSLATGRMVVSYAPPGQVTALLTDPSLDYAFIGLQNGEIIAYDLDRESLTPFKV PNLWLERNPRARFFPVVSLEFSPRDIGKILVGYPEGAVTFTFKQNIAQKYFVYEIPPG APGEDSLPSHEVRRPKLTNAIWHPNGIFILTVHEDSSLVLWDTKDGRKLHARTVQTPN VDQPGAGGRPGSPGEAAGPKEPITKVIWCAKDNPDDTGLLVAGGRPVGDQSKGLVFLD MGPTPNYQTSSWQILSTYLERPRRQIPLSVPPGAKVVDLCLIPRSSPYFNGAHDPIAL IAMLSSGEIISLSFPSGHTITPTNMLHPFLTFVHPFVNKAVLTPVDRTVWLGLRERRL QGPRFAMGGVEAKNPLKRFQNRNVISTAHADGTVRVWDCGHDDEIENGDVVQVDLARA IGRVGNIEVTEMSLASGSGEMSIGLKSGELAIFRWGNNPSYGNEESPGANEGPGKLTS ITKRTDPGLKTGMLPLSLLNMQQGPVTALKHGQVGFVAAGYQSGTLVIIDLRGPAIIH TAHLSDLHKGQKRSSFRKSRASDEVQPEWPTQIEFGVMTLDGEDYSSICCFVGTNRGN VATFKILPASNGTYEAAFAGSTAVEDKVISVIPIDAESGGLALATPSAVGGLRTGAKI NGVVIAVTASGCRIFKPPTSKGAHKSWDDYLCDSAAVVKTEGRGYSLVGLFGDGHARA FSIPALRDIGCTKINHIADMRRLSEACITPTGNIMTWAGPSEVGLFNVWGAGNGLHPS NDKLYNPEAVIPPRPTITNVQWISGTQYISPADMDILIGGPDRPPSKGMVEQMKFEEQ ERRRALKEGRTPPTPAQGSSQEGYWSYMSRQVQERTERLGIVGDSMDRLEENSSGFAN DVGKYVQSQKKKAVLGVLGSKFGF PEX2_009720 MSVVSLLGVKNLNNPAPFTASYQFEITFECLEQLQKDLEWKLTY VGSATSSEYDQELDSLLVGPIPVGVNKFIFEADAPDVKRIPTSEMLGVTVILLTCSYD GREFVRVGYYVNNEYDSEELAAEPPAKPVIERIRRNVLAEKPRVTRFAIKWDSDDSAP AEYPPDQPEADGLDDDSGAYGAEERELEAALLKELEESNKPAEGDDHEMEGAEATAGK EDEEEEISDAESEDLEAESDDDEDELDEEEGGDGDDDVEMGDDAEPKDDAAKPTHQPQ PELMVH PEX2_009730 MMADDEAEAAFFQAQALNTDSQSPTVEQDGDNSDAESDDYDPSL ALGDQYSASFPETKQPDAGPTDAAPSDETEDSISNPIVASDADVASDAEAGQTIDSPD PSQNPSRAESSTPVPASAAEAQPKTRTIGGFEVDDDEDDEGDAEYEPPAVLGGEDVNA MPVTMSEDPSSGNAMQNTSPDVSSYQAEQAPASGSDVANSSYSPDLVLNIDPSSVPGQ SHWAAQDLPSATMQNSTVPTSVPDSPASKGRLAHDRVGMLEDRIREDPRGDIPAWLEL IAEHRGRSRLDSARETYERFLKLFPMAADQWVAYASMESELNEFFRLEQIFNRTLLTT PSVQLWSVYLDYIRRRNPLTTDASGEARKTISSAYDMAIQYVGMDKDSGNIWTDYIEF IRSGPGIVGGSGWQDQQKMDLLRKAYQRAIGVPTQAVNTLWKEYDQFEMNLNKLTGRK FLQEHSPSYMTARSSYTELQNITRDLIRTSLPPLPPIPGSEGDVEYLAQADIWKRWIA WEKEDPLVLKEEDPAAYKSRVVYFYKQALMALAFLPEMWFDAAEFCFLNDMEDAGTEF LKNGIDANPESCLLTFKRADRLEVTSDPEQDSAKRAAKVREPYDKLLDALYELINKAR SQETQDVSRIEAYFAPQNAESQPQNEDEDDPEAKEREAAKTAQIDAVRKAYSVQINII SKTVSFAWISLMRSMRRIQGKGKPGEMAGSRQIFAEARKRGRITSDVYIASALMEYHC YKDPAATKIFERGAKLFPEDEHFALEYLRHLLDINDTINARAVFETTVRKLTSNPENV HKAKPIFSFLHEYESRYGDLTQVINLENRMRELYPEDPALEQFANRYSNSNFDPTSVQ LILSPSQTKPKTIMPGIPAEPHGSPMARYMDTSLNSPKRPYPTDEYDEDSGRPRKFVR AESPMKSAQARRLEQPKRVQQLNGQTTSYRPQGSPAPLPREVVNLLSILPSAAAYNIT RLSPEKMIDLLRHVEIPSDISQIQIPQATHGPGGGQTPGLNPYSGKIITYLSRNNILT HISSLGAYR PEX2_009740 MPITEEVLEGTTIGRAINNASEQRLRAVLKSICAKNDEARKEAE SQMLVVATETEESSDSNKRAVPRYAFCANCKKEFDVTTNTEENCRYHPKDNEPTGEDL YVDNYDEFEVDTEEMREDFPHCFTFPCCDENLEDNPHGCVTDFHREQYPQDKPSKRSK AI PEX2_009750 MKTLSFTLLALLALIVPISAVEFDPVSFRFIDPVLSPVTHTKKF NITAGIQTYNQTINFVLENNDDLISQDVQIRYPGIHGQANEAGALPDPQVRFTKGSVW MQDAFRGSQENVGWARLAVIQHGENLLFDGAFTIMGAQYAIELETLDNGSTAMKAHEH ESAFTTGSRSSLPALCATAPETDLYKRQTWNAWGENLTSTIGSTYGCPNTREIANIGI MTDCTYTASFNSSDSAHQYILNMVNTASVVFENSFNISLAVQNLTISDAECPTSTSDT TAWNVPCSQGDLNTRLQTFSTWRSSVNDQNAYWTLLTGCSVSAGEIGVSWIGALCNTG SGSINGGAGANVVARTQNEWQVFA PEX2_009760 MKLIFGSMNIGEPGDGRTRVHTLEEAKEMVDLFKSYGHVDIDTA RIYGDGSSETFLGNLKLTDMNLDTKLFPSAANPKMALVAAPYHHNANDLRLGLMRSLE ALKVPKVHIWYLHSPDRTVPFEETLCEVNKLYQEGYFEKLGISNYQSWEVARLCEISE RNGWIKPSVCQGIYNAFHRAVEPELLSCLRHYGIAFYCFNPLAAGMLTSRYSRDKPEA TAGGRFDPNTGPGALTRRRYYQEADFDALEIIRPVAQKHGLTEIECALRWLSHHSQLN EENGDGVVIGSSSPKQLRENMDAMKGGPLPQEVVEALNQGWEVIRGKELLYWH PEX2_009770 MGPKNPVKPSKEDDEHRSRSGHRSTTSSKLQSTPTSTAATKTSS KPAHSSSSSRQPKLSLELPLRPSAPVAKPLDPRQAQELRDHKAKLDDDARRKKEAEST AWMRRTRYDPDSGEHRKPKSTGSSSSDSPIRRVETPPEFPPNWTAEEKANYERLAREG VKVAVFEQHRLDQEKLIRHKDYMTVAWDGCKNIDIPWHRFKVRPSSSAPEETHIQRRV GYNTSGKEVEVMMNAYPITSFPDKAVYQYEINVLHGDQNETDRRVLRKCWNSETRKAH IPDGIWDGGRICWSLRNFDDWNEIIDFKGDLTRDIKTLATVDEFRRNPAFRMSVIQKR KINLSVINSWLQNRRDLDELVIESLSFLDHLLREWPTKQFAAIKRAFFFDKLGDDEEL KQEFYQPLANMGASVYRGIYQAIKPTPQGLILNVDVAHCVFFSRISLMGYMMNVNGWN DMSTLVRNLQSTRDEYGGTKESRWFAQVNKKIQGLRVAPNYEGCPFKMKSFIVKGLIH AKPKEFFIDYSDKATGKSTRIHLEEYFMKRYNIRLEYPNMLLVEMQKEDVHYPAEFLV IKSLQRYRYKLTDAQAAQMIQWCATRPPKRLANARQSKELLQHKNDPILKLYGMKISD QMIKTKARLLSSPEIQFGGNRKHNPQHSGSWDLRGKKFYKPNEKALQAWGVGFFSGHR KSISQDQTLSWVEQFVKMYKSMGGEVTGRPVVKGLSEDVGTSVKKLYDAIAAQNKREP QLMVFIVPDKDSWVYLRLKKSSDCRYGTPSQVLQSAHCITNKPQYHANVLMKVNAKLG GITARAVPKSKSSGLRPGSMIIGADVTHPMMGVWTPSLAAMSVSANSTATRYMGGCET NGDRIEIIRDKVIEYVLHPMVAEWKATVGGGKAPDYVYYFRDGVSASEYQRILSEEVP AIRFAIAHACGQAIWAGKMCVVVANKRHHLRAFPDPKNRAAADPHGGPLPGTLIDRDV TSPHDWDFLLYTHIALQGTPRPVHYHVLLDEMGLKPNDLEGMINDHCYQYIRSTTSVS VHPAIYYAHLISVRARHHEDVPITSGPQSGPEVKMTNPKPKEPRAKRLLPIEGTSNRL ALGMWYI PEX2_009780 MSLGHNAWPPGNIRPPDDLQDSPRPVNGLVKTLSGSRTPQIRGS VGAEAQNPSSTSDADIAPEEDPRIAQFRELYRRSEAKISALFSGRYSDDNPAAGETTE ASEAEPERVDDAPPPSAAPRKSARKLDDDDYDDYDDDDEDEEMDDAVESPLKTKSAAA SQEPSGAAPLAPRPSVSMTDGLKEPKKETMEDLRKQLEEDKKATEEAARRSFHTLFYT LENDRDAMLDQQRLEESERQVEAEISGQANMGGNNVSGAANGYSSLSNTNLGASSLTL KNLIARIDKKRDRVQASDAELRSLLSEVRKNRSKWANEDKVGQEELYEAAEKVLSELK AMTEHSTAFLTRVNKRDAPDYHTIIKHPMDLGSMTKKLKGLQYRSKQDFVDDLTLIWA NCLKYNTNTEHPLRKHALYMRKETEKLVPLIPDIVIRDRAEVEAEERRLQLADNDGAE ESDDEPIMSSRGRKAPGKKTSKKGTAPSRNTPSPARAPSTQPPAPARADSDATGEASQ NGFSTPPPGSNTPSDPAGPGAIATASQDDTMDIDGPSTSTTALSAMLVSGGVEPEDPE YKVWKQVTKRDRARVAAERHRLLRGDKLNAEEPALLRSKAGMRRWLRHQKQATIEADK SRDGDPQAMEPEAAGESLAEGIEVEEDQMLPYYYDVMSGVPDLPERLLWKEDAQGNVV DASEEFLHMLRKGEFIQPDSKLTRKMNSNMRQMQETRKICSKIGIVKQMQLQSQMYQN QFQKYQPEPFQEQDIEPHVMNDNGPVIAPWACRAALQRSVAKVFYHTGFEEYQPSALE AVTDIAADFFHKLGSTFKSYMETPKVPTTEPHETTPSTAEWKPAYTHSEIVLHTLSTV GIHIDELESYIKDDVDRLGTKLTTANDRLRSLLTELLRPALEGGEDGSNAFADGSEQF VGGDFAEDIDEDFFGFKELGLDREFGLSTLSVPLHLLQNRMFNAANPQNASVSPTVTL FAPPPPYGRISTDNLSRQIGLLQEFFKGKLDANGDEPLVEDLELPPKQRPMAIKPRLP ASGKIPQSSLAGLTSPQKRAAPPMASKSSGAEPSKKKTKKNSGVALEMPNFNPDGEGD QATGDPAANANMQTEDIGLGDGAGESMTNGA PEX2_009790 MRVRYPFAGGFIFLLFLAGYIGLLPHSTSSTIPTQLQPNDKFLH LVTFFLLSVTFYWVLDTTRRRTLHVTLVVCTLGLGVGSEVIQGLLPNGRDFDIFDILA NVVGSVGAVGLCNWYHRRMMERRRQSRYGIMEEGTEDVELGGVVGHSRRDSEVMSPQE SGVMSLEQEVDNWDENAVDTWDTEEVPGPERETAPPSYDETGAAGGQSAAIPAAAKRI D PEX2_009800 MAHPTIKIDTSVQATKRGLPENDDAGLDILGADQDNPKLETGNT EDVPPFLCQSVSEIHDKFEDLEWMQRSRLTDAMEANNILHPFALEADPRVKERNRYCN VQAWANCRVHLQVAEGECDFINASPITLEDSVTRERRKYIATQGPKVGHLADFWHMVF HESQEVGVIVMLTQTFEAGREKCAQYFPLDTEQASMVLLADESDVLFDESEQTEPGVL GRVTLLESTFDARSRSEIRKLELAIGSESKIVWHFLFAGWADYSKPEGSDRQALLDLI KLSASKSTPDNPRIVHCSAGVGRTGTFIALDHLLCELESGHLLDVEDPEIDPVFETVN RMREQRMMMVYNEMQMQFIYEVLREQTDRKLGKITDWNLDSPNGSEERSAKMAKLNDQ ADYFPTSKPELEAVPDHAHTPTKSRSGTPELSPSDNE PEX2_009810 MVSQAQIVDRTTAQSTASLVSRPSSRRHRSSRSHHGGSSHPSSN DFPIFTYTGDTEVVIRAGSQERRYLLHKLILSQCSGFFEASTNEDWSRQTASGSSKPE GTLSRLSEDDDLSNGSTLAPSDNGALSTRPGEKRRWRYELDWENRAEDEEAILVQKQP TYAPMFSGDLAALPPKMTKPSNAQTGFIRSMANLAGMQSVAHLPQTGEHAVAVDSLIR DYDNLLRIFYNHAPFINSVNIASAYTECKSLLALADMYDALPVTGPRVDHHLLGFGSR LFKQIAKYPPSYLKLGYLARSKVIFSEALIHVVGQWPTALPSLRNGSYAPLPDSLLDL IEDKVEDLDELKARVESKLLRLSLTTSRGERVGPSNAYLDWLAVCLFRQWLIESTTPP PAPILKNSGPSDGPTQPTASASHPTSTSRSTTPPDPSARIYKLIGSSSAQAYLPHDEL KHFLKLHPTSSAGSLYTRETLKRFERKMDEMKRLARDIVKPLMRNFLELELKSPDQTT TATVGPRENIPVGLPYLTCTRVEDIDLPW PEX2_009820 MALTSYVCFNCRNSYGLKATLRSFSSARRNRDILRPATAPKPTP DVKHIRQNADLYAQNCIDRNYAGHAGYPSKIEQLSEEARQLDYDLKTPRSRIKQLEKT IAQLHIATRQGTDGTINEKGLSPSEELAELKSEAQRLKEDSQSMTERKATCTEEINRL ALSLPNLSSPFTPIGHDPTLVQYINFNPQEPPAWTRQSQTELQARSHVTIGTELNLLD FASSATTTGWGWYFLINEGAMLEQALVQYALSTARRRGWKTVAPPSIVYSYIAEACGF QPRDQHNEQQIWSIEQNERDKNTKPQRSLTGTAEIPLAAMYAGRDINASELPIKLVGA SRCYRAEAGSRGVDTKGLYRVHEFTKVELFGWADSVDGAAPSSDDMFNELLDMQTEIL TALHLPCRVLEMPSGDLGASATRKRDIEALFPSRLRAASGGAATPVDPEIHHLESAWG EVTSASICTDYQSRRLAARVRGGGAKESRFPHTVNGTAMAVPRVLAAILENGWDAERG VVVIPEVLRPWMDGMESIGRS PEX2_009830 MPGTTPHPLIHINAFAGAGKLTVAKHLVALSENLKLVHNHLLIN PADAVLHRTQPGYQSLRRALRAAIFTSLATEPATFNTTYVFTDFQTANEQGSSVCKEY AQAAEDRGCLLIPIVLDCDEDENIRRMTQLEREKHAKLMDVDLLKMFRKGAPIFEFSN RKEFLKIDVTHKKPEEVARMIWEHVVLFYPDLSIGES PEX2_009840 MASATGIPQDHPATIVSREDEPLLGRPGDVTQKPDESIYRNLFT GTASVAQAGIWILAALVWQGVLSLPLSLFTPHPLLGSSALLLQVQAALILQPTATPQQ KRTGTRIHYFLQLLSVVIFVSAFAIIEVNKGSHPHFVSPHGILGLVTYIAIVLQAVVG VVQYFLPVTVLGSVDAGKRIYKYHRWSGYVLLLLEVATVVAATQTTYNVTAIHIPLWG VLVSVVLILAGVGARIKKHKLGL PEX2_009850 MSTRACHGTYEEERRYFMTTLHAQIDILQDNYRGGRKMVINSLT LLLLRVEGLRMSGQARYAYDNPNWTDKCNQLHERMEVFRDTLFRDPSSTYRVAADIQN MMLFLDFCVPADIEYRITPGRGNRSGAPSPEPRR PEX2_009860 MATSNSNFRHLPVELMQAIAFRLPAEDLCSFRLSCKSIYESTMY TFRCTFFERIGTNLSLKGLERVEAIANDSELAPHVRSLTVKFVDDFEDRLAEGLRWNR HSSGYLLLDADVQKWAEALRGLVNCTSFHLIRKGWTDNDTCLDRFTSTDIITLILSAI IEAHIPVQEFLVDFVPPCVGGANELDLRRLNVPALWKPEFTSVWASLQVLLLNFTMEK NWIIDWIDPMVRHATDLRKLTIKFDDGRVARAVIEQLSSLGTTSQLQELTLNGVAKSI INPASLSKLLHNYRDSLRVINITYVPLEDSGWKSTLKMLSEFPVLESFSFDNLREFRY AMHFPVASGIPTVEQGTEFVIFRPRKLRDQTWNTRVRCRGPNAKAILQRLADSLEPLI FPKRNLVTV PEX2_009870 MDLNSVQDLKDVLRPDFFHGYATAAAQIEGAWNKDGKGVSIWDT FGHTPGKIADGSTADDAVRAYDFYREDVALMKSYGVNAYRFSLSWSRIIPLGGRDDPV NEQGIKFYSDLIDELLRNGITPFLTLFHWDIPQALEDRYGGMLNQDAYTPDFVRYARV CFERFGDRVKHWITYNEPGVYTLAGYAAGVHAPGRSSFRERNAEGDSSTEPFTVAHTE LVSHGHAVHLYWEEFQPQQKGTIGITLHGNWSEAWDEEDPRDQEAAERAREFEISWFA DPLYKTGDYPASMRAQLGDRLPKFTEEESKLVFGSSDFYGMNSYTTFFVKHTTSAPDI NDHKGNVEIFDENKQGVSRGAESDTPWLRAAPGGFRKLLNWIYKRYQMPIYVTENGTT AKGETAPTPEVLNDEFRIKFFEGYVGNALARAVKEDGVDIRSYFAWTFTDNWEWAAGY ADRFGCTFIDFESEEKTRYPKQSAYYLDKLFKHLIRDA PEX2_009880 MSELWYQQPAEDWNSALPVGNGRLGAMVYGRTDTEMLQLNEDSV WYGGPQDRNPQDALEYLPRLRDAIRAENHAEAEKIAKLAFFANPISQRNYEPLGNLFL DFGHDPSQVTGYRRSLDLASATAHVSYEYQGARFEREVLASYPDDVLAIRLRSSSKAE FVVRLTRMSDLEFETNEWLDDVNATSNSIAMHVTPGGRNSNRACCIVSVRCDSADSTT TKIGNNLVVNSSDTLLVIAAQTTFRHEDIDQRTKQDAEDALGLSLDDLRTRHIADYQS LYDRMELQLGPDSPEIPTDQRLKSSRDPGLIALYHNYNRYLLISCSRDGHKSLPANLQ GIWNPSFHPAWGSRFTTNVNLQMNYWSANVCNLSECELPLFDLLERMVEPGKATAQIM YGCRGWTAHSNTDIWADTAPVDRWMPASIWPLGGAWLCYHIWDHFQYTCDEEFLRRMF PTLRGCVEFLLDFLIEDANGEYLITSPSASPENSFYDHKGQKGVLCEGSTIDIQIIDA ILDAFQSCAKRLDREDPLLPAVQATKSRLPPMQISPAGYLQEWATDYAEVEPGHRHTS HLWALHPGNAITPTQTPQLAEACGVVLRRRAEHGGGHTGWSRAWLLNLHARLLEADEC SRHLDLLLSRSTLSNLLDSHPPFQIDGNFGGGAGIIEMLVQSHEPGVIRILPACPGDW TGSIRGVRARGGFELQFNFENGRVVGGVTIISERGETVVVYFNGLQVEITGVGEHKIN PEX2_009890 MTGSDAAYAEAKLGKSEDREHIEEIALARVTEEDLWKLSEDSLS LRSWTGVRLGLIMFVHGCNQAGFGIDWGVISGINALDSWHAYFGFGSSGGTYGLINAL MQIGIVCGAPFMGLADVIGRRGINFAGNAIVIFASLMQGLATNLPMFMAGRFFMGFGT SLMSSSQYIGEISPIHLRGVMVGFFGACFQVGSLAMLGAMIGLTELPGNNAWRVPLIL EALFPTIVCLGIYLLTPESPRYYVLRGKRQKAKEVIAKYHTTSTDINQPIVDIVVRQI EESLENDPTGYRSAWDYRVFFTKTARFRLLVLFLYSLFQQWNGGGIITYYMVPSLQQL GIKGEKQLLGINIGTTAVYFVFTAVGALIIDKFRRRTMIFVGLISMIIFQTATTITSW QYSVNATAAAAALTILWIFLYQTFSATFVATMHNLYPIEILSLPLRAKGMGLYGLIQG GAGAAQTYGIGVGIEKVGYKIWVVYIVYNSIQLLLSYLFFPETGRLSLEEINTVFETP GVHPVKMSLDIEKAKKAREAAGRHEEGSADL PEX2_009900 MVPEAKGIKYDECEMALFRAKLSYHATIDERMASQNSNLTSIAE AQARILKGWEIQMQGTKDLAGKNEGRSASDKRAMAQYEWRYTALENAATKTTGKG PEX2_009910 MQVAATDIDQVFAHTTNLASCNLNSKILACSNDYFASADNLLTP TPSISRPGVFIHTGAWYDGWETRRHNPDPYDWVVIKLGVAAAYIHGVEVDTAHFTGNY GEKAELQATHAPEGSGVTDAQIADPSFAGWKTLLPVSPCGPSQRHGWKFDDEISQTPY THFRLLMYPDGGFARLRLYGHAIPPPAPAVQAPSAPVEELSSALNGGVTLGASDEHYT PSSNILLPGRGKDMGDGWETARSRAAGHVDWAIVKLGLPGSVSKVVVDTKDFRGNFPR AVRVHGLVAGTAGNGVPSVDDTNWVEIVKGDKRCQADTEHVFGPDDLTAGGEDTRVFS HVKLTLVPDGGVKRFRIFGRRA PEX2_009920 MEEGTIFGDDLPLPPARLFERLGQLPGYTWDQAIEPFHSTYNHW HISGYRHALEPDVLTPLANSSNPTSSGPSSLTRFSPRTEIRPSARALRRLSASETSSE ISLSNQHSLETDQTWIPVIARISTNIIRLEREFHMLRSIVQSSDPDCNHTIRPVDLIR LQPDDGHSKTLLVAIFESPGYDRLRDLVTFGPASFAAGSRGEDNNATPSEQVSLQAFF DFAIGACDCLEILHYGLKTVHGEIRGDAFHFCAETGAVKLANTGNGARSFDNVLSEGW SSVSRELGAKYKLQFIAPEQTGRMPTEPDSRTDIYALGVFFWSMLVGKLPFEGTDPVD VVQNVLGKRLVPVSGKRMDIPDALSAVIQKMTQKMVHDRYHTISSVKRDLTHIAKLLG DGDSEALGNFQVAQGDVSSFFTLPTQMFGRQQEYDKILHIIEKVNKRQAAALAKAGTQ SPGTMHALTSASSVSESRIESLEFASVSSDSGSFHLPPRSSSNVTSHHLAQINTLEPA PGSDASFSTPRHTIIPDAYGQSPGAASSRVKSPSHSRSSHNTDRDSQPSIGPPSQQST NHTVSQSGSLHSLNKPKKNAKSRRSERCEVITISGPAGIGKTDLLNRIQPTVRKLGCI AITRLDRAKRVPFEPFAKLLASLLRQIFSERDVTTDYHNSVRMALRPMWPTLHRVLGL PERLMSPGAKYRPSEANANLLVPDKGEPSKHVNIPGLDQGQTSQDFFLANAASKNMRL METFLEILRTLCQFKLITICLDDLEHADDETLDLVLKIINAKLPCVLILSSRKDEIPS DQVRSLFVEDLPTITRIALAPLEEQHVMEIVASTMHQKPDRTLTPLCAVIQEKSRGNP FYVRMMLETCYRTNCIWYSWKDSKWLFDLDRIFTEFVAPVYGEGLGLGFLTKRLQDIP QAARSIMVWGSLLGSPFSFSLVQKLLTSEFLYSTDDDDDVDLTSPQNVTLIRQSEGDI VVGLQFLVQANLLNTGKTDDEFRFANERLTQAALTLNESRNIEKMHFIVSQVLMKYYH DHRSRYSMAHHVALASPTIKSRVSRRLEYRRILWDAGQTAFQSGARPSALWYFRHCIA LLQDDMWNDLQPDVYYDETMRLFITTSEMSWSQGHNDDALRLINEVFVHGKNAVSKSR AWIIKAKIFAQLGDHHRSMESLLTCLDELGVHLRQPTTYEECDAAYLRLKSYLETADL SSIGRKPVSKDPTIVTIGSVMAEAMTVTYWDDALTFYRMALEVMNIHIFKGGFTQIAI GCSHLAMISLGRFKDIEFGTRLSDLSLELLELCPELSTKSRGSIVHNLYVSHLRVPMP SILPALEASLETSFSVGDPYLTLISISSMAMTRLYLGQDMAQLEAFCVDTPEEIPNWR QDTRGGASLIAVQQVSRALQGKTEFRSADGIMSDANHNTSDYMMHLDVHSSNADRPRD IYWGLAMIPLFLFGHHTRTIQVGTQLLFTRHRLWSARVSYVIYFYLAAALLTLHNDNP SQGYLDGKMETLLEYKAEIDFARGASEVNYGMWALILEALISEVRNDHSAAIQGFESA IDHCQIHGWPLEEALALELQGEFLVRRGAKRAARAVIQDAIASWSSIGAGGKSSQLAE KHEWLLKTATSAKTVDVGCQTIDSLLEISRDVVQEEILIPQQMEEDERRHQWIEQNGV TTGERALDISSVGLDIIDLSSILESSQVMSSELQIDKLFMKMLEIILESCNGSDFAII ATDFDDNGFAIAAAGDSEKGQKSFPEGLPFSEMDDRMALHISDYVMRTKEEVLIHNVL EDERFSNVSETYLANYPTGRSVIALPVVQGDRLLGVIHLEGKPNWFTQRNVVVLHLLC NQIGISLSNALLFREIRKVSAKNASMIESQKRALALAREAEQKAKNAEAEAKHNVKLK EDAAKAKSIFLANISHDLRTPMNGVIGLSELLKGTYLDKEQDEYVESIRVCADTLLTL INDILDFSKLEAGKMKISTVPLNLKQTISEVIRALRYTHRDRGLETIEILDKVPPELV VLGDPVRLHQIFMNLLSNSYKFTPAGSVTVKARVAREGKGRVRLECSVSDTGIGIPDE QKARLFRPFSQADASTERSYGGSGLGLSICKAIIEDVLGGAIWLESKSGVGTTVTFHL VFNKAPKKTAVKTAWSQDLSNADNEVRRASARDLTQIPRDQIRVCIAEDNPINQKIAV KFVTGLGLQCNAYSDGKQAVDALRASSQEGNPFHVVLMDVMMPTLDGYNATRELRRDP DPNVNEVLVIAMTASAIEGDREKCLEAGMNNYLPKPVRSPILSELLDRYLAPAPPSYP KSRLAIREKRSKASIDGGAGTPTSYSSSASDEPKSLLLEKK PEX2_009930 MSSLREPLGLLKALLVRIPLILKTILLHGIQLSPVKGKQDMRTE LTVAIIRSFIGTKAPLGKIQKQGLRDPGVKGPMWVSKVTLPQPEIEVRDAVLRAIEYL KTGDETYDLPATVAVEAEWTGHRAGVGKKTPEPDLSEEEKYHKLREESPSDMTILYFH GGAYFLLDPYTHRVPVAHLSHLTGAPVFSVRYRLAPQNPFPAALVDALTAYLSLLHPP PGSLHKPVPANKIIFAGDSAGGNLSLVLLQTLLTLERASHTIRFHGEDVPIELPAGVA IISPWCDVTRSMPSTSGNAHLDYLEAPLVPSDDPTVKTLFTPLPFAPDDIWPTSPPRA DIYCNASMLSHPLVSPLAAPAELWKNAPPIWISTGEEGLTDEGLIVARRVYQAGVPLV AEMFEGMPHCHGLLMLNRPSSHRFFESLAGFCRDAVAGRVVSTGNLTWLGFKLQLKKE IPIEKACEISDEQVVALMQRISAWKLEGEIEIRKQWRAKARL PEX2_009940 MSFDKNNRVSRDRQPSPFRRASKFDHYDIPTKLPSPPQEDGPHP RHAIRPRSLADAYRDASKRTMSATYDEEYNFVTSPSPRSKRQPNNAFSPISQTSPPPK ELEDAYRRINEDDALADLVRDYEWEPQYSARSGSRSRPPSSRARDTSNGRGSANGRPF SEAGFGDELGDYRTRKSRDYTKDEERLKRVTGQRSPVFSRAQVGRDALTADNLRRRME DKNEVDHPVPETDRNGVPSPNIPTGWGHRAGHRQEWERKPSQRSVSEEEEEKARRRWS PAAEGNQSPKPTRTSPRSPARSTLSARNALEERTANPYMQATQEDFRETQSGPKLISP TSGGEPIPNSPITVYKNSSFARPSPSKRDSRDLLRKLSRTENTKIDHVQTPQPLKLFE SKIYDKTPRVTGAWIDTPMTQRVTEKIELPEDLTKDIIPPRITDEANDIAPATKPKDV KSKVEEQKPAQTIEKEPLPKSIAGKESRPPLQRPHLPKSALETVIEDASSGKDVEFGD DTIESLQAFMDAGSSESKIEEDDEAYEKAVLAQLQNSSSKENDVVNMDSLNIKLNSLM RHIDEVKKGLDGLEGHVTRDTAIVSQASSLSKKNPQSSHLHTGESCKGCGTHSDGRVY AAIPLPRLWNRSARSQRLRPTKLGWFIIISLSWYIIECLMWDQYSHPEISESCEGYCL QPDAPLYPWVTVTMLWRWSHLSTIFTPIFAICVAFSRLVAQLMGLSDGYVDDAPELGN IVGEIRINGTPVAFPWLSAPTAENIVPQPQQVHYTQQPMQPVEPVWSARNPPPQRWDS DQLSAEDAMDDDEYL PEX2_009950 MAKSARRSSRGKTTPTSSGASTPSSASSGPIPPFTKVPEALQPF VAPLSSDEVYLVHIDDTAEELKRQTFTVPLIVNLVVAAVIGLRVYMGISTYPALVATL IGLQSSMTVDMAATSWIDSVQIIIRRTGTICLDYFLVTLLWSWPVNFIRGPVRWRRAI GFREREVIVRRSNRSWSKELERNRWIREDEVRRDKIVAAVTPERIGKTGYLLVDEHWS LDYAAMVRAHALVGRTRRGDGLQMDEFRTAVLVNTDADGWLIWRVGDENTPTDQKQSA QRDQILAFKEKLAEMGKEDLFLRWVELIQWESSQPGGFTAERQRSAMMQAKQMFEDEN VDFSKFWDDMGGMEGVEGLD PEX2_009960 MSLHIYIAHTGEHFLAGPVAFASPDALKSWIVRETSIPPPRQIL MTARGKNVKIQTLATENEIFVYDRQYVSEPGDVEFPELPPPEPFRPGTPPAILKDVND LQAWRNLYMTRRNWALDLSSRCGSIDKNLREHNERTDVINRAVGVALENLKSHVGNLE HRFQEAQSWANSLLEEQQAAIDGWQRALSTLDNIPARKDFPLLGRPSTPKMDKDRPTG TLRDFVDVGEVQRAGSEAATVSSAFAGSVHDVEKTIGDIASDTQQLVDDALASNFDGV DGLLEEVETIAKKIGSDYEHVLSLPTNQKTLANISRLALSHTQDLLPSLVEISVELQA ALEHAVQRRGAVEKSAIVHMRTISSLESRLADAHARMVNLDVGSNAFEVIYSVFQMPM VYGSILIESVRRREWSDKIKTDSLTLAEEMAILRDEEQRRRKKWLKNMGDFMTVTDST TPGIEVNLQGQDEEWPEVARKEIEYYIDDLKTTHSLTNLAEQLTQQLKELDAPTRQQR RRAKAFKQGSVFDLSRSSILRADDSVRSLREDKTKLEERLKGSDSRVRKLEDLLHRQS QLSRPSSGTFGPDFPGSPASPHPDALSRRSSVSSRRVSATQSPEDKALIQRIVTLEAE LAAERDVVQRLHKEAHAERQTNSDKYQEAQSTKKDLIGNLEARQREFEDERRYLDSEL KKFRLRTEEMEEELDRLMDSREHGRQELDDRMHQLEVDLENAHANSAEDAQKIIDLNS QIQTRQEREDSLQAKIHDLEHRQTEFEQKHQESYQALGAIFMNLSPGGIVPVELPDII KAIEVLSEGLSIHAKSAESNASQAMADNKALETQIGKFESEAEERTKTLDECKAELSR ITADLSSARSNSEHLTGELEKERSKFSSLHSQITAGESGSEALREQVAEEERKQAELS QKLTEAESEVQNLKDQATEWERKAGATSETEEQAVARFEARGTKSFELSNQLFAQVEK LGRMLEQLGFTIIQQDGQLLVQRASKLSASLGLGESLAQSGIVTLKPDTALLDWMKAE SPEDEDTKFSAFMESLAQFDVALFGDVVVKRVKDIELLARKWQKEARGYREKYHRVQS EAHDKIAYRSFKEGDLALFLPTRNQAIRSWAAFNVGAPHYFLREQDSHKLQARDWLLA RITKIEERVVDLSKSMNGVASDRRSLGDTSDGASLADENPFELSDGLRWYLLDAVEEK PGAPATPGIAKSTVASAHVDAKGSIRLKRGTDEGAIAKTLSRSLDSRRESSNSKRGTP TPSQHAVESTTDLVRLAEADAGSQPREAAPIFDQVRRDLLSGP PEX2_009970 MRVLKPPIRLVRTLKVAPQPRVAGEARPPPPVSSSYHQIPPAFM GSTSMAEKHGQSLPHLQSGEVTLLDYSADDSRDVVTLSDKEALVLQLYNQVQEQQLEK AFLEQELESFSGADAEEQLTIAERELLEARSTYTVRRKAVRTILMTEPILKAVHLKAA TPAERALLCLVNRRDVLALAHENLASAHDLVMRQLSNLEVKNLQINRENQELVRQLLE LTKEDSSWREKLEDPELLSQLDRFETDLKTRKAQWETMKSIASAVVVASGLNWADDDI LRALVLDESDD PEX2_009980 MPKESTTKSTRKAPEKRVQRRKKDPNAPKRGLSAYMFFANDNRD KVREENPGISFGQVGKQLGDKWKALSETDRKPYDAKAAADKKRYEEEKAAYLAKAEEE EEEESS PEX2_009990 MAHALRASRQSAEDIAAGFYAFRDPLPEHVGEITSLMSELYAIS STLTTLDRLAEDPRNRRYFEMIKPDLNVVQASFTYTIEDIGDFFRDLDNYDASQAKYK RTWVAMSRFFWDQSNHNLAIRLAKYKTAFEEFTDLLREGHYTSSILVTLVGSFKTLLS TQDSRSAARLEGMMLRPNDSPTRNRASPPSPARERPLRDRPTREHLVRDRPLRDHPMR DHPVRDHPARDRPVRDRPVRDHPAIDRNPRRQRSYERTRPPHMSPPPMSPSSTTSSDF PPSVLDIPLSPLTSASATTNTSSSTDPDIIKEHWAKETFGSNSTSTPLPSVREKSLND ESDMRVSFYLRRTDLRVRILCREPHRTGPSDYYCLPLNLLEVLRDGSCLRLCRRRNRG TELVLWTQLKFTTLEGQQYPSECSVSAVEVTMLTLYLADLVLFHNTFLALRSQDEGHP VGEILDHELAHEQECFGGTITDDDYVHALRIYKDTVTGAVRLQASIHLGEMNYTPVWT AFVTHNLRKRSWLKLYDSKTVILRDTKPVVFMSMDEYTSPRTSQGHHVLEFTSSSDAT GFLNIMDELGD PEX2_010000 MPADQDTLYGQPRSKKNKTEQTSSSLAFTSQLSSLIAQNATSAP SRGRQRPSKNPKSDIFSKHNKGTQKRAAADLADDNRAVKQVHRSTQDIGSVDANTLGR SRRRMQEKARLYDDMKKGLHLAGDSDDDDMPVDPSDPDAYLARLRRKEKDVLVDFDLK HANEEPLNQDESDDDNASIISYEDDLGRSRRGTRAEAAEAARAKDEEAGGRAAQERWR PARPENLIYGEAVQTEAFNPDANIASHMSHLAARRDRSPTPPEKKHYDAEAEVRNRGT GFYNFSTDEEERKQQMEELRVLREETLFKRKTDEERMAERKAHIEWRLKEMKRLDEER KERWRLEDLEAERNPPKPQTTPPPKPPPTQWLYPESDTPYDRTKCPLWRRYMVMVYPD PDDIADAAKDKDKDTS PEX2_010010 MSASPIYLGVIGVGGVGTAFLQQLARLPNAPQLVVLARSSQTLL APTPAYSPAIPAADWATASATPSLTKSGALQAEEIATYLAAAPGRAILVDNTSDISLA RQYPTFLKKGISVVTPNKKGFSDDLSLWKDIFASAAEGKALVYHESTVGAGLPVLSTL KDLVATGDEVTRIEGVFSGTLSFLFNTFAPASGSSDAQWSAVVAQAKELGYTEPDPRD DLNGMDVARKLTILARIAGLEVSRPDSFPIESLIPAELESLPSSADGITQFMTRLPEF DAQMANIKDTAEKQGKVVRYVGSIDVAAKAVKVGLQYFDKDSAIAGLKGSDNIISFYT KRYGANPLIVQGAGAGGDVTAMGVSADLIKAVERLR PEX2_010020 MAWYSILPSELTHLESWAARIFFADLPRIPQFFLGLITIGPWAF LVFLDAIIWLYRLILWEIPWIGGRAGGRQRPRALSLNERPGGQRRAFGLRGVETDTGD SEGGDRDDSPGLKRGRDRDDPGKENTKFAFSCGVHADSDLLHIDLSRMAEALYKVDID APWLILSRNLLFVLIVGLCAAMWRIRQSRKAKAYGKTETTCSENKTSPIIPLEGFNWE ETEQSQFRPFKGKDKYNLTMALENLDPSELIPMDKTYKSRLALRKSVLEQHHDVVVAI NNDQTPEEDPRIRPAISELYNFVLGTYLPTRYPSMFKLNAESSIFHNLVTGATWPTTL SPTTPAIQALEILSQTVDEDFLILLPELLSDSEEQPKYVLQAYASCFPAGFNTRKKLG LRMVDIHTPVPRYQEKIGRSMDRFFARLAVGKFVKRVNWSITIDTGLFAAFSGTHAVV GKNEEAIELGKLNVDQTVLRCERQTLHRLPVSKALVFTIHTYVYPVRQIKDEGSGEDL ANAVDGLKRGNVPEMHNYKKGDVWGEALKDFLRA PEX2_010030 MKILMIHGSRQSGELFRAKLQALEKLIHRAVGPLQADGVELVYP TAPFAVKLPSSGTSELRNRHGAWNWFDSESIDGLYPGLEGGLESIASILKDSGPFDGI VGFSQGAAAAAMVASLLEENRKDAFVRLEAEAGIPYPACFATLEHPPLKFVVSISGYV ASHPAYHAFYNPVIRTPVLHFLGSMDTVVDESASMRLVESCQEFGDGKNQTVIWHTGG HVVPSGKREFAAVAHFIKSNAT PEX2_010040 MDLHHLSLHARTDGADTFLELIANPFRSAFTVTAIWASLGTSIG VTVLLALLFSLIRPRHSLVYAPKVKHADLKHTPPPVGKGFFAWVKPVINTREPQLIET VGLDAAIFLRFTKMCRNIFIFLSIIGCLVMIPVNVTQSKGVTDTSAASAFNMMTPLNI TNPMAIWSQVVCAWAFDLIVVFFLWRNYRVVRNLRRQYFQSSEYQRSLHARTLMVTDI PPNSRTDEGILRLTDKVNPTAALPRAAIGRNVRDLPRIIKEHEEVVRELESVLAKYLK NPDRLPAKRPTLRPPRRQRNQLPGSKVDAIDYLSVRIRVLEEEIKHGRASIDRRNAMP YGFASWDNIEHAHAVAWNARRKRPEGITIALAPRPSDIIWENLPLTKSARKWKRLVNL FWVTCLTLVWIVPNGLIAIFLSNLSNLGLVWPAFQTSLAGNPNVWAAVQGIASPALTS LVYLALPVIFRRLSIKGGSKTKTSRERHVLGHLYAFFVFNNLIVFSLFSAAWYFVSFV VDKTKNHEDAWQAILESRMYAKLVSALCTVSPFWVTYLLQRNLGAAIDLVQLVTMFWV WFSKTFLAPTPRQAIEWTAPPPFDYASYYNYFLFYATVAFCFATLQPIVLPVTALYFG VDAMLKKYLLMYVFVTKNESGGGFWRVLVNRLIFATILANVIIALVAKSSGTWNMVYC VMPLPFLMIGFKIYCVKTFDSDCEFYNRANLSDSEALGVNPSDKKASDRLNTKFGHPA LYKPLLTPMVHAKAAAALKEIYQGRLDQGDTGGEYSDIAMNPMLASQPGKPAEPAPFE VVPENHLDFSYYKDRADFREEFGGGIYGRPEDLMTERSHTPRSTLRGEWSPGSSRASS PTPSLPSIPPLHMQNGYDHSDPTGLVHPAFRVPLSRGDSGTSGAYSNTDEAESRLLSH AQVPAQTDITNPLGRWRTGGYGPLGQDDEPSYTSYDAYRAQR PEX2_010050 METAKQAVNYVAETIQGTGAEASKEANKNVAKSSDANVSTRASA AKDALVDKKDELSHNTKADVHKEAAKN PEX2_010060 MVSVTSPRGIAIQRAQADTMRDRIERITEDLKDIKEFFPIKISP RRTQKLREFLTSELDSLRSQPFDLYDQQGKVDYLLMRNYLERELRELELDRKQDEKTA LLLPFSDTLLELCEARAMVVSMNSKDAAQKLVESQSQITAVIDMVNNDSTKIRMEKTI AFRAARNIDSLHDNLKEWFEFYKGYDPSFNWWVSHPYGVVEKGLKDVSASIRENLAGI RPGNEDAIVGDPIGREGLLSELLGEMIAYTPEELISIGEKEFKWCVGELKNASRSMGF GDNWKQALEEVKNDFVDPGKQTELVRDLTMEAISFVEDHQLVTVPPVAKENWQMFMMS PERQKINPFFLGGECIIVSYPTDQMDHEAKLMGMRGNNIHFSRATVFHEMIPGHHLQM HMIARYRPYRRLFDTPFWIEGWALYWEFILWNDERFKKTPQNRIGMLFWRLHRCARII FSIKFHLGQMAPQECIDLLVDQVGHERATAEGEVRRSLNGDYSPLYQAGYMLGALQIY ALRKETVEMGHLGEKEFHDRFLKENCMPIELARALMQDQPLSREHKPSWKFYSL PEX2_010070 MGSHLPVTDGLDPSQLHMPNDNGKSASDTIQELHKVQIAHQWDP NLPQEKIDAINEAVKTGDQEKAAELEKTLAQESQYESVRAAVRNTDGGEVANTVRAWV LGMFFTTLGSGLNMFLSMRSPAISFPAIVVQLLVYPMGCLWAKTMPTRKFNTFGAEWT LNTGPFTIKEHAVITIMANVSIGYAYCTDALLALKAKPLYNMELGWGFQLLFALSSQV VGMSLAGIFRRFLVCQFANTSLFYALHDWSSSDESETHGWSISRYRYFLYVTIGAFVW YWIPGVLWQGLSVFAFVTWIRPNNVVLNQLFGGFTGLSLIPITFDWTYVSAYLGDPLL APVHALVNTLIGLVVFVIITTIGISYSGALYSAYLPINTSSTYDNTQNTYDVTRILGA SFSFDEKKYKAYSPMFLAPTFALNYGLSFAALTAAIVHVILFHRKEIWHQFRASREQE PDIHLTMMKKYKEAPDWWYAALFLFSIALGLAGILAYDSQLPWWGFFVSIILAMVFII PTCMILAITNIMLSLNVLSPFLAGFMIPGKPIGVMVFKVFSTITLGQAQVYCADLKLA HYMKVPPRVTFMCQVVATIWASIVQIAVMNWTLGNIDEVCTPTQSASFTCPNGRAFFS SSIVWGVIGPQRMFGPGGMYVQIQWFWLIGALLPVVFYVLIRVFPRSKLRFLNAPVML GAMAWLPPATPLSFSSWVIFGLIFNYWIRRRWGGWWHTYNYITAAGLDSGLILSTIVI FFAIILPNVSIPQWWGNTAPYKTMDSLYTAVRKTVADGETFGPEKW PEX2_010080 MSVPTTKTPTVVRSHSTTSRPSRAPSDLPHRTRSVAVRSSNTTQ PPPPPPMPTNLSHSKTPSQDRRPPSNHTVLEGAARREFDASNVARMPSRRETSSDRSQ ERPSTARTETARRHQRNPSTQSRHRDSVDMTPSSASQLPPQHATAASAPAAAAAAAPK RRTTITTPTGQWALGKTIGAGSMGKVKLAKNTETGEQVAIKIVPRLSTEEHRTSRETE RADRSKEIRTAREAAIVSLVNHPYICGMRDVVRTSYHWYMLFEYVNGGQMLDYIISHG KLKEKQARKFARQIASALDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFSPR SLLKTFCGSLYFAAPELLQARQYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPQLHAK IKKGVVEYPPGLSAECRHIISRMLVTDPKQRASLAEIMSHPWMNKGFSNPPENHLPHR EPLQLPLDQEVVEKMTGFDFGPPEYITAQLTKVLESEDYQHALRLYYREQQQPVSNHP ERKRGVFDFYKRRNSAASRDTLSAPSAEAVQLGNDPLNAYSPLVSTYHLVKEKLDRER AEARPGALGLRQTPSEVHVPELRPPEAAHTNQYQLAGDKDTGRRSRPRARTHGEDEAS EGIKILHSTSPSTHAVPTLPPDVPTRKESTAAGILRRFSTRRTKDRHRDVDRERISTP NTPTLNVQPPADSASPMHRGFSVRRTRRAEPSTGETSSNDSRPQQDLLAAPGSSEHNG RSNKSLERSTSVNSADYRTRRAARRSDADTLDVPTDRQPPSTSDSDQVVSNNQKDPAT VRAGGRTHTTRTMSLGHARRESIQARRARREATREANVPEETDVDISGAGTALESANE GEDLSKPVFLKGLFSVSTTSSKPLPVIRADIIRVLRQLAVDYVEIKGGFSCRHAPSID LDKVIDVAPPSPERQGQVSGHRRRISFGGLLNHDESQNDSRTGSSKLRQTHAAPDRSF TTNSDGSDEYVAREHGGPVGERVVGETTTRVQSDTGENLVLRFEILIVKVPLFSLHGI QFKKVSGGMWQYREMAKKILDALKL PEX2_010090 MMDGRVPLGSGAQNRVFIDLTDETEPVDSTMTENMVQNKLPFVT AVAYRTPTVPLKRKSLERSEDVSDSSLSDVHRAVQRVRLSHAHPAPAPYTPSPPPSIK QSQISVVIPSPTPQQKREIAIAQTQNVSSGNVNGMSPHFFPTTGEEERVNKATYSTTK ARVNRRSIPLSFKSGSRSNVLNVPSPIQSNHLGKLRDLLDEKLKQIKGPRVIATVNSP QRLAKLADNFEFVNSYQYRAGVKRIPDDSDFNIGCACTETGGCDRFECDCLSKEEDSE DRIVPYEICESNAKLIVATKSFLKRKAIIYECNSRCGCGGQRCWNHVVQKGRTVRLEI FDTGSRGFGLRSPDLIHRGQFIDLYLGEVISKAEADERENLTDGSHTQSYLFSLDWYV KDDDDEEKNMKVIDGRKFGSATRFMNHSCNPNCKIIPVCTTNHADEYLYNLAFFANRD ISPGTELTFDYNQGEENTTPQKIDPEAVQCLCGETKCRGQLWPNKRKGQGSKP PEX2_010100 MNHITSPFLSLSTSFRSRSPKSSSDTSTPSSTTTSPPSSPTSTH SPQTSPHHLFNLSFNPSKAHTHTFRGQPENIGHNTSPRNPSTQPHPVSVSDIPHNANS VLPCVVLRGRSAMVIRRRPSKIDMALSEERSRCDGDSIERQGLGLMEPRPVDLGIGEV KPNFVMGGIFEVMEGRA PEX2_010110 MALDSQNLDNNENAPPNQIPLQDLSKPGDALNERGRSRAGTGLF SRQSLIGRGSRRNYESVAEESPIDSAGPSRRPFQPSQTSYTEVASPLDDLGGFAQAIS SVGLSFNGPQSRASAPDPSPSRGFDDDASDLDVVPLDPYDPHDPHGYNPPADGDDTVR LTDLRYLQPMSGAASAEDRRSTDTGHSVHFGGSRLGDDLPHLEDGMGSRRGSSVRDRS RSLSPSGSTGALQRAGSMVKSMSQRVVNLSNEPEVVQQTIDREELDKNARMDGPPVLP AMEDYAHNTNQQTQTAREKRSSSRLWKDRNNPFKGRSLGILGPNNPLRLWLCDILVNP FMEPLILIVIVIQTILLTVQDALPTYPDSVRWGNSKFDWVFLTIFIIYTLEIGSKILV SGLILNPVEYSTLNRNLGIRKAIAEKGRNLITPHRQGTIKKASMLQAEPQASILRTFT GMNGLDPEVYDDPLHKLRVRLAHRAFLRHSFNRLDFVAVVAFWVSFLLSVSGVEKTHQ LYIFRMLSCLRILRLLAMTNGTSVILRSLKRAAPLLVHVAFLISFFWLLFAIIGIQSF KSSLRRTCVWIDPAGQSNYTLNDPYDTVQFCGGYLNQTGGKMPWLDSNGIDAGFSPKG YICPQGSICMEGVNPYNGTMSFDNILHSLELVFVIMSSNTFTDLLYYTTDTDYLATAL FFSCGFVILSLWLVNLLVAVITHSFQVIREESKRSAFAVQKLDAVDEDDTVTRKVSPL KRIYDQTEWVWVCLIIFDLIVQAFRSSSMSARRTRFIDTTETIVTLLLLVEIILRFAS DWRMFHRRRRNLTDLLLVIITCIIQIPPLRNSGRAYTVLTLFQILRVYRVVLAFSVTR QLIMIVFRNTVGLLNLIFFLFLMTFLSAIFATQLFRSQIPDQDPSGTTIMITFSNIYN SFLGMYQILSSENWTTILYNATAYTTEFNTAWISAAFLILWFIVSNFIILNMFIAVIQ ESFDVSEDEKRMHQVRAFLEQKQVHGTPQGNLALSKILRMGRDSARYKDPLDHGPAAL EMLLKDAVVQEFLDEEETRQQGRERPKDSRRVSNMLEGATQETIQPGWISRLWTKATT LVMRREPNPFYSKLKFSRAYEQLDPTTMAREVVTAAEQRKRDQREYLVKHPNYNKSLF LFPPHSFVRKICQRIVGPGRGHQRVEGVDPYKPVWYAFSAFIYAAIVAMVLLACITTP LYQRQYFRTDRNWFVYTDLGFAVVFTIEATIKVIADGFFWTPNAFFRSSWGFIDGVVL ITLWVSVGGSLKQDWSVSRAIGSFKALRALRLLNVSDSAKDTFHSVIIVGGWKVIAAA AVSMSFLIPFAIYGVNLFNGQMASCNDGSFSGNLTNCVNEYSSSPFNWDVLAPRVAGN SWYDFDNFGDSLFILFQIVSQEGWIDVQEAAMSITGKMTQPQDLVAPENGLFFVVFNL LGAVFVLTLFVSVFMRNYTEQTGVAFMTAEQRSWLELRKLLRQVSPSKRSFDDESKKL RLWCYRISVKKHGRWAWFVTCVLVVHLMLLVLEYYPEPVWWEVLRALIFLAFMLVYLS NVLIRLIGLGWHRFSRSSWDLYSLIAVPGAFITSVLDLSYREKSHAVVELNKLFLVSV ALLLIPRNNQLDQLFKTAAASLSVIGNLLATWFVLFLVFGIAMNQAFGLTKFGENEDH NQNFRDVPKSLILLFRASCGEGWNEFMEDFATMIPPLCTQNANFLDDDCGSAPWSRTL FIAWNLISMYIFVSLFVSLIFESFSYVYQRSSGLYAISREEIRRFKQAWATYDPDGTG FISTEQFPRLLGELSGVFAMRIYEGEFSVGSILEKCRIDPQRNSMSSYARDSMVSLAE PRHSMRPNSHASSGVDIGELSRIISRIPVQSIQERRKRLNTFYEEILVSADPDRGISF HQCLMILAHYNVISDSKSLRLEEFLRRRARLQRVEEAVRRNTVVGFFDTLYWSREFRR KIDRKKSGRMSAVPTFTVPEIFVDNPGDDPEEHDQPGSGAVTPQTQPDLDGNFPPMLS PVSQHRRAESSPTANRNALPRINTNLSGSVSGSNTPTREWSGISPSITPRQMYGERTS FDTTETHEAPPASDTSRHNSGMNVQDVMHSLDNSAWGESIRRSFTQRRSGDRSSE PEX2_010120 MSIAPIITFKAGICDLDQSSTPAAVKPKATPGYVYLYSEDDLIH FCWRSRSASLDEPELDLVMIPSDGSFTPYKPSGGDATNGRIFVLKFSSSSQRYLFWMQ SQSQHEDGDPSWFSPRDLKLGEIVDVLLQGEDVDVEHEIANLPRRPSGGDDDETMEDV EGTDHDRGRYHSTGSGGAGPDATGGDVREEGQESREGGADGGRAATTEEDPASVVQDF LKSLGSRQSQSQSQDPERPSTTLQDLLPPPTTLQFIDSADTTTADHLLSFLPPALLLL AQGNAEASEADTDPELAQAALLSLDLSQKKDILRKVLRSPQFMQSLASLTVALRDGGL PSISEALQIPVANGGFMRRGGVPLGGGDAVDAFLDGVRQHVKEKDSQMDTD PEX2_010130 MSDGQTKQFGKSQRVVPADKAQKWYPVDDQSQPKKVRKTLRPAK VRETLVPGTILILLAGRFRGKRVILLKSLDQGVLLVTGPFKINGVPLRRVNSRYVIAT SKRVDITGVDAKAIEKTSAPGYFTKDKKAEQKTEEAFFKQGEKAEKKVVASARASDQK AIDQAILASIKKEDFLGSYLATTFSLRNGDKPHEMKW PEX2_010140 MFGFGSRNEFNVDGQTVVITGGSDGMGKAVALELSAKGANVVVV ARTVSKLVTAVDEMKTKAANIFKQKFHYISADLTDPVECERVIAEVTAWNSGAAPDVV WCCAGFSRPGYFVDVPIQDHRQQMDTIYWTAANTAHATLRNWLNPVPPSGQMKTPRRH LIFTCSTLAFVPIAGYSPYSPAKAAIRSLSDTLSQEIEMYNGAYTQRHRSDAPAADVK IHTVFPMGILSPGFDNEQKTKPELTKKLEEADKPQTPAEVAQISIRALERGEYLITTN FVGAIMKGTALGPSPKNSIVGDTLLSWLSNLVFLQVIPDLRSKAFTWGKQNGLPNNTD SYYFRHKEELLGKEKQNPRSSERLDDSDNTRYNYLLSSLSIPFTAINTQARVKMEALF SIPILSVFLIPVLSSYSTSLNLLFFYMTWSTLVLSHSRLRVELWGTIAVRIIFYALPS IVFFLFDILTPSAAVLIKAHGATGLPGGKRRRRIHAKELKIAGWGLFNLFLGIAVQGM LEMILVRTLGKRSALKVSLKLPMPFEMCRDLSLAMLGREFLSYFLHRYALHSKISPTL AKWHQSWYHSLSAPFPLTAHYDHPIPYLVTKFIPTFAPAIIFRFHMLTYLLYLLFISV EETFAYSGYTVMPTKLFLGGIARRTDMHLLMGGKGNFGPWGVVDWILGTVVGDDTDDE MSDEADEADEQDMRKAYEVMKRKAVGSGKSKRR PEX2_010150 MGSKKRKAGRPAQPKTAPEPSKFDIEERFDDSEDDFQTGRDQIL LEEGPEAKRRRRVAEEEELIQPSDEEILGYESADSDEDLEDSEEEDEYDDMGQPKGGA ESEEEDEGIAAWGSSKKDLYNADQIETEVDALEEEQEAKRLQLKQLQAMNEADFGFDE SEWVESGKGAEDGADAGVVTEVLPQLEITEDMDTDEKLKILKSRYPEFEPLAKDFVDL QSTFKDLQQAASKASSTDDDSEEPQPSPVPVVKFRALSAYLGTISMYFMLLSSPSPDA TSEHVPMTPAELRQHPVMGSLVKFRKLWNTVKDLEELEEPAEEPIKETKTETTKAPKT QETKKPKQKKLSKAEIAQAEAEALRAERIRQTEAGLADLDNLATESSRKRKTQKIKAP LKDDDSDFGDEAALTAHEAEEKAKQKRSLRFYTSQLAQKANKRNAAGRDAGGDADLPY RERLRDRQARLNVEAEKRGRKELNPDQQLGGDSDDEDRRVAKELRRGEGAKEGTDDDE YYDMVASRSKKRKDDKQARAYAHAEAERLGGKVYEQEEIGPDGKRAITYQIQKNKGLH AKRSKDSRNPRVKKRKKYEEKKKKLGSTKQLYKGGEGRGGYGGELTGIKKNLVKSVKL PEX2_010160 MSSLKRKRTPASRPPITPRTSGRRLGHRAPSSQALGVSSGPPQT PIISSASQLRTPFPTDTRVETDRSVRATDDDFDDHVIAAIDMKDHGTVGCSYYSAEEE KIYLLGDSRSGDIETIDALLLQIKPTVVLIPPRVDLSSQNQDQNLAQEDVSSAYLPYQ IDVRPTPEFSYSNAESKLLALEVSSTHERRIRFFVPQNGLAGPEEVDPEEMGFTLQEG RLLHISSSVDMENPVTIGCAGAVLTYLQRRRAAAPSPLEEGNEYRVRALQMFNLRDMM WINSNTFTSLQIIQSESHPNMFNQGPGKKSASGKEGLSVYGLFQHFAYTPQGRARLKQ TFFRPSVDLNTIRERHDFIGVFSRPDNLSALDKMAKALKHIKNLRPVMVNLRKGISTG SAKITGFKTTVWASLLAFAFYSIDINDALREVSGAHILSLRSKALKVFEAAQLYRVGR MIQEIVDIDNSEEQGRTVVKQGIDRDLDKIKDRYDGLNSLLKHVALDIAATIPATLDV DVNVIYFPQLGFNIAIPLDDHGAAAYTGADDEWELMFITENRGYFKDFRMREMDEKLG DIYGIICEKEIEIVYDLAQRVLRYKNVLVDASDICGDIDSLLALTQAASFYKLVRPRM VEQNVIRIKGGRHILQELTVSSYVPNDTLLVGGNESETGDSASSRDSNPSMLLLTGPN YSGKSVYIKQVALIVYLAQIGSFIPADSAELGVTDKILTKINTQESVSKIQSTFMNDL QQISLCLKQVTNRSLVIIDEFGKGTNESDGIGLASGILDYLLCLESPAKVIAATHFHE IFENNFLTLRPQLQLGHMEVQVCEETQEVEDQITYLYNFRPGRSNKSFGTICAAINGI DPAIVSRANEIAFLSARGENIVAACAVLSSEEMQDLEEANSLARGFLEIDFSQGGLDH VKAVFEGLFE PEX2_010170 MDMSGEDEKPALEKYGVELTEKAKAGKLDPVIGRDAEIHRTIQI LSRRTKNNPVLIGAAGTGKTAVLEGLAQRIVQGDVPESIKDKRVVSLDLGSLIAGAKF RGDFEERLKAVLKEVEDAQGGVILFIDELHTLLGLGKAEGSIDASNLLKPALSRGELQ CCGATTLNEYRLIEKDVALARRFQPILVSEPSVASTISILRGIKNKYEVHHGVRITDG ALVAAATYSNRYITDRFLPDKAIDLVDEAASALRLQQESKPDSIRELERDITTIQIEL ESLRKETDVASRERREKLQEDLKVKKTEADKLTEVWEEEKAEIDTIKRTKEDLERARF ELEQAQREGNFAKAGELRYSTIPDLESKLPKEGAEQDPQNQTLIHDSVTADDIGNVVS RTTGIPVNKLMAGDVEKLIHMEDTLRKSVRGQDEALTAVANAVRMQRAGLSGENRPMA SFMFLGPTGVGKTELCKKMAEFLFSTETAVLRFDMSEFQEKHTISRLIGSPAGYVGYD DAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGFLTDAQGHKVDFRNTLIV LTSNLGASILVGADPLHPIKDSGDAELPEKVKAAVMDVVQSAYPPEFMNRIDEFIIFR RLSKDALRDIVDIRIRELQSRLDDRRMTLQVDNETKDWLCEKGYDPRFGARPLNRLIA KEIGNRLADKIIRGEVVSGQTVQVSLDEAKSSLVLIPQE PEX2_010180 MGFTDFVSDAGLTLANHFLSTRSYVVGNSPSQADVVTFKAFSAA PDAAKYPHVARWYKHIASYESEFSTLPGDSSVAYSAYGPETTELASNPKDKPEEEDED EDLFGSDSEEEDPEVVAERNKRLEEYKAKKAGKTKPAAKSLVTMEVKPWDDETVLEEL EANVRAIEWDGLVWGASKWVPVGFGIKKLQINLVVEDEKVSTDELQQKIEEDEDHVQS TDIAAMQKL PEX2_010190 MSLRSLNMAQPRSDIYPSSHFIDGRGKQATEPAAQYKDPPNTPI PRAVQSIAPDADQLEQLLVRLIDGVHPFDPSCCLRSTPKNTSDEYVITTKI PEX2_010200 MSFLSRAVTFFGLVLIVHAGYSAHEHTVLYSNLTSTALPQDIII ETLVSVLIVSIGLVLSAQKLKPISWREWAGEIERDGGARNPYLSLEERYGFWDIRAKR KEFADWVRGQDVTIKE PEX2_010210 MRFSIIFTTLSAIALANAQSSVTVETVDNPATQYLTETNSLGVV TGQPAAVTTQPSVVTSQAAAVTSQQPAASIPAGLTSPVAGPGATHTTLSTASSHTGTS TGSSATGTETSDSSSSTGTGSSSTSSSSDSSNSSSGSSASSSTSASSSTSTGAAAIAT AGPVGLGMVAGAALVAFL PEX2_010220 MPSNLRRLAADHAALHRDLPPYYLQPSEGDDLSQLTILLTGPAG TPFSQGLWELHLKMPNDYPKSPPKATFKTKIWHPNMEELTGAVCVDTLKRDWQPNLTL RDVLVTISCLLIYPNPDSALNASAGALMQENYAAFAHQAKLMTSIHAPIPLNLKDAVT EAKLRGEEAGTTLEDKEEDAVIQRPRKQARTHAATTKKTTRNTTAIETTSQPQYPSQS SSNPFAQPSPPMTDDFDSESDDPASASKENNPTLSPSPVHFAPPSPRKTALGKRPLSV LSIAYPEDSDADMMLIDSDNDTPAMTSNERNITANTRSRTSSPLRKTPKLSLLCGGVN ASGRLRDEMPIFEDLPQLAMQDPLRRLSGDGKENRGSATARGLKEKREYHPMKTLNGS TPLSPVHAQLPTPSLSSSSLSGSSTSGISKKKATSGLHKRLAVRAKPRIGIRRL PEX2_010230 MDQQSQSGPQGPGGRKFHIAHRRSPSELTPLMMEQLAIQQQIEL LQQQQQQIAATHQQYVNMGLLQPQQLGQVPSFQPGASMGGVSPQQVNAFQFPQGGQQQ QLGVPMNAPNQHSHRRNQSALPGLPMGPPPAPSSGASGYADYNQQGQNNNTHKNENAG RGRGGPPGGGHQRRHSLALPEAKKAAELAQQKRTASGFQFPAPAPGASGSDNQSSSDD KPTSSTSPAPQGPQGPQGPAFQRAGNMRAGGHGRSQSMAIGGNRASISGRGAGGFQFP QASEPSTNQSDNQRRPSQAGHARSSSRNFEGNWRQPNNQNQGQDQQRSVSQQGGFQPG HRSRGSMNQSMGSIGQFQYSSQPQIGQPQLIQLPQGQVMMAPQMFSGQQLNPLQLAQL QALQQQSAQGMGGLQASQHAPPQMSVQQQQQQQQQRKTLFTPYLPQANLPALLSNGQL VAGVLRVNKKNRSDAYVTTDDLDADIFICGSKDRNRALEGDYVAIELLDVDEVWGQKK EKEEKKKRKDITDARSNSNAGNDKLGRSDSTGDRQEVGPDGSIRRRGSLRQRPTQKKN DDVEVEGQSLLLCEEDEISDEQKPLYAGHVVAVIERVAGQMFSGTLGLLRPSSQATKE KQEAERQARDGAHGRSHNDRHQDKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDY ANRIFVASIKRWPITSLHPFGTLVEQLGEMGDLRVETDALLRDNNFGSDDFSDAVLKS IGWEDWSVSSEGDALASRRDFREETIFTIDPADSKSLEDAFHVKSLADGKVEIGVHVA DIAHFVKGNSLVDREAKKRGTAVYLVDRIMNMLPTRVSTELCSLVPGEDRLTVSVVFK ANPTTGVVDEDVWIGKGVIKSAGRLDYDQVNALVQGKSDVNTSGISADSIRLLHNTAG KFREARFGNRVSDVPVQRLLQQLDDENVPVEYNIFESTPAHELVEELSHQANFFVARK LVSAMPDRAFLRRQFSPNSRRLTSFVERMNRLGFEMDSSSSGSLQSSLCKVQDVDIRK GMETLIAKAMQRAKYYVSSGTPDEMRQHYMLNVPAYTHFTNPSRRYADILVHRQLEAV LSEGVVEFNDDIESLNKTADLCNNKKDSALNAQEQSVHIEACRKMDRKSREIGGDLIS EGIVLCVYESAFDVLIPEYGFEKRVHCDQLPLKKAEYRKDSRVLELYWEKGVPSSAYI PEDERPKPGNSRAAQAAAAAREAEAARERARERDEAMRKQTETGTMSADDVDALFDDD EDVDDVTEMAAGVSLNSADRSTQSMPPSPTRNGHHQQGPHRTRSDPKIASGTGDAPEN KLTNKEKYLNLFKLREVDGEFIQDVTEMTRVPIILKTDLSKSPPCLTVRSVNPYAL PEX2_010240 MSTPTMARFRTHVPPLDSPSKQLMLDLVRDLEQVKIFDADLKKV HQYERKQFYENLDRIDREREAVHTAALDQAAAFHDRVREEAETTLKDHLLAEEEERLR KEEAIRKEHERIEREKTEKARREREAAARVEAERQAKEQAEKKAQEEAAKKAAALETA RKAAVEEKLRKEREQADAQKRKQDEEARKVQEEVDQKARSQQQQKLGAGNLSAEEIKE QQRYVELHKTLKGMRKWLKDIGQTQPDLKATMGNLRRSIKKSVGQLRAGTGANKQQVQ NPSPMIGCLCLDTDCLNEPQIIHLKSELEKALAYPEPTVDIRNFIAFPPEEIANSENK VPAMLIYGLNILAKSLISSLLAEASIKQSHAEPIGIIAAQIFSFDMFTYKGVHMSDIM WAKYRVVCPALWGFTGNDKTEGGRRVLGWWRSADSGSWVSEQVHMDRMTALGAGYAAM TLRNFAKSPRRNPFPNTMFWASIHKILAIPPSELQETQIALLAALLRSSGERIIGFFG QYGLALMHYVIVDLPRKLERETMAVTQLSTLRELYLKEKNFAL PEX2_010250 MPRFRLISGKAPPCGENWRNRSDGKELHCVSTPPTTHSLADPIR LGEENHRELSLELNFLWFPTI PEX2_010260 MRTSGLISLLLAAAPALVSAKGTLGFSLGDKNADGTCKSTSDYE ADFDALKGLSTLVRTYSGTECKTPQNILPAAKNKGFKVVLGMWVGKPTDTKNLLEEPS FKGDWAAIQKAIPGYEDVIHAITVGSETLYRGDLTGPQLHTYISHVMENVPKGVLVGT AESWNKLADGTGDALFTQEPIVKYVLANAFAYWQGTAADKAYQTYFDDMAGAMEHIQK IAGDQADQINIVTGETGWPTDGGSDYEAAKAGTKNAETFWKTGICGMLDWGVDLFYFE AFDESWKPDTKGDNGEMKDEQHWGLFTADRKVKFDTTCPK PEX2_010270 MAAVTLEPQDLKQDAEFKQALHGKTGQDSKSFLNIIRKNKDAQK IAVDEYFKHWDNKAADDETAETRETRRKEYSTLTKHYYNLATDLYEYGWAQSFHFCRF SKGEAFKQALARHEHYLALKMGLSKGQRVLDVGCGVGGPAREIAKLTGCKVLGLNNND YQVERGTHYAQKEGLSDQVGFTKGDFMQMTFPDTSFDAVYAIEATVHAPSLEGIYSEI FRVLKPGGVFGVYEWLMTEDYNNDDLRHRTIRLGIEQGNGISNMEKISVALEAMKKAG FELEVNEDLADRNDELPWYWPLSGDLRYTQSLWDLPTLIRMTHVGRGLAHGIIGALEM IGVAPKGSRKTADSLGKGADSLVAGGKEKLFTPMYLMVGRKPKV PEX2_010280 MSTDLDHSVSSAISAPSPQFHSRDTRLIRESRLAPSLFLSSPPS GDSSPSTVGSPGQRTSPRSITLEPPPLPFLSSYRATEESRSSSPANREAESSIYYTTA WGSPYAAPSTRRLSVTNSQLDISVIDPGSGPSSPVSSVVNHAELRRLSAANDDTLEPV DLDIPANNGDKSIRDFTQDWINQYLTGQPRTERSNWLSDDSGSEAPSFITARNHFADD ASDDWLGLEDDFRSDDLLKTPKLSDFVGKKAAGRAKVNKTLHKRADTLRQEDFWGFAY DKDPPQNNMSDPSTPTVEVNSPVEKPLPPPPANATEEAANMEDPLNPGPLKTQVTNIT RSATQTPRQRKKLNWRGKACIIELPHDDKRGSETGYRLLTPADVQQRMQEWENEGYDV RGFTIGDSEDPSTTTTLGGLSRPLYPDPYDLHEISKSQPLVVNFPDRAKWESYITELQ EEKLRALGVSFGDDEPEQSISPDYSLNPSGTPFPGLIASPPIPTASAASNPLGHIHPF SPHFNQSSMPTPGAMGSMASPSQFGMQTPFMGAEQNMMGGFPFQYQPTPPTQGSMTPQ GFINARQGTSSVGPGAMGNFPSMLSPVSPLHDQGSFHPGFNDKGVFDDQFAHGMHQEA LPYQAPQTPVNGQPDHFHASNVEIAQPTPRGHGHNLSETLQRGLDQMTHTDYHLENSI DRQLEDDYRDVSDHAGLNPNMLKSRWGLPENEHHPQNPSHHAPHPFAQHQLPHQFYGD QYQQHNGLDASDLDTNPSVAGTPQTRQGTWHETQPSGHSYHGGHQSQLSISSLNVEAK EFDPTASFNSQPVPFGNDPFQFGGRSEQGLGFVPPPSFAPGSSMKGPINSKLNHNASG SGGFKFSAASFNVDAPVFNPNPSVSLNSNVSSEQPPVGHTKIFGDLDINEITTPDKKS KAIPIVRPDEIEQEKNDQERVIEDDTNGRPMQPTDRHKRARRGVGHAEGEARFSISTH PLGEAGNAQASSALHAVAEGKENAMPKEHNPVERTGTPVSEADTWTLFDAKREAENGS HAGSPTQAETSKEVVVEEREPREPAAGDDTSVTVSKGVEHAPHASKSSVKNTVLSPNA QPFEFKPVVSEFVPTAVQPSSFAEQKPIEANVIEEPVKGPAEDKPKQAGIMASRFAAS SPPKKTTSPEPESKTPTRVETEFITRQPKHYDSPWDSEDDSPDDEELNAIMEQLNDDD ADVGIERQATPYNTIHATPFQLRHDHLTESMGGPTKEQRFGSAEARSEAPSPSPGGVP KTYKLSIPRLGSDIDANSNATFSPQKSLISRLQSPVRHLITENDHVSDWDDMISAGED EKFMNRNRFFDRRINDLVGSAIDEHLGPMERALAVIQQSVASIATGTASRRVFRSTSA EMEDSDADDEDDYGEEASVRDRSPHNMRERKLEMLKNVVMEALVTHDIPQRVSPHSSH SEMSQLKESIAELQALTIKKLAQDPVGDMREIIEEAMAKQLAQQVALQKPPSPRLSEA EEIGADSLMLQIEGLKGMLRVADERAEQEYKDRRDAQDAMTELQRLLKFAEEDAARHS AAAEDAESRLLQFKEEKIPYFEKMQFRTESLSEESETLKVTIAELSTKNIALEGTLDE YRLSSDSFRRQLETTKAENKSLHETIDHLRTRIEDSMISRQNLTEKFDRLQDDMLNVT AEITRDQAAWRRKEEEHSARYNELRVSHSRELQLRQKLEEDVREFEKNEREATKLRFI YEQSQQENAKLEELVNNLRAENHDLQIKSARFEREFIEARESSRVEIQRTRSSMEADL EASNSQVNIVRAELEAQIIRLQTQLDNNRMDTDTSRERYELLLEEARDSKATALAAKD LAIDENRKIHERVLNDLRERHARALHNSSEDRARGESHNMERMALSEDKANHLQERVN LLEEKLEIAQAAARAAAEAAHSAKAIPSPVPDAAPSHSRGTPSISYNKGSAEPERISP QALRESIFVLQDQLQQRETRIEELEQEVSTIDKDAPNKIKEKDTEITWLRELLGVRID DLQDIIQTVSKPSFNQQAVRDAAIRLKANLQMQQQEKERLTTGNLPSLADLAASPRSL PLAAAAAWGNWRKSRESAANTSDQTPSKPSNASTFLSGLLTPPSSNVRENSTGPQTSA AARFAQTRPLRGFKSAPRRGSVRSPASVIEPPQTPPLLRRSSYDHDAEPANYEDGSFA DENESTVDGMVSASPKGRDDEGPFGPQINEAEAEESDIQADADADVSSSDEPSTEEE PEX2_010290 MRFSTSTILVTALGWITAATAHTIQLKAHSRECFHESLHRDDVM TVTFQVGDREFGGSGNLEVDFWVEDPLRNRQYFKQAVASDDYSFTAQADGKYNYCFSN EGWTSNSKEVSFNVHGIVYVPENEMAQDPLEVEVRKLSEALTQVKDEQSYIVVRERVH RNTAESTNGRVKWWSMFQLMVVIGEGVFQVWWLKRFFEVKRVV PEX2_010300 MKFLCLPGGYCSAKALKTQLGPFADALASNGNASFHYTQGTTEV HVPPEFAGFFGPPPNYTFLKVDGPALVHTNMSDFPKRDTPEEAMKAASEAAGDPTFSC IIEVVDHLVGILDSEDDIDGVIGFSEGAQIASSLILEEQRRERELGRKPRLKCAIFFG GWPPFHPVTGKLLTADDYNEEPITIPTCHVVGASDPFLDGSMALYNMCDPDSADLFDH GAGHLIPRKKQTAEEIALVQFTYISSHFESTPTAALSLLLPPRLQSDPQSASIEERYQ QRIQAPNPNLAT PEX2_010310 MRLQQPCGTGTPVLQTTTASLQEMPSDTPDNATGDVPKKTAIIG MSCRLPGDVSTAEEFWDLCSRGRSAWSPIPKTRFNPDSFYHPDPDRPGSFNPVGAHFL KEDIGLFDAPFFNITLQEARSMDPQQRIFLECVYEALENAGIPSHEITGQKVGVFAGG SFPDYEINNTRDISAIPMYAATGTAMALQANRISYYFDLNGPSVTVDTACSSSLSALH LASQSLRNGECSMAIVGGCHLNIIPEAFVSMTRSRLLSDTGRSYSFDHRGTGFGRGEG AGCIILKSLEDAEAAGDAIRSVIINSGLNQDGRTRGIAMPNGKAQEALIRQVYKEARI DPSLTGFVEAHGTGTKVGDPLEATALNAVFGIGRTPRQPLLVGSVKSNIGHTEGTSGV VSVIKAALALERGFVPPNCNFEKANDEIPMEKWNMKVPKKLMPWPRGKPYASVNNFGF GGTNAHVILQRGPRHEGELAVNNDPLKRKLYVVSAYDKQAAVQLGKSIGAYLDLYPVI FNDSTLDNMAYTLGQRRTFLPWRLASSAILGQELTASLATDAVPLRSSKEPTVGFVFT GQGAQWHGMGKELLSTYPVFQQTMKDVDACLKSLGATFSIIDELLLTDPSASSISAAY MSQPACTAVQLALVDLLSSWGIRPKAVVGHSSGEIAAAYAAGILNLEECVCVAYSRGV AANLVANDKSIKGGMLAVGASASDIQQILDAMRGNEAVIACVNSESSVTLSGDAEVIG ALQTALDKEGIFTRRLQVEVAYHSHHMKSVSLEYRSLLGKIAPRDSEVPFHSTVYGKL VPGSTLDASYWVDNLVSRVEFVEGLKSLVTDEQANTPINTLVEIGPHPALQTPVKDIA QKYAPNSNVQYLHTLRRKVDDIEAVQNLAGSLFTQGMNLDFKAINFPNLETSTRKPAV LTNLPKYPWNHSERYWFSSRLGDNHFHRQFPRSDILGSLCMENVDFEPRWRNIIRAED HPWIREHRVHDRTVYPMTGFLAMAMEAISQHAQLHHVTPGKIDLRDIFISRVLTIPDN SSVETMLSLKPSRTEAPSKSVLGWHEFKVFSWAEGRGWDQHCNGFIMVQEAKDVNPVD GPRQQLEKTARFANQSLNMRNSCNVPVDSKFLYENITNGGVHYGPLFQGLTDIAVSRD NKAMATLRIPDTKVAMPHGHETPCIVHPVTLDLCSQVMWILCGYGEPGPQITHVPSHV KQVSVSLCHDLTTGTVLQLYGSKSGNESASDPETNRIFATLPSDPANLLIDINGITLV PVSNDIKGSKDSSPDQICYKIQYEPCFDFLSAEDYRKLPRPETDTARGLERMHQLESV AEHYLAQMAKSVTEDEVSTFEPHHQKFYRWAQNTCRNAGTKYPLPLDVLEQNRIVNGA GELTFRVGELLPQILRGKVDALTVLLEDNGIDKHYRDLDALREAYANASVCIDKMAHQ NPELNILEIGAGTGGATMPILQSLGGGEAGSTPRFGHYTYTDISPGFFEKAKAKFENW GHLMTYQTLDVSTDPTGQGFSNGTFDVVVACNVLHATSDISQTMSNIRALLKPGGKIL LIEETVPKARHFPFVLLPGWWLANDKFRNNGPLLTVEGWNNVMMENGFSGVDLCVEEY PGASFQSGCLMTSTATSPTIGPASAGDVVILGIDSLGSVSSLSLESGLRKMTGVDPIT AMDSDELDVTGKWCIFLGGMDRSILSHLTQEKFQTLQRLLSRARGLLWVVRHTKSDLE SLGANMAIGLARTVRSETGLQFATLDLGERENMPDAEAVRHMLNVFNGVFCQTSQLTK NDWEFVVRNGNVCVPRLIDNNVLNLSVQQETPDAPPQMQPFKQDRALRLAAGDGRGLD ELYFTDDVSRNGPLPEDHIEIQVHSTGLNFRDVLMAMGQLQGDRLGQECSGIVTQVGA AVSDFKIGDRVCAMSPGSLSTFTRCPASGSWAIPEDMPFEIAASIPAVFCTAYYSLID LGRLAKDESVLIHAAAGGVGQAAIIIAQSVGANIFATVGSIEKKKFLMETYQLKEEHI FFSRDLSFAQGIQHATGGQGVDVALNSLSGDALQATFECVAPFGRFIELGKRDITTNS RLEMAHFNKNISFASVDLGIVREKRPQLTKRLLRDAFKLFVDTNAQSRWSVTTLPISD VEVGFRALQGGQVIGKMVVQVTDDSMVKVHPARREENLLRPDASYIIVGGTGGIGLDI ASWLPEKGAKNLILVSRSGPKTEKAEQTIQDLARQGVNVEVCRCDVADKQSVEKNLVP LLALMPPARGVVFGAMVLRDTLFEKLSFADYQTVMMPRVHGIWNLQRALTSTNGSLDF FVSLSSAASFVGNMGQSPYAASGTFMSALAQYPETAKMRCSTIDLPIVRGIGYLSDDQ KREVISKQLGTESVDATEIRGLVTAAIRNEFDLSCEGHCVVGFEGVKSTPVNEQPFWV TDTKLSHLLRLSTLAGAGELAESAQNGTEIAPAVAIRQSKAREGAEAIVGAAVLNKIS SILMRPIEDLDPAAPITVYGLDSLVAIEIRNWITRELEANLQILEILTSESIPALSET ILKKSGILPPDLKAEWGLDVVEGRTGQE PEX2_010320 MDQMTRKEGFPLFMYNEFDVAALCQLASNMRQNIACTCDLNQRP KRGGFNWAVFVLFEDGLEWVLRSPVQNHPELSYNSVAKLLSSEAATLNYLRAHTEIPV PEVYSYCASPNNPLRIPYILMSKAQGKALETMWGGTDIHHRLDSLEINKVMSQLGHIT WKLAQVRFDKVGSLFEGNGFFFIGECLSKGHIQHKRDCLAGIPRGPFFSETDFFRSLI AALIRHAEMLPLIHHCFTAPVPSKNDYPNKDLWEGACDLWNQYVTVGQKIDSAANRVD HVIAAHLVNQLIFEYAGKWSEVTTPVRFALCHPDLTMGNIFVDNQYNITCIIDWAFAT TVPLPLLLSPPGFPQSRHKLDERFYLGFRDGFEDAAGSNANSQLEDLSVSKAIECTQN SQFAWCLTRFLAFDSTDDISLVRTMWELVYPSRHALESYFFSQRLLPYYRKLYKKIRK EDLAAFLIEKSEHDLFTKSLTFERSLARHLTMISDYGYNYDPSKLAGLRDTERIFVTE RRLWRWILEFKRQHRDIIGFEDWEL PEX2_010330 MALSARAAVFDRGPRLLRDIWALTAIAIVTVALRVAAKIRIRKF GWDDILMVSALALTIVGSALVTVCVHYGFGQHVWDLDTTKVVPKVIMFDYLTQTFGIA GGTLGRISFIFFVIELLGTRRSHRVILWVILGLQILTNVMLIVILFVQCPGHGSAIWT KDGGKCWDVRIQAYYGYYQGSFNSATDLYLAIFSTYVFWNLNLKLRVKVGLVTLLGLG IFAMAASVVKTVQTRVLAHAHNDPTTATVNYDRWLYIEAYVEEQLEPEEAHMS PEX2_010340 MWPFSTYPEKTAGDVAGKTFDYIIVGGGTAGCLIAHRLSATPNA SVLVLDKGRVNAGVLSQIPLLGILQSGVVRYHAEPNAHLRGRHVQLLAGEMLGGTSRA HSMVWSPGGQPEFDGWARELGLDEWSWEKVAPAFARVEKAIPRKATGDLGLMPYVDAA MERVGLGDGGGKRGTQRYIRSEVSVDVDGNRVSALTAWLNASVVNERKGRLTVCTGVT ATKLEFADDGTRVTGVWINSGGGADVLIKAQHEVILCSGVFGTPQLLMQSGVGPKDHL SAHKIPVVHDLPAVGAHLTTHILVPVMTELPLKHTLHIIQTVAILWHFLLWLFSGTGV LASNGQWGAAFLHSDTLNESTMTVVPPKDSEKEVTDLEILIAPISTLIEHGVPGVPCM TWYAALVQPHTTGSVELSSSSDAKSPLKITLPLLVDARDSARLRKAMRFAMRLADEFA GPEVGYPHPAPLTMAPGMGLEYLDNLMDKNKSKSDKRRLIRALPPQKDAWRTVTDTEI DEYIKRLVTGSYDPAGTCRMSLTQEDGVVDQSLRVYGVRNLRIADASVLPRCGGASIS ASIYMIGERCAEFAMNQNGFAS PEX2_010350 MPTRSVRSTFLGPSSASSVTTHSPAGLESPAFDFGYDPEHEFWM RQARQSPMVTHPNMGVSKQEAICFFLQSHAIPGNLLITDILTNFLMESSGSLGQLAIQ SSIVAVASAMLSRVRRVASLSQAAHQEYGSALKLVNQALADADEAKTNQTLGAVVLLA LYEIISCLQRDVLVPSSLLECTKLDMIPAIKNAIGTKIIIIIGNLSNLRANIHTQALT DPQEILSAACAIEADLIAWLAALPPDFTYSSHTLMPLDCSFERRCHGIRPYNNEYHVY PDIWASNCWNHYRCARVIVSELILSQVHKLSNSSPTSLSEDFRLYSKSLRSTTRRLGA DICRSSPFHLGACNLEVLPERPMLPPESYLGGLMLLWPLFIAGMVEGPTHPQRQWVIK CLHTIGNTFGLAQALALMDLLIVDPGMFHSVETYGEAADMAAGHSEVLPFSIFHVPYY NLHALKEYRELQATST PEX2_010360 MKLALAALLPAFVAAVSSSPSVTIDAGTVQGGRCENGRNAVFYK AIPFAEPPVKELRFEPPKVYKKQFSQGKLNATTSAPTCIQFSDDFTEKKLNSSALSSE DCLYLDIWVPSSATKDSKLPVKVWVYGGSETEGSISDPLYDGCNTAEAGSILVTINYR LGPLGFMALETAGIYGNQGIQDLILGLEWVRDNIAAFSGDPKKVLLFGQSAGAENVYI IGSLPQAPSLVNAIISESGGGRSLSSNSTQQKVGASFAQMLNCSSNDKACLQSKTVSD LYTAYPADAFLTQGIGYYGGGSLSILSQGTHNFYPYVDGNVIAEDPYDRGVQVPTVFG STSNEAIVYTLQWAAQSEQAPTTSLYKDFLRKNFGNAASLVGKAYLPSLFKSEAKAII AASDQFAEIGYNMTSLEILLAMTQVITDSTYRCPAWYGAAQATRKNIPAWTYEFAHSP TCAWLYGILGSDVSLFGGAHTSEIPFVFGNLDNSYLPNGTCNSTVAEWHLGDQMMSLW TAMAENAEPSTKQIDWPRFQTQGKNLSTPGLIFENDTVSGTIDYTGCDLWIQVNAMLA ASNATTSGTPTAVTGNPTSSPSSTHINGAMTLLSKTEGYLALSVLLMVLSAF PEX2_010370 MSDDKKADEEVYGISSSVEDPARVVGGYGETTKRHGAKTKEVQN ADLFAAIEETKIERWSKESIHLYFCIFVAFCCACANGYDGSLMGSILAMDHYQNVFKT GMDGPKVSVVTSLYTVGSIVCTPISAIISDRLGRRKCMFIGGWVIIIGSIIITSGMTL AQFVVGRFILGLGIQIMVVSAPAYAVEISPPHWRGRAVGFYNCGWFGGSIPAAAITYG TNYINNNYQWRIPFICQCFACIIVIISVWFIPESPRWLIANGRNEEAEAFLVKYHGNG DPNARLVRLEIEEMKEGIRIDGIDKRWWDYRPFVMTKSGRWRFAQVIMISVFGQWSGN GLGYFNATIFKAIGYEASSTQLLLNLVNSIVSAVGALTAVYFTDKMRRRPVLIFGTLA CAVTLGINAGILQEVANTGFIGKNKGKAALAFYYLFNVVFSFTYTPLQGVIPAEALET TTRSKGLALSGFMVSSISFISQFATPIGLHNISTNYFWIFVGWDVVESVFWYFFCVES QGRTLEQLEWVYQQPNPVKASLNVDKIVVQEDGTVTEKIEADA PEX2_010380 MPAPAGTAIVLIDPYNDFLHPDGKGTSALTDLDEKDTISHLKKV VTNARLRGVPIYYGLHQQWTPDHYNGWQHMTPSHIRQQEVQMFAEGSFGSQIYEGLEP DSKNGDVVVSRHWCSDSFSGTDLDFQLRQREIKRLVFAGLTANTCLEATARHAYELGY QVTMLKDATAGWSKELTDAATDLIWPLFAQVMTVEDWVNESS PEX2_010390 MSYLPPGWTLQRLQTATVDDLRQIPEERLHEIDESLIPFENVPV RQVIGRATHNEHRRKVRAERGLPPAPGVPLFEKNDDPVVEVVERGGFDDFGFIVFRTD YSDEERWEQWQKEFQRIIDVSMASASGGKKIEDKCLLLNYEDEDMAGATHGQILQEYH EYQENESVPEGLNTDKPWVYALDLSFDHENPLADGEYPGYFRVAVDSVITELYPMLAA LTPSELWPSDNIIWESAF PEX2_010400 MSLNSHILPKSNNTKNGEELVQSARTTAAFVVSPNVLKIVIPAG SHFITNAYPPAAYIILMIAFFVRYASIEAGTKHLHLEPQREGLETQSAPEESEPSAID KNTGHEVTDLGPAVQTATEGSQPTRRQRKSRYSTLPSNVDASLAVLYQELESVAMLRA EIEKLRTQNTQYAQTIKIQEQNQIALRRDLGALRENVTNSESTTKEIRELRERNAALE AELLVSKEQTAAAKELKERLAQLLNT PEX2_010410 MSSPAARPAFNFYADVRGQLEAGGLFFIALSLPEHYFDPFIAIP GTRAYIQGIPGFIPALVATASYVETEDTEIVLGEQSRFESIVEMITQDSEIINVSISA SLMITAQAIDIAQHGGRATLGFACFTFYSEHERYQSLGRRTLVGRGQLLMGADTRLYL EYSVSEIVSAY PEX2_010420 MQSIFLLLGLFANAISASPLGQQPLGRISPTELSGQLAESLRKP VRGRFLHITDFHPDRLYKPGTSIDRSCHRGNGPAGYFGAEGTECDSPLSLVNETFRWI EENLKDEIDFVIWTGDSVRHDNDEKLPRTAEEIMELNEFLSQKWSSIFGVGEVRETSN AVPRMSIPVIPTFGNNDIMPHNIFNEGPNKWTKRFAEIWNQFIPEDQRHTFVEGGWFT TEVVPGRLAVISLNTMYFFDSNSAVDGCNAKSEPGYEHMEWLRVQLKILRSRNMKAIL MGHVPPARSSEKKNWDETCWQKYTLWMHQYRDVVVGSFYGHMNIDHFMLQDSNKVNID SKVDGKVSASSKTDYLQSLRNQWSSLPYPPSGVFKELDSTSNLGDSSQVEPAKKDKRG KKKDKKKQKFLDKIGGPWAERYSVSLVAPSLVPNFFPSLRVIDYNVTGLDDVAHGVDS FTHEIDATKFGVDHAVMDTPEPSDDSLRSPEIQKKKKKGKDKKKKPKFKVPEPPSSTA PPGPAYSNQPFTLLGYTQYYSNLTKLHEKIAAGEQGDDPRLDFEIEYTTSDDVYQMKD LTVRSFFQLAARIGEEGAEEKHTGDISSQGVDAADKKKKPVNDVWRTFLRRAFVGFPV DDLDE PEX2_010430 MTNMADTICPDSPIPESWDLWMASSEVDQKALGNFAAVMGLENP LLSATLYKVLGVSVMLSKKLLRARKLRRLDTTRETRSLQLYHHIIWLSREGLLILEGY ILPMVDRFVELKVLAYKLRASFYHIFVLFHNRPIFTPDSDMPTRLKHDSIYGADALTA LGVSDTYNSKMNNASTPTSRPPGLPVIQPVQPPQPLSSFLLPPLDYTATATACFNHAS SLSDKLLPGSHPLRLSVKLEYAAYLYDCLQDPIACRRLAKQAIADVYNAQEGMDDESF KDAAEIVSVLGKMVKRGGKPGSSTGTPGSSVSRGGGSHSRSSRSASHLDISVPTTVSP VPVTKSTPVEGARVGVSRPAVPDASMMNPI PEX2_010440 MSFLQIRPAFKFSTNIFGQLEAAGTTYFDGFIAVPGNRAHIESM PGFVPTVVATAIDVDSRYVEIVLGERSHLDATIQLTGPFYLYTLTQGEPSYVGEGALQ GWLWADNQYEISELPRWSEESDF PEX2_010450 MRSGLDTPGALPLLILIAFSLLTLVPSLPNLYNSKLADFSFYTG GARRTSPFRSISSSRESTNQVDCPEVPLLRDSVTELEPKAPPLNEPHKSWILASSHRS RGNRAPLTARVENPAVVAASETTAVANKSRLPSLTRESSFSFSRRARAFRTYFIQQLD DYPFLTSFSNRSLVAGSTHPYPPITMNESLSTSDDQSAPTSIPSYNNSSDSMQGKLLP FPAFLRDMCQQACHVAIDFGKRVGLHGADYAKSISLLEWIIGAPTSLIPNPTIPTPAV SNQDPFTPPEQAPPQQKVGSEDSTDAAAGRHSQELHGSCMAVVIGLVAGIMWF PEX2_010460 MSNNQQVLRSEVVLEKYGKALAGKTVLITGVSDDSIAGELALQL SAADPKLLIMSARAESKVAGIREKIKSSKPNVETRFLNMDLSDLSAVRNAVGDLADVS HIDHLVCVAGVMFPPYSKTKDGFESQLGVNYLANFLFVKLLLPKIRAAGPGSSVVIMA SSMVRQGKMHFDDFNFSDGQTYDPMVAYGQSNAARVMFVKRLGQKLNNEKIRVFSIDP GAVQTGLQRHCPPEFLDQVAEWKKAGAMVDMDGKPIEIPPWTTTSEGAATVITGMIDP TIAGYNGSYLSQNAVSDHELHTHINDEGNWTKLWELSESLTQEKFSL PEX2_010470 MRVRMWLREVPIEGFVERFFLFSRAFDRSWSSDLTQSAIRVIIC IVIPSLSTGEYSPEFGGKKKALECKKQQKTTERKWVVYIGDSNIPKKNAFTKEEAATS HQVTQLSPPPSSQNEQYFCYFTPSQRDEFMNQLYAKALHMIENPILSSNPTFFAAQYN VLRAMLINADLLGLTFDLLNEDLASQFNLSGPLMSAVHLPASLFPSQKQRKIIHHPWV DLIPILSLREALLVRTDVIDEDEVCGDFYGACAPSQEVGLRVWGEAWDPSAYELSETF IRKWSWIITECPDIIKSSNHWRKQRGEKPIVFTKIK PEX2_010480 MSAELPWHAAYPAPRGVATSISREELLQWIREGKQAGKDFLLVD LRRTDYEGGTIQGSLNLPAQSLYPTIPTLYSLVSNSSVKYVIWYCGSSAGRGTRASGW FADYLEDQHDTEVKSLVLSGGIKGWVVAGSEYTSLMDGYDASVWAK PEX2_010490 MFSSLGLLDRFLAIWIFLAMAIGIILGNFVPSTGPALQRGKFVG VSVPIAVGLLVMMYPILCKIRFESLHHVFRAKDIWIQMAFSILLNWIIAPFLMLALAW AFLPDEPELRQGLIIVGLARCIAMVLVWTGLAGGDNEYCAILVALNSVLQMVLFAPMG VFFISVISGDPVTFEYSTAAKSVAVFLGIPLGAAIITRFVLRRISAKWYDETFLKWLS PWSLIGLLFTILVLFASQGRHVVHQIVSVVRVAAPLIVYFLIIFFATLLVAYKLGFGY KLAAVQSFTAASNNFELAIAVAVAMFGADSNQALAATVGPLIEVPVLLGLSEDLARIR NNQRRSRAKRLEYIRELEEKAKKYDDIIGSKSPPSLSAFDKLQSENAWMRGLLVTLGI NFNSVDAPPGSIHDSEPNMVSAITGSLAGNVETQQRMETPTPLSFWDQWDSSGLSPDM GLDFNIPLLSNEINDFANLDLPLADLAEPNLGAWQYHETQECTETSTPSNMNTTLCSL ACQWVIQCNTKGVELDVLYFRMERGFKQGNSPLEGCRVDNQVLLKVLTEIS PEX2_010500 MGSNPIYDSVQDRYGELADRSSTSEQKKTEEKIAQAFGYEATDL SSIPQAANLGVSCGNPLALANLREGETVIDLGSGGGIDVLLAAKKVGSKGKAIGVDMT KNMLELARRNVEKAGASNASFIEASITSIPLPNAIADCIISNCVVNLVPTVDKHLVFE EMFRLLERGGRLAISDILTRKELPKEVVNSLSFYVGCIAGASQVHEYKKYLTEAGFKD IVIVDTHNDINVYKDLVQGQMDLGETSDQSASAVCCGGAQGKNPCESDLLECDFNEWA GSFQIYAVKR PEX2_010510 MGLEAECTYPSAALPVTEDRITKKTDAPFPGLISKNASALPIAG DKRLRSADSSFEQTELVNDVVGLAIQNDSNYVQQTEIPQQSPAKKQKISELGSGSAAR QSALVQGQIKPPFGTGTPSGSSRAPPLRSISPTLSQDETELRCNLTTEINVQRMKHRN LAAGPLRNIVKLLMKAKAERPSPEAMTDGSSAMPLNDEWREEDDLMRVATVKLEKGGR CSRALLSRFENFLYGPLMSPEEKKMQERIICVEECLSSASAPPTSDHNYLFLHRLQKV MLHPDAYGLDETDSRLGFLCNKLLEAIATNSKLSPSNRKKFAEFLDPDGEIANVRANS PGVKAETPISTRKVPPKPTSPRVPSSNTPGTPSRTTRQQNSVTSVSPSPSHPPPFPSL SLSTTHPPPLPSRSSSLSTTPVESIDLPDDTMSSSFPFIVKRTPKKRVASGSQSSQSN NTASPSMEPVSKKRPAPGGQSDDMAAPAPKRAASGTQPSNSNKIIAPAPERAASGNQL SKSNNIVASAPKRAASGNQASSSSNMIAPAPRRAASDNHSSNLSNIIAPAHKRSASSS QPFNSEIPKKLWNVFIAKQASFLPILNLDQLKVAFALAVNKGNVQPNAIDPTLGLCLA ISCHLSPDKSICEPRKWYDAAMAHITAIQNSQPHSLGSFHQQILQIQYLHMVGHLRMA WETLSLAIGRAQPLRMQTTYGGRLAVDAQSLEQVRLAWQFLWMKKLSLALQLGIVDQS FETFYDFPMPMQSQIENNMGSELLYSNNRPFATYSFFVACASLYKNTEQLITVENDLR LTRMECPIKWLSIVELRDFQELNLNLSSWKNGLPKFLGWKESSIDLTLEEDPIIRRMC LVTHVRYTYFLLRHYRPFFILCLRLSRACNCEMAPHVPGKNMTALDMNPHLALVHNAA MKCIIAAQDIVKTLCASFALENEDDSKFEQLDYLYAASLVLIASRSSPLSLVQRGESG GMTRSATAMQSQVRQVEALLRNYEECCGMVPKLGRRIGRSRAMLDLLSNTSGTETDSY TIVSDNDIRLPPVVWHQMYARLGLDVPFSRFSEDGESTVPGRRLTFGWLESIPVDLDY EAK PEX2_010520 MFPTRSLFSPARLTLFTRAGCGLCDTAKNTVVQLQKRRSFEYVE ADIMEPGNKSWKDVYEFDVPVLHVQSVHNGLPKEANLSDARKLFHRWTEQEVERSVDE AEKAQS PEX2_010530 MAGKCVHKACGKTFTDPEEDCVYHPGPPVFHEGQKASATFLPRD TANFSGWKCCKPRVLTFEEFLAIPPCTTGKHSTVDDTPAPEPKAEEVIAPPTPPEQKK SERLPISATPTIATAAPPAKPVIEEPESDDPDAEIPADATCRRRGCGASYTGSTARDE EKCVHHPGQPVFHEGSKGWSCCKRRVLEFDEFLKIPGCTEKTRHMFVGKAKPAGEEKV ETVRNDFYQTPTAVNVSLYLKKIDKQRAKVEFAANSIIFDLPTTDNKRYQDTYSLFAP IDAEKSTFRVLGTKLDLSLIKADGTSWPVLRSDDKWTGQRIQIGNAGHA PEX2_010540 MGSTETQATTPEFRYIPLSYSHADSQSSALRLILTLNPDWEGPG NNIEFVRFTDGITNTLLKIINLRPGLTEEQIDNEAVLMRAYGNGTEILIDRERETKSH ALLASRGLAPPLLARFKNGLLYRFIRGRPCGHLDLVSPPIWRGVARRLAQWHAILPSS GAASVEDASVAEIADIQDDEITVIQPRRAGPSMWAVLQKWVLALPVTTPEQRARRLSL QAELQWALDILDDGKGIGEDGLVFSHCDLLCANVIVLPSDNGVPTPEDGIAPVNFIDY EYAVPAPAAFDISNHLAEWGGYDCDYNMMPTKSVRRQFLTEYTKSYCEQRGLDASSES EIVNRLYEDVDRFRGIPGLYWGVWALIQAQISQIDFDYASYAEVRLGEYYAWKREVDG SRKQAGEEMPLRESRWGSEV PEX2_072150 MDIPPTHRYSYGSRENHTITPGMDNLGPSSPGGGISGIALGIAN THSRQSGIEASRGANDGYTGPAERDFHTTGSDTPYVPSAGYTSADSFRPEQAHALNMA RGGALASPALQTPSHSSVHLSDPAHSTYQYPAPYAGLTDGPYQRHSTAYSNGDISNII NPDDIADDGDEDFAPPRNRAVPAAAGATGGAAAGGILTGFFGREKKVDVAYNSVPGGG LEAGGGGASRRTPNNGVDGRKKMGWIVGLVLGFILLGAIIGGAVGGTIGSRHSEDKSN SSGADTATGDATTNGDLDKNSAEIKALMGNPDLHKVFPGMDYTPWGVQYPDCVKWPPS QNNVTRDMAVLSQLTNTVRLYGTDCNQTEMVLHAIDRLELKDIKLWLGVWIDSNTTST ERQINHLYKLLDNTNDTSIYKGIIVGNEALYRAGDSKASAQTTLISYIRDVTKEVKKR NLDLLIATSDLGDNWNAQLVEEVDVVMSNVHPFFAGVNVDVAVGWTWDFWQTHDVSLT QGTSKKQIISEVGWPSGGGKDCGDSKVCDDDTPGSVASIENMNTFMSDWICPALENGT DYFWYLFAIHGFFGRYIQTTNTLYRFEAFDEPWKVQFNVPGKEWEDKWGLMDPARKLK TGLKIPDCGGKTAS PEX2_072160 MQRPYPSIYHTPQSSSPASVASQPHEQHGRNMYSQSPQMPPHMF GYPPYSPMNPVQPSPYGAHHSPQQHPHPLTTQPLMMPPQKAPSQVPSHQSPHLPTSGM SSSPIMKLDSSQHPTTPQQTMLNPPLTATNSHAMSASNPHASPPLGGTTSSAAPGPIP ATTPLVVRQDSNGVQWIAFEYSRDRVKMEYTIRCDVESVIVDTLTQEFKTENCVYPRA CCSKDQYRGNRLVYETECNAVGWALAELNPALRGKRGLIQRAVDSWRNSNQDPRLRSR RVRRMAKINRRQSVPAQSSHMAQQGPIGPPGPPGPGMSAMPAPVPRPNLGPLSMGPPQ MHHHHQPDGSPNEEVSGTEYPGHRSMDTRLPQPSIPDLRPAHIFHDAPTTLTPGGSAS GPSMPPLLRDNSLTSLSRHTIATSARMDSTDQIEDQGPTNDDLFSQLPDGKRRKFILV DDPQRGCRVRVKVVLDKVNMDEIPDSYRESNAVYPRTYFPIQMRDENRVVPAKRFFRD DAEQADDPETSTIGRTTVPAPSLDAETDIEVPRISRRKHRKELMLNDLGYRMSWSQSR VFAGRMLFLQRSLDAYRDKMRSSMLAAGQDAGDIPDHFDTRRGKRRFLERGRRLESSS ENAAQRSASEVEG PEX2_072170 MSSHYRNLSPSRARHSMIDPMRASTGMVELNSSYDPYDAPRRYD YVDYPSDTGYASAFGYRSGRPSKLEAHQISSHRVRDPTASAKKRTEYSVQPRSRHRSN TSTAADYETPVRLAVPATSRQHLSPLHHSIHRRDPSPLPTDSGHNIIAASPRHLAHRR IYSTDYASDTGHIDPRNDGRHRTDHSAHQHRVHPPPRSPRYPAYDGLRKGDDIDDFDA YSYTNAREQFDRDYPVKPRPRGPRYSLDRPLSNTGVEENPQWTARKDRHHGPPPTSWG LDKLGRERPRSSAYGKDDHRDPHRSNDGFHDQALVAVPQDSDAEYPSRHDERRRRRAE RARHANGREHPYPEDHHPKPHDVGALATMGLGTAALGGGYSDMSDFEHHRPSRRKHRR SHGEHDRDAVQPPSRELVEAAPADERAKQAYLDPADARRHGRSRRHSRRRTHSDDADT SDEDLRNYRREPARRRHSSTDTESERDRDRDRDRSRDRSHHRQDRDHSRGHRSSRSHR MLEDGHAIDSRRSPPADMAKDDPRRLIAVEPAAPKEPEAPPKGILKAPRQAFPEEPNP VREGVAPLKDAHKQGIPPGARWTKIDRRLVNPEALEAGNERFEERSDYVIVLRVLSKE EIQQYAVKTQEIRDIRHKEQLRERRKSRDETQRHGRRGEESSSDDEDEGEEEPWKQLE AAPPPPAQQISLPSRPRASTNSMHEAQRPRMGNPSAAPV PEX2_072180 MSAYTMSNVMSNAMSNKFSNAETDSEGHNSTPLPHGWRLPQPND SSYVDAISGPLIVQSTLAPFLDAISALTPRSDYLSTGAPRLVPIWVRCCGCGNLVNPN LAPEGKCPICAHCACRSCGSENVP PEX2_072190 MASPAQKRANVVVSRLIPPVLLGAVTYASYAVTKPLCIDYLIHP LPSYNRGPRVGAGAAIIAIYYVLLIPMVVSYLHLYYQVLWNPGFLPLGEQKVADDENA KQSKRRRGNKSTLKPDPEKTTRTDADVERGSNFTAVDTAVQSDLGLESFYTKDVFICQ ADGRPLWCTTCCQYKTDRAHHCRELGRCVRKMDHFCPWVGGVVSETSFKFFIQFVAYT SIFCTFALITGGVNPHWCVCIGLSGLFAFFTAGMTLSSVQMSIFNITTIENLNRRTAV WTLAIRVPEHLLERLWVVESPWAPTFRMVSYPLQAPSSATESQTTNPSPGEQRHVFAI LHTLPGENPFDLGSPLKNIQQVMGYNVFDWLLPIKKSPCADHSSMESHFALGPVVTRL RLEAGLAPPPENGAAVPQSPHSGRNHREKSP PEX2_072200 MASLWYCCNCNFGPHNSSLYDACIQCGTHRCARCVNEKLSDNMS GHSHSHSHSHNSNPISAYPTAVSMHSPPTPTLKTTAMSIVVPELPGLRPLPRADCTGI SSASLPGTRQHAPTYMYICCECGDGPKVFNVQPKCVVCNHAACGNCEEIK PEX2_072210 MLFPLPHIPISCLPSPNYVIIVAPTSSWGCAGLCAPDRVCLAFP TRLFMLYVRACRPIILRP PEX2_072220 MSDQPPSYGGHPNYAQQWPPAYPSIQNFPVNSDYSQMHQPPATN PGPTFDYNMTSLNANSRISGSNGPGNSAFIPPQFPFFNHFDVSQFPPPFPPMPFAPMS YPPMPTGSSNPPIPYQGIEGHVSHQSTPAAHMTNVPAPADNHREEGEVSEESDERSLQ PTTPYNPPLSVSADASMVHHAMQSQRQDPPTTVPAPPPQKSAAQLRIQAQGALLSLAP HNIRYNELVAEGINPMILKRLYEEVGIKVTTSSEEAPTVSEKTSTAAPVASKGLGFSN PAELTKIAENHSSTRSDLPVPQPSAPSAAPSSKSGKPLERKELIARMLAEKAAKATSK ETSPTGSAKSHPAIPVDETRPVQKEALIKEKSKAQTDLARKRIEELKRQTLLKTQKLS QANLPPIQLESPAPAIQHPLPLRPPVPESRRSAGLPGLLMTGLEQDSHEAIASEPVQV MDLDSTSISRATQRKRPRASDFDEPVAPPKKHFNPAATRFDPTDKLIIAISDDESLYG DDEDDDMELDSSPEQEPVPIVTSTIVKPPIQSNPPATRASTATPQGPSSLSDQGDIRL KDMEIQAMRRKIAELELRRKSKLAASRTQSPRTLDDSGASSSGGQSSVVAATSEEDSS KTKPTTITPDTLMPVAPVVQLDAPAEGAATQASVEEAYAEEVANGVIDSTAQASASFE SDSAGSAMQESDDSSSDSSDSSSESEDEPATSPAQADLHSATVPSFSGPMEIDSSERS ASRSSPSAITHPSATSADEYVSQHHLSEHSQREESAESDGYEPPEPDAEAQSEGSSYS PPPFSPALSGLVENTAVSAPSFDLTQADEELTSTPQVPAPLPRSDLQVGAPGTEALPA TSEQRFTPYTSPLRTFKAYRYHPHYADDVPSGYRSLTYSHNIDSMKYMCPYELAGGVC NDRSCEFQHLRDMTLSDDKILVQMGSVREGQTEEEKETYLAGLKEIINDLRRDKVKDF NTVASEIAAYRRRFLQDPSRVLSL PEX2_072230 MLPSQLNGSPKRASLFGRPSSSQGTYSPQARPKSAIITQSHGLE SARGHLRNTSVSQLSPTLSLSGNRERSNSAKNSPSSGTFAPSFIKSEELRRGADQIRG LEGENDFSGNKYVWLKDPQKAFVRGLVLEEFEAGRLLVQTDDGDQRELDADQVDKVNP AKFDKADDMAELTHLNEASVVHNLHTRYQSDLIYTYSGLFLVTINPYCPLPIYTNEYI KMYKGRGREETRPHIFAMADEAFRNLVEEGKNQSILVTGESGAGKTENTKKVIQYLAA VATSDTPYGRSGAKQLTGLSQQILRANPILEAFGNAQTVRNHNSSRFGKFIRIEFSRA GQISGAWIDWYLLEKSRVVKPNPNERNYHVFYQLLQGADRSTREKLLLADLQIEDFAY TRDGNDSIVGVSDQDEWKSLIEAFHVMDFDEEDQLCILRTIAAVLHLGNVGVAKASVR ADQATLAPEGYSSMEKACHLLGIEPEAFVKGLLHPRVKAGREWVEKVQTPEQVRMALD ALSKGIYERGFGNLVARINGQLGRSMASDDNYFIGVLDIAGFEIFDNNSFEQLCINYT NEKLQQFFNHHMFVLEQEEYAREQIEWQFIDFGKDLQPTIDLIELTNPIGIFSCLDED CVMPKATDKSFTEKLHGLWDRKTPNYHASRLNQGFILTHYAAEVEYNTSDWLEKNKDP LNDNITRLLAASNSPHVANLFSDCADSEEDGAGNHPRSRVKKGLFRTVAQRHKEQLSS LMAQLHSTHPHFVRCILPNHKKRPKMLHAPLVLDQLRCNGVLEGIRIARTGFPNRLPF TEFRQRYEVLCQNMPKGYLEGQSVARTMLEKLGMDRGWYRVGRTKVFFRAGVLADLEE KRDQLIRTIMSKFQSVARGFVQRRISNKRLYRAEATRIIQQNFHAYLDLKGNPWWRMF SRMKPLLGDTRNAKEVKKRDDKIQQLEAKMKQDLADRNKLDEERRRTEIEIQKIQHTL ESERALALDKEEIFKRLQGREVELAEKLAGAIADQEALEEQLDELIDTKRKIDDQLQL RITQLEQAGLIIQQLESEKNSLQSRVKELDSKLSETEMQFSQKDGQIQELGQEIKMLQ SHLSLKDRKLQDLEAKLLKTDQDLDIKLANTSKELDKSKKQIRDLSDENRSIRDQISE LSSTSTGYEDLLRRKESEITVLRNDVQKHEEEKRSVESEKASLAARHDNMQSRLREVQ AERDAMRSEQTQLQREAADLKNLLENKRSEDAEAGESRKLLEQQVNDLKSQLFQAQAD LSRERQSRDDVQMLSEHNLAQLTQKYETLNDSKITIEKEMYIQQDSLRRATEARVAAE TTRKELQNELIKLRERFTDAETARMNAEAEIERKIMTQAEERMTSSRKDLEEKARQLE EVETERSQLSGRIQELMHSISESDNFRLRHDQHKERLERELVTLRGRLTASENDNKSL LTKIQQKNLDIARSNSKASDNNRLRLTNLQKEKARLDEETKKLSRQVEDSQVMITSLE KQKEKLSLSLEDLNHEVNREHKTSRNAEKAASTANLQLAEANRKLETERQLRNQAQAN TRQTQGTLDRANKEIEDLHRKLILLHKVFEPEATEPTQSWEAVQPHLSKQVDLAQVLE TVQNKLGVTEEKYARAESQLAEMRRRHADEMKELDIKYSSSKRALLEEIDQNEVANNR TPAHLRKNSENAAKRYSNPTTPNRRYNVFEAPNDSARSDRTVDTQGYQRRMDTAAELE ELQNKLQMTEMQNKHLQSQLGRSTPSADIWQDDSPSIRRMQLLERENGRLHDQLDDSS KKVSSLERSIRSGELSLRDVQAKSHEELYDLINSQEQSRRSLLKVHNETMSEFGDAKA HFEKLKRARATLEVELRDALSEAQELQVGRDQDAVSRNQLLQEFSDLQIRLDAESSRS ADLESSLMLYKSRSDEYFNKLEQAEIAVMKASRAEQFAKSQGQEAEETCAQIMSERKE MDALVEDLQRQAQSLEARMEDQAAELQGALQAKQRLQNELEDYRNQRAIDLEDKETSM EQTRQKYQREFSTLNNELEMERERVLNGRGETSRLREELEDLRSKWDNEVLNSSTWAK EKARMDVVLQDVTNSRDEAVNAHNEAQSKVVSLLSQVRTLRTSVEDVHAERDLLLKDK KMLEGRLAEAGERLEDLAKGESPSMRNAASMDRELLELKSRIAQQEDVSAAAVGKMRR ADALATEMQKEVTAEREANAQLFKDKAALEKQLKEAQLRCVDLETKSYSSGSQDVRFL HKRIKELETHLEDQESKHSSEQRSLRNVDRTVKDLQSQIDRRDKMNTQLNDDVNRARD KIERLLRNIEELQHNDSDAQLLARRAERDLREEREKALRLERELEGWKALRVERGSTI GRGAVAFSDAGSRRGSAVYGSNDIPQRQPSNTKGFL PEX2_072240 MLFRFRAQQAADLGIIDISRTRRPKAITSIDTVPVCERWRGQVV KEISRKVSRIHVQSLSDYQIRDLNDEINKLMREKWAWEMQIRNLGGPNYMRGSNRLYD DEGREIPGGGRGYKYYGRARDLPGVKEMIEAAITSAKGPAEEEAVSGRGGDIATRKVD ANYFGYGLDEEDGTLLAYEKQKEKESVEHLRHQGEDDAEDGWQSLPGDAGDGVEWRLP TLDEVQEELVDRRRRRLLDKIS PEX2_072250 MKSMLSTIDYVVECRDYRAPVTSINPMFEEALGKMRRLVVYTKR DLGSIPKSSAQKITERKLQSFDPKSAVFFTSSSSRQDVSQIIKHLRTDAEAPDKLVGC RVLVVGMPNVGKSTLINNLRNSGTGKAKALKTGQQPGITRKIATQVKIIDRENGSHVY VLDTPGVFMPYVPDAENMLKLALCGCVKDNVISAITLADYLLYHINLNDPTVYKRWSE PTNDVVPLIESFARQTGLLAKGGVPMMDGAALLFIQKWRQGELGRFLVDDLEAEQQRR EDPTQTPRMSMTQALRVERTTRKNKPDTTSNL PEX2_072260 MAWSSHNIDPDVFEFISQQEGTTSGSNYPRTALGHPEDTSTWDY LWGYAQPNHMNSNNTQHFVVNNSDNNVQMVPTSSPGSASHTTSTTPHVAPFVQEPPWQ ESPRSEVIDSGVKNDETPKVHDQGRDQE PEX2_072270 MPLSPDDINLDDSTLDYLLELDLTTFDSTSPQSFLTRRSPAVAE ASIAPAYQPGYNSYGESLSPFGEHFTPASPSINLQPNQNIPQYFTVNIVNHHDPQGLA IAFHGSAGDFSRTVPPVASPLKLSQQNQNTVHYVTVNSNDYPSPATAFDGPADDTICT ASPVASPPGQVPPRQGRSQPGAIALGPISNGVTNGKMSHSPSHGREQA PEX2_072280 MTQRPVALFKRGTEMEAFTFAVTTQVDIPIRIKIGSLEGKQKPI PSTVLDENAELRHIASVQDPTSDLYVTTQIWSESKPLGVPMKTKYKPFKTSRVWNEWL EMPMSIKDAERNTQLAITIVDMSPLAPDRITHIPFGGTTITLFDEDGKLKMGRQKCKV YLHKDADGYATTSTPSVLPPKRRKLNTRDYSHQSPEEAELERVEVLIKKHEMGEIPRV DWMDQLLFRELEKLKTNADEAAQKRALEIDAALQKDSKHVKDQDDSSDEENLEDEYFD LYVEFPRFDHPIVWADHEYPPPPISSWPQNAPPHPNATLKPVPEVHFGPGISHADPHN IIQIYDPEVGQIGNPCEDKHRRLIRSHRTGIMDRDLKPNPKIRDDLNMIISYEPTQDL TAEEKDLVWRFRYHLTREKKALTKFVKSVNWRDVGESRQAVEMFPKWTEIDVDDALEI LGPTFDNPAVRSYAVETLRKADDEELLLYLLQLVQALKYDATPDNQPDAAPQESSLAH FLISRAANNFKLGNYLHWYLMVEFDDADAIQRRLFARVEYYFMIELEKLHPEHRKTLL HQGEMVAVLSKIAKDVRFARENRVGKIEMLKKYLRDPDNDLINIDPPLPLPLNPDVSV VGLYPEESNVFKSTLSPLLITFKTSEGRRYPMLFKVGDDLRQDQLVIQIIILMDRLLQ KENLDLKLTPYRILATSATAGAVQFIPSISLSAASAKYKSILAYLQANNPDDNEPLGV RKETMDTYIKSCAGYCVITYLLGVGDRHLENLLLAPDGHFFHADFGFILGRDPKPFAP MMKLCKEMVEGMGGTSSPHYLQFKQYCYTAYTTLRKSANLILNLFSLMVDANIPDIRV EPDKAVLKVKERFHLEMTEEEAIRHFEQLIADSVNAIFGVVIDRLHDFVQGWRA PEX2_072290 MARLIHTHLDEANTPGPRPASSTLSPDAFTSDKENRHQGINKKT TAVMPPSAHSKRRRLANRTSNAQPAQSAQSSRSAQSARSAQSQASSQRTGSDTRYYDP DQDPEERRSVRRGLRDLGRELNDTRGELMQPGNDGILNIVEQANQFYAKVKQTADATL DSRILVNTADLTHKKATQLALGDTSAGIDVDEFVSKCVSFMRRGPNNATASTNGTQGR RGRLGRSQRDPDASDEDDGDAMNWDTLGRSACFPSNARPAVSGWLLGPLSVQKRTRQL TQRRATEQIDRTQAVAPREMAQQDLGQQDSTNLTEICSEINKLLANNQHDRQKAATEE LEGQVNLTPEKAQQIMDNHNVADDGGIPLFRFCINPKSFGQSVENLFYVSFLVRDGTV GVSTDSRDLPTLHAAAPFAPSEAQKKGVQKHQAVFSLDHDTWHEIIDVFKIEESIIPH REEKEQATGTSWERKSRTQKAQTKGERRRKSAKMPQLFPTNPAATMVIRHVTPDIVTM SLPFARFGHLQFGGRGTLVKLATGSLAVFSPVSLTPEVRETVESLGGNVKYITAPDIE HHLNITPWKTAYPQAEILAPEGLYEKRQSNPEFQDTPFDHVFKKDDELPRSISKEFDA EFASEYVYGHGSRELVFLHKPTGTVIEADLLFNLPAKEQYSKTPEAGTNFLTRLIMPL LSTKPPATWHRRFAWYILSSQDRTAFNESMKRIDQWDFDRLIPCHGDTIETGAKGIFR DVMAWHLDRNKT PEX2_072300 MPVISRLASIILRVAEIAFAAVVAGVIGHYLAEVNHSSADVDWW PQSRWIYTEVIAGLSILLGLIWLIPFSSGFFSWPLDILISFAWFAAFGVLVDTIRHLP CGSIWSWHFRGDQTCGRWKAAEAFSFLSAIVWLVSAIVGIWFTFRVRQSPPDGVRRRR WGRSAV PEX2_072310 MMAIDCSTNELVRVVPIGFFTVLIDRPGQNPMTEFDWACIYTTD TLNMLTDDFMRYRDAADVARNLETQSFLWELVTPFPVTISQAKDSAPEPYVNALQIPR PGSLARMNSRIPVRPHPPPLVDTYVAYNRPVTGPYPPALPPRPVPESEVVSEPVSPIG AQHMGLYRNPIMNLR PEX2_072320 MAPHKVPIIIGVGEVKNPSRRKEDAIEPLHLMRDAVKEAASDAC HAGAPAIISSIDSVKVVASSTWQYKDLPGLVCEGLGIKASHTSYSELAGSASVQLIDD TARMIANEQIEVGVVVGGEAMASLKGLIKDGTYPPPWTVPETAQVYYANDTDMFTGIG RAHRVGVPMHVYAMYENGLRWRRGQSPLKNLEESSSLYARFADIASQHPMAWNSGKSL QTANEIGNITKQNRMICFPYPLLMNAFNDVNLVSACILTTTECAERMGISRDKWIFPL GGGRAEDSKDFWNRPNFYSSRAIACVLDSCFQSSGLGKDDIDLFDFYSQSNTLAQAVA EMVRQLRKLGGLPKPSNGLILANGGVLTTENAICRSTHPRRSNDQYPTSANNQLLPAS QLTPPISMHGEGEAIIETYTVEYDRENRPRLGHIVCRLRSNGHRVIANHGDIITLEQL SR PEX2_072330 MTLITTPTTQLLEIENPILLAGMGHTAVSDLVAAVSNAGGLGIL GGLGYTPEMLRDAIREVKQKLRHPDLPFGVDLLIPQLGGSARKTNVDYTKGALEDLIN IIIEEKTRLFVSAVGVPPKRVIDRLQDAGILYMNMVGHPKHVHKACQAGADFICAQGG EAGGHTGEIPTSVLIPACIDACRQYKSPLTGKTVQLIAAGGIFDGRGVAAALAMGAGA VWVGTRFMTARESAASKLGKNAIINAGFEDTMRSSIWAGRPLRALATPYIRNWELNRR DVIEKLQGQGLTVLDHELDRLAREGKLTDEIEDQSTQRNVHHVPFHIEILLTLLQANG VRCSDGKYAGSICA PEX2_072340 MSVEVLLCDIELEEWLTTLPEALRWCSSNPGFQISKHGELFLHF RAMLSGIYSLACSALHRPQLVVRGTRLPELFELSKRRLRHSGNTVTQIYEYLRSQNLT YLFPEFQVTVLETALVTHLDHLKSTHSPTRQLAMESFQLCVQGLRQLRETYSSAVSAL GSVDAAIRNPVISLETADTHLTHSLDSDEVHHERTIPPQCEYYDRPESFASSPTIAQQ LDNLNPPQMSKLLCSHFMMTPSERSLLQDLASTEASNFDLYSDADSSSDEDSYPSSAQ DPISYQSHLPSTKVASQTEASVPNPQLHPSMRLCQHGNFTDDWNIPPSLLFQSDIVSK PHYIPSDEHEDMALSMFDTA PEX2_072350 MLNLQGRSLQSAIAVSAGSAYLLFGYDQGVLGGLVSYPGFLSAI GNPSSGYLGTIVALFNIGCLIGCVISAFFGNRLGRKNAITLGCVIMVIGGAVQASTYS AGQLIAGRIISGIGNGINTSTVPVYVSETSRTAVRGRSLAIQMSIVIFGTVVAYWLDY GMIRTQIGEVVWRFPLAFQNFFALITIITMPLLPESPRWLYSHGRQREAILVLSRLLA LSEDHPDVSTVVAEMEQALSVEQSKKEKLSISSLLFEKTEMKNGRRLTLCFAIQFFQQ FTGINVIAFYVTIVLETNVGLSPELSSLVAGFIQIAFWVGTFPPIFLIDRLGRRRVLM LGSTMLCLALVLFTVGIALKTPASSRLALGMLIIYEISFGMSWNAVPWLYAPEITPLN IRHVGAAVGCFSEWLWTFVIAQMTPAAIANTGWKIYLLFCFMTALSIPFVYFFMPETS GKTLEEIDYIFVKHRGHSNELMDTTASSSNEAAEKSEGELAQVERV PEX2_072360 MSTLTIPQAFGLYGYDAGVLGGVQETRPFREALGTTQQGFGIGF ISCAVPTYMAEMSLTEKERGPEVAFQCIFLVSGCAFAYWVDFGFTRLDNQISWRIPIG FQAVLGAVSGVGMFLLPDTPRWYYVRGRLEEGDEILSRLHDRPILDSDVQAMRESILA SLELESEETKTLNPLDLFWDRTNLRVGRRLRIAFLILSVQQMMGINVAVYYSVTIFAQ IGLSSTLSQLLAAVMNTIFAIGSLFLPSTIERFGRRNILMYSAGGLTICLSIFVAMIG SPDPTLAKQWVAVAAIIIYNLIFGYGWIGVCWLYGPEIAPLQFRHIGGAASAFGEWLF CFITVFAGGIGLEKVGWKLWLWCLLSCAVAVPFVYFLCPETTGKTLEEIDLLFQKESE PHRNNTDSMDASLQEKEEVQCYHQETARV PEX2_072370 MNSPDVIIVGAGPSGIALAHTLKHRLGYNDFTFYDKLDGPGGTW RQNTYPGVGCDVPTLLYSFSFNQNPDWSKELCEGPEILEYMEATVDKFDLRKHMQFGV ECISAAWNSEGFWEVQLLDIKTQVKYTRTATVFISAVGGISKPRDIKFSGMEKFNGEV FHTARWNHDFDYRGKRLAVIGNGCSAAQVVPAIAKDAGSVKQYARSAQWYHERPNRSF TSFEKWCLRNIPLWERYLRLRLFLSSDSLVATYLPGNAAEKLRATAESSARQYIHQTA PKKYHKFLVPDFPLGCKRRIFDPNYLESLHRSNVELAPVGIDHIDETGITGTDGVRTE FDAIVLATGFDVQQFVVPMEVYGKDGKSLSQQWLESRGAQAYMGVYVHNFPNFGLLFG PNTFPAHNSVLFASEVQVEYLARTLLAPIIDRRIYSLEVKPTAEYQWVNALQTELKGS VFEAGCSNWYINPHGRNSASWPGYASTYWKEALKSQAGMFKEVPRSNFWMLNTVWRWI RSTKKETYGMLLFALAGLFWHQKGSLAHQLPHIYQRLASSIL PEX2_072380 MDVTSNDGMELAFEKVVEDLGKVDNCVTCAGIALDKPFLEHTWE ESRRILDINVLGSFFSAQLAAKQMVKQGNGGSIVMIASIAAHCAIPSQRVSIYGASKG AIKLLGKTLAVEMAPFNIRVNTISPGFIATKMSAQFADLQEVFRTVPPMGRIGQPEDL ALAVGYLLGEGASYTTGTDIAVTGGLHNGRIEL PEX2_072390 MGPQLQRLAESKLKCKISQAWGLTETTGAVTWLPWDREDTTGST SQLLPNTRLKIVDGSERPVQDGHSGEILVRGPNVMLGYWENKEVTAQAFTADGWFRTG DIGTRKDGKFYIVDRKKELIKFKGLQVAPADIEAVLIEHDQILDAGVVGIPDPQLAGN EIPQAFNRPKTRKEFDKRRRRQKVYVIPRNLSGKILRRKLVQFEEHERPLKL PEX2_072400 MVFPTYLLILPFKLADQEVIEFYGQNASNTIPGAPDLALRYAVD GQRDLTIHKPLPTASTGSKFQLRNKVIGIYDKGSAGSSFDTEQKIVDTVTGEVYTTTR SVSFVPKQGNWGGPRGPTMPLYHFPSRAPDATYEVQTTDNTVFLYRLNGDYNPPHAVP YPGLKMGLGGVIIHGLFTYSSTCYGIVSKIFAGDAGRLKFFGARFAFTVRPGDKLTTT MVDGYG PEX2_072410 MNESHYQFPVELANPAVFDNRGFFAGKWRYAALDKMFPVTEPSS GQILAHCADFSQQDFVEAIEEADRGFRKYFFRTTAKQRSSLLRRWNDLILENLDDLAK ILSLENGKTLAEAKGEVGYAASFVSWFAEEAVRSYGDVIPSSYQNTTAVTFKEPVGVC GIITPWNFPAAMITRKIAPAFAAGCSVVIKPPSETPFTAIALVKLALEAGFPPNIVHV VPTKDRNASLELATHPKVKKISFTGSTNVGKMLTKLAAGTMKRVSMELGGNAPFIVFN DADIEKAIEGALICKFRSSGQTCVCANRLYVHQDILEDFTQRLISRVQTFKLGRGIDE GVTHGPLVNAAAVEKVKSHVQDAIQKGGQLRYGGEVPADTPSGYFYQPTIITHANKDM LLATDETFGPLAAIFEFSSEEEVIGLANDTEFGLAGYFFSENISRVLRVSQRLECGMV GVNTGLISAVETPFGGVKESGIGREGSKYGLGEYQNIKAVTIGGI PEX2_072420 MGSLQIAEDGQLRFFGPTSNLHISHVGPFPLFNSNIRLVHWNEA LILTAAGVNNHVDEELEDHLTKLYFAWENPNIPLVDERAYYQGKNCYRNLNQPNHRYS EVLNNAICAIGATLTSRYCPDLPESLVDFFATRSKALLEVEMDSPTLSTVQSLGILSG VEALLTRDARGWLYSGMAMRLATDLGLHMDAAPFAERGLIDLEEARLRSSTFWGTYAH ERMWSLYVGRPEAIDHLDITVQLPFPSNSQPDVNDVWRPYIDENQQANNWESQALLHE VAHGTVTLCTKMASIRKVLYSIPRGAKPDIKKLYTFAAKARDELAVWVSGLSESVSVD MMDLGRIHLPHVLQLHMQFHAVRIIVDQPFAFQVPGLGGLTEENITHSRECCHDAALS ITKLLQAIRRHFSLRRVNLQTVHLIFTAMLVHTQSAFLSPDFQMRDTARRQLEICSQA LGEIGQAYKNALRALEVITSIKSELLRHQRRESTSGLSIIGQSNSISSMIALGQIQSG IFGAEPGASQSWPDNFTNNSMFNLCGSVPDVDLDRCASMADQLPLPNHSSWAPCVDSS APLCPEENDPAASSLH PEX2_072430 MTVTKLSTLRIASASGSVTDRRHGFAELAREEDLHFIVGDWMSE YNMTTRGGAKVKQNEESSEFETSFMESIEPALDSISARKVKVAVNAGASDTKKLHDIL VQTIHDKGLDLKVAWVEGDEVSDLLQQAIKAGEEFTNLTTGQKLSDWEFDPIYAQCYL GAWGIVEALNQGADIVLCGRVTDASPTIACAAYHYQWSRQDFDQLAHAFVAGHFLECS TYVTGGNFSGFKSLPGVGVDIGFPVAEINPGGDFVVTIQKGKDGMVTEDTCKAQLLYE IQGPLYYNPDVVAILDDIVIKEEGTNRVHVSNVKSIKPPPTTKVGITALGGFQAEVHY FLCGLDIEEKAALLERQVRHLLDESLYHTLVFRTSGSCASDPSSQDAATVDVRIFAQS RSESALATEKFFRPCTDTIMQSYPGATFAVDARQGVPKPYYEYFVSIFPQDRMRHVCH TPFNNKQITIPSPSDTVPFAYEQSSYETKAPFDLDVFGPTTRAPLGYVVHARSGDKGS DANVGFFVRHADEWDWLRTVLTVDKIRGLLGKDDVGNKIFRFELRNIWAVHFLLKDHL DRGVASSSTYDVLGKNVAEYLRCKYVDIPDKFLARGRI PEX2_072440 MASLVSPLRIGNLDLKHRVVLAPLTRNRADDQHVPLGLMREYYA QRASVPGTLLISEGTFISARAGGTNNVPGIWNDAQIKQWKTITDAVHARGSYIFCQIW ALGRAANPEVLSRTGDHVISSGNIPLTESSPVPKPLEEEEIVDWIQDYVRAAKNAIAA GFDGVEIHGANGYLPDQFLQDTANNRTDRWGGSVENRSRFGLEVAKAVSEAVGAEKTG YRVSPWSTFQGMRMNNFQEQFTNLIHGLGGLKLAYLHFVEPRISGSQTVEDSVEQNDQ FMFDAFGNSGVIILAGGFTAESATEKLRLHPTRDIAFAFGRHFLANPDLPFRIANEIP FNQYERATFYTPKSPIGYVDYPFSPQFVAKA PEX2_072450 MAEFKSARGRSVWFITGCSSGFGKIFVSAILAQGDRVIATARNI NSLSDFAHSDNVKLLELDITDSQHALNEKVSKAIAMFGQIDVLVNNAGYVVSGVLEEL SQSQILDQFNTNVFGPLNLTRAVLPHMRSRQSGTVIFMSSIAAWKGVAVGGPYSASKF ALEGFVESLQKEVEPFGLNLHLAVLGQFRTDILSANRRQSGRAVNSIRDYDTVIDAFQ TRLEHTNGKQPGDPAQAVERIMDLVYRRGHFAGQQELPLRIVLGSDAVALVRDQCEQM LSDLKKQEQFGSSTDYPTTDEVEKYE PEX2_072460 MAAPMRQIIPAVIPAYACPEGTKMHILNLGTLTVDEGWLLNGAN GGSASNPNPVHKRRDLMLIAGLIYHPEMGLILFECGSTEDINAQWSSEATDLFPRTCY TESHHLPEAIKAAGYDITDIQAVIMGHLHLDHAGGLEHFRNTSVPIYVHEEEFKHACW AAGTNSDGGLYLAEYLKLDGTLNWQTFNESQLDLCTGLTLYHCPGHTPGLCIMQVNLA KDGTFIWTTDQYHVRENFEDNWAHGWLLRDYRSWVDSGKFIRRLQKVFSATLIFGHDY AVAEELIGRKAYHE PEX2_072470 MNPLPEDHQFTHPELSYEAEPNFQPAIKVSVFFRKKEGISHEEF FKHWQTVHADLAVATKAFQHNILRYAQHHQTPEMKARMTGIGESVLDYDGCAQLWVRN WDGWLGFCQSPEYSAALGDDCNRFMAMPMTYMIGYENLVVGDASQALGGKSGLSTKAT PEX2_072480 MKECFIHLDYILTLTATNGSLVSDQIPIAGEGDVERAIEAAQQA FAPGSEWRRMDDFARQKVLLNFADLIERNQEYLASLTRVTLGAPYKPFGKSEIDTAIG CFRYYAGWVGKFAGQSFPATDGFFKVVRNEPLGVVAGIIPWNGPLASIGLKAAPALAT GNVFILKPSEKTPLAAAALGKLAIEAGFPPGVFQVLTGDGSTGAILASHMKIAKVSFT GSVPTGKSVQVLAAKSNLKRVTLELGGKSPAVVFDDANLENAVKWCVNGLINNSGQIC FAASRVYVQEGIYDKFLAAYRTAVAAKREVMGDPESLSSEIGPVVDQAQYERILRIIN TAKANNDGKLLQGGEALGTKGFYIDPAIFLDTGKDSSIARDEIFGPVVVINRFKTEEE VIALSNASQYGLMAGVFTQDINRGLRLSELFDSGVVGVNCVSTIHFSCPFGGTKESGI GRELGVHALHAYTEPKTVLINLTY PEX2_072490 MSDSEVPAPTRATGKRTVNGLTASQIQHKRDLDRKAQRALRQRT KLRIQELESDIARFRASFSQREQTMIDEVQLLRDQNRKLKCSLENIRKYALGELSNLG ETSLPQDTPDGETAEKETEQFPEPSLRLGEGTLETQPILAHQPFQSDHDVYFSVNQPM GPNNSHTMHGHESHQPTLPPLAGAFSGLVAESDQILLDFIASRQSMLARGISPATVLG PEQLCPRDLLDPTTVNSNSHAISRVMADVLTTFPHVNLPEKLAFMYLMHLTTRWQASP NDSSYARMPVWLRPTVTQITVPHAAWIDNIPWPRVRDILIQKPDRYPFAVFSELYSEH VSINWPYDPEDIVVDTGEGPSLNTIFEKHIQRLSNWIAPRPFREYFSEWTSDVLLMMS GSMKAAQYNTTSNKVEINEIPIPEPGENDILIKNACASLCHSDLMLFWGHTAEKPPME KVTIGHENTGTVAAIGRNVTGFKIGDPVGCLGCSYACLNGNRHFLPLQQLTESSDLAA YHSVKKCGLNEGDWITIIGCGGLGHLAIQYAKALKLRVIGIDISDSQLESAKSLGADL TFNSASTLGYEKEILSQTDGGAHAAVVLSASNAAYQSAPSVLRINGILMVIGIPKENL SINALHILLGKYRIMGASSGTPQQMREPIEFSHKHGIKAHMTTFNDIEDIQKIIDLLE NGKTAGRFGIVF PEX2_072500 MQSRPSPDITPISEYPLQEIGSQYKDDARDSDELSLDFEIGDVP LLPSEGRDMEAQSEKFERDPPFSCTPSGFCAWLRGPTPAHIYHINPRFPRLQSAPAHL IDEKFPRRSSKIALLFGGLIFWIVVFFASLKASVAGQDAPGYGQPVKLSCHQRLWRNA TNCGLNGDLCRPFEDQSFAFRCPSGCAAAILLEPYVVGDQELNYRPLVVGGKPSKVDS HNSGTYRGDSSICASALHAGLIDDARGGCGILHRTGEQKGFHSIMQNGIESIEFLSSF PMSFRLGNEASSPDSKETKPIKCSDARWSLFAFTLIWTTILSLVVTSAPAFYSMIYFI VWFQVAMASDPPSIGSYYDLVSIALGRFLPGAFVGFVLYYFCVRHTLNNLDAHWDKTV LWLGGCWVGALNTDTFDRIPISRLTPHDIQQQPGALTALIVLVGSLIAIAFGQAHCFR REGRFFPTISIYGVLLAVVLILVAVPHMNLRIHHYILSLLFLPGTTMQTRPSLLYSGI LIGLFINGIARWGFDSILQTPGALLDGAKLGTVPPKIYPPSLTDANNLVFSFPDLEPH ADGLSVLVNDVERFQVFRPKDSQPLPDFTWSRTYPNELEYFRFGLVHTNALGGLWYED FSPPAVWGANGNFVFPDPEISEDPETETE PEX2_072510 MSSTHPLEFHAPGWHDEGRTPVVDGKYCDRATGEIKLASDDDQE YMGPPAVDIIVRSQHIDTVKCIYRASRSFPMETLLCHIMKIVGDRKLELDSVIATTYA IRITLAHELTPDQFSEIALNMANGVWDQID PEX2_072520 MKLTQFAALIAGVLGTVAMANPIAGPEPSPVEVAPRAKYTVKCT GGLHPDARCTNGKKKDGCRCDSKGTYLCDPSSLAKKGGQCAKCGCHAG PEX2_072530 MRSLSLIGFVLLLRSRLAYAEKVPVRDIGDNFVIDGDKVTWPDG PFTGILICAGPNRVLSLSADKKHGTCCPPGASLKGSKDTEWHCCGNGHDVTGSLDVGF ECCLEGSTFDGKTCKRLCPNGKEMVDGICQCPNGLEEAVDGTCKPAKCESGLKTGKCY FFTGRSNNYLTFNSNQYSETALSKYIRPGKFQFCQDQICTPGLHINPSNEVHIKDLHG TLPAATDGGQWLDNKQNGGHISKTPDFSKSGNFSVTKWPCGKYCLTGFTDGLTQACPD ISPGISLDTRATDSCIEFELTEVPCDIRDDANNCIWKNGNQCCNKIDCAIKPQRQDTE PEX2_072540 MASSPSKWWQEPTEADLDYGFDSDELDTRYNESDRNTSHENKSK PRDNKRTDKKGVIASMASWLHRQAGSSHGQLATAAVVSGAAVAGAIFGYQSYKRKEAV HDLKASIPSLDDLHPAEMLTDFGAASNGIKPSKEDERSAALARRAQQGDYDDDLILEQ LARNRVFLGDEGLAKLRSSFVVVVGCGGVGSHAAASLARSGVSKIRLIDFDQVTLSSL NRHALATLADVGTPKVHCIRRRLEQIAPWVAFDCRNELYGKATSEDLLGPWSLTRDGE GRRPDFVLDCIDNLTSKVELLYYCHSNSLPVISSMGAGCKSDPTRVVVGDISLSTDDP LSRSTRRRLKLLGVSSGIAAVLSTEKPGPGKATLLPLPEHEFTKGQVGELGVLPDFRA RILPVLGTMPAVFGYTVANHVICTITGYPLDYNMGAKGREKLYDTILGALLILHERMV KQFTGQDTVGLRLPLSKDDIAFVVEEVYRGKSAISGLSTRLALIPWQTPAHGWNMDLS LEKEGQKTIPIYINDMVCVTKEEALHHEQEVLKGGKKVEEVYDEAVLQRVNLRRREAE EAMASRDSYPLDFESNSADSDLYPTTAHHPSHSNQFPQYSRPLIDSARNAWQTRAPEG SQHTSSSPADDIKSPGWSQMASAPRFRQMLTYGALFIFAWIGWRMLLSPRLQGQNSLN PTSKAEIGGWFGANSSPQFDGLVRIRTLDPDLVPGDLARVDSGESSRKRLIIVGDVHG CKEELVQLLEKVSFNQKGSDHLIFVGDLINKGPDSTGVVDLAREHSASSVRGNHEDRI LLLRHEMAKAKTLTIPDDKKYSGFSSKELRERALARSLSDEQAQWLENCPVILNVGQV PGMGQVVVVHAGLVPGIELENQDPSSVMTMRTIDLDTHITSPKKKGTNWAKSFNKYQS KLYSSLETSTEDPFANAMTVVYGHDASTSLSIRTFTKGLDSGCVMGGKLTALVIEDGG KQSIVQVSCRGDL PEX2_072550 MQPISNIDWDKGDKDGLVSVGSHNLYLSVSGPDRKPGKPIIVLM QGVGSTIDEWIVVRKLVAPFARWLNYDRSGMGRSEGPNQTPPSISAASVAAELDTLLR NAGIEPPFIIVAHSWGGYTSREFLELRPNDVVGMVFVDCNTERFFDSGAWGWDVFSPV LGDQDFIETTGLATSHVLSEEEWKAFQDEQADPRHQATEAAELQAVPNDRRALDHKNQ LEKLLLKDYPVSVIIADTPKDFQKMYDFGVAAGNGTEQERALFRKCLDRWREMNEDWQ RELLRLSRVSRSVRLHCSHNVQLVQPQSIIQEIQWTMDSCR PEX2_072560 MEQVESHSELIHHEAVNESTSGSHPEATNSFTVSDDDILRAQGH EAVFNRSFSIVASLGFAFNITNAWVGALSNVGQNFRYGGSQVALFSVIIACFVQWIIT LGLSELASAFPSSGSNGTAWLLGIINSMYCFGASDGAIHIAEEMQSPSHRLPQIMSAK TCLLEYDTSHWSVYSSTTISSLDSCHERHGPSNERRNASRGTIIPSNRINQGDRGTER TLENNSMPSSTVGHLWASCMGLRQRSRYSISGLIYLVSTSAFNSIITSAVTLLNLSYA IPQGIAQCLPKRPLDLGRWGYICNVFAPLWIIVLSVMVCFPPDLPVTLGSMNYSAPVL VALYLIILVFWGLIGDEFKGPDWEILNLKNETPSDASTDIAGPNIDQ PEX2_072570 MAQSKEWEKMLRGELYWAWDEDLQANRTRCRQACDDFNAARAVT RRRKVELWRNIVCDTRPMPPLNPDPKEDEALFEDTDPFVDGPISVDHGLNFKVGKGTF LNFNLLVLDTCLVTIGERVLFGPNVCIYGATHPIDPAVRQGLKGPECGKEVHIEDDVW VGGSVIVLAGVRIGKGSTVGAGSVVTKDVPPFHFVAGNPARVIRRIETSMNPEEQQ PEX2_072580 MQSFRGAARAASRTIRTQPWRQANSPVKSAGPHRTLSAQWKIAR PLHTVSPRQSASVTVESTERDSSNPAMSFPCLDAQEAKSAQLSARSLRSGPEPSYTTG RHESYHCEQPLLLDWGGVLTEFDVAYETWGQLNGDKSNAILLHTGLSASSHAHSTASN PKPGWWEKFIGPGLPLDTDKYHIICTNVIGGCYGSTGPSSLDPSDGKRYATRFPILTL DDMVRAQFRLLDGLGIKKLAASVGSSMGGMQSLAAGVLFPERVNKIVSISGCARSHPY SIAMRHTQRQVLMMDPNWARGFYYDGIPPHSGMKLAREIATVTYRSGPEWEMRFGRQR ADPSKQPALCPDFLIETYLDHAGEKFCLEYDPNSLLYVSKAMDLFDLGHAHQTATLKR RAESEDRIAHGGDAPNTSDPSCSLTLPDKPYEEQPGSTSNATPLDESVSPDPVDGPPR DLVAGLAPLKDHPVLVMGVASDILFPAWQQREIAETLRAGGNTKVEHIELGDDLSLFG HDTFLLDLKNIGGALGKFLR PEX2_072590 MATGISVVPEKYAAHSTVQTIAVDEISGGGSIQSSLETTAGVNA EKKRFFTRPWGRRQIGILCVLGFLGLALTALVIALPIYFTKISGFAKDTDDYWKQPQP HEDHSYHLEENRPNFALHNFPDPGLIQHNGTWYAYGTNPKKRNPDSIHVPVATSTDFV NWTLHEGYDTMPTLGGWEKKVNHWAPDVIQRDDGKFVMYYSGEAKNYGSHHCVGVAVS NGTSPLGPYIPEDTPLACPHKHGGAIDPSPFRDTDGTLYVVYKGDGNSVGHGGNCNNS KKPLVSVPILLQELKSDGITPVGEPVKILDIDDTDGPLVEAPDILLTEDGTYYLFFSS HCYISLGYNVKYAHSKSLKGPYARADRPLLQTGDWGLEAPGGATVSTDGSKIVFHANC GRFRCMWSAAIDIRSNNNTIVMSKLVVGQESNSTKSTGSS PEX2_072600 MFGSDLRMPWDLFYNAFAKHLDLASRQDAADCLKYAAMQMKDYY DGMYQPKHFAVGDKVLLRVGRGYNITVNDAVSRKLGQQYAGLFTIVKRGGRRLAYGLQ LPPTWKIHPVISVQNLEPAPSLDPFGREPAEPEPTYDERFPDDDDRHDVAAVLDVCVR HLDRYRTQRKEYLIQWQGEPREQAQWVFSLLLPAATLVAEITCNTINSTLSASFL PEX2_072610 MITPRKVLLALLCVVTFVLILRSFRDPEVPGAPGYRPVKKISQE EDEAKANSARKTPPQGRAQLPLGASQTAPLRERLRYQFPYEIENKFPAYIWQTWKYNP GSFWFDEDLRGPEASWTEMHPGFTHEVIPDETQQHLIKYLYGSIPEVFEAYDSLPLGV MKADFFRYLVLLARGGVYSDIDTSALKPAHTWLPEELDRSTIGFIVGIEADPDRDDWH DWYSRRLQFCQWTFVSKPGHPILRDMVAYITEHALRMKRVGILKVGKMDKTIMEFTGP GAWTDSIFRYFNNPAYFNIQPGDKNITYEDFSHQTTHRKVGDVVVLPITSFSPGVGQM GAGDTDDPMAFVKHNFGGTWKTDPSL PEX2_072620 MGWVYNLHETDPNSEISRVIAICLVCSIVAFFSVCLRFYVRISN KRFPWIDDYAALVSSLLTLAYAGIAVAQTRWGQGLSAAYFPKENVIPFSRIQYTGGPV YCLAVLGFKVALLASYLRIAGIIKQYRKIIIAAIIVCVVNQLIFAIIISVSCIPVAKQ WDTSIKGNCINAIPFYFALGGTSIVLDLVIIALPLPVLWKLQLRLKQKALLVCLFALG FFVTVIQIIRILTVWDLKTYTDSKKIVIWSCVEISLGVVIACIPTYGPLFKSFASTVT SYRNRETSRTYALDSVRQGTGMGTGLGRSKNDFEPIYESEGHQVTDIRSGSQTNDGNS EEHILSDADNLKVYVTSEFTVNSGVAR PEX2_072630 MFFRVSFVASLLAALPQVSASAACRSFPGDTTWPTEDVWSQFNG TIDGRLVKTVPLGAPCHAPNYNSETCAVLKDGWLLPEEHYDSSSSVMAPFFAEGVCDP FHPVSKPCTLGNYVRYSVNVTTPAQISTAVKFATNYNIRLVIRNTGHDYNGRSTGAGA LAIWTHHLKGLSIDSYSDSHYSGKAITMGAGIQGYEAYELAEKTGYQVVGGECPTVGL AGGYTQGGGHSALGSRYGLAADQVLKWEVIDGQGNFITATRDNEYSDIFWALSGGGAG TYGVVWSLTSKAHPGTPVSGLNLTYTNDGISQDTFYSTVQTFHAALPAIVDAGAMSVW YFTNTSFSIAPITGPNIPVADLVTLLKPFTDSLDNLGIKYTTVAKQYESYYSQFQDMQ GVIEVGTAQYGGWLVPRSVVQNNNSALLTAYREITEAGGTFIGVGLNVSQKVSGDVYN AVLPAWREALIDTTLTTPWEWDNDALMLERQRQMTEDFIPKLTALSPNSGAYMNEGDF QQPNFKQVFYGTNYDTLRKIKAKYDPNDIFYARTAVGSDEWTVSEDGRLCKAT PEX2_072640 MADSELAPKFAPFFSFAGIAAAMIFGSAGAAYGTAKSGIGIAGV GTYRADLIMKSLIPVVMSGIIAVYGLVIAVLIAQAVGPTTNMSLYTGFMHLAAGMSVG LTGVAAGYTIGVVGDAGVRAYMQQSRVYVGMILILIFGEVLGLYGEKQLVESLRPSPR SSNPFLITERQDEKQLCISSRSLHVSDFMLVKTLGTGTFARVWLARLKDQKDKDKVYA LKILRKADVIKLKQVEHVRNERKALAAVIDHPFITTLIASFSDEKCLYMLLDYCPGGE IFTYLRRQRRFSEEVSTFYAAEITMTIEFLHDVHGVAYRDLKPENIMLDAEGHLKLVD FGFAKQVDNRETYTLCGTPEYLAPEVIQNSGHGLAVDWWALGILIYEFLIGQPPFWDQ NPMRIYEQIIEGRIRFPPNMPAAAQNIVSLLCKTNPSERLGHISGGSTRVKSHPFFQN VIWDDLFYRRVKGPIIPRLSHPADTGNFEEYPDPPDLRNSNIYTEDLKKKYEPLFSDF PEX2_072650 MADLGGQRSRNYRPHGPASSTQRDAAYSDIFGGAPPAGRSHTMN SQTPQFSQARAHTMSSHVAQPQFQRPPPPPTRHMPNGHGPPSAPPNGYPPPPPSGQYQ AYNPGASATMSTYQPPRPNPNTQQRFAAYPRPQRLESRPSPAPQYPDPRDFNRPMPPP ALNSDSTRSRSMAKLSGPPYQIPPSNFNHTSATASRREQYHAGAQVTQLGRPVPEKHT NERAMSMTSWSSDRDPHIMSSGRSIPHRRPPSSHDQQIPIRQDSIMQASPDEYVASRQ PSDASQRSRTMSMASTVAPDRTMSVQSQATQVSSGQVTLVSRDSQRKVPVVYPALLSL VAEIFREKISLGERQKNGLSYQNAFSGTDSVDLIGSIIRTNDRNLALLLGRALDAQKF FHDVTYDHRLRDAPGEVYQFKETMGEDKSITEVNGVFTLLTECYSPTCNRDTLCYSIA CPRRLEQQARLNLKPQPVLGTSESKTALPPDDDDDNDNQKLWISTVPKEVSDSVDDHE KKRQEVIFELMYTERDFVKDLEYLRDFWMRPLRAAGTTTHSPIPEHRREKFIRTVFGN CLEVLKVNSALCEALNARQKESQVVQTIGDIFCQHVPNFDPFIKYGANQLYGKYEFEK EKASNPAFAKFVEDTERLKESRKLELNGYLTKPTTRLARYPLLLEGVYKNSADGNPDK EDIPKAIKLIKDFLSRVNAESGKAENHFNLVQLNAALKFNSADYVDLKLTEENRQMLM KMSFKKTTADSSDVTAYLFDHAVLLVRVKVVNKREESRVYRKPIPLELLVIAQMEEVI PRLGITKRPSSSLLSNKTVINNPPPAKDGGLPITFRHLGKGGYEQTLYATNAATRRKF IEAVEQQQHKLWERNSNFYNKTILSEGFFAAVNRINCLVPIDGGRKLVYGTDNGIFVS ERWPKDKTAKPRKVLEATQVTQIDTLEEYQLLLVLANKTLSSYPMDALDYVEGQNSMA KRPKKIQGHANFFKAGIGLGRHLVCSVKTSALSSTIKVYEPMDNLAKGKKKSAVSKMF QGGQDTLKPFKEYYIPAESSSIHFLRSTLCVGCARGFEVVSLETTETQSLLDQADTSL DFVARKENVKPIHIERMNGEFLLNYSDFSFFVNRNGWRARPDWRIAWEGNPNSFALSY PYILAFEPNFVEIRHVETSELTHLMTGKNIRMLHSSTREIIYAYEDDRGYDTVASLDF WTNKPQPAAA PEX2_072660 MSSALNALKIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGK QVLEGKDADDAANAHLEEGVRIKPVTVELELDEEGTRISLTIVDTPGFDSFGEIVGYL ERQYDDILAEESRIKRNPRFRDNRVHVLLYFITPTGHGLRELDIELMKRLSPRVNVIP VIGKADSLTPAELAESKKLIMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELRGLMPF AIVGSEDFVEIDNRKVRARQYPWGVVEVENPRHSDFLAIRSALLHSHLADLKEITHDF LYENYRTEKLSKSVDVGSGGYDSAMNPEDLASQSHRLKEEQLRREEEKLREIEIKVQR EIAEKRQELLARESQLREIEARMQREQQSQGNGGEAANGEA PEX2_072670 MLGQSNYLAIVGGGKNPKFPQNKLVIWDDAKQKAAITLEFRTSV LGVRLSKSKIVAVLLNSVHVFAFSNPPQKLSVFETSDNPLGLACLDNKVLAFPGRSPG QVQMIELETGNISIIPAHSTPLRAMALSPDGHLLATASESGTLVRIFATGNCTKLAEL RRGVDHAVVFSISFSPSNTLLAVTSDKSTLHIFDIPHQQPITRRSQSPSPASEEAAPS QKWGILGKIPLLPRVFSDVYSFASAHFEIGEQANLSSPHVPPIGSSFGRPQKGLIGWC NDQTLLVVGSGKEGRWEKFVLREDEDGKRYCLRDGWKRYLG PEX2_072680 MNSIRQVQALNKRELEHAIPPEASWHADYRDTAYIYIGGLPFDL SEGDVIAIFSQYGEPVHVNLVRDKETGKSKGFAFLKYEDQRSTDLAVDNLGGATVMGR LLRVDHARYKRKDDEEEQDNVAKLMGDPAISESKKAKEDDRREIEERRPMLKEEKELE ELIRNHDGEDPMKEFLIEEKKEEVATAIQLWNSTKKSSRRRDDSRERSSRHHRRHRSR SPRERRHRDDRSPDRERRSRYRSSERGEKSRRDRSPRKSHSPESRRHRSDRDRHDRRR PEX2_072690 MSSSPNPGSKAAGTSSRPTSKDGSKKNIWSSLLDTVANGKRLPE KNLLVLGGTPDSQREFLDTFSADTSADLSLANDKRKVKGKTPPIANQFALGYTYRDVL DADKEDTLARVSAYLLSEPSPSFAPLLKPLLTPKSVPETLVVILMDWSDPWTWVRRLR EWTRLLRSVLVSLDDETKFVMEETMTEWRDRKRGIDPSSAAAGGMSNSSGPVTIPLGP GEWDEGLGIPMCVVCQGADKIEKLEKDHGWHEEQFDFVLQFLRTILLKHGASLIYTTP FLANSLQGLIHSSLGIHSLLKRESLKHNVIDRDKILVPANWDSWGKIRIIREGFDMEG VSTAWSIEIQDPPESIYQSTDDNQQSENGLAEEGTSAVTMFERTINDPKRGIANLHGN TTKIEIETTDLQDFFAAQVEKLEELKAKDEKENSNQPTPQLEMSPMADEGKVNDHIGP VQFNMGGIQVDADDMLKKLRDREASRTLKKESPYAGTGGNDEKAHNQALANFFAGLVK KPASSPRGSPSA PEX2_072700 MSFQDMLQHIDARRFTTGVVLLILLAFYSPLSKLVLSPTYGSFP AHIFHSFGVAISGGVGWLLKDKILKRLGRLGGFMLPVLAFWVPTLQYFIKQQSSKIGN PTGPVITELCGYYPLVLLTVAYAGKQIQTALRLEAQGDVIAEHVPLIGTYIFYSAGDH IAQYILSWIVGTSVFFTRVGMQMLLAAAYAALIPSKWLVLAIPSIIFSVTSNVHFAGI SGVNSAIEHEGYALLARQEAYTGYISVLENLNDGFRVMRCDHSLLGGQWTRLAPGYRP EVEDPIYAIFAMLEAVRLVEPDHGIPRVDADSKALVIGLGIGTTPSALIKHGIETTIV EIDPVVHKFATQYFNLPPNHIPVIEDAVKFVKKAESSPNTPQYDYIVHDVFTGGAEPA ELFTYEFLSGLYSLLKEDGAIAINYAGDLTLYPTGLVVRTIKAVFPSCRIFREEPAGE DEDTDFTNMVLFCKKSSDAPIQFRDPVPADFLRSKSRESYLVPKHELDPAIFASWPKA GRSLLWAKEVGRLYKYQDRSALKHWSIMRKVLPDAVWENW PEX2_072710 MPHFPKDSGFTSKDASSAQTAVTVKNRRKRYLDLHPEYFSAGLE LADPLLYDRLIRRFQTTQEREAEGRAKGFSGVLETDLMRSEAKMDAISHPDPNAMMSY TRGPDGEILAEDRDEIPPNKEEGERLWRWEMGLRFMQGNDSDFDYKTVDQNDDYDDHT DEQDQYFEDEEPEWVVDGTRGDDARPNLQGETGIQDF PEX2_072720 MAPTRNKASKKAKTVKAPKTINKKATNKKAANKKTANKKTANKK TANKKTANKKTANRKTASKAPLPPVDINKLFPPWGSEEALNQTANKEATDIILAVYHD INVYCNLERLAKEKVCGSLETFYNLLFFTEGFVEREIGYYISCVESFKAEHGEFQPVT PNSRFLEFVTALGWKMFAICSHAEAFRRGIKEADDRTWACLLEKIQENFLSIELYAHS RTLKWRNILWAHQGYPILGLPDMKAEIEAYMAWKVDHPHHTVITLDGKLKEPTYKGKL QQHINESIYDPKKWRGRKQDPTLRHMPNGSSTNVGRECALCGSPISCDCRLTSRAGEL VELREYPNTGTGVRALTRFMRGDILDIFMGELLPNSVEEVYPLTQSEDKIDAKPGIAR NLCTICPHQLGNWTRYISHSCRPSTQFTTRTIGDRVVCTVEAVRDILPFEEITVGYGD SGIFTGLGLRGRTSCRKSVFGRKRVLQQAFLVKRGLLTESYARGPSGPPLIESTVGDH FAKVVAECGDRTAVISRHQNDRATYASLDARSNALARGLESVGVGKGERVGVMLGNSM EYAVATYALFKLGAILVPLNPSFNTAQVIAALGHLQSSHLLISTESNLPRKKPRSNVP LLDDLVEDLHKSNLESALVPSLKNIFMIDNSEGRVDISSYKSLTPYASIMSQLNADRR PLPPRNLSPDETVNIQFTSGTTSMPKAACLTHRSILNNGSQIGDRMLLTPNDIVCCPP PLFHCFGGILGYMATATHGSAIVFPSESFNARAALEAVQEEKCTALHGVPTMFLEELS MIEMGEISNEGFQHLRTGIAAGSSIPSEIMKKLHKVLNLTELTICYGMTETSPVSAMT TTDDPIDKRIYSVGKLMPHVEAKIVDPVDKKNILPIERRGELAVSGYLLMKEYWADPE KTAEVMIPDDSGKVWMHTGDEASMSPDGYITITGRIKDLIIRGGENIHPLEIENCLLA NDGVADVSVVGIPDVRYGEAVAAFVVPRDHDSKTLTAEDIQQWVREKLSNHLIPKHVF FLGPLEPFPKTASGKIQKFKLREKAIALLAKSAA PEX2_072730 MASEPTEEAITSFVSFTSTTREQAIAFLKGNNLDSQKAINAYFE DPTGSQLKPITSYWNDNRGSSWDFAQQDNAPPMPATAPPTRPPSRTDIRDPEQNTGYQ DSVAIAPKEGSGQGLSLAEREEKELQQAVAMSLNSEIGQQETGVTLHKQPQFNKATRD HYEEGAWAMTLFNTSSEEVMISPDPEDRKRVEGEPAFIRPNPNGIYLGGLLTILHSIP LAREALLLRNKPLFDYGHESQWWNGQTINLPKIVTVNDGKTGDSDWDDIIHETQRLMA FMDTTKRAFGSSDSLAKINSMSSLSADSEEIVTRFLEAWHGAAIQADPENPLATIFTS HAYKKEPWHDISEHESKELFTFEPPVEQDNDQTLYDVLDSALWSDRPGSELDDAWLEH LAEVLVIRLDALQKPNPVGVDIHSVFYPDRYLSSCRDFAREFRAERQQIQGDVLKLEQ LINRYTIPREPVGSSTITELLEQAAQAVPIVAPEQVSESDQSSSGAVGPETMRVAEEL RAIATNIEAKLKELELRKQSAMESLREISKTLTEPPKAPSEPPVHKYTLRGVCTEPHV TYVLSNSKTISPGHLMDMDSDAENSNDYQWWRISFSAEDGKTRQADKLKAQGSTASTQ DGEVVGYTARKVQEIEVLQAAREEWSSVLLVYASENAVNAQVHPAPSQLQGFVNRDND AFAGEFENTPTIIFSDQEDSWAESNNAETKHKEQQPEMSEKATQVNVFDYEVSGFDDE PKPSQEMQEKGGTSLLGHRVAAPNSTAPTSSQAVSGWNNADDEDMSHHLEHTMVLESD AVAGATIELLEARLRRLTYLITGATDWTGVPTTPEKPASLDETVSRRLARLESELERL SRSVPAIRDVLQLHDRNPDLFQTTPPHQIPEGLTTQTLASIVLSYATAFPETASRLTS LNDLPVPDAQSSAALINLQPQLDRLAQTQSEQAAEISELRVRTARVLQRWYDVGLVGS GECWAEWEGRIEDVEREVRRAEVVRQGREGEI PEX2_072740 MAKFRPSNILGDPFALMTVSISVLAWLIAFISSIIADMQTEYPN YSWWAISYMFCVIVGLITTFGTDTGHVYGVAIVGYLACGLVLASTSANNLIYGKQASM QAAGAGFILLSMIIIIWIFYFGSTPQATHRGFIDSFALNKEQPAEPSYRGSRPMSSAF GARPDTMATNNTPQMYTSAQLGGFETSSPVSGYPGGAPGAERSSSAPRFGTPNPTTPG NGEQEVGEVPQPTDYPYRAKAIYSYDANPEDANEISFAKHEILEVSDVSGRWWQARKQ NGDTGIAPSNYLILL PEX2_072750 MEQPAFIFLNTTGASSLSPPAAKRMRAHITKTNFAKRRQHAPST GSEPREQRKDQSRPLKESEKPKSKQITTLLPPKSTSPDSARDATAFQKLQELVFLEGR HSPGSPSEAAWFNLIASDPVLIEASLAVAVRHWSPDNAWQLKAYRHSYAAVHSIKQRI MSTGARTDGVLGAVTTLAFGATLEQDDVAWNVHVFGLAHMLKDRKSRTMTPPPSWITD LIVQDSVNAIFKFPRLYHERILEVLIDYNDQRILGIKGLCDSVIQLQKMIESHHQHQF DPTLVAREIEEPLAQLHYKVRALRAIDDLYVQATARAIELVLYLLWPSRSGAYLTLLA GELKEAICRFPIKGCSYMNLTSFPLMIGAIAADKDSVPRAWFVDRLAREVRAMQLRGQ EGFETSLEYQQDVRIPSAHELGPHEVLVRIHAASLNYRELVIAGPMGINGPITPPVIP GCDGAGIVEAVGSSVHEFRLGDRVITQASYKCAEARGDDALAGIADVAACLGQGSDGT LRSHGVFSEAGLVHAPKSLDFLPASTLTCTWTTAWNALFGLKGREAGPGSWVLVQGTG GVSIAALQLAVAVGATVIATTSSEEKAARLKTLGATHVINYLTNPKSWGEEARRLTPS ERGVDFVIDVGGNETLPQSLAAVRTDGIVLVIGQVGDSSVDAVPMFAALLHTCIVRGI LAASRNQFRELVRFIDEHNIVPAVDDVVFELAETKSAYRRLKERKHFAKVLIKID PEX2_072760 MASTQVAGESSVISPTDLPVHTGKKQPAEYDLDQCIAAIKGEKA PSDLTSFWHRRAAIRGIRDSIQFATSPAIIELCSGDDSQAHQFSRTRNARLIMSNVIP DMKDPATEPYCIWYPEPALEETYRELACRYPSMRYQVGRACAAAWYTDLYKELDLLPD VSIAEEARNTSEDADIYKIIMSAPQRWAVMDDFTRSVNLESPQTPAFLNGNIKPRQAL GRCVLPPKNLPDTTADDIDIEEDGFIGLKEKTSKVDRDAILGPGTKLGPGAKLGPGAK LGPGAKLGLGAKLGPGDSEHLWMPLPPDLPVLDKRLPTQMAAWEGNVDRYARLMHPRR LRTETEYNCILRGIYHHTSFARWWAYQLETNPGRIILPGKLLSEGNERECREIRTAIN ARKIMNNDISDLDDDSDCLPWLIWWPVRPHENTLRELASKCPSMRHQIAITAILCDYK SLFRSLKPPPRESFFEAAKQSRNPFYLKYLEEFVRERSIGSREISEFGPYDTTVEASL QPDLEPRDSILLSNFLTWLFLGDSIPETNGALLVSMAKIEDESSGIYNDSADTGYLYI EDDDPDNELWLKLSRPLKHMTSFEMKDWEDDS PEX2_072770 MLSHFLIFVFLSVFAFARNTPNRYNQQNHRNHHDQLLREVYQFP NATWVENIAVRPNGNLLVTLVNTPEVWEIIPSGPLEQSRARLVHHFSNAQMATGITEL TPDVYAVISSNHVWKLDLSKKDGKAITKLINYIPIGSLNGMTLLNKAAGIVAIADCQL GLVWRLDTTSGEYSVMLKDQTMEANYDIGPLLGINGVKVLGDYVYYVNTPQRLYCRVR VDTFTGQAVGPYEIISKGVIADDFAISAHGVAYLAGLTDNVVARAFLNGTQEVIAGSL NSTAVMTATSAALGRNKYANVLYITTGGETTDVTSYTGRGKIMALTLDL PEX2_072780 MKAMKTIVNAFFFLLPVFSAAAVEIRQGKAVPSSGCMEACSRLA GDSGSFAFFPGNVNLTVWDAKQQESQSACRVLPTTTEDVSSILRVILDTSCRFAVKGG GHARDADDSISVGGVTIDMQRMRSIEVSSDRSSAKLGSGHVLYSLYEGLERYNLSTVG GRVADVGLGGFTLGGGFSRLSATYGLAMDNVLEYELVLPNATVAIVNQQTHPDLYFAL RGGMNNFGIVTHFTMTAVPQGPVHGGGRTFSGDQREEILEQAHQLTTTWKNDTALAFF YSFDYDPMAGEFSLTMNQEYAQETSDPVPFRQLNALPFESSTLRTDWPSSFSLDLISP AGGRNLYATLTYYPSADLDREMQDILVEESRSIKNIPGFAPSLVIQPLYEAALRTNKQ NGGSASRIEADGPLSVVLFNPRWNDEADDSAINAFADRWVQRAISAAQKVGKHHPWLY INYASKHQEPFVGYGEANLQRLREIQRSVDPNGIFTSTGLCRGYFKLD PEX2_072790 MKFSSIIILANLLGAGIAYTNSPYKQSTTLRPIATRSRVHNIYE PTGTPCAGNTPNDRSVWCNYSIDTDYEDVVPDMGVTREYWFEVKEVTLSPDGFMIPRP AMTINGTLPGPPLVADWGDWVIIHVTNHLYQAMNGSSIHWHGIRQNYTNPNDGVPSLT QCPIAPGSNMTYKWRAGQYGSSWYHSHIGLQAWEGVYGAIIINGPATANYDVDQGALF LGDWTHETVDQLHQDVQLRGPVRKLSNGLINGKNVYGNGTNTTGSPFHMKVEKGKSYR LRLVNPSIDTHWKFTIDNHTMTVIAMDLVPIKPFATNVISLGMGQRYDVIITTNQETV AESFWMRAIPADRCSQNEMAGNIRGIVYYGNTPKQPRTQPYPFTNVCEDELLINLVPH VPKNVDPPVSPVWDKNVTVSNTRNAQNFFRWRFNSTSMNVSWENPTLMQVYHKDLDFS NSSGVIELPFKDKWVYLFIQNTLVTHPIHLHGHDFSILAQGQPGPGKPQWDGSIITQN PPRRDTAVLAGSGWLLIAFKTNNPGAWLMHCHIGWHVDEGLALQFVERQDEIRDLVDY TPFNENCAAWDRYIKSQNIVQEDSGV PEX2_072800 MEKRQANGPWSLYAYGSNIDGLSIFYADGQAQIGNLALSNADSK FAVSLTFGDNQNTWVAHPDTTTTTNTTESAFTTAGVTSSTNLLGLSDTGLMACPVIFT TLSGQANNNTVAVNTSSVSAPQTNVWTLYGKYVMCSQDNINFYAQPTGLDGWYILLWS ATADAALRNIPVTLRTIGPASG PEX2_072810 MSERISRLKAKLLGRLSPKADADPSAHSNRKPPSGPLDSNKSPA YSIVSSTHLDEVSPPRDRHIEEIPSPGANQEPDSSVSVPSERPHHPGTRNCTPSTDQY NSSLPTPISGDLPSPLETPPLSTPQHSDSTSFQSTHLKAQTPIPAETQLTPTLNTVLE WPTNDRRPSASYFPPPSKRPSLAIRRQSLLPASHQHLISGLLEGSLFSSGDQDSGFTP FIPREMVTRRIWVKRPGGSATLVPCREDAVVDELRDQVIMKYGNSLGRSFDSPDIAIR VSPREGTNRPGHTERLLSPEEALSSILDAYYPGGQKIEEALVIDAPSRRTPKPSPRHS IYQHHHSEPGEHGDYFPLMPPVNANAGTPSSHSGAASIPANAPSISILNTGVAPLLPS PGSRRARHQQRPPLTRHKTNSPTILHNQNTQALVITETGATPHSQPIPAPSAPAMPTP PVAAPPVESPQVKSHTPPATASPRVVRKSKAATSPGAMFGGLIDGTVPPINVLIVEDN IINQKLLEAFMKRLKVRWKCAMNGEEAVRKWRQGGFHLVLMDIQLPVMNGLEATKEIR RLERLNGIGVFPKTASGRFSALNTSAADRRPGLHRTVSEEDTLPDLSLFRSPVIIVAL TASSLQSDRHEALAAGCNDFLTKPVGFPWLEQKVTEWGCMQALIDFEGWRKWRGFLDS PRPASPAVDTSASPMQGGHRKEPPQVDPPSPSASRTNKSDHHEAKPSPPGVAQIMRED SWGSGSPDSLDSLSSPQFPTPGEAAPISDETPAPPEE PEX2_072820 MHSASSRKKSTRHVQQSHHSIQHPSIAIFPFLSFSAVKQVVTWS TLNEPPRQTDSVASSSQPIQRSHRSQNHLPERKFGKWTRLRRGHFGAMKL PEX2_072830 MIPRTLTVAAFVPRPSALSYSTSRGYAKVSLKSTIGHQHRIAVV GAGSAGLAISHQLLRSGKFSKNDIAIIDPSTTHDYQPGWTLVGGGLKNKSDLRKPLTS LLDPAIKFYNEGVSSFSPAENSVTLGDGSEISYEHLVVVPGIKINYDSIEGLPEALAN PESLVSTIYGYETCDKVFNSIQKFRKGNAIFTQPTGIVKCAGAPQKAMWLALDHWKRA GVYNPSSHISSPIKISFATGLPAMFGIPKYSAKLEELRQQRGVEGLFQHDLVAIQGNT AVFSSPDGKEQRKQFDFLHVVPKMGPHLFVKNSPLANEAGLVDVDEFTTRHKTYHNVW SAGDASSLPTSKTTAAITSQAPTLVDNLLLALEKKPPQATYDGYTSCPLVTEYGKVLL AEFKYGGVPKETFSMLGIDQAVPRRAFYHLKKDFFPWVYYKAMVKGTWGGPKGWIRKR PEX2_072840 MLFPLFRRLLPRPVANNGSQLRDHQANERTFLSWNRMGLAFAAM SLALTRLDFIDNVLNRKHSEEATTTPATSMEVVSRNHSNTAQSSVAEQTSCLLGYRND LVASRICQAISMWSFGYSIARYVSVRRNLLLGRYVPAIWGPLLITCGSLGVFGITLKS E PEX2_072850 MEVVGVIGAIPSLLQMVRWLTTAIRGFSKKKHAAKAAAELILQL RDIESILKDVQHRWKESPLSLSQLQGLSPIFTQLKTELSSLQATLQSKTAKEPRGFFR KAMLLSTGLDKTLKGSLVSLSQLKTSLTLIIAHHNDKVSEELLDISSDELRLKLRALL RPSGDSFIPKRLEHTCEWIWSHDTFSKWLDDQASAPRDDMSRILCLHGVKGCGKSVLV KSIAEDLRDRGKIASHFSFWSGSETQRKLLDFLRTLLWQLLSCLPESETRQLTRSLIN EASINESNVFKAIQGVLGLVKSDLYCIIDGIDESTDDWNSHRDGSLRTVLDILKSHPR LYLLMSGREASMRTLLKGSSPKLELIENLTRGDINKLIAAELDSALTIQTLEIKAMAQ KSLEQKSQVMFLWTTLISKELRRCFSVEEIRSTLNQVPRDLDRQYHRLLLQQMTKTGG TPTKPSTSMKRARLLLFSIFASPEPLTADELCYAYAAHVNRGGRIEDDLISVDGIIDA CGEFVRLTEGRYHLIHNSVADFFTRPESEWHAEDSDIVYFRVDNAQAQLSMCSACFNY IELLDLGYPLTDDGALTLPSRYPFFSYATELLPYYFSRVIETGLTSWAQSNVLQFMRT PQFCALIEYLVVIFQRTPENNEIGHWTELMCVEFPWETLGLKEVYEKELQRRMQCFGA HDGRYQSWQSPSIFMLMSGPNWTDSEFQSITDNSSAYNVFSITKDSFTNAIFHNSKNI VAREGGGPSLAALPQYMTRTHGNAVDTLSKGLYAATNIFHGIGNMADVVSLSLASLPV PVLLLMVRKAYYEGEFCSAERLASIAAQKTANTGNQWESLSLAELGAAMYQVTPEKDE KAIELLRRCIQIAQRLRPQPQIYLINIFAYTNLVRLLIRQEKHDDARAILLDLGSLTG KDRDKSESRLWEFFCSTRDGVGLRMDALTMVADEYYSANNLTDAANVMTQVMAVWEST GSKPNKAVRWSLKTQQHILFSLNKPSECLIVSRKLLKFLESCSKRKHLEKNEIHLRRQ TQRYAAASSAALGNMGEAMTLYCQSADDACLLDVNKEGHAFLWDLDDLAIDLAFIGEY ERSVLVCLKFLEMHKISLEDKEIAPKGFRNLEPLVSKLQQVGFVGSNYKEILHCFSLL KAYQTHEYLVAEADWWRDMAFYVDGHHVPSFRGQMVLPFLKAIDTILSTNGGLWQAVE LYRTLAGLSLKAGSRSAAELLWDDAAARCFANPSHGFYQGLIMLSDIALHNGRFHQRK LLIAMAYANCICECCGNSDEEMDFADIFFAKEHVKDAVHLVNIASAEDEMRLFYLETA CTHVARAIKANRTMYLREGSERWIPDEDEDEDEDEDEDEDEKDGYEMDEREAREELAD LETRLRNIGGGVILDKAIIESKENAPGKHHLRRVKSFAGLYEAKPHICWRNYSRSRSW ELLPGLPG PEX2_072860 MPPRIQSRVSNTLLPYLTATSSSSSSLSSLPSLSSKSSQCISRQ FSATAAPQAQTKLRRQMFEWLENEGAVLKHHIPGETNYVTRLKQRGNEGTEKPRPFPN NQNFFSEPVLSEELRNEVYNRVVEQKKSPRVVSVELGIDMKRIAAVVRLVELENRQRA QGKPLALPYARAIHEMVPITPLAEKGERQSYHEPINDLPAHPLTGSQIFYPVPESRSF NRVEAGRVFSGAPAMEHSEAAEISHPSDLTEKIIEDPNSIAWVGKGANARQILQPADV RIPHPHLVALERDRLANPNERRAVSDLQSKRLQRQDAIEKERRERIQARREKKLTVVT PEESRFDYRIKDTIYTTETTGADGRGSKAVGRRYGVPHRDRTRGEVKIPTSVEA PEX2_072870 MSGSSSQSTRTSPGSFGTIQLTESVSSGSPPQQSLSSSQVPLTA QHAMSTDVYSPNASQAPSSMNPSFTYPFSPIGTTASFDNNMRLGDNDRNLQGLNGLNR GNGGAILMRKLPRNTSREALRSMLLFAKDFVDADFVTLDLPEDDGFLTAIARFMTLPA AEEARSLLDGKPNSKNDANMVVEMYPSPMAANLSNTRRNTIDHTASRALMGASNGPLA RQSSRFNGTFQSLERLSNANPNQPIGEGLPPTSESGSRMHSLFSPQSPIGNGIDNLPR ITGKSMIDEDPDEETGELLKDPVGYAENGHSTNISAGRRATNPQIPTNRFANMSLSTN MSSPPLQNYPGGGAQRMGGGTPTSAYPNQGHSFPYNAQHTPRHSLPAANPNDLNPPCN TLYVGNLPPDTSEEELKALFSKQRGYKRLCFRNKQNGPMCFVEFDEVAMASKALNELY GYKLSNSVKTGIRLSFSKNPLGVRSGQPGSMNSSNATSGQGPVPGGSSLSGIHNNMFS AVNGPPPGLAAPPGLGMPMPAPPMRNGTSMHTPINPHAGMIGNAAYNPNSGLGIRNGA NSMMSPPPPSNPGGNNNAAPNLNGYNSFYPDYMMGR PEX2_072880 MVLRIRLARFGNKHNPFFNIVVAQARSARGAKPLEVIGTYNPIP KRPTNLYENTDARPYKEIALDRSRAKYWLGVGAQPSDPVWKLMGMAGLVAPKPTTFDK A PEX2_072890 MSLKAISAKDAASLDKDLMETGGWSLDQLMELAGLSVSQAVWKV HPPSAGKNILVVCGPGNNGEKQLQSYHSEQQQPNKSPGGDGLVAARHLAHFGYTPSVY YPKQGKNELYQRLKTQLENLSVPFIDDFQTALKSTDFLVDAIFGFSFGGALRDPFGEF VSQIEAANVQVLSVDAPSSWDIQSGPPKEGPGAKFMPHALISLSAPKPCVAFYRGRHF IGGRFLTKNLADKYGLDLPKYQGVDQVLEIGVDAEGRL PEX2_072900 MPDFKLSATLEGHGDDVRAVVFPNPNVVLSASRDATVRLWKLVS TPPPAYDYTIAAHGSAFINSLAYIPPTSEFPEGLVLSAGQDAIIEARQPSKGADDNAD AMLLGHGHNVCALDVSPDGKWVVSGSWDSTARLWRVGKWECDVVLQGHEASVWAVLAY DENTIITGCADKMIRVFNTSGTLLGSVQNSNDVVRALCKLPASNSTGAHFASASNDGI IRLYTLQGQLVASLHGHESFIYSLAALPSGELVSSSEDRTVKIWNGTQCVQTITHPAI SVWSVAACSETGDIVTGASDRIARVFSRSPDRHAAPEAIQQFDQAVKESAIPEQQVGK INKEELPGPEFLKQKSGTKEGQVQMIREPGGTVSAHTWSSATQEWIAIGMVVDSAGSS GRKTEYHGQDYDYVFDVDIEDGKPPLKLPYNASQNPYEAATKFIGDNELPMTYLDQVA NFITQNTQGATIGQTQEPEGADPWGSDRRYRPGDAAATEEPQTPAPEPRPKVLPQKIY LSIRSANLKVITKKLQELNAKLVSDGSKDVALSPSEVETVVALCGQLESSQQLQASPE VESGVPLILKIVTTWPAANRLPGLDILRLLAAAVPYTASAEYKDENVVSGVISSGVFE SPLNVNNAMLAMRTFANLFETTAGRDLAISSFDEILAGVKSALASSGESPNRNLTIAI TTLYINFAVYLTSEGRNQSPESAERGLVLLEELTRIIAAEKDSEAVYRALVALGTLVT ALGEEVKSAAKEIYEIKGVLARASASGPGKEPRIKGIISEIREAL PEX2_072910 MTADIPKVVPLTCHGHSRPVTHLSFSSTLEDEQYYFISSCKDNN PMLRDGITGDWIGTFLGHKGAVWQARLSADAAISATAAADFSAKVWDTYTGECLHTLQ HAHIVRAVAFPIQANPQVLATGGAEKKLRIFDLTRGGSSSTGSTPPLPSSATPATENG VASYEIGAGVHGGTIKSIVWNQDYNVVTTAAEDRKIRWWDLRSRHPIVEYTVDGTIGS CELNSLATRPNDAGILTVAAGKSVYLFDGVQPGRLLKKADYDYDVASAAVNSESGRLV TGSANDTWARVYDLNTDEELEVQKGHHGPIWSVSFSPDGKLYGTGSEDGTIKLWKACR EPYGLWR PEX2_072920 MDRSLDEIIAEDTRNTGRPSGGGGGPRRQNNPGRRHERDGPYTS DRVDLNLDWVHDKYDDDRSRPSRGGRRGRDGPDSDRSSTLTKVRVDNLHYDITETDLD VCFNYPILNTHTRTPLTSLFAEQDLFGRIGPVSELTVSYDRAGRSEGVAYITYARLKD AHTSIQEYDGANAKGQPIRLSLVPGRRERNPLDSAQRPRSSLMDRVERPRDDRSMSPE NNADGRRRRGGGGRAHRSDVTKPAPDNIDRYVPGQRSHQRSPTRRGGGRRGGGRDNRS QQTDGSNRRSNARPRKTQEELDQEMDDYWGGANNGGGEAAAPAQDAAPQQTAPAPSAP AADDDIDMIE PEX2_072930 MLTVEKQWINVQQKTFTKWLNDKLKARRLSIEDLVTDLSDGVIL IHLLEILGGESLGRYASKPKLRVQRFENVNKSLDFIKGRRIQMTNIGAEDIVDGNQKI ILGLIWTLILRFTISDINEEGMTAKEGLLLWCQRKTACYDDVEVRDFSSSWNNGLAFC ALLDIHRPDLIDYDSLDKSDHRGNMKLAFEIASNEIGIPDLLDVDDVCDVTRPDERSL MTYIAYWFHAFSQLERVENAGRRVEKFVNNMHGAWDMQNSYERRVKELLRLIRGQREH WKTSSFEGTYKDAKEQSYQFSLYKRTEKRQWVAEKSDLAALLGNIKTKLGTYRLRPYD PPQELSPENCDKEWEILTRQEHERSQLINETIRDIKNKLRRSFADKANDFALTLKTLS LAISGLDGDVEDQLDHVKKLNSNLPPLDAFLDTIAELDQQCAEANIEENDFTTYTLDE LAYELSLVKSSISKKLAFLDNQMVARNMTNLTPIQLEEFESVFRHFDRDSSNTLHELE FSAALASLGLVYDEDEMHQVYVEVCGVNRLSQNAGVSFEQFIRFMVSVTEDQNTAEQV FQSFKEVADGKPYVTELDLRHSLIPDEVIEHLVKTMPAHDGPDLLEDRELPKYDYISF MEKMREVNKNNGTEQ PEX2_072940 MSFSEVAGPVETMSVSSQILSMRGANNAKFEGHPLGMSFTQPNQ ASWRFVLVLSLLFSTLLSLFIFALPESPRWLCKQGKWDEAREILTLLHNDDPDFEEIT QQLEDIRISLERAGNASILVMFKMGPQRSLHRVILAAVPQMFLQMSGVNVIAQYTPVV FEQFLGFNAFDSGILAAASQFAVILGAICCSWTVDRVGRRKLMLISATMMSICFACLS GLLAHPENHTGIKAAAFFIYFYLFVYVLGFLGIPFLYASEVAVTQFRAPTTGLSTAVS WLFNFIVAEVTPVGFANIGWTYFLVYCCINAVCVPTIYFFSPETAGRSLEEIDEIFLS SNSIFDTVTVAKELPKHEHRGVCADEEGKETSSEAVHIDHMEIMGRTDRVAEPNMRFS LCFQ PEX2_072950 MASPQYNELRDEIYVQIMKRMEKTKKTERMGRMERSHEEGSRFA PHGTAEKVLQPEVLRQFFRSLLLDDMPINADLDFDFNEENLIDNMRERKLHNFLAILI FTSCTIEAARTFTIKLLAQTGWSPNLCSLPAERESLTEIFGEQVTPDKFMAQQACFCP IVIRNKTEVRIQGLDRQCLPYLEQHYLGEGAQGTVYRVKIATRHFYDPDINGTNDQPK EMARKDYQSTKDMERDTLRQILACDRTCPNIVDIYGGLAIGTKYSIFMPLALCDLSAY MREQRPNKPNTTMEKTDIILSAWGLARGLEFLHNGMRTAEGDMMVCYHMDIKPSNILV FLDNVKGRQEAVWKISDFGMSRLKLRSHGREKEKDVGGWFVRSQRYRDASPSAASNRR GDGTYIGPESLSKHRAMREKSDIWSLGCILSVVFTYLEEGRTGVEEYESRRLEHRSAD GYDRFFVTDPLFGRPKISPAVTLWHDKLIKNARLRSPKEGEAVKSMLDYLVHSVLQPE TSKRCNASELEKKLIRTLQQYRDLGNEVTPRLPDEAPGLGRVLQRMYLDIFMRESQDP ATDRPFKYWNLDASEPFKGCEISPDGTVIAFWTDIKISLYTSQSLQQKGDNGIRPAAE YPIPTVGFWKSISLTKRHLIASTSGSPVQCYIFKLPTGPYMDVNLRPSDRVSIPSLPE IKKLALAPGSQRVACIVSNNDENQNSGSLYFGDINSPYEWKLRYKLDWPAADIVQLSF STDDDLYMVFRPQRSGPNHKHEIPVIHVSFRYNQITPLIIESLGLDTSSTVGLFTTFA TSLQKPHVCVLVTREKQLHIQSLAQEDHTPAIKFDIKNYRVLKLMMDKNDDKILAVGR RAAHHTMLLLEIAMPPQATKISVTELAEIPGLTHSDEFTERVVHGEEESVVILAALMG ANQCRIYKTIVPRSI PEX2_072960 MLDLLVAGHEIGPSFWAIPSCFYQRSDDLEGVFCLPFTESCSGS INEISYTIRYPEYRLQEDRWVIRQTGIYHRYDKTSSQSLFVLFNPTPQSQAHSQAENL LRNFCPEIESDPFWLHRLLFETYFPAWRKYIAIHEQRFLPLAGSTIATFISGPLAVGY DTLRTLTALQTRFLEVPAILKSATDILDELCNVSSSRSGVSTNYPGVHYFKNHRRECI AISHNASHLQQRAQIVSKLLADTLLLRDQVLAKEQNANMLQLNKSAVFITTLSLVYLP SSFLATIFGMNFFDMDEANNRIVGTPMIWIFFVSAAALTAVTFLLYYWLLRRDSATLR ALAPKIQRSQTWTIEGVRRRFTGGGGANPSIELQAC PEX2_072970 MSFSTLVATSAAEFNFWDYINEQPALQGCIIYFTIPLVLVLINA IRIEIYGWMEVATGTIKILFLGFIIVTLIAINLGAGPDTRPLGAKYWASPTDFDKRAA DNWGSALLMSISIATFAYTGVEVVAASALEAKWPHRADETSTPSSISTRSNDAQMGKS FKFSARFIPLLAMIGYTTSGLVATFDIGRTDCALPRLSWLSLDIEAGCEKPSNSAAFV AIAAMSNIPHLADVFNAFLVFTCLSCATTNLYVASRTLFGLTSRLNGGEGQIWYLRVF AWFGKTDKRQVPLRAMIFSAVAFWWVPFLQLIRGNRNSQSSGNTTKSALKETRASVDM FVEVLSQMAYSAVLIVWACECLAFIRFYHCIRRHHHYLKRENVPHVQRWNEEDLDDYP YRSHLQPFTAYLALLGCIFVSLVANGAALWNGFHLLPFLASYLADFIEQVIVFVGLWV LLKIMRGAKWSLVDLSQPEKAKKIFSHLHDIRLSVTQPNEPKHRL PEX2_072980 MSASFKITDLLPLQNSSARIPRLGFGVYRSPTNQCVQSCLKALD AGYRHIDTAQFYANEAEVGEALRATSIPRDQIFITTKILSPAASVEATYDKLLASVHK IGGADGYVDLFLIHSSSSGSAGRKLLWQALEKLYAEGKTKSIGVSNFGVGHIEEMRAY AQVFPPHVNQIELHPWCQQRVIDEYCQKNGIIVEAYSPIVRNYKANDPALVEIAKRYA KSTQQVLIRYALQKGWVPLPKTDTPERIVANADVFDFDLSVEDMALLDSFDQGSAGAI VEAVDNE PEX2_072990 MGSSDTFPTLESLHFPSAQLSISQTLSSLRRSALSVANRLQSIE TDATFAQEVADHYGLPLVANERCGSWYIPPTTKAGSAYFKSTDGHTVMPDALSKTVPI WSAVLNRALFPSATAYHPVSLPPDYLGASEEAQIENRIEGFVHSLKSLKLDLDNLREQ LGKPIQIAWANRTYFHPSDLHTGDDYNLLVLCSASRRVHGAEMSEGGYIQGAGDDSEA WAYGLTPPVFWANQAILKSTPEDELPGLIDRLVAEHKLLDVAQDATLISPTRNLYIGS GAGVDAGGRYYLVIDCNGSASAVEGNAKRLNLGCDSGKLGSRDLRKCLDKVREFIGAR LGADSSLSVLVTCENGKDLSAGTLLAIVCGFDASLSKRAISKQFIRQRLAWLVSSKHD VNPSRATLQSVNAFLMQPPDY PEX2_073000 MVTLIGHCWIRPRSIHQPTRPKLMHGHVPVFSLSSCPPRGDRTP IRTKKAGVRND PEX2_073010 MTKITEIFFDCDNTLVLSEELAFEACADLANEILEANNLPDRYT GDQLIKDFVGQNFRGMMVSLQKKYDFEIDAEKLEGYVTKEEDKVIAKLEAKAKPCIGA SEELEKVYASKKYGLAVVSSSALRRVLASIRKVGQDKYFDEDKVFSAASSLPKPTSKP DPAIYLYALEQVGKTASETVAIEDSKSGALSAVRAGIAVIAYVGSYNGEETQKEMAKA LTDLGAKTVMYNWSEFEDRLKDIENDVSVDIQSSL PEX2_073020 MSARTQAKSKLISRGPLDPSEARWARMVKTTYTDPLGVERTWES AERTTRPPGIDLDGVGIVAILKKDTGSELLLQKQYRPPIDAVVIEVPAGLIDAGETPE QCAVRELKEETGYVGVAEQTSPLMYNDPGLCNTNLHMVHVRVDMSLPENKNPKPELED NEFIECFTVPLNSLFDEMKKLEKEGYAIDARIGTLAEGIELAKKWRL PEX2_073030 MNSIRLAARHLKSTQPIPTRRPTLSITKAKAKTTKMSTFTIPNS NLNVNSIPGLSQDDLLSFPAFKVWITTLQQSLARQEHPSHEFHSDPYVLRKIDIQAVD RFGGGRLGFIKLKAEVSNGQGETLPGSVFLRGGSVGMLLILQPDDIPSPTENDKRAIL TIQPRIPAGSLSFLEIPAGMLDDSGTFAGGAAKEIQEETGLRVEQGDLIDMTALALQA AQESGYGERLQSAVYPSPGGSDEFIPLFLCQKSMPKKEIEELQGKLTGLREHGEKITL KIVPLADLWKEGLRDGKTLAAWALYQGLKQEGLL PEX2_073040 MGTHGWCSATPLSSARPQSTGVLADDCTMETLSSHAMRALRSPA CASVGHVGKSHRRDGLRVSREPRRLPGAASQLLKSGVPQRINECPADPKIEISVPRQE EESQLAQGFAARPELQRSHSTPIAVHSQNSVGIQKASHATQMQAQVREARHTRNEQII NQNVEVEVVGAEAATQEAKPHEMFRQPETHTITEEQLINEVRGIYTGLVMVEKKCIEI DRQQAQSKAELSQAQWQTFVSLHRTLLYEHHDFFLASQHPSAGPILRDLADKYAMPAR MWRYGVHSFLELLRQKLPGSMEYMLGFIYLSYSMITLLLESVPYFRETWIECLGDLAR YRMAVEEFDKKDRELWAGVSRYWYNQDAAQSPENGRIQHHLAVLARPDILLQLFHYTK ALISMRAFPNALDSMIQLVSPLMNINVPAQREGLVTSFVTTHGALFMQAPVEEFVSRA NVFLTTLHKEIGRVGWDSQQGVQIISCNIAAIFQYGSKNAVVETDFTLKLRNPTAEDR LAAMKWASSASNAFNASNASNAPIHATYSDISSQLAFRASSLTFHTLIVMLGGIGDPN MYPSVHISIAFVWCLTLNPAAIQRLEPLVPWSLLANYLNTLFRPDTIISKIEDESFPL LDDSTTHQLPEDFLIRGQAWSRLYYPESFFEGAPTEDDMPSTEKPSTVIPRSHRCLWL GVRIATFTRWMTYDQTRRFTPTQLAIEFAPIAESPACLYGNPYSLGTEISPSSDQEML EV PEX2_073050 MAAAWGEICRQCVITAVEIPVQILLIAALATLLGLFVLFSLFIF FVAPVPREPLPEEKKYRTLAKDGSVTDPEPLPCWLESLDTKKSTQTPATTHTIAPAEL FMSVVVPAYNEEDRLTGMLEEAVNYLEHMYGDTASAIARARSPDTRETRSMRKRKPNG DTTGDAAGSASDRGWEIILVSDGSTDRTEEVAFRFVRDHQLSLHPKGHAGPWTPKAAE GVHIPPGTIRVVNLTHNRGKGGAVTHGMRHVRGQYVVFADADGASKFDDLGKLVGACR DVEDAKGRAVAVGSRAHMVGSEAVVKRSKLRNFLMHSFHLILWLMTPAKTATVKDTQC GFKLFSRSALPYIVPYMHSEGWIFDVEMLMLAEFAQIPVAEVPVGWREVKGSKLNVLR DSIGMAWGLAVLRAAWSLAYTPEWYHCLLNGINSRISQVDISSRIALSSSEFSEADMK SNLSHTSISSSRLLQLPAELRLKIYEYALDVPNEYLVSKPMIVLGDRGKTFTARGQYR ALSMSPHWVGEDGTARKLLAVNRQLHDEAEDYLYSTHTLFLRNSFNLDRLADFLDTLS ATARARIRSVGFEVFFFVHTQTGVPKRTLKQYEAAARLLSEKLPLWNSVLLYLDPRYY YPSANVGGRDLTARGVFDLATRFGTLCKDVSFYPLPNGDQHLLDEAQQFVWRSRSPLR QSDNRRSIKGCSAWSLDFKKKLVAPSRVRPTSSVC PEX2_073060 MKSTKLLDGHGSLYKEVRFSPTEIPARRTKSVSATFPPVFSGYG RTVFQDSALVNSSTMDTSYIDQSRALLQSQRLNFETERELFAQERRLWEKERALLRSK IAELEVFVKSQGNKTKQLGSEAAKLVLSGGISQYSTNSFAAQVWEGTSPGNRPTRVFF DHESPDQNYLSLISESGNPPSLDRALSPQSRPVDPSGAPVSQPVPIEKLDSTLDGITL KSTALPPAVVARVITPPSPSPLLTPPGTAPSTAARPLMEHRHSLKLKLSELGPPNENL LRHAGHTPMAIIDADDSRRSTQEGTPLEVEEAPLAAVANVHQPAENEISYFPDLPDDP ALTGPLGLINDKEHDSNFLSELDQKLLVQAKNILGNSVESADPNETDSESEFPRQGEE EPEIKFKKSTNFGTAFGISKSGQV PEX2_073070 MVSTTINFSGLMCLALALGPATVLAAPQLPALPFPEIINHGPET TSQGPGNSETSAGSGVKVGIPGGPYVNVGAGFLDTHRGPCGPGSGDDKSAGAGVDVGI PGGPRVNVGNGVHQHQDGYPCPEPPAVVVVPTTTANPPAVVVVPTTTANPPAVVVVPT TTANPPPVVVVPTTTEIVTPPVETAHPPTWTGIPTILPPTFTTPISGPLTTVPAAWAP AQSSVPLIHPAVATASASPSAVPSVPVVPVFNAGSSLVPGSVLAVALPIVLAFFQ PEX2_073080 MNILHSTLSTWRDRLAPVSRTSTFRNTGQITPEEFVLAGDYLVY KFPTWSWADASSPAKRVSYLPDGKQFLVTRGVPCHRRLNDNFAGDAGLEDEIVRDFLS GGDGGEGTATDGGEDGWLRTGGGRDAGADYNKQEARIRDVRTVDESGNLGEQEEDDDI PDMEDEDDDEEAIIRETDDQSGTQSLRTYTLYITYSNFYRTPRLYLSGYLSPSEPLPP HLMMEDIVGDYKDKTVTLEDFPWFEGSVKMASVHPCRHASVMKTLLDRADAALKLRRD KLKQTQSREEANRALRVGGGLEGLVDETKNLSLGDSNHAQPSGDEWEMLQHDEEEQVA IRVDQYLVVFLKFIASVTPGIEHDFTMGV PEX2_073090 MAPNGLKHLSNALATPDQLSNSSSSIDNVPSDLETSIRCAGAQL TQTAGVLLHLSQDIIAQAVVIFTRFWLGADGGSLRIYSVKDVSAAALYLTAKLSFQPT SPRSVLNVYTFLLSQDASPLWFVRQSGAPETPPSPETYILSEGGYQSARLVLLRTETT ILRTLGFDTHVALPHTIALTYLQTLGVAKPTVAQRVIQHLNAALLSPQLLYVTHQPNA LAVAAIYLAAREVEVKLVDSEWWEVFDVDREELGFLVVGMKSTEGFMRAELSRWKNRA IPMVVDEVDAEIERRRMMEEGE PEX2_073100 MSSDLSHAPVTLHSDGTQPHETAALTPPRASVHSTSRNHFNNPP SHRRANTEYIARPPLFEQGIDDTISGSKSTPWSDTFPKKKFEARARVLSDWFQGKSDP VDLGLKMGPNGASNVIGLDSRPAPTSMSHTRQNSTPTNRFSFFGLRRQPSRPNFPEPA DDEFLNLDITAALSLPETDDTNDEALDALRDHADKVLRRMQEAYKQRTFAMHQALADK KEKQEELQETRARVDHLKMQLDGMAAKVLDQEKAMQAMADELEQERQMRRTEESRSRS DTMRIVHPDDDIPSMALQTPRRGGKRASHSTFTSDSGFESGDESVADSIFSQREGVES PTSTLAAPSPNMSQIALSTPTGPMPTPSIQKNLAAVTSAPPPTRSSAYDRVLKGLAST RLGSSFSGGNGNASNCNNCYGVPASEAWSVMGILQDENRGLKTRLGELEVVIDDCLGL VGP PEX2_073110 MSGLRFLDLIKPFTPLLPEVAAPETKVPFNQKLMWTGLTLMIFL VMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGITPIISSGMVFQLLAGTHLID VNLDLKTDRELYQTAQKLFAIILSFGQACVYVLTGLYGQPSDLGAGICVLLIVQLVVA GLVVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGPEFEGAIIAL FHLLLTWPDKQRALYEAFYRQNLPNVMNLLATLLVFAAVIYLQGFRVEIPVKSSRQRG MRGSYPVRLFYTSNMPIMLQSALCSNIFLISQMLYSRFSENILVQLLGVWEPREGSAQ LYAASGIAYYMSPPLNFKEALLDPIHTAVYITFMLVACALFSKTWIEVSGSAPRDVAK QLKDQGLVMSGHREQSMYKELKRVIPTAAAFGGACIGALSVASDLMGALGSGTGILLA VTIIYGYFEIAAREGDIGAGLKGLVPGS PEX2_073120 MATSLARMLKGQVRCYSAPLDMAIPASKQRYIPTTGTYPKGFQV SGTHVGVKASNTRFPDLALIASDTPCSAAAVFTTNKFQAAPVQVSRKTLQSRKGNGIR AVVINSGCANAVTGKGGLEDAVQMGRKVDECSGVENDSSLVMSTGVIGQRLPISKILD RIPTAHSTLASTHEAWLTTARAICTTDTFPKLLSRTFTLPSSPDRTYSLAGMTKGAGM IHPNMATLLGVLCTDAAVEPAALQSILKHAVSRSFNSISIDGDTSTNDTIAVLANGAA GGEIVRAPAATTSADYTALQGVVTDFAQELSQLVVRDGEGATKFVTVRVRNSPDYESG RQIASTIARSPLVKTALYGKDANWGRILCAVGYTQGVAEGTVVPERTSVSFRPVDGSE VLKLLINGEPEAVDEKRASDILQNEDLEIEVDLGGGEQGAAGCGGEDAVYWFCDFSHE YVTINGDYRT PEX2_073130 MKRKLSDAGDQPPVSTPKRRRTALEQSNGAVNGHALNSALPNGD ASYELPPSGRGQSTPKKASSVSATPLQQSGLKTPTHKSKARGLFATPTKPKSATAATP SRVKNADRSARKKSARVLFEQDEDAAWDGSERLAEEILNGDEPDTGKAGQLLAESIEP EGAEEAPEKGVKQPKRRAGRPKGARNKRSPTPEGELPAHERYFFQNRAGPVKTSNATL NKVPLLTHEEYFEKLGEYEDPCKEEKEYLQSLHHRSFPQWAFEFDEGFNICLFGFGSK RKLMDEFAEWVYNHRLSVTTTPIIVMVNGYTPGISIRSIFATIVTAVMGDDAPSKLGA QPTEVLELLQSALKSHEEPVTVLINSIDAPPLRRAANQALLARLAATPRIRLLATADT PNFPVMWDISLRDQFNLVFHDCTTFLPFSAEADVVEEVNTLLGRKGRRVGGKDGVGFV LKSLPENARNLYRLLLTELITLLDDGHQSDDEGAGEGGGRAGDETGIEFRLLYQKATE EFVASSEMMFRTLLKEFHDHQMITSRMDASGMEILGVPLSRDEMEGVLEDLVLG PEX2_073140 MHPLSPFRAAPMQCQYAVPQQSFSKDSEWAVNPAESVGQKQVRP RRTGLLNAETMRQVSLGSVLGLVAGVGLRAFSRALVVILGMGVVLVEFAASKGYNILP INRIQKYVKNVDLRRAMSEKRPFKISFGAMMVMAAFANF PEX2_073150 MAAPNGTTGNDFTVKAGLAQMLKGGVIMDVVNAEQARIAEEAGA AAVMALERVPADIRVEGGVARMSDPGMIKEIMEAVTIPVMAKARIGHFVECQILEAIG VDYIDESEVLTPADDVYHVTKHGYKVPFVCGCRNLGEALRRISEGAAMIRTKGEAGTG DVVEAVKHMRTVNAQISRARGILLASQDPEPELRAFAREIEAPYELVRQAAELGRLPV VNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDAKKRARAIVQAVTHFKDPKMLA EVSQGLGEAMVGINVKHLPEADKLAGRGW PEX2_073160 MATWMSDDGLGARESISEAHILVTELYNPANQRDPARINEIQTR LQQLQKTENAWAIADSLLQNEHSAQHRFMGALTFTVKINVSWNEINQNSATEIMQHLI NRFVALVTNGEQAMVVRKLASSLVAIFRHPVTPWKQAVWQLAASLVHGGYVSEEQSQA VDFQERILPALSKSGSGALLFFSIALAEEALRLDSEPQDGTGDTSAVQRAIHNIKDGL LLVQFVLGEIIQHAKTAGDDAVDVALAAEAMNSWKTWLGVHGNRQRSSAEDVNVLSVQ CGQTVIETLRVPSLSESAASILTQAFESRRWAFDDNSLWLISEILADSIVTVHIAAVR SGDEGAESMSFVDLLIAYVSHLHLDIFSDPMTPQNAAILTLIHGIFKTPGYASIDDLG TPRVLEFWNDIAESLPDQLEEGSPSKLLYPKSEDLEDWGEEERSEFGAFRHEACDYLL SAYPVLGVELVTVFQRSATSCLESRDWRNFETAMFCIAQLSEAVDENGHADQCLDAIF GSDAFARLCAGGETEIPLKSRQTLVDSFGKYESYFERHSSLLPGVLNILFESLNFELC AHAASRSILTLSKSCARVLTSDLPAFLDQLDQFRSKPTATVSTMPKVLEGIATIIQSL PSDQEKVQTLERILGFFVQEAKTAREEVTGPAHEFGRLRGHLVLSCIASIGKGLRSES EVIDIELTKEGNPYPPSFWNSGPGSEAQRLIMEAMRLLIVDFPVDSSIIEAACDILKA GYTERAGLFVFPPSMTVDFIKSFPLGISGTDVIMATASSFLASHARHAMNIRSEAEAL IDHVAQLFSSMLQNPDVYDPETANAGIDFLTRLLPKYYPILFTPMKSNLSAESPLAVL LHFTLHALTRPEPLPLRAASAFWVALIDLRGSSPEEMNILESVHQEFIPRLCYTIIQQ IAGRCARSDLVSLNDALRRTIFKKMALTRPALKAALDSLNAQVTDANGQQVPLLSAQD KTRFLESLAIARGGQKLSLDLVRSFWLKCRGMNFDYAQ PEX2_073170 MGAVDTSERLSKLRQLMQQHNVDVYKFISGFSGSAGTAIISLSK AALSTDGRYFNQAAKQLDANWLLLKGGVEGVPTWQEWTTEAAQGGKAVGVDPSLITAA GARKLAETLKKSGSSLVGVRENLVDLVWGEERPARPSEKVRVHPEKYAGKAFQEKVAE LRKELESKKKAGFIISMLDEIAWLFNLRGTDIPYNPVFFSYAVITPTTAEIYVEDDKL TPEVKAHFGQDVVVKPYDSIFADAQALSTQSQSAGEKAAKFLLSNKASWALSLSLGGE GQVEETRSPVADAKAVKNEVELEGMRACHIRDGAALTEYFAWLENELVNKKTVLDEVD GADKLEKIRSKHQLFAGLSFDTISSTGPNGAVIHYSPEKGSCAIIDPKAIYLCDSGCQ YLDGTTDTTRTFHFGVPTEFEKRAFTLVLKGTIGIDMAVFPKGTSGFAIDVLARQHLW KEGLDYLHGTGHGVGSYLNVHEGPIGIGTRVQYTEVPIAAGNVISDEPGYYEDGKFGI RIENIVMAREVKTPHNFGDKQWLGFEHVTMTPIGRNLIEPSLLSDAEIKWVNDYHAEI WAKTEHFFREDDLTRSWLERETQPISK PEX2_073180 MSGQNGYGNGYGYSGTDRYDTNDGGYGGNSNPGVNGYGGRSAAP PGGGGRSERRPGGYGGFYADSPQPPALPPGQSPAPSQSPERRRDRTNRDWQQPSPQPS SNPSPQPQSHPSSQASSHPSSRSRTRNQEPDRKYREERSRDASRYADNRDERDQRDHR SQRNASPVVNMSNDSGEPQAIETILQSIQRDWDFMTDAECVPVHVALSLMDTSTLGKA DREPEFLHMYNDIQKTLKAIVNEHHQGFNSSIGTYHKIQSNISSSQTRVRSLRHALDQ AKSGLISTKPELKGLATSSQNYDDIVQLFTQIEEIQSLPEKLETQISDKRFLAAVDVL HTGLRVLRRSELEDIGALSDIRAYFINQETSLTDILIEELHDHLYLKSPYCSDRWKPP TSDEKEVHTSNLAGSRSWERPVYSFLAKFDPLTPMVEDASRNPEADTFSYIQLLIEAL NKMGHLDVAVHKIEQRLPVELFAVVDKTNAEVDTRYPAPTKSFSAQDNYKQSSLPTEI IEKRGHVLSEFLWALYAKFEAIAEGHRILHDVVAAIVQREGLTKSETLSGGFKELWKL LQSEIRSLMHDYLATDGDSSVRSRAEENESRRHLYTGNRDKNKKMFKLSDFEHNSEMK AEQDELDEILKSSVPGLVSKSRQKAATNDTTQSNKGNSGTGHKILLDPSVFNMGILLP PSLSFIQRLKDIVPVDADISMGTLTSFLDDFMVNVFLPQLDETVTDLCTLSFISADAF TEDPHWAKSSPRPIFKGTIKFMSIVREFSKMLSSIPHDQAFTQLLIDQIVTYYDKCCG WYKAMVTKVSARNRGGEVRLKAAASFAETGDIHDVVDELWKNAGEKKQTLIDTEIDLL LKRTGQVPLEPYDIISDPKTVISLSLLYNSMQWLASHLARIRLVVEHTADAESSSLTA TGRPSRRWTLFGSMKPNRDSINTPVHLPLNHETAVAFDGTLQSLHRLGDTALLTMHVD IRCGIIHMLTKTMAGPNPPNLRNSEPITPSPNITHNWWHIIMNQPTAASPTILALNND LIAFDTNISTYLGSVERWFITSGLARFIDRVFVACTQYIGAMNENGALRLQLDVLVLQ QNLKNIIIDPAGEASDSATDLHAQASQEIVALPRSAKFLDWFLEGAERTLDYAQEEKE AFASQGDKALAAGNGEPFTYEELRVLVDLCFSEILRGPRGAESREEFMSAKKASADAL LRLNEIMWDAR PEX2_073190 MKYLRLASVAALVSAATVSAGPLGAREHDGYCPKGYTMSVYYKT VTVETYPTATSVASTVTSTSTPVTVESESSAEPTVASSSTVIAVETTTPVAVVQVETT TSSAPAVETSAVETSAVETSAVETSTAEAAVVKTAPVVAVLPTSSAETAAVIKTIAAP AVETTAAAQTTTQAQAPVEVASTSTTQAPVEVASTSTSSATSSASTVSTSNKSTSAAS SSGFAGKATFYGGNVGGGTCSFSGYTLPSNLFGTALSLQRWDDAANCGACVSVTGPKG NSIKAMIVDQCPECESNHLDLFQDAFAELSDISAGIIPTTWSYVSCDLDGPLKLKNKE GTSAYWFSMQVVNANEAVTALEVSTDGGSSWKSTTRTYYNFFENSAGFGTSTVDVRIT GASGKTVIVKDVGVSSGNEVTAGSNL PEX2_073200 MSSYIITCKPDATDDQVQAVKDHAKEQGGTIGHEYSLIKGFAVS FPEDTVHSLDSHPHVEHVEADQEVKTQ PEX2_073210 MSDPSMNSPDNGSHKRKRDGSEDLGPDSQRLNRSSHGSNGSMDN QHNFGHGGLGNYDHGLPNTGAELNIDQQILQHVGPQNGLSDDNALTANAKAALAAHTP QHKYPPPPDSGFDASNLTQGLSFGDEMNQTPMGGGHNHNSTAAAVYAAREAQSMNQKP TVGSPEWHQIRKNNHKEVERRRREAINEGINQIARLVPNCDKNKGAILQRAIEYILQL QEEKKNIDEQFEKHSLTANHAIAEISGSNSKLKGEVARRNNIALKWLTRCRDAGLDFD DYEESKELESLEMD PEX2_073220 MRIATLQFAPKLGDLKGNIEKANALLKTGKTVSVDGKELGVGVD LLKPDILVLPELAMTGYNFPSLEAIKPYLEPAGDGPSASWARETARRLRCKVCVGYPE IEKDSQNPDRVTYYNSLLVVDENGEFVLNYRKSFLYYTDETWAAEGQVERGFHELEFD SQGTLSSLTNPVHQGEQVLSEKKRVATSLGICMDINPYKFEAPFTEWEFATRVLDSKS QLVILSMAWLTTLSREELDALKDKPEMDTFNYWLQRFWPLLQKRMEHEVDLDGGKAAD SPKKIVIVFSNRSGEEPPTEGTKPPARYAGTSTIIAVTQRPTLNAAEKDAESGAHTPD EESETEDAGIPFDVKILCWDMLGAAEEGICFADTTADPQMVFALKKASE PEX2_073230 MARSFKMIAQPVHLLTSSRPSILVCRSLWRRKLDSVSFVRLCTT RAEKHHVKYDWIEGVERLEEYQPGGYHPIMIGDVLHGRYRIADKLGFGGYSTVWLARD TYLNRYVTLKVSVANSIQHETKVLKALSSPSPSSSPEHPGRDLVPVFLDEFKVQGPNG KHTCYTVAPAQCNLKEVSFSRLFPKEVARALSYRLAQAVAYIHSQGYVHGDIHLNNIL FKLPSSFDELSIQQFYGRFGEPETVPVTRCDGEPLPPNAPAKAVVPLFIGKSAEEFAL SDAHPLVTDFGEAFSPASEARLGHDCHTPNAFRAPEAKFESQMPLSYPSDIWSLATAI WEIIGMKAIFSTDFVDEDQIAAQQVDVLGPMPSEWWQRWEARSRFFDEYGHPMESYKM NKWPPLEESFEIGVQKWRWKMGGEIEEDEKAAFLDLMRRMLSFRPEERPTAEEVLMSD WMVKWALPDCQRK PEX2_073240 MSTLSDLLSRQPPTPWEQFLAQPCVFLAQKLYTWHQTIPAKPLT NPITIVCISDTHNRQPSLPDGDILIHSGDLTQPGSLKELQATLNWLHAQPHRTKIVVA GNHDMLLDTARDDSEQAVSERAQLDWGEIVYLENEETTVSCANGRQLRIYGSPLTPRY GNWAFQYPRNQDVWTGSVPDGIDMLITHGPPRAHLDLLNLGCAHLLRELWRVKPRLHV FGHVHAGAGTEWILFDKLQEAFERTVIAKGGIWNLISTIRESLKACFNPSVEAKCLLV NSAIVAGLRDDERRRPIKVII PEX2_073250 MASPFNPSAGRGHRGGAPGVQSSTGRGRGGPTSTYVPRGAGAPR ATRARGRGRGSMTWTARGRGRGAGAATHTVNGSQQPAEGPNPGVVNSPFGQPNQQKSV ASPFGAQPAQQSPFSKVSNNASASNVAKNPFAQPTNVTKQQSSAKFVGGGSNVAASME HASTLSNYQDRFDKLKIDQVRQRERAIKDGQMADPNQPTSLKQAITPVGTCTGMCPDF ERVERIVQKAVDKCEKYYNPATNQLEIMETKMVKRFRRAAAGNDEQLPSDIRTPKTLL QTMNYLIRYVINGGEPLAVIHMFVWNRTRSIRNDFSVQQLTQEEDVKTAVICLERIAR FHIVSLHLLSNPANTEQFDRHQEREQLNNTMLSLMYYYDDNRERIHFPNEDEFRAYHI LFSIHDQRPDLEARVQKWPPALLASPRVQVALELFAAACNTWEPQGALDSRRPNAVAQ GFYTRFFNIINSPSVSYLMACVAEVYFNHIRQTAIRAIWKAYCRTPLSQQSKNDHWTV EELTKVLHFDDDEQTIEYCNAQGLQFVENASGGLYLNWGDRPVDSVDFVPSSDHSFSE TYVESKRAGRSLVAIILGMNIREAARMGMIDRSQLPEKSETLPEADAEDGDLFVSDID NQTPAPVVETPNALLQDTTASEFRIASESQKSLQSTPASSPSLFQSNIPPATSKPPNP FAAPFQPSKIASPPSNPFGTSIPSTTVTPAVPSPFTSSPNPFSFPKEPEKTDASALTT TPSLFQAKFPPSQPDAAGKPAISSFSPAGPSSSAFASTSSASSIFSKPTYAKLETETP AGTSPAPASLKPGLFSPASSTADNPASVFQNGSNSVLSSGSSPFTAPSPFSFSKPSEQ TQKTETPAQSTLFKPSTSPHAASAVDNPTSVFSSGAGAFTAPSPFSFSKPSEPTQKTE TPAPVPTAPSTLFKPSPSPPASLTESKNPFAPSVFSGANPFGPTNTSAQSTVSKSDSK AQQTTVASNNPFALPNAPSSFPAVGLGNTVTPAAPSPFHALKAPETAVKAKEPASGFG QPFSPFSVNNASTISGSSNAAASQFPVPSSVFAAPKAPQPSQKADIPAATAPMPENPP LGLSPSLGSLQSPKPSETSVFSQSAPAQAQIPGPPPTNGSASISGTRRSVSHTQATAS SPPRTLFEALRQPKIFDTTSNAYSPETATNQPRAPLFQIPEPSVAPGQQGALKRQHEA TGADVSHQHKRRSSLKGKAPAAAADGSFKRSVHFEEEEPLPQESQVSPVHKKSKGLKK KRAMDEQTEPQPEEHGPSTKVPKVSTEDEYVPFNFSVYKAENRPMPKLPILEKLEEKL ARAKALCEPKRLTEEQLQYIEEARLKRARQVDEDEIALSRARILAEKLRTGPGIFDGW TGNIREPWHDPNWNPVARIAEKYRTRKIPQPTSYVPPRLTLNRTARGYEVAYAPDTPD RPMSRTEERIRRTGARGLAHVPLDFERHRREKEEMAKSKNGVKENNGKDKKDEEEKDV SSKSV PEX2_073260 MKRSASALEGPPGWGDVPPMMTNSRSSFRPPYAHFAMIYLDRDG KLKVDESSSIQEQNSTVFTPEVRQNFLEILGERIGYHVPARQTMDTSPRRVRRRKGNA PVLSVNDDRLTEQDTDSEELPSGSTEMVPLRIGDAQKVMAYYEGALKHFQQLNCRMVA KAFIKFIEPRKQVRHPYNGGKPPAGSAPGTTGDPEKTKPEWWPPGVMHKEPDHLRKEY RIELLLHIIRKLGAYGITADKLKDVAGDTKRSLKHASHVEIIYEILRVRKMEERFERG EVDANMVVYTMNRGPSPKGDEEDDSAASVTIEEPEHINQGLMTPISSFEQASTSLTTP IDNIPSARSHPGNFSMAESLTFENTTRQDRPYYTTPPQYTDSFSQPMISTPVTAEMIS PHDVSVSAFDYSAHNTYPNNTTDQRAGVNGHYDTWTPTFRQNIFSPVDYATPASSQGM PQPAMTYQMPMVSHMHDMSHHHAQQSHMDSIHQRSLPFRTGSLGHPNPNGMTLSHAV PEX2_073270 MTGWGPSQKIGDNCNNHTIGVGRVIYIYFQTTSCLCPSHTSGTL DKNYMDWDVCLGWFTATREITSCLSFASAFDGPIVSLGLMSIEIELSNLREGYVVQSR HVEKGWEWPIKLALYLDLRDGLKCHMTRASCCANPSHDRHDRSMMHIDRARSIQERLA LRHLASASFIGAFCGRSRDP PEX2_073280 MLDGQSQVVLEASRPGHLLHSLGSALDSRRQPFCTDSEGWGPVS SLRFDLTPCFLDAVVAVVAVWGTLSGLGALWLLLRKRIPQPVSKNWHFYTKLAVLAAL IVVTALQAAIQVESDPKRFWSDFRFWSSVLTIISLGVITSVQYLEHWRSRQPNGVVLF YWLFFIIAYAVKLRSLVARKEYVGQLPYFICFNISLGLALFEFVLEYFVPKKQSAYDA LGDEDECPYNYADIFSVLTFGWMTPMMKYGYKNYLTQDDLWNLRSRDTTRATGNALKE AWDEQLEKKNPSLWTALFKAFGAPYVRGAIIKSGSDVLAFVQPQLLRLLIAFIDSYRR PDPQPIIRGVAIALSMFVVSVCQTSFLHQYFQRAFDTGMRVKSALTAMIYAKSLKLSN EGRSTKTTGDIVNHMAVDQQRLADLTQFGTQLISAPFQITLCMISLYQLLGASMFAGI GVMILMIPLNGVIARMMKKLQIIQMKNKDSRTRLMTEILNNIKSIKLYAWNTAFMNKL SHIRNDLELNTLRKIGATQSVANFTWQSTPFLVSCSTFTVFVLTNDKPLTTEIVFPAL TLFNLLTFPLSILPMVITSIIESSVAVTRLVEYFTAEELQTNAVILQDAVAHPGDESV RVRDATFTWNRHSGETVLENIDLSARKGELSCIVGRVGAGKSSLLQSLLGDLWKNQGE VVVRGRIAYVAQAPWVMNASVRENIVFGHRWDPSFYDLTVEACALLDDFKNLPDGDQT EVGERGISLSGGQKARLTLARAVYARADIYLLDDILSAVDQHVGRHIINRVLGKNGLL GGKTRILATNAITVLKEADFIGLLRDKTIIEEGTYEQLMAMKGEISNLVRSTMVDSDD EGTVSGSEDLASPESSVTTTIIQNSGASDSEEAEQLGDLIPIRAGGGGEARRRTSTVT LRRASTVSWQGPRRKLGDEENVLKSKQTQEVSEQGKVKWGVYLQYAKDSNVMAVVVYL VAMMAAQTAQVVGNFWLKRWTEWNEAHGTNAQVGKFIGVYLGLGLGSSLLVIVQNLIL WIFCSIEASRKLHERMAFAIFRSPMSFFETTPSGRILNRFSSDIYRVDEVLARTFNML FANSARAMFTVIVISATTPAFLIFVLPLGYIYLSYQKYYLSTSRELKRLDSVTRSPIY AHFQESLGGISTIRAYRQENRFALENEWRMDANLRAYFPSISANRWLAVRLEFIGSII ILASAGLAITSVATGTKLAPGMVGLAMSYALQITQSLNWIVRQTVEVETNIVSVERVL EYANLPSEAPEVIFKRRPAIGWPAQGAVEFNNYSTRYRPGLDLVLKDISLNIKPKEKI GVVGRTGAGKSSLTLALFRIIEGVEGNINIDGLDVSTIGLTDLRGRLAIIPQDPAMFE GTLRDNLDPRHVHDDTELWSVIDHARLKDHVSRMDGQLDAQIQEGGSNLSQGQRQLVS LARALLTPSNILVLDEATAAVDVETDALLQRTLRSSIFSDRTIITIAHRINTIIDSDR IVVLDKGRVAEFDTPAELIKGGGQFYELAKEAGLLDSDGGIASSQ PEX2_073290 MSSQQQRQQQLMLNAYGRRMQMMAAKRQPNISNNSGTPLRQQMQ PQSGTMGSKYDDLPRLEPADYMFIGENPESIGLVPAFFRPFESACQRGPLSVVQSIVS SKNLTPAFLHHGLTRALRSGNIEVARYLLASGAPIVRETPSNIFFVTLEYQIALFELL TQHGWTPNTPGYYGCTLLPRTVTSLPLLRWFIDHGANPNLGVQKTTHDRRGEPDTSSC ATLEAAAVRGDVEAVRMILDAGARIQNGVPLHYAAGARSNHDTSRIPVMALLVDRGAE VNQREESRHMVPQYAIVYAAMAGAVERVKWLLEHGADPYIRGSWGSAAEYARTSGNEE ITKIIEEFTKGKV PEX2_073300 MLDEGQSSLKAHSTFAIDFAHYVVGSSQPLGQSNQDIGKLLDTL HHIRTASNDHRFSSKLFPLVHATTPSEYEQHDMPPLRAAIQLLREAEEFIIVNATLSC LASDTVIGPNDAEAYDEHNKLVSMCQTNLETALSKLTLYIKPSYDMVLALILGIVYAI SVSNTSLAWVLVGTAYQCSHSLGFHTHSDSSDELSHEPGQRRLLFWAVYFFEKSLSVR LGRSSIIKNYDITVLSLEGLESSECHAICYVQQMVMLAGLAGRIYEQLYSANALRVTE DTRTHRALELSQELHGYCAQAHDTNQLWAQSTGDECEQKQIHVISASDEVIRLSMLTL IYRAMPPDPNSGTTFSLECITSARCALESHQAFIRGFGLQASSLLLSTYVNWTILFTP FIPFTVIFCHTIETRDKEDLSRMYAFLKSIECACQHSNAIAKYHYLFGVLYSVAFRYI ELGLPSSPMEEEQLQLRSEVDAHLSALGLHPHATDLTGHTEEANFLTSSVRSIEEAIE QNHAEGNNWAQEQWLGRWVSFHQQMMGLFDNNDFPF PEX2_073310 MGSVGDTTATWSSFNERLKFVSDKIDTNDSKATSDSLEDLITDD STVSTTTYSPSSTATTIGYSATGSIAAVPRFISTSDTQILCPSDTHERLSPMRIVSLS SASIGVVATIENFALVSTDIPNLPPAESCEIATSKGVASPTQPAFETSVCSDQDERLS IGSLIAYDAQEASPPYSNYVKSLAPTWIDQLGSVSAQWFEYPSFGHSAVGVKGIYGCT SVIIASEKGVYISHIWESPVFVDQAFNPTDDNFFTINAFNSLRDGTVYAQSVTGLIGT DQNPGVLNAIYAPKVFVLTPFTTDWDRRKFGISTTLRYQARAQELAQKIANIVLGSGG EGIILGYTRTSRQASSQEPGIAGRVILEIDPSYTWLTTPYDLKSAGLQIGRWCLWVED QLITYQDFWLPHITASGGSSRRDIG PEX2_073320 MVDTTQMEELLKRPLYVYDLPPELLASLTAKTTSQPVAEQEPEP SPIDLELAAQDSAIATSNLCSLCKVSYNSVQEQRSHVRSDHHRYNIKAQLRGNAPLEE IEFAKAIGELDESISGSESSETDEEDAEGAAGTTLSALLKKQAKLSHPNEDPEMTETP ITPKHPLFWLSSSGLPSNKSLGVYRAIFSNAEQDEPAHLVDTLRRKQLAPIKARTNKA SNVPDPAASGPHIFMCMIGGGHFAAMLVSLAPEIHRKQGGVEDRQARVIAHKTFHRYT TRRKQGGSQSASDASRGAAHSAGSSLRRYNEAALEKDIREVLSDWREMIDKAELLFVR ATGKTNRKTLFGQYDGQVLRQNDPRIRGFPFNTRRATQGELMRSFKELTRLKVSEVDE AALAAAEAKRREEESKPSTPVQKPQPQKPKLSKEEEAALLHTSQLQALIRRSKVPALM SYISNNSIPPIFTFTPADSPQNFRCPTPLHLAANLDAPAVVTALLTKAGSDPTVVNNE GRTPFELTGDRATRDAFRIARHDLGESKWDWETAKVPSAVSKADAESRAEKERKAAEE EESTRRKASLERLKREEIEKAAKPRTTKSGGRTVGAVEKSAAERRDEESRGMTPEMRM RLERERRARAAEERFKRMQGQ PEX2_073330 MLLPSALPCEVRRSSRFTPSRLFSTPPPSDTGFASGNGLLGTCR ALQSLLNRSPTPSSRSVKAQRLQSPLPLNTARRSSRLHKVVRPVSPRQTPPPRTAALT PPPPPSAPSRGANKRRRDIFDDDESDAEMSRPRNRFATPKRRRHVPYDMPIGLSSTDF YSLHSPPVTQSPPSPPRRQMDYDISAGSPVDPDAPLPSIEVTEERAPSAPQDWTVEED QHLLELVLEKFRLSQQEWDECARQMGRSHVGPRWQSLIGEGRVGLRPRRR PEX2_073340 MLSGVLVFNQKGENLIFRAFRSDCRPRLADIFRIQVISNPQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFLYRLIMLGKSYFGKFDEEAVKN NFVLIYELLDEILDFGYPQNTDPDTLKMYITTEGVKSAIANSPTDSSRITQQATGAIS WRRSDIKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGQIVMRAYLTGTPECKFGLND RLLLDTGETSSNMNNPGGGNGLGTSKTTRAAAGSVTLEDCQFHQCVKLGRFDADRIIS FVPPDGEFELMRYRATENVNLPFKVHPIVREIGTTKVEYSVAIKANYSSKLFATNVVI RIPTPLNTAKTTERTSQGRAKYEPEQNNIVWKIARFSGQSEYVLNAEATLTTMTHQKA WSRPPLSLSFSLLMFTSSGLLVRYLKVFEKNNYSSVKWVRYMTRAGSYEIRF PEX2_073350 MRWSWLFTLIVSLFLLGILAAPASGLGRRDVEVLEARQQKETDS PTTENTASEATTATQTDASKTVTDASTATEATTTGDTTSTTSSAATTHATTNATTTTS AAFATSTVPSLDGTTASSQQQAADSTRPTYTGGLPIKPTITPAWGVGGFILIALGAVL TFIGVRQQWVQILLSTGFLSALGITVLIIYVMSPPVSNAVQGGYLVAVFFTGAIFGGL SLVFREICEGLGCLLGGFCLSMWFLALKSGGLLTDSGPKAGMIIAFAVGFYSLSFSHY TRSYGLIGCTSFAGATALVLGIDCYSRAGLKEFWLYIWGLNENVFPLRTDTYPVTRGI RVELAATIIIAVLGVISQVRLWNLIKERRAKEEASRLEHTRKIEEEDAEVGRRLEEKN IQERAEWELIYGNGKAADSKAVSVSETAVGDSRRGSDGFEESSDNDKEGEIELKEMPS PDASGSASDGEKTRQGGNDTRELEAVPEEDSSQQGEPANQEKSAEKEVQPEGKTSRPT TPVITHVLGEVNDDASENGADIGSEVGTPRSKRFSGREMLNRLSWRNSNGVMNASQSQ ENLVAHDDASSSVLGVVDDIHEMSVGCPSVTDVHDQVETAPREINAELAPEKDSTDVE INSNVGSQAVQDAGLRVDTDTAHKEAEKVITGEATVSKTAQQVSPVERTVVADSPLGN SGTSEVSEKPQSPETPATDVEADIPDASRKTQEVQPQTTLEASPMNEIEGEKAEKTNE DRPSTANTINAESIPEPKVVSLPEPKVEPKTKVLKKLDASNVKCIPEQTSRVIHSYRT NEWAKHLADADTPEMEPIEFEPEPEAEDSEEAHEPAAYVDVGGLLQTPLTAQPPPIVN RPEFDDTDNQQSAYISSVPSPDIPRSKTRIGAQGLTKANPTLTRNDSSASINKLRSAS GPFPTQEPGLTSMRSTSTPLLTITTPNPKETESSSRWNGPLPLLAVREDMVRNRMSST SNRFDPWATRNLSRQSLPEMAPLVSPISPPLSIPEEREVEHEQAQPNEDDIPLSKRRA LLQRQTVQSPSAVSPDNLEATQFPMYTLPAPAGELNRSASRMAAWRQSVREEITQKQD PLAIQSRPLSPTSPADRRTTWNSVQKMREASSAQLGDAIADGMQRGNMTDLHRQAMRL NRAPVESTPSFVRAQRRQCVPISGPPSLLRPPAVRLANPVNHVPRITPITVRAHQSDE SLPLGFGHSRDAHPLLSVPERRRSRLTPSPSSLLVERSQGETESGRTSIALPRRHRRS EEFTEMTAAFAGSAARETENLRPPEAVHLTQNGTRQNDRPRHAQSQTSLRSQAQIASI PSHTGQPHADVAEELAWGPAHPCFPHVNPHVPIGSREHMATRVIRIRRDWMIKGDLAP TFSNLYPEILDPLLSEQEFRRVISTVNDGIVKAFDPYSFRNWFDGAIGLLTGWVWDDL NAPATKSQLQHVEAWLETWNREVGAKDGVHIWSLRRTAYMSLDIQIPDPKVGIVPSEA PSASNTRPSTGIGAGS PEX2_073360 MSTFLSSLRPALRVANAPQTARAFSSSPAHSIARLTLTGRLGGD PELHATSSGQELVKYSVATTHGPRDNRQTNWWRVTNFVPEGSQRDFVLGLQKGTLVYV EGDAKMATWEDSEGQTRSTLNIVQRTLEVLKRPDNGHSDESN PEX2_073370 MDIHQRHPQAVVLPVLSFSSIALAIPPLILHGKNRNFPASSLIF WSILLNLFNIINALIWPTNDVASWWDGSGLCDIEVKFMAAGYLGIPGSLVCIFRSLAI VLDTDRATLVPSKGQRWRNQFMEILFCIVVPITAMITHIIWQKSRYMLFTISGCVNNF DESWVSLVFAWIWSPIICLIAAYYCCLVLIRVHKYRSDFANILLASSSNLSKSRFLRL FFLALSMLVCILPLQGYLVYSDIVLSLPWHKYSWSRIHNGKWNTIEKIPLDGTVFFDR WLCVASGFMIFIFFGFGRDATRMYRTVSWYLGLGYCFPSIQPPTDTHSAAPSPRHAST ATTLVGSIGSRTKSLLSRQKESIATFVSTHGTYNDLEKGTSSRLSRYSDGRSTKKSGW SSLPWSLFNRRQTRQDIDGTLLDDLPGGTLQTVSTNAWASHRDSRELSSEPTSPELNK DFIHVRQVISQQSEVRAQV PEX2_073380 MADSPIQRSLSARRARTFEESQRRNSTLSDSLSEARNSIRSSTD DLFLPRVAKGHDATPAEESNWHSAPLGLALLPAIAGIFFHEGSSFVTDVTLLVLAAIF LNWSVRLPWDWYRSAQAIRREETGFPARDDPQFEPDDDHEPQQKEAATAISELQIHEL AALAACFIFPIVGTCLLHAIRSSLSRPSEGLVSNYNLTIFLLASEVRPVAHLLRLIQK RTLHLQRIVSSSTDPIITPTTLQDLIKRLEELEAHVAETATARLATQSTNKDPHLLSQ KEQDQKQPEASPSLVTSAALETRKAIQPDIEALNRAVRRYEKRSALFTLQTDQRFVRL ETQAGDALALAAAAKRSAESRRPNYALVLLDWACACVVVPAQIVLSVGSLPGRAVAGC WDAVKRMLPGWKVVPHQRLKSKSGSKGKVVAGPGGRAEGAGSSSMYRQSGGLAPQRRS GVRNGDGV PEX2_073390 MSTKSQSPAGSDHKGHESEYAEEIKNPGLDVLSLSRAVRARKAE YTRRRSIRVKVGTWNVAGISGTEKDVGKWFVQGDGVCPKFSGTNYAREPESPSPNKHD QQKSDGDKQGTFHYSPEEIDLYVLGLQEVVDISSPTEALRPYVDLAPSKRWKEAVEKA LPEGYQLVSEVQLVGLLLLIYASPAIGNSISSISSTSVGTGLMGYMGNKGAVATRLVL GETTRLVFINCHLAAGSDKGSLERRNWDSSQIVQRARFDAVWSEDESSGDSGETIGDE DFTFWFGDLNYRLDDISGDDVRQVLTRHTNNEYDKRRQAKQSSSGSGNSEADSDDESP SSSPSEEEETELVTDPVTDDEIDPHNDPASLQTTISSLLPHDQLRLQQRKGVAFHKGW REGDITFLPTYKYDVGSVAMFDSSEKQRGPSWCDRILFRSHQDKLRHEKLDQEAEEAR KRDEEMRASGLDKAVADDNVLFEYDPDADGVNSEEYISDEDQAADDDDDDAITVASLD SSHDPIRLDRYVSHQGILSSDHKPLDSVFTLSFDAVNRELKTKVHQEVARELDKAENE ARPDLTIVVDKYGDQKHLDKDPDTVDFGDIAFDVPAHRSLTIANTSGTAATFSFAERP NVDDKAIAQPPSWLVMHVCKSADIAHDTGQAMTTSSESHTLLPGEVANVDVTAYVRSI EHVRLLNIGKVKLEEIIVLHVDGGRDHFISVHGRWLPTCFGCSVDELTRMPEAGARSL AESDTPHPSSTDGSKAIRLSAPRELFRLTEAISELTERAVAEWSMTNGESEEHKAPWT TDLSGGAWPFQPDTWTLKDPQKRAALQTTVREALDTNKSLTSIFAPELSSLHRLEVLS ETLLTFLNALQDGIVTAKVWQDMEQQIVAREKSKSPPRNWEETQAWVLEHLAYSPAHS VSFTFVTFMLARIANEVAPVSSGTPLLPLSKQGKHIVDKEPVDKQAETTTQDQTQSPT PASATAFITSGSFRRKNRSTSTSAGTSPDYTSQNPSIARRQAVETALASIFSPVLISA SAPLPPKEKERRISEERRRSIVEPFLKMVAVDDRGPSGGRP PEX2_073400 MTSRKPRRQNNHNPVNLTDYESDAPYYSDMQQQPAPPLRSNEEL NLSVIRRHKPSVTSILSLAPYAVVYIFSPTTKQWEKNGVEGTLFVCQETQGDLGEERY TAFVLNRRGLNNFDLPLTDGENVEITEEYVILKAEESAEGEAGQNGIADPLHPQNVPN NQTGTKNVRIYGLWIYSEPPPNSTAESRIINAQMILECATHAGESSKLARERLEAMRQ TSLHAAAAAASIQAAPMEEIQAGVPMGRQISLKDLFGQQRAQDDAWSVRAHHLGPEQQ PNYQHMMLPQMPPPTPPPQPQSDVLGDLFRRAGLAQQGNGQGH PEX2_073410 MASEITPNSFLTTTGSPSDQKTTVFFISGNPGLIGYYHPFLSLL ARYLDEPREEHSTSRSSFQIYGCSLGGFEINEQPSASPNNVIDLSLEDQICFAQGKLA TLMGDDGNGNAESKNTVDAGTRKKVILIGHSVGAYIAMEILRRHREASPASTFDIVGG AMLFPTVKDIAASPSGQKLTTLLSIIPRLAVVVSFFARLLTFLLPTSFLRSVVRLVMG DPPVHALDATCAFLKSRGGVRQALHMAADEMRTITSDKWSDDVWGAASAREPIAKLFF YFGRNDHWVADQTRDDIVAVRGQKGGQAGPTMVVCEEGLPHAFCLKHSDVMAQKVAGM IRQIVI PEX2_073420 MALEVQPPDGRKRVKVYELKDNDWFDRGTGFCTGQILGEEPRIF VESEDLPNRVLLETRITKDDGYQKQQETLIVWTEQNGTDMALSFQEAEGCAVIWDFVN AVQQHLLSLTSADDALSDDLDSYQSIVLPAPELGNLPEIEQIVRAASMTQGGRDALSK YIIRDEYIAKLVPLVTMAEDLESLIDLHRLCNIMKSLILLNDNTIIETVVADHVILGV VGALEYDPEFPTHKANHRQYLADKSRYKEVVPIKDPLIRRKIRSTWRLQYLKDVVLAR ILDDPTFSVLNSLIFFNQMEIVNHIQANGPFLRDLFHVFDPRNPDQRRKDDAVQFLHQ CAGIAKNLQAPSRAQLFANFISHGLFAVIAFAVKHLNPAMRTTGIDILVALLDHDPVM MRGYMLKAVNEKKTPLTDTLIDLLHVETDLGVKNQIADAIKVLLDPQIPMQDPLGRAG PDYFKVRTNLLSDAFVQQHFDESSKRLFQPLKQLANRTTLNDLTFQEVTLYSHLVDIL TFFVRQHLFRTRNSIQSESLAPRVAQLLRVPQKHLKLVALKFFRTLISLQDTFYQALM THNNTFELILDIVYETMPRDNLLNSACLELFEFIKRENIKPFILHVVEKYREKLENII YVDTFQSLILRYDQMQGYGAEADATLFSQDDSTAPRRIPLSGQRWQGAREMDPAEEDY FNTSDDEDEIKWTQDRVATTDEPSSEAVSAVVKPLVDYPDDDDEDIMDTKPEGAEQQP VGSPTETSTEVPASSSPPTQTPPERLAEKRRREEEDDDELSKLTAGPKRRSSTSSNSS AFIMNRKKSLSAGSLADKNASPGVLNRVTSAAPKRIAINIGSTAKPPISETDTIRTEV TSESYEKENRDDSQGNEGG PEX2_073430 MSESKDQITVQASGVDHDLSTPSLAKDAQGAQSSSSQAETSEHP VQPADLVDQKKGSFGYFRTKEFYITVALGQILAIANTSTGTFTTLLGEEKWAIPAFQT FLNYVLLNAIFTPYTMYRYGFKGWLRLVYRDGWKYIILAFCDVEGNYFIVLAYQYTTM LSAQLINFWAIVVVVILSFLFLGVRYHITQIIGIMICIGGMGILIGSDHITGTNGGDV SQGNQLKGDLFALLGASFYGLTNTGEEYFVSTRPVYEVLGQMSFFGMIINGAQAGIFD RTSFHNAHWNGKVGGYLTGYTLCLSLFYCLAPLLFRLSSAAFFNVSMLTMNFWGVIIG VKVFHYHIHWMYPIAFVLIIVGQLIYFLAQKVLSEARKPWLGTNQERGVVGLFTAKRK IVHTVPDAAGYHDQTAEHIPEHTPTVNTSSV PEX2_073440 MSSYYEPQGWQAPAARQASWEQPAPPSRSGSSSVSQREDIPAFS SQFDEVDRAIDNLVKSGKLWNAPRRDSMPMMMGRPYPDYDPRMGGGPQRHHSISEFDG NRMPPSGNAQGFYASQRYQGRPNEVEQMMQAKRRMAAQRERELRNYHQEQQYNRSLLA EMSASKSDRSTSPAAVSEDSRRELLARQHRALYGNESPAFFPPGAFSDDNPRPDSQAG GGTPSSGVRGSSPRGMDPFGLTQAGATSTDATGMQSPSRANSTSSPSSAINASFGAEQ PVTSTSSPGADSPSSRQAASKPVAGPIGSVGPIGSRPAPGPTSAPPANQALNKRSITP LPSPLGLGFTPADPAAGPATERTSSAGPTPAVTSAAGAPGAKDQAGGVGLGWSNGSGV WSSKSGLGVQASVWG PEX2_073450 MVAKIDGNAKCSLHRDVASLASAQSSTMAWRPQSDVPQSDVPET AARPPLTSHQSNSLPSTPYQHARNLSFHSRSPSPARGSTSPRSTHSEATHLPPFSRKP LGGCKYETAMAYFRRRIPYSLGADILPEEKGALKEQLSPDEEKKLSTEIMDLYDRLLP SAESDDRRRQLVRKLEKLFNDQWPGHNIKANIFGSSGNKLCSSDSDVDICITTNYKEL EHVCLLAEVLAKHGMQRVVCVSHAKVPIVKIWDPELRLACDMNVNNTLALENTRMIRT YVEVDERVRPLAMAIKHWTKQRILNDAALGGTLSSYTWICLIINFLQTRNPPILPSLQ ARPHKKRMTQDGLVCSFDDDLKTLSQFGRKNKQSVGELLFHFFRYYGYEFDYEKNVIS VRDGTLINKEAKGWHLMLNNRLCVEEPFNTSRNLGNTADDTSFRGLHLELRRAFKHIA NGDLEGCCQQFEFPAEEERTWERPPPQPRPTLTPSLPSRGGRGGNRGGRYNNQFSRGG LTGGRRQSNTANKSNFRQPNNGNASDISLHAQQQAQYLLHDQLYQQIQLLQAQEQELR MQLHSQALITGRPPPVFIRQPFIQFPVPQQQEFPEETSQPRSGTGTASHATLSPTQRQ QTMYNPTYASVGASGSQATITNPPSPSAVSVMPDTRRNSRRLSAVNGSPKSLRAHSQP ARPQGSPSLPSYIPLYTMPPPTENWSKQRPTTELSEGGEGSGDENAMRSNSLASNGSR SNSVDDNRQQDVLGYYITPQQLQAFQQGSMLPPLSTQMGLVSNGYSFIPLQPDYRPGS FSSETARSEHTPTSKPPSQPVQSAPRPIASGPLIVDGSVRPSEPRSAYAPELIDPYSA ASHYTSSDDHNMNTPASFSDSLSQDYQDSGSFDLEHSAVFTRPSPETQKVNGVSGEKQ SDTNGQSELLASRLQNYHLSNSEKLVQQPAKTSPDRPRNGTAQGPGKEPGQPKHSGQM SEKPAASGPNENRHQSTNSKRRTNGDHSEKANGVNGKSKPKGQGRHDGSHTAASGTKD RHSDPPRRSGGQSNGTNDSNHGWQTTKKKNRKNTKSSMESRNGINGGHELVPADDSLR KGG PEX2_073460 MAPVLKGDASLSVAAQRRIEHHEADVVIVGAGVLGCALAVALGN QGRSVILLEKSLEEPNRIVGELLQPGGVQALEQLGLRDCLEDIDGIDVKGYWVSYFGE PVLLEYPKASPTSPTPLGRAFHHGRFVMKLRAAALSCPNVTVVETKVTDLVISAYTQE VLGVECVTKEVKDCYFGQLTVAADGYNSDFRKQHHQYTPKRKSKFYGLELIDAKLPAP NTGHVLLSDNPPVLMYQIGTHETRILVDIPDNLPLASVKNGGVKGYMRNNILPQLPEG AQKSFADALEQGQLRSMPNSFLPASVNKTPGLMILGDALNMRHPLTGGGMTVALNDVC LIRELLSPERVPTLSNTGLVLEQLAEFHWRRKNSSSVINILAQALYALFAADNQYLKA LQRGCFRYFQIGPVGGPVGLLAGLIKKPLVLVSHFFSVAFLSIWVLICDTPLPRVLLA PYYAFMILYTASIVILPYIWTEIWY PEX2_073470 MLARAAKSGSIIPSPNALRVLRQLALAGSTVGGFCTVAALTYEV HRRVRIAEKIIENKRTLHSTAPNYDATSAAKRLKVMVEAAEAGEFMGIESLKTRNRNA PDGPHEPGFQAGKDGPKPKPKPLVSLEKHHARSKRSLFGIHPLAQSNYAGNIDPPSLA HNAEILAREKQQGELARESGKLPFDAEIRRLLNQEREITAANLFLAHTRQTPSISWER RELACIIFTANCIKGNLFVARTLFNRMDKVSVVSGEMWATLMHLLAKEGHIDSVGIIY DKFRTSFDVPTHLLEVILRCLIESKRLDSAKFLFFQRFEDDRDCGLCGAYLDGLWRKT RSMELLSSQLGLILKRLESLDRKPTEKLFNPMVKAMVETGRAEEAEAMVKAMSTRYGT EPGCRTIGLIIYNRALNCEWDRVMDGMHAMHEHGYTQSKKDFTMIFDRVFLEYYPTHT GQQILDFVTSCINDFDIQPDKVLHRHILEAIIEKGNEEMITTIMDMAHDQNWNTGIDD RFIKSLVKSRKLAMTDNPVGIWRLKQAAKNRQDHLTSSRRILGTSSETWAVRGDKIAP IHIPAEESFPQTVSEMVASKTPSLYVPLHKRMEHFINMGRHTDALAIYNHATTGGYVV RPLHLKLAVIAAILSCKKTGLPNARRLITSEWDYWKNVPALRYSKRFTSWIPIFFQRV MEVDPEAIGYGGLIKMAIFEYYDICANTNGLSVKHFCATSTSRYLVGGGTPELAVDLL TTIYQSRWRLSHGFDQVLLKMLLRAFAKVHNFKGVWWCIMTVLSRNEPINQDFVVEAT SQLGSLENAIRSSGSASYDAEGQVLVLRKIVGALEAKFEGDSHWSTINVYPELKRSRR SKPFKLTEQQHLLPTTDLGELIMNFDEEWELDLLLRRKQFDAPDHTKWWSESNVSNLH TVPSEDPEYPWNNPANWGVPYVAEKYSSDYHLEFSPRGDYEEYMEYEDYQGHRASQ PEX2_073480 MENEELFVGEPDIAPMHNRETRRAEDVNENTPLVHEADGLLQQQ PFYLTDNRPKWRRPSIWWLLPFGLLFTLGFGGMAVPKINLIMSLICRDYLAEKTTEDP GCTYLPVVFGEHNPQCQIPQIQSLVAQFQLYLNLIAGILSALVSPRFGHLSDRYGRTK MIALGAMGAVLNEIITVIVAKWPDRVSVNMLLLGAVMDGLGGSFTTAQALIHSYASDC TPAEKRSVAFGLFHGALFFGIAAGPAGAAFLIKHGGTLVVFYIALAFHAAFCLSIFFL VPESLSKERQLAAREKHRIKSVDADSPKWYSWRVWNPMNLITPLAILMPAVGKPSVLF PNRRGASPALRRNIMLLAAIDTAVFGVALGTVQVIIIYAAYMFNWGSVESSLFVAIVN VVRVVNLFLVLPLISMFFRTPGKEDGAIRGSDTLDIVLIRISIIFDILGYIGYALSKT PSVMILSGVVASLGGMGSAILQSSLTKHVPQERIGQMLGATGLLHALARVVAPTVFNL IYSLTVATLPQTVFVALAAVFGVAFLMSLLIRSHVTLEVGPPTDLRAEEDADEHDRLL L PEX2_073490 MAFRSPFAIPRQLIAGTTSLGGRSAISSRLRYNQRGLATVVPPV TQDTTGSKGPTAMVFMNMGGPSTTDEVEDFLSRLFADGDLIPLGRLQSYIGPLIARRR TSKIQKQYADIGGGSPIRKWSEYQCAEMCKLLDKISPETAPHKPYVAFRYAAPLTETM YEQLFADGFGNGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRNRLEGKRANGGFEPAG AIQWSVIDRWPSHSGLVEAFAQNIEAQLKTYPEEKRDKVVLLFSAHSLPMSVVNRGDP YPAEVAATVHAVMQRLKFKNPYRLCWQSQVGPSAWLGAQTSDTVQEYVKRGQTDLILV PIAFTSDHIETLYELDQEVIHEANSPGVKRAESLNGSPVFIQALADIARDHLQKGELC SRQMTLRCQGCTSERCLGQKKFFAGQENASMVI PEX2_073500 MAEDSYTREEENYEDEELDETSFKSVKDAVLFAIDISSSMLTPR PSPDPKKHSDESPASAALKCAYHLMQQRIISNPHDMIGVLLYGTQSSKFYDENEDDRG DLSYPHCYLYTDLDVPSAQEVKQLRSLASPADADDDVQQVLEPSKEPVSMANMLFCAN QIFTSKAPNFSSRRLFVVTDNDNPHAENKGMRSAATVRARDLYDLGVNIELFPISQPD HEFDTSKFYDDIIYKTSPSDGDAPAYLQPDTHTSTAKGDGLSLLNSLLSSINSRSVPR RSLFSNVPLEIGPDFKISVNGYLLLKKQEPARSCFVWQGGETAQIAKGVTTLMSDDTG QEVEKADIRKAYKFGGEQVSFTIEEQQALRNFGDPVIRIIGFKPLSALPIWANVKHPS FIYPSEENYIGSTRVFSALQQKLLDSEKLALVWFIPRKNASPVLAAMIAGAEKIDENG VQKIPPGMWIIPLPFADDVRQNPESTVNRAGDALNDAMRDVVRQLQLPKAVYEPSKYP NPSLQWHYRILQAIALDEDFPESPDDKTVPKYRQVHKRAGDYILKWAEELKLQASEMF GSSAATSTLVKRGAKTEPASEHPAKRVKVEDNAFGGEDEVKKCYEKGTVSKLTVAVLK EFLHSHGRATAGKKADLVDRVEQYFEKKF PEX2_073510 MESLASVSPQEFTGGSAMLTPPSAADSLRVFSGLPSRPVTHISP QASVNTDIPSLHPNNTIGFGQPTGSTQSGAGEAGPNSGPGAWFLEDDFDVSALDFSIT STISEWAQIPNVFPAASLSVGEHDVFTPVQSSIPDIEAPNMAGDAVKRKWFTHMSPSD ENRPNREFASTGTNWPGQTNANESYRAGLSQKLRRPMEDEALPSSEQLNLFAKLYFHR FHPLLPVIHAPSFRPTAENSLLFLSICSIGSLFVGSSRAVAQGSRIFERLNKAILASW ESFLSESRPDALSMVQAAILGQTYALLAGKPKYLVLADVLHGTVASWAREANRLGALC SQSQGMLNSEDIERSWHRWIDSEQRARVQAALNIHDAELAALYHHEPIRNHRFRQFPR LASDELFSAPTASQWAVLLRQSLQTQSENQPQAQLSRSPDPFPITGHDPRFTAYGILE GISARLIDAKQSQEFTHLVCQDTSNLLIHWWQTYHTTDQDPFCLPVLWHSIFISLYAD MDLLEQAVGRDGRTPALEASAPAREWASSLNASRCLVHASLIARYLERMSISAEPAIH VPRALFSAALVYLCVAQYVPKHVVSVEAFASPELKLLGDGIAEVACFPGNAQSSERSV VTDLDQLYGMIDLLQRGGRWGISQAFANVLSTVLDEGKGGVFG PEX2_073520 MPVFTEHSSSSRDLRVLPSFAPPLPRLAPPFERNEENEEKYEAV VVGAGPAGLMLSLLLSRYGLSDTSLLCIDAKPSTLKSGQADGVQPRTLEVFKSLGIST EIENEACQMWQFAFWNPSSDPKKVIERTSIVPEVIPPARFRYEATIHQGRIERIMETD LLRYSERGIVRNTKVVDVKIDEEGDVEFPVLVTMATDGVEKKVRTKHLVGADGAHSVV RQCMGLRLEGESLDHIWGVVDMVAETDFPDIRRRCAIHSPAGSVMIIPRERISTGEYL TRLYVQVPEDVAPESDQMPNGVEGVKAEDARQRRNKITLDGILKQAADAIKPYSLRPK ENAVDWWAAYQIGQRVSPEFIVNDSKGVARVFIAGDACHTHSPKAGQGMNVSMMDSYN LAWKLMYHINGLAPESTVLNSPSSLLKTYQTERHEIAQQLIDFDRKFSTMFSGQMGAT EGLTQEEFQQAFKLGNGFTSGCGVEYPENMLVIRDELEDGIKSKGPNPVTGTDYLSGI LRPGRRLLNVLLLRHADGWQRDIHDDIPSTGRFRILCLTSTDLLDQSSVSVQTLKAVT LLEAQFPKSTIEQIILHPQLHRSFEWNDVPTCVKQQAEMRFYDGSALDDAYSIYGVDP AQGALVVVRPDGYVGVVACLGDVQRVDRYLKQCIRTVFSD PEX2_073530 MKGGLRLAGFCVSCLILFSLYLLEAHLQDICNQYRAGAYVINWL DRSNTPPSPHGEITPGDKVIVMAKLEEEPTDWVEQELPDWQRAIYTVNPSPETRLNPD VLTTQFNKGHESMAYFTYIIENYHNLPSTIVFLHAHRSGFLMAWHVDAPLHDNVIAMR NLRLDFVQQNGYVNLRCNWNPGCKDSHRSNTHVTEQIWLDIFDGTSTPPLNTSSSTEQ EFAGQKYLLKPAKIGAACCAQFALSRDQVRKRPLEDYIKFRQWIIDTELSDASSGRVM EFLWHIIFVVPMNNYVIVRSTDSVDSALGAFIPKSSDTSQAGVYISWEWRYLFASPAH THFFVIMCK PEX2_073540 MGEETEVAEIVPQVAFKKRSKGKANFRKKPATPPPASDSDSDFV SSDDDEGRRIKRRRKNAAVTASSTSNATRKQRPENEPITAVPAPLTSSNDATKASNWY DENLSEKNLLGTTREKPVSASQPDGTYKGAANYQSFIQKNPDAPGKFGPVKAATNVRT ITVTDFAPDVCKDWKQTGYCGFGDSCKYLHSREAYKAGWELDRDWEVNTKGKQLSGRV VSQRKGAGKITEEDEDDDEDELLESIPFACIICLKPYQEPIITKCGHYFCEACALQRY RKTPSCAACGEGTGGVFNVAKKLKALLDKKRERARKIREEAIANGEEVSEEEEDDSD PEX2_073550 MTASNAEATGSFASMEDPFVANSGRPAVPRDSNRFDTQLYSLNA SSPAQAKRALEAHLAETERRLEEASRLGTALIDQQRQLSEQLKEVEQEQNEGEMGPEL RRKLADLEKEYNEIGRESARAFLAPKRLAGGSEDAQLGTPSFDQKSPLSAALFASQST NSPSKVSVPSRKQRNQPSNRVHDIEFATEISTSLLAQVRQLQGLLAERDETLKFVNLE KSRLELEAEGYAQRIRALDDSEQRYKDENWTLETQAHELMAAARDAAEREQRLNGIIT LSNAEKTAMERELEEQKQANARLLEDQSLTQKANDAEIHLLRRNLTSGDAEKSALQKK LMEMTSQNQELAKAVAMRLREHENQGSRDVSYDNEDNEPDNTTPESSPPASPNKFTPR HGHLESETLKSSLGHAHRMIQNLKSTIHREKTEKIELKRMLQDARDEVEQRRRESTVP NGTSKRQKTKMDSARKPPRPDLLGAGRKEKSFVELQDTDWEDNAGQISPTQTQTKASF TRGRPYSESPGGPSHVYQTATETEDDFVTANERATATESEAFQTGLESMTDDSSDSGS LTEREYNVQRTPRRRVPPSLIMAKARDRTSYNSTASASSDEEEYDRMFHSPSQINISR PRVRPKRSVRRIRPSGEATFASTSRPSSSRESAAPSFAPVPAAQEGQSLFAELAEFDS AEEDELNSQASTPRMGSRRPSDAMVDAPPKPAMVDSGVMTEPWEPTPSISAGIIAGAA GLAAGAVLPHALAAPQATQTADREIIEETKETPGLPDMAIADVSSQETTPSAPKRPDL SISYIAGGTTTPVKHELPPPEVPEMTISSISSQTTHPIQPTVLVPEPIIKHVDVIKYV EREPEVPELTFSSITTQSTSPVHATLAVPEPVVEYVDVIKHVEHEREVPELSVSSVAA QSTVPVQAIQAIVPEPEPIIKYVDVIKHVEREREIPELTFSSIHNQSTVPVQATLAVP EPIVKYVDVIKHVERELKVPELTVSSITTQTTEPVKATVPEPEPPVIQYVDVIKHVER EREVPESSFSSITTQSTAPVKATVPEPQPPVIQYVDVIKHIEREREVPESSFSSIITQ STAPVNATLAKAEPIIEYIDVIKHVEREREVPELTVSSINNHSTAPVQAIIAKAEPVI EYVDVIKHVEREREVPELTISSITTQSTTPVKATVPEPEPPVIQYVDVIKHVERERKV PEASFSSITTQSTAPVKATVPEPEPPVIQYVDVIKHVEREREVPEASFSSITTQSTAP VKATVPEPEPPVIQYVDVIKHVERERKVPEASFSSITTQSTAPVKATVPEPQPPVIEY VDVIKHVEREREVPELTISSITTQSTTPVKATVPEPEPPVIQYVDVIKHVERERKVPE ASFSSITTQSTAPVKATVPEPQPPVIEYVDVIKHVEREREVPELTISSITTQSTTPVK ATVPEPEPPVIQYVDVIKHVERERKVPEASFSSITTQSTAPVKATVPEPQPPVIEYVD VIKHVEREREVPELTISSITTQSTTPVKATVPEPEPPVIQYVDVIKHVERERKVPESS FSSITTQSTKPVKATVPEPEPPVIEYVDVIKHVEREREVPELTISSITTQSTTPVKAT VPEPEPPVIQYVDVIKHVERERKVPESSFSSITTQSTKPVKATVPEPEPPVIEYVDVI KHVEREREVPELTISSITTQSTTPVKATVPEPEPPVIQYVDVIKHVEREREVPNLTIS SLGSAYTEPVIPRPRLVPAPALSFSSVRSVETHPVKSMTFATAGVADFSTQTEPIESK AAGAAVIVHEDQPTDATRSLTGTANSDQLVGAGLALNDISGNALARSARQQSSSVSMD QGSQTILSSKQIDQILMDRVSARPLSARPLSARPLSADSQVTDNSREIAAVTTTTASP YVTPKAPTRPRLSQIQPAKSTTPNSRRPPSAASQTSGASHPPLPVDHREVILAAEKRP TDVAPPSPNSVMGPPLAPASAHRMNSQRPLTPSEHAARASSSRTGSSQARMRRGSQSQ MSRRSSVSSFASELEERFNMAPDASPMPGGYGVNTDPRMIQAITQTMIGEFLWKYTRK TGSSDMSTTRHRRYFWVHPYTRTLYWSTQDPQTAGKSELRTKSVPIEAVRVVADDNPY PPGLHCRSLEVVSPGRRVRFTATTSQRHETWFNALSYLLLRETNDNCEDNNSVTLDDI NEFNPPSFRSSSRQTARMSFSSYNSRTARQASKQQRRAASAMSLRSSGTLGGRASPAL SSPVPNSSLQVPDDRQRSSSRLSTISSSIRGSIASLKGRQGQSPSLHNESLRGQESAD DLRHVVETHDHDRLENVRACCDGKHDVGSLSRTSRYSPRVNRIHSPHHH PEX2_073560 MSTEALPSVIGRMLAMLEPAHIMTWAMSHYIRVCAEAVFQNGLL FAPITQTRRLRDEAFGRFWIEFTTPRQMSSDPNNQEIPEPVGSSALIPPILRTASGIV LDIGPGTGTQMPLLTSPAITALYGAEPCKSLHSTIRTKAIAENISPKYHIVSTGVAAH ELIPALRETGTGVVDAYDTDARAGIFDTILCVRVLCSVPEMERTVGELYGMLRPGGRL LVTEHVVNPWRRAKGSIVGRVVQGIYQVLGWSWFIGDCCLDRDTEAVLRAAADVDGGW ESVELERSFEWSAMPYISGVLVKKGV PEX2_073570 MSTPSSSTRLPPTSPQVTASVVKFRCLYTHDLRRKSKRWHDGYL RYHKFNKRVMVYDDQGNFIGDHHWRSSDEVQDGDEMELDKGVLIEVTENMGTTETDIT TLYEKKKSSQGSPQTKDPVSQVPRTSTYTPASTSASTPLRSSASSQSFRSLNDLLGIK RTPIGHLVSPYEQRNPPQSASSIQEPERALKRQKTSSVVNRSAEKGSHAQSEVIDLTD PTDSTRGLSAKQRSNQVAKELPRAGGDSSADILPANGEQRSTAHSKQTRPQRPNPPSL STVSALTASESTFSLPTPEEVVRTATKGIQPTRPLGPSSKGSLPTIPAETEIPGSRHN LPVDQTLINRETQSRAVSHPMPPQRSEPPTVPRSSLPIAPKQPSAGRFTPQPPVRVLN NYVKPIETANNQAPANIPAPADVLSSANVPLPVNVPPPVNVPPPVNVPPPVNVSPPVN VAPTANISPSTKMPPPSRPSSVLRPGAPTTALRMGTGKPRRKLMYSALLPGTSRTPSP VASDTPPDSATRETATNNPEQPLAAAPGDSSTNEEFMPSNSTQFIFDEMIDGSGFKSP STIKRLTGKRSLHSPLRKSISDPTALTARKVRAGGSLHAAGKKNEPKEQGPWTSEALD LFDFWPAGRPKPNQ PEX2_073580 MGTPVTAPFGQWKSPISSTLLGADGVQFESIATSKGKIYVIEDR PKEQGRGCVVEYAGREGRDILPAKYDAGTKIHEYGGASMIVFNGHVVFSDRQTQDLHK LDPSTGHVEQITKTSTAIRYAPTSATGSLSDGQADSGWILAIEEDHSKPLPSEVRNRL VAVNVQSKEIVNVASGDDFYSAAHFSPDGSRICWTQWSHPDMPWTGARLYVAKWKDGQ VTDVHHVSGVPQKESVSQPRWGFDNTLYFTSDCSGYWQLYRSHVDTLKCERMALHGLE EVEFSQPDWFLGNCTYVCLTPTSMIASYTKNARWSFILIDLSNDSWQDLNLPVTDTVI LADNPLSDTKIALLGSSETSFNTVFTLDLADTVKLDALKVASELPMPDSLVSKPEHLS FPRVHGENLEGVAHAIFYEPQNPDYQSPSGALPPLIVCVHGGPTSQTGAGLNITDQYW TSRGYAVVWVNYGGSSGYGRAYRDDLNGQWGILDTADAASCVSYLASTGRIDRNKVGI RGQSSGGYIVLQALCDYSNLFAGGNSLYGIGNVKALCEDTHKFESHYAFALLFDPGAD EDEKNRIFRERSPCFKADKITAPLLLLQGDEDPVVPLNQAEEMVEMMTKAGRESKLVV FHGEGHGFRQAKSRIAAVEEEENWWKKGLLKIDAADV PEX2_073590 MQNMSRRFGRLTTKSSADDSQIAVLLKDFDDADMLLGKIVESTQ AWRDSWVSIATHQSRLVDEFDGLYGPIIGSSETPSNHKAVDTDPERLARTNRLRKEYD DLRTDLVNELGAVDTRMSQPAAQAKESLAPMKKTIKKRNNKKTDFEISQGRVDSLMKK LKRTDRENVSLAKAEIELANAKEAYQAADHDLRQRLPTLIALIFSLTPYILEAQVEIQ NSMLANYYTVLHTYCEEEGFPSPPAAMEQVVQDWEFAFKPVQGEIETFGSLTQGKAMR RAAADQEVRKRPSIGNRLHSAASSNSLSASFRRGSQTPGSSSQTPCVPEYPPSPPLST ISHKSSPIPVGNTAGSKPLSTGGDYFDPPRPTFLPTPQATPIPSGVSRSPAGPNIDSF QAKVSPMAAAIGKKRPPPPPPPAASRPVFVTALYDFDGEGSGDLSFREGDRIRVVRKT DSTDDWWEGELRGQKGPFPANYVE PEX2_073600 MDSERREALKNTRTIFLSDKQPHYENLSTLPELWWVSVKLTTED RLLADEELMDVVAERCHAGDCEVTHHDALHHQQSLYISFPVMNPDKDLAQQGWIVMVI GLAEHYRMEIAAGRLSIDRSYVFGPRD PEX2_073610 MSRRIGTGEFFDLHSLFASFAADVLSAYVFGPANCYAYLDRVEV TDEWKRNVNSLFETLILIRHLPFLYSLAYKIPTIASWVFPPFSWIHPFTGKINRSVEV AHAKPEGKSIIPTILSNEKVPDHEKDLKRLQDELSFLIIAGSDAPSQVMAITVFHLLW NPETYQKLKDELDEAFPVLSEADWTKLKGLPYLPRKSAVLKEGLRLSAVVTTRLPRIA PDEALQHGKWTIPPGTPVGMSTHTILRNPEIYTEPMKFIPERWMGPAEEVRALDRFFV PFAKGNSSCMGQSMTYSWLYAVIGTVVRKFQLELHETDEKSVEISRDCFNGQTVPGLN LINVKVTKEFN PEX2_073620 MGMGIRLAQSLDLQNPLASHVGSKEAEICGWLWWMLLHLDFRCS RYLGKPIIVPLRDTTLAIPKDNPSSDPASSELVFHFATITLTVVGKKVAESLTARLDT ITTDDSVAQIEHSAEHLTDEITNLYEWKNRIVKTEPFKDLVLVGSVNRPQTHTASEGL KHDDRRMLHQSPTRILQQTLLELQFHDLIIWFHRPFIQFPSLGLVPQRSPGADIHATT ALRHALKAIEIVHRRMLNHDAFMGAPMSGRSNWGTLDRLSVTHVDGSLEPSAPREKIS LGDVVGTSFERDGEARLEVEGILEMEW PEX2_073630 MFEFNDSAIEHGRQDIEELPPSVKAYRYSGMHDFCHIISLEDDR LYGPFRIGRKAKARASGASWEGLKDHSSTLPQTEGTLQFGWAQKPMRIRTFLRSGHVD NCNRQNILGERDNTKNTPDENYSPEKEDHSEHLIFFIEPTTFEHDFINSDPPVRTNIF FNPKTKILIVKMLGPAHEQATTAFNDMLILALEPMGLHKPIQSWGSTGMLAIDGTRKQ ADGGWGPRRPPQNAPRRPTIILEVANSDTYAKLRRDAQYWIDPERQQANVAIGVNLHT KRPEITIDQWEWSSQTSRPINRTHLTISRKSDGAVYFDPHHPLPQLVIPFESLFRRPA QINRERDIVIGTQELIEFASMVWEVQFEQDQE PEX2_073640 MPTYTIFLDRISNGDPTNDDINGTAFEHVVNSNQMRHGGDLEGL IDTLDYIEGMGFKVIYFAGTYLMNLPWAYDGYSPVDTTLLDMHYGTLEEWRRTIDEIH KRNMYAVVDNTLATLSNLIGFKGHLNDSADFKASEYEVQWVTDRKYADFNFGNEYNET CNYPKFWDETGYPLTDQGVQNLKGCYDSDFDQYGELEAFGNFPDWQRQLTKFASVQDR LREWHKPVRDVITQHSCLQIASLDIDGFRFDKTVQSTLEPLSEMLAIYRDCAVKLGKK NFFLPGEITSGDSFGSLYLGRGRQPDQRTANAGAGVKITNTSEGAFLRDDGLQALDGS AFHYTIYRSMTRFLGLDGNLVAGFDLPTDFIEAWNEMLITNDFLNAFTGEIDPRHMYG VSNQDNFRWPALQNGTDKYLLGLYIITLELPGIPLILWGEEQEMYVFDATAANYMFGR QPMTYQTAWWTQGCFNLNTSKFYDFPIEKGRDGCNDITVTYDQRNPAHPLRNIMKRMF EIRAHYPVAQDGLYLETLSQLTEDIYLPGSSTTPTVTGLWSVLRSYFPGVQTNAILPN ETLWLVYQNGNHTETYGGNCSNKNTSLLAPFDSGTKLKNLFYPYDELTLEDGPSGSDS TYGCVSKLKLSAWEYRAYVKTANFIAPGPTVTDFFPGHDARLLSSEDTGETMPIQLGY STAMDCDSITKSIYLNSTTEKNITAELDTSSVSCANISARTTTHAFTGEIPTVWTWSA NLTNVHHGIHQLTVKNVSSSGVYTNSTDKFLLRLGAQDNPLISPLANYSTSLVHKSND NFYVQHRAAGADKFRYTSDFGRSWSDWATYSGGNTTITIPKWTGTKSQKWDGTHIRVQ YFSRLTGSSDYLQEGDYSSDTVRRFPHLWFNGPYNQYGYDAGMDSKMKYDSKTSRWNY DFVYEWPAVGQLNVWGSDDDGTPDNTEVYGDVGNSSSVQKLPPSYLASNVINITTLPP FPHLGWKISLNDGNLRYQMIPIGSGWAQLVLYVLLWVVPILMGLAGVFIFIGNFYRVK LNKNGNVTKADKFPVVFWRRLKGTFAKDDDVEEIGLAEKAVPTNMALAGASDQRRTVL IATMEYDIQDWQVKVKIGGLGVMAQLMSQNLKHQNLIWVVPCVGDIDYPVDTPAEPFV VTILDHPYSVEVQYHVVDNITYVLLDAPVFRQQTKAEPYPPRMDDLDSAIYYSAWNQC IAEVMNRTPAIDLYHINDFHGCVAPLYLLPSRTIPVCLSLHNAEFQGLWALRTAQEKK EVCSVFNLPIEVATKYCQFGSVFNLLYTGASYLRFHQRGFGAVGVSEKYGKRSWARYP IFWSLGKIGSLPNPDPSDTGALTKDPDVQITVQSADESTNDKLQAQKWAGLNEDPNAD LLVFVGRWSKQKGVDLIADVMPAVLSARPNVQLICIGPIIDLYGRLAAIKLERIMQMF PGRVFSKPEFTVLPPFVFSGADFALIPSRDEPFGLIAVEFGRKGALGIGSRIGGLGQM PGWWYTVESDSARHLLHQLRTAIKSALDSTPDTRQEMRANSAKQRFPVLEWVQKLEVL QRTSIHIHHQKNQLTVAHSARESQIYWETPGLRDSAMITRPSSFQSVSGALDTPTGRA SQPAQPSLLQLQAVEAQEMQAAGNSRGSMLNRNLSLGRRSGPGHGRKRLAKKSQRDSQ MLEPIDGDTTDADDDGFVTPQENLITQEEAMAAANLPLQDVATHPRNNNHARGDSSSS ASESSRFLSRDSSPVRQARELLDPAAPFSHEGRNISVLSLPSVVNDHDQPDFHLQKVD PTFTDSMGHFTRNFESQLANLNKKNSISDYCIELYLMKSERKFFYMYNDAQLKKQPKE RPMTGAGLDKAVENTPYNLVKDGPEDSDANNTDEIDQWLSRLGYKRPIAIQRFMRWRV GNWPVYALFLGLGQIIATNSAQITLLAGQIGETAVKLYVIAAIYCVSSIVWWCLFFRF PSVFVLTLPWFIYCMAFVTIGVSPFALSEVGQAWAQNVAAGVYAAASSSGSLFFALNF GDQGAVPVKDWMFRASLIQGISQLYTVALWYWSSRVTAAEVGGVSTVALNSWKLTAVV MPIAAVCFIIGLLLALGLPKYYRQAPGKVLFFDTSLFRRRIVLWFFFMVIIQNWFLSA AYGRNWSFLWSSQHTKPWEVVLLVLFFFIILWVAVMLLFRFLSKEHSWILPVFGLSIG APRWAQTWWGTSNIGYYLPWAGGLTSGAIASRCLWLWLGVLDEIQQVGLGMILLQTLT RVHVVFVLLAAQTLGSIATICARGFAPNKIGPAGISPAIGSSLDAVGNAWFWIALFFQ LLASFGFLLFYRREQLNRP PEX2_073650 MGTATTPTKRWYHWYSPDDTPEERKLVLKLDLLIVPYAFVVYWV KYIDQGNINNAYLSGMAEDLNFHGNELVHFQTIFTVGNVVALLPFMYLFPRVPMHYLV PTLDLLWGIFTLLQYRATSYAEIMAYRFMVSIFEASYFPGVHFVLGSWYKSNEIGRRG GAFYIGLTLGFLTASLLQSATLDYLDGVNGLPGWRWLFIINAVITMPLAIIGYFIYPG TIDKPNRLVISQHDLDVARKRLEKQGSQVKSASFSWGLAKKLFSSKRFWLVLIWDSFF FNSSANTASFLLWLKSLHRYSSATQNELNSISPALGIFFILFINISTDLWLDRAWAIT LASSFNFTGLVILAIWDVPEGAKWFAYSVQYSAVAVSSVLYGWVNVLYRDSPEERAIV LVLATAISTMWTCWIPLFTYPTVEGPRFIKGYPFSAVMTVCLVAMTFVIRYTYGPNGE KNITHANDRIDSEDESTGTIGVSTTEGAKKGQISVVGTSL PEX2_073660 MAPVGIMAQLKSLYSKQDEPALSEYTVAFLSVLLTLLYVVPFYL SPTTRPSPSLSRDAPSVIRARIRAVTGSCIVSSVLVLWLIVKGDNSSLGAGVRLLGWW PVGLLETLRSLFLTAILFLGPLFERGIVEGEWKFWFRPSSLSASLGGWIGWRNYVAGP FTEEVMFRSAMVPLHLLAHTSPGRIVFLAPLYFGIAHVHHFYEFRLTHPDTPALAALL RSLFQFGYTTIFGWYATFIYLRTGSLLAVVLIHSFCNWCGLPRFWGRVEAVETISPPV TRGKEDSDDDDVNIGVSSGGLGVRWTVAYYVLLVAGAVGFAQGLWPLTESYGALVSFS GKSN PEX2_073670 MSFPVRSTSPRARKALHERTPSHSNERSPTRSLRIVPDEELDMY TATPFPTKPEQILLPLPGKGQQQYISDTGFSYSDHLGPSTSAYPSPAFSQPAPNSPRD HSIGSWDVSSTVDTGNSPPQLWDDDPSSSKSSLPEASRKAPDYGSESSEAEFSDDEMM VLPTTTPTIKAVLSEPSMSPKSSAESVTGYSSPILEQIGAPSSPNFVMLDNSSMNFHR PATASSESDPRTNSLSSYNSRGTVVRHVGSAPWFQNAFQPASSEQSSYGSPSFHSSPP AQSIASFHSSPPTQSIASFRSSSRAPSGSRSQSATSSSRSLRSASDLQAAIDNGVPVQ YPRIRAPSSSSSRAGTSVRSERDFSPGPASGRCNPHLASGRWNPHLSTVSSIWSADEL TNLAGEPDTDSDREMAEPTPPPPAALATETTRSSVWLVDHSNDSEDERLDGLTNLPTR PVFSQSLSSGSKRSNSTRSLQRPGTGSSTILNILPTWAKVYYLREPMGLNSTLSMIDA SRPPSTRPGTSNSSVFNLVPGPLNIPRPRSPERPRPQSPQQNPIPQRRLESDPRDPRA HWVPSPQPEDGEFTGSHLHRLRHSWSPHLIPDRRVLQHSTSRWRPPSLDSRYEPVLGR RNLQVYSFCLGFIFPPAWCVAAFLPLPPKPKVAQMVEYEVGSDVGNALEAQSVNLQQR RRDNARWWRNLNRWMITLGVVILVVIIVLAVIGTTSGF PEX2_073680 MLPYVDQIFEVPAKLTGASTDELKLIGSFLLSYPLAALLKRIPD GQPWKKNVFIIAVSLFYIVGLFDLWDGLRTLLYSAAATYAIAYYVDGSLMPWIGFLYL MGHMSINHIERQRANDSSAVDITGAQMVMVMKLTSFCWNVHDGRLPKDQLSDPQKYSA ITKFPGIVDYLGYVFFFPSLFAGPSFEYVDYRRWIDTTLFEVPPGTDPSKVPPTRKKR KIPRSGRPAAMKAAAGLVWIFAFIQLSSYFTTDFVLSDEFLQYSFLRRIFTVHMLGFT ARTKYYGVWALTEGACILSGMGYNSFDPKTGKVFWNRLQNVDPWAMETAQNSHAYLGN WNKNTNHWLRNYIYLRVTPKGKKPGFRASMATFATSALWHGFHPGYYMTFVLGSFVQT VAKNFRRYVRPFFLTPDGTKPTANKRYYDILSWLATQLTLSFTVIPFIILNFDKSVTV WSRVYFYGIVNCAVSLVAFASFSPLRKYLVSQLKRRNRPHVTRSVSTESVRPPVLGLP NDPERDFDEAVAEIKAEIEFRGRRGSTVKMPTGEELKAAVEQKIGRKL PEX2_073690 MDVVAAASGYISKMVTVGESAGASSSKMKILLLDSETVPIVSTA ITQSALLNHEVYLIDRLDNAAREKMRHLRCLCFVRPSPTSIQFLIDELREPKYGEYYI YLSNIIRKSALERLAEADSHEVVQSVQEQFADFLVINPDLCSLGMGFPLQRIWSHSPD LWNPDSLQRATEGVLALLLALKKNPLIRYEKNSLLARKLATEVRYHITQEEQLFNFRR TDTPPILLVLDRRDDPITPLLTQWTYQAMVHEMLGINNGRVDLQDVPDIRPELKEIVL AQDQDPFFKKNMYQNFGDLGQNIKEYVEQYQTKTQSTANIESIADMKRFVEDYPEFRK LAGNVSKHVTLVGELSRRVGENTLLDVSELEQSLACNDNHSNDLRSLQRIIALPNVPS DNKLRLVALYALRYEKQPNSALPILLDLLVTAGEVPSNHVNIIPKLLTYHHSLQAPPV AGGFSDLFESTSFFSGASSRFKGLKGVENVYTQHSPRLEVTLQNLIKGRLKELQYPFL EGSGHTRDKPQDIIIFMVGGTTYEEAKMVAQVNASSPGVRVVLGGTSIHNSTTFLEEV NDAVGSWPEPEPTTAAGRLRRERSVERQTPNAERFIFLPLPSFESHIMEYKSPKGGPS SPATREPRLQLPSTTDPPGPQNPAKPLIFGATGHMGRSLVKTALANNDLVAAVGRTYE NSPEAMKELESPNCIGLLCDVRARETVKRVIDQTITHFGRIDIIANCSGYGVIGACED QDEFDIRNQFETNFTGTLNMIQLSLPHFRQRRAGRYLIFSSTSGALGVPGLGPYCASK YAVEGLMESMLYEVDSFNIKGTLVEPGHMRRDDIVDLVSPSAKAPDPSEHQLSAPLPL YGHFLVKPASEPYNTTTSPAAHARRMLMWLGDKQPASAVKAAHLVWQLGHCSYPPLRL ILGTYAVESVRDRLKCIIEEIEDWKHLSFPMGETQLPVGGGRERSSFQEGAEGGSELG NE PEX2_073700 MQSKLAQPLTRAWSGRIYRNCQTFRLLSSTPQPSSRLRTRLEIP PPFPVTKTCPEPSCNCPPTPALPEGLPIDHEQALNGTMAAYAQQLLICTGQPDWTSRI EEDGENKGWGNLVRGLKSLLGRGGPYLDPFNNVLVTNSSIAPAASSSPASASAFLFPS FKYIPSIPVNTTSSPDQTTDLTTFVRAYLLPERIHDMHSSLPATKQADMTRSPDLASK FPEVIDINHSPIVLICGHGGRDMRCGVMAPALESEFKRVLQSRGFTSVDGDGTTVDGP GHANIGRISHIGGHKYAGNVIVYIPPKMTVGTSLEPHPLAGKGIWYGRIEPKHVEGLV EETILGGKVVVDHFRGGIDRNAMQSLSRLRLTSAIAPGRIYTRPTLRALSPVYTLTRL SSTTTRRLDLPALDRKWQEKWESDRTVPRSTPDATDKPKSYILSMFPYPSGTLHMGHL RVYTISDVISRFYKMRGHDVLHPMGWDAFGLPAENAAIERGVDPAVWTYENISKMKEQ LRCISAEFDWDRELATCSPDFYEHTQRIFLMLYQKNLAYQAEALVNYDPVDKTVLANE QVDANGFSWRSGAKVEKLNLKQWFFKITDFKEALLKDLDSLAGGWPERVLSMQRNWLG KSYGAQVTFPVTIGRGDQVDVNVFTTRPDTLYGVEYLALSLNHPIVLKAAEKDEALRK FLSDASSLAPDSKAGYRLSDVEVSHPLCKIDTETPHLLRKLPVFVAPYVLSDYGEGAV MGVPGHDTRDMLFFKENVNSDSIPIVIEAEPSGNEANSSVVPTSDTKAFTQEGFLTLR CGKYQGLHSKEASKRIVNDLEQVGQAAFVESWRLRDWLISRQRYWGAPIPIIHCGDCG PVPVPGKDLPVKLPKIEGEWLKGKKGNPLESSEEWLHTKCPSCNGPARRDTDTMDTFV DSSWYFLRFLDSVNKEAPFSPAVMQPVDVYIGGVEHAILHLLYARFIYKFLSQSELSP NRNSAAPAEPFKVLLSQGMVHGKTFTEPSTGRFLLPSEVDLTNPDKPLIKGTQVSPNI SFEKMSKSKYNGVDPTTITSKYGADATRAHILFSAPVSEVLEWDETKVVGVERWFNRL WKLVQDTQQTLTEASFSVSEADLKSSTTHVTPLPSSLQDLSDSDADAVLATHNTIASV TTCIESNPYGLNTVISDLTKLTNTLASTPASSPLILYLSISSLLRLLAPITPALASES WEVLHATANTSTTPVPSILSSLWPSTLLTPEQAETLSSRGGQTVAVQINGKLRCAVTI PRMEAPAAASQGKNTPSQEEQDWIVSRVLETAEGKSWLQEKNDWEKRRRVIVVKGGKL VNVVF PEX2_073710 MVFIRSLSRQLRRPFLSSSLKPTVASSPFARVSPLASSISGART LTATTNLQGKVLLVLYDGGEHSQQQPKLLGTTENELGIRKWLEDQGHTLVTTSDKEGE NSTFDKELVDAEVIITTPFHPGYLTAERLAKAKKLKLAVTAGIGSDHVDLNAANTTNG GITVAEVTGSNVVSVAEHVVMTILLLVRNFVPAHEQIKNGDWNVAAVAKNEFDLEGKV VGTVAVGRIGERVLRRLKPFDCKELLYYDYQPLSAEAEKEIGCRRVDDLEEMLAQCDV VTINCPLHEKTRGLFNKDLISKMKPGAWLVNTARGAIVVKEDVAEALKSGHLRGYGGD VWFPQPAPKDHPLRYAEHPWGGGNAMVPHMSGTSIDAQVRYANGTKNILDSYFSGRED YRPEDLIVHKGDYATKAYGQRK PEX2_073720 MQTLQYMRSLLQPDEQPLQSCAYQVRPVPRLCPNHPLPKPRYAA LRTLYDPTQPPTTNTILDAGALVLYFPSPRTATGEDILELHIHGGPAITKAVLSAIAQ TNTPDQTVRYAEAGEFTRRAFMNDRLSLPQIEALSDTLSADTEQQRRLAVRGSSDALL NRYEQWRQGLLYARGELEALIDFSEDQHFDESTEELVSSVAGQVRTLSVQIGFHIANA SRGELLRNGIRVALLGAPNAGKSSLLNRVVGKEAAIVSTEQGTTRDIVDVGVDLGGWY CKLGDMAGIRAEGGSGDGEVVVIGAVEKEGIRRARERALESDVVIVVVSLEDTAAGVR LFVEPEVLGAVNDCVEAGKCLVVAINKCDKLQTALERGVPQSLSDTIHDLFPSVPADR VFAISCEEAKRGASLNTTTDPGNLQVFLRGLITTFEQIASPLGVDGDGGYDLSYWEDS LGVTHRQSSNLQRCLDHLDDFLSQASSAECPDSSLKKELGSFDSHVECEVDIVTAAEH LRFAADMLAKITGKGESGDVEDVLGVVFEKFCVGK PEX2_073730 MYQHPAARQDPNNPLGLPIYECWFCPSNWIGFSGLLFHLEEGRC VKRDRIRTLAFETPEYGFYGNKLTDPNPFYCYQCRAQFPQISHLYHHVEINPSCSYLL NPSECLGALRDFYVEYYECPGSDYISY PEX2_073740 MSQSQVHPSRKILLVVTTGGFTHAAPVLEIGRVLAERGHEIEFA TLDGQESWVKPEYDFVNKLHQLGPGPTPEQLDGHYRRMQAWDISKGIGQAMGSKYLFD SFWPQTYHHLKEIMDDPATRPDMIIADFFVEAANDIHFEYKLPIAVVCPNMPLFQLPC SYIPGKPGFQLPGTMTSEDTPMWLRIMNEIFFFPDLPTIIRAGKWSKKMRSDNGVFYP PHKPSKPDYLIFVNSFFGLEIPRDLPPTAAPVGPLLSPTYPPLDPECGAFLAKHKSVL YIALGTHIILPHQDAAKIVEAANRLKQDGLIDGVIWAMGKTCRADLDRSASFPVNVGD SKETTMGDLLDNKHPDWVFPFFAPQRAVLDSESTKLYFTHGGGSSANEGLYHGKPMLS MGIFSDQIANTARLVAGGVAESLSKLSFTSDEVYDKAKKILKSENETYSQNVLRLQRI AHVASRRKYHAADLIEELMYDNELRFKDGKELRPMHLQTADMRISTFKAKNWDLYAVG GLALAAVVGSTWMAGRFAWRFRGPVIGQVRSTTLAGWRALKNTFNK PEX2_073750 MFWFTVIPLLAQLAIALGQSSNIGLDTNKWIRSRHDAQTWIERM NVTEKVAIVTGSLTGTCMAYVAPVESIEFGGLCIHDGPAAIRLASLASVFPSGLTMAA TWDKEMIYQRGSTMGAEFRGKGAHVMLGPVGGPLGRSALGGRNWEGFSPDPYLTGVAM DHTIRGVQSQGVQANAKHIIGNEQETQRKATEIDGQMVAAVSSNIDDRTMHELYLWPF ADAVHAGVASVTCSYNRVNGTYSCQNKQVLNDLLKKELGFEGWVMSDYMGAMSGVDSA AAGMDMNQPGPISGTQLNETYWGPSLVTAVQNGSVPETRLNDMVQRILTPYLYFGQNH GSYPSIDPSSELLTLENFGVLTGMHLLSPSTATPAGRDVRGNHSALIRTMGSAGTVLL KNVNHTLPLKSPRNIGVFGEDAADITTGALNPNAGYNVGTLIVGGGSGGGRTSSIVPP LEAIKTRARKIGAQVQYITNTTVLTSGEQTTLYPWPEICLVFLKTWETEGVDRTDLEA DGNSTQLVEAVANLCPKRTVVITHSGCPNVMPWASNPNVVAILAAHYPGEESGNSIAD VLWGDVNPSGRLPYTVAKNATDYNGPIVNVTGPDATEEWAWQSNFTEGLFIDYRHFDS QEITPLYEFGYGLSYTTFSLASPISVTLTPSASHGSISTTPPAADNSTLALGGNPNLW TTLVNASTHVKNTGSRRGASVVQLYLSFPRGGVPSGTPIRVLRGFEKVPLNAGESKKV SFSLTRRDLSYWDVQAQDWRIPSGEIQISVGFSSRDLPLNSTVTLI PEX2_073760 MLSNSEAESAGASMDMSDVSSFLDTGSAAMDFSNEMPASSGTSE NTLWSDLLQANTHSSRSTDHPTYSSDAQTYSHLLGGLKTPPVDDDPGRDILWTSGDEG VPPNISRQSNILNQIASSNLDIDTPKTGIDNSNKGRSGLLEKLSQLQHELVQSTKADT SDDVVAPSTGRSSAYNNGSDNSSHKHPVNSILKPGQELIDIIRDLLAKCEEQGPDQAE HCFDHATLVLFVITPLSLLLSTYERLLKEISPALHPWSSHYNSDGSMMAGSNGAPHVS NAHHQKTQQNSSFQDRQIKSRDSHPGSYPTLLADNLSMNLGEMNLDHQMQLVVVVTVI NRHLIYLESALHQYQSRRTDGRFTKDISERLFTTLMSEMRASIKLLKSEARDLL PEX2_073770 MPFVTDADYRQPDALIRSILQQDKSMPIAIVGMSCRFPGDATNP DKLWKLVAEKQAAWSEIPKDRMNVDAFYHPDAERAGNMNARGGHFLKQDLGAFDASFF SISPTEAKSMDPQQRLLLETVYEAMESGGMTLEDVANTMTSCYVGCFSHDYYDMGDRD AEIAPIHSSTGNSSSILSNRISYFYNLKGPSLTMDTACSSGLIAMHLACQSLRAGESK QAVVGATNLMFMPDVFSTMSNLHFLSPDSICYSYDDRANGYARGEAVTALILKPLEDA IRDNDSIRAVVRSSACNQDGRTFGITQPSPDAQQELIRTAYRDAGLEFDQTDYFEAHG TGTQAGDPIESSAIGATFGQSRPLDKNGKKIPLYVGSVKTNIGHTEGASGLAGLIKAV IALERGVIPPNIRFESPNPKIDLKGWNLEVPTEVMPWPREGQRRASVNSFGFGGSNSH VIIDDAYHYLLSRGLRAGHRTSPKTILDVNCTVASGNESHGAFTLRGNGFMSKQSRFN NSLSDSLASHVVEANDRYRIFMWSTHEESIGALNNTAYAEHLAQRQIADEEAFLDNLA YTQCARRSLLEWRSFLVAKSVSDLKDKILNTHQKPVRAPTKAQRLGFVFTGQGAQWYA MGRELSRYSVFHSRILESDLFITKLGAEWSVIEELEKSEKDSRINESLFSQTLCTVVQ MALVDLLASWGIRPHKVIGHSSGEIAAAYAAGILPAESAIKAAYFRGVYSGAKILLSA NGGMMAVGLSEEEANKRISALDPAIGKAVVACINSPTSVTISGDHLALDSLSQSLQDD GVFARFLKVSTAYHSHHMDLVADDYAKAIADMEVKPVSDVEMFSTVTGALVTATDVLG PEYWRKNMVSCVRFNDGLQSLCTSQPSGKRSRRRAGVTMDIIMEIGPHAALAGPVKQI LRVPALEKAEISYQSILSRGQDACETALQAAAFLFARGLPVKLSKINDPDNIAKPQVI VDLPAYFWNHRKRHWHESRLALEHRFRRHARTDLLGYPVSDWNPMEPRWRNLLRLREQ PWIKGHSVQGSYIYPGMGYICMAIEAMHHMKDFPEYITPAGELVGYRLKDIKISRALV IPSNDEMVETLFSMRHYKESSSTFSDVWYEFRIFSYNSGTWLEHAHGLISAVHEVNAA APTNNLPYLPDLERVMASTNLSGSRSAENMYKMMAEVGLNFEHPFRNMIGELRSNPGE AQGVITVPNTKELMPHNFEYPHIIHPATMDAFVQMIFPAFLHEKNACPAAFVPVSFEE VFISTDVARGPGSTFKCATTAVPSGPRELKTEVLVTDEATGKLVAGYKGMGCSRLDAA SAENQDENASLRKLCFHSTWQPDPTLMPRGLTDSMMCQLLPPVEDSSRVSELETIAYY FYHKALQNIREEQVYTMKPHFQMFYEYLQYQRDLVMAQQLPHQTAEWQQLNDPLIAAK MEALIERLQPTDVDSEILCRVGRQLDKILLSEADPLAIMLEDDRLYQYYGRMIPTTTL HEYATLLSNKNPNMEVLEIGAGTGGATESILEAMGGNNGRYPRFQSYTFTDISSGFFE QAQLKFKDWEGLMDFRRLNIEEDPVEQGFEKQYDLVVAALVLHATANIDKTIEHTRKL LKPGGRLIMVEISNPLNQVFLPFGCTPGWWMSEESYRKWGPTMSEDMWGEVLKRHGFG DFTLGAPNNLNSKDEIGRVWSCVAVDPVIEETQDQEVNSVIIITDDVISDSSEVLRKR IERRVNEMGLAVQTVPLSRIAETPLENTFSISIAELDRAIICDLNQSEFDSLQHLRTG NALLWISEGASGTSKNPDRALFHGLARTLRTENETHKLVTADFATIDHQEPEDAANHV ISLFEFLFRNPESQECEFWFEHGCWQINRVIGVPEVNRVIHDSVSGDAAQHQKIEEQP FYQTGRPLKLNIKTPGLLDTLVFEDDTRLAEPLASHDAEVEVKASGVNFRDIMISTGQ MSDTALGFECSGIVTRIGSDVDNVKIGDRVTVWSRNTYCNYLRNDARVMQRIPDDMSF EVAAAIPIVFATVVYGFSDMARLSKGESVLIHAASGGVGQAAIMYAQMVGADVFVTVG TQAKRDLVRHEFGIPEDRIFNSRDLSFARQIKEATGGRGVDVVLNSLAGEALSATWSC IAMFGRFIEIGKKDIIENRLLDMAPFVRNVSFHALDLNTVRWCNVPLASRLLAEAMDL IRSKKLRPIQSVKTFTFSQIEEAFRFMQAGKHVGKVVAVPGENDIVPAYPVAPRPLTL SPDASYLIAGFGGLGQSLARWLAEHGARNLIFASRSGARRPAMKQLIEELEELHVRVE PLSVDVTDGQALKSELERVATFMPPIRGMIQAAMVLDDQIFENMSLDSFNRAVRPKVD GTWNLHKATIGQPLDFFVILSSAVGVLGNPGQANYGAGNTYQDALASYLRTIGRPATA IDLGLMIDVGAVAEEESGVKLRNLKRKGYVGVTEAELLASMGLVIQGAQKEHTSVIIA GIDASEGGSEVSWMSSPIFSHLSKLDMLSSSGSKDQTTRSTLSLLKEVDSFTDASVIV LEAIRAKLSRNLMIDMAELDPHRPTSAFGIDSLIAVELRNWFQKDMKVDFPVFEILQA SSLQSLAFKVAERSGFDGSKE PEX2_073780 MRFICLHGAGTNSHVLDIQTGPIRQALGSSEKFEFVNGFLDVEP VAQIKNIFAGPFFTWYSPGFGGHTLEEAKADLMDFIETEGPFDACLGFSQGGSLLASI IMDHQRRNPFGPNLFKLAVFLCSGAPLLVPKSRQLPDVSTDLWLIAELESLTEPWLGP YVPGHEPMLDESWNVFIPDKVNKAGLTINIPTAHIYGSKDETLGLSLRLRDMCDPRWR VELDHGGGHDVPRAPHTVQMMTAVIRRAIDHALTAQ PEX2_073790 METDQSACSTTLRVAIIGGGIGGLVLAQLLCNDKRFDVTVYERG SREGEGNSLTGFRILVLPEIFESMREKMEPEVRELLSKAVGASKSYGNRVCLMDQACS VKFRNDTAVSLSAYSVSRWKLRNALLHGSRDFVKFNKSFRSYDQGENTIKAYFADGDT IECDLLVGADGAGSQIRKQLLPNSTRSDSGVTIIYFKAPFTPETEAMIPWESGGMVLT PRQSMVISYFKNPESPYGPYNLETINPDDSFLMIGLGCYSNEFHHQSKSSDKMTPEEL KDECLARAREWHPLLRSLIAITVPSSVFVSYLKTQDPISPWQSGRVTMLGDAAHSMTP YLGRGATSAISDAMALAETLQSEDHALVTRLAAYETSMLQRGFAAAKSSMFVHNLVFM AGNSQWLARLRNLVLRLADWCLAHPNKLPDPFPVSYGGMAKKIT PEX2_073800 MDNSNVIPQNVASQPFDEEIQAIEQNRPKRAAHIDGAQIGTLRI CFIMAGLWTCLFLSALETTIVSTALQTISSDLQDLSKSTWIVVAYLLTYNGFLILFSK LTDIFGQKSLLIMAQCIFLVFSMACGAAQTMTQLIIFRALQGIGGSGIYSIVFVIIGK IGTVEKMPLYMGAMTSVFAIASLLGPILGGAIVDHTTWRWVFFLNGPGVAISLLILVP AIPNLGEKMIEKEKLRRIDVIGGLLSLAWPILLIFALEEGGQAYPWKSSVIIGTLVGS GVGIFVFGIYEQHVQKQAKQEPMLPIGLLKIPALCLKIIIMFTMGGCFYAAIILLPQR FQAVNGISAERAGINLLPFTIVSPVFSGLCGVLLAKYQKSVGPVLFISSVFTVVGIAM LGTLSSDTSGLEPKVYGFELILAIGLGLMMPPIFFFIKVEYDDSDFAAIMGATNTART LGGCVAVAICSAILHADLKSHLNSFLSPSQIEAVLSSTSGGSTLTAHDKIKLQQVYGA SYNTQFRALLAFAGLNLLSAAVLLFDRRRKASRSKG PEX2_073810 MEAQCEEKQPTTPSSGDLNQDHPKTSKISPPTLAPAQDEWATGF KLFTIMTAVTLVALLMLLDTSIVVTAIPRITSEFHSLSDVGWYGSAYQLACAALQPLT GRVYMNMDSKWTFMGFFAVFEVGSLICAVSTSSRKLIVGRAVAGLGTSGILNGAFTII SGCVPMSRRPTMLGLVMGISQVGLAAGPLIGGALTEFTTWRWCFYINLPVGGLVAIML TFIHIPQTPKAKFSEAIRTLPGKLDLIGFALFAPSAIQLLLALQYGGNEFTWHSSQVI GLFCGAAATFILFLVWDYCKGDAAMIPFSMIRIRVVWSSCLAYGLLMGQIFCASYYLP IYFQGVKGVIPLMSGVYVLPSILGHLFVALVSGKIVERVGYYLPIIVISASLMVVANG LLSTLTPWTSTGKWIGYQILLGVARGLGLQVPIIAVQTALPPTQIPIATALVMFSQTV SGALFLSLSDTIFTNSLSALVPQYAPLVNPQTIINAGATGFRSTLSDAQLAGVLVAYA KSVDRVFYLTTDLNNFKMDTTSSSLPDTPTPYGQSCANCSQSKRKCIVRRVGGPCERC FKTNKECTPAKTTRRRNTKRTAVPSKTTRLEEKIDSLVSMMKVGTQTSTASPPATSSL HESMFTCETETKTPIHSPFENGTGLNCLGDDRNNPAPPATQATTDSLDNNESKAEPSR MEAEEYLTNFQTSKLQYFPFVYIPFKTSSELLRKERPFLWLCVMAVSSKSTVQQQMLA VFTQLAISLVFDLGLNKPVPEETSRTSNLHKVSRPSTPRTMEERRAVLGCFLVTSIIS SFLQKIDALRWTTHLDECLEILDAQKECLNDDILVQQVRLQLIGADAIRSKEHEPSSA FMETTSTERFLSKLDNIKSDIFNTAPKNVIFLHFYSIELETALSAMLFSSNQSVISQE MFLRTGLKSIIAWFDVFFMIPPIGYIGFPFSIFSQLVRSLTTLYRFATLDESMWDKYH VRKIANPLLILNRVISNFEQVPTVAKLDNCHSAEGDVFSRSTRIFRSLRSEWESKLGP GNATFPIVPASHHDGIPLFDPLGEQVLDNDWFMELLSSNL PEX2_073820 MPAFLSFLCLLSFSLSTTAFIHPGALHTAGDFERIQNHIANKDE PWNTTWTLLTTNNFAQSTYQPSPKDTVYRGDNGVNGQNYASLYKDTAAAYQLAIRWRT SNDTSYTDAAVNILSSWAVILTAIDGTSDKFLASGLYGYQMANAAELMSDYSGWDSSN KTATATMLTNVFASMNMRFLEEHNGQDNYHYYANWDQCNLASLLAIGIFTDNQTMYDY ALDYVRTGPSNGALPVFGIANYTESGSDKVLTQGQEAGRDQGHSTLDIVLLGVIAQQA FNQGDDLFAEHSNEILNAAEYVGKYNVGYDLPYTLYGSYQGNQTVISNAARGTVRPGF ELLSAHYGQLKGLNSSWTDAYRNWVNANSTAGVEGGGGNYGSMSGGFDVLGFGSLLCR IPWLNTAVEYMVEYRRVYSNLLF PEX2_073830 MDTFTDLVEKKKLFHRFQYPYTIHVSLDLSHDGEHQTTVQQWRR EKRIGVGGFGKVFLEVLKIPQTSEVGQPAKYKFRAVKEIPKSGVTDQTYKNQTYKREL KAIVNFSQEKYNDFFVESYGWFHTDDTVFIAMEYFKNGDLGQHLQRLGKPLPVLEAQQ IAVQILEGLYFMHSEGFTHRDLKPAAKSAGQAGTILWAAPEYFDSNERTTRYTSAVDI WSFGVIVFYMLTGKRPFLGTIDVIEYGRGQAKFPESAIDDHKTGANCVDFLKKTIAPK PEDRLNAYCSLEHSWLRAYRESSSLLKPIEEVSSTSTSKSPPLPASGSKLSEKEKSKA RSEIGSSSASGTWGFLDKSPGDATAIPIRNGINPALKSPTLPISGSRFGEKETSKVVS DEFSLASGARGFIDSTQPKEAGFVPIKEAPFTSTLSAVPYNRFVYSEKQASEIDSDNK PSVSIFIEHSGEAQSRAKLANQPWSYSTDDGYVVSDSSDADSKSSVQERPIICIRVGG IGRPNEDFRASPVSGPLYLGATIWLKRHAYDAKTPKVDKLRHQVARGNWTATIPLACT LIEQFPSHPSYGDFQCCLGFALFFHGRYEEAELVFHEAHISRRATGDFTVNVMLAETL FRQGRQDESISFVRQALKVQRKNPLVTQEKRILTQYVFGDLLGRDLNMQSDAVKMLTD VISQSQSLSGSTTQGEAYALASLADCYSLSEDWMMAAQFLQKAIATKTYIKGVNWKSN LLEFYSRAGQEEEALIYFRNDVKDVSEKHGTHNIHLLESQYLLWRSLMTLDRRKEARV LFEKIAHLRRELQIENEVWPAWLAKLNELVDFKRQLSEEKKSTRYEGTRMANWNNPLL H PEX2_073840 MKTECQMDFLKTIWHTLPSRYQDCLGLIYPKLQERLQIANQAVE KILRKHADEDSMGWSKRLFYTMHLKETIERTIEDVNEWQKIFEPTWFLVMRVSDSVVD TELHRNAQSSSALTPSYHLRNSLKNEANEDMRLSLSAKALEGAQRSNIKFSEASIVFI PGKRPIIIDSAECQTSNISALTKDVRYLAQRLRNVDPLVFQILNCAGVIDVKATSQHV PRFDFVFQVPKGMGQPQSLRELLVASRQTYPLSDRVRIANQLAKSVSFVHMYSFIHKN IRPETTIVLQDGESELGSLFLTGFKISRMVGEQSGRLGDSDWARNIYRHPQRQGLYPE QDYRMLHDIYSLGVCLLEIGLWESLVQYEYSSDHLEMYPNKIFQANGSSVPNVNASKA LFMQLAKSVLPAKMGKLYAQVVLTCLTYCDEEQDAIVEGVRYIERVSVGLLV PEX2_073850 MPSRQEVSYFGAGPAPLPTAVVEAGAKAFVNFNDTGLGLGEISH RSPAANKILNDAKANLSALLDIPDNYEVLFMQAGGSGGFSSVVQNLVSVWIERRRRRA EADVKKAQPSAEQAQVDELVFQRLQKEVDEELKLDYLVTGSWSLKASQEASRLLGSKY VNIALDARKANDGKFGKIPTEDSWSLTPTKKEGGKGSAFVYFCDNETVDGVEFQQFPK SLEAQGQDPEDERLVIADLSSNFISRKVDVSKHAVIFGGAQKNIGVAGITIAIVRKDL LPPHTATPPPALLHRLNLGGLPGPIVLDYATTAKNNSLYNTLPIFNLWIAGQVMADLI AEFGAQKVSGQEEVANKKAQLIYGALDKFPQVYQVVPDVSVRSRMNICFRVSGGDDVK EKEFIAGAEKRLLQGLKGHRSVGGMRASNYNAVPLENVQKLVKYLEDFATTQ PEX2_073860 MNKLSSLCWRSSQGLGAVARPVRYASTSATSTSPKSSRSNSNSR RWLSIVFVGATAAGAGAYIRSQNISSATLNPETFTKYSLVSREPVSATSSLFTLRPRK PSDSNYDVYEEAWQTGVWSVMFKQPQLQIGRDYTPLPTTAATSLSVDDENEGCLRFFI RKDPFGEVSRYLHNIDVGADVEMRGPKIECAIPKETEDILFIAGGTGIAPALQAAYSL LNRKHTERRPRIHILWANRLKDDCAGGHSDSLKPQPRRGWFSGWIGSSRSQQTTSENA VDVLRTEESSIIVRELEALKSQYPGQVTVDYYLDEENTFITKEDIRGAIAPASTSDSR KSKSKLILVSGPEGFISYMAGPKLWAQGQELQGPLKGVIKELDLKDWGVWKL PEX2_073870 MSNTYQGNRNGSLAAPRDSLELASLASSSPGSVGGSSRSSSPDG ISSSRKLSLEDEDPLAESHTDAELGTGRHRAQSSYSISSAFDFGGTLFPLSQTQGGYA PLGTPSADRPGFTDGSLERNKTLTYLNGLSLIVGLIIGSGIFSSPSQVNANAGSPGAS LIVWAVAGLLAWTGAASYAELGGAIPLNGGSQIYLSKIFGELTGFLFAWCAVLVLKPG SAAIISLIFGEYVVRAFVGADVGDVNPWINKGVAFGGLVAVTLLNCISTKFATRIGDF FMFFKFVALLGVTVIGIIVAVTGFSAGGNANEEWKTTGWFEGTNTEISDFAVALYAGL WAFDGWDNTNYVTGEFKNPNRDLPRVIHTAMPLVILSYLLANVSYFLVLPHSTIEASN TVAVQFGAKVFGAAGALILALVVSASCFGALNATTFTSGRLVYAAGKEGYLPSIFGRI GLHAAPSQGPPASSRLRRRSWARKSLSRLFGDDSRLGFTPIYAMAFNSALTAIYIVVG EFGTLVTFYGVAGYTFYFLTVLGLIVLRIREPLLERPYRTWITTPIIFCCVSLFLLSR AVISEPLQTLIVIAFIITGVPVYYWRIYQRDGRIDVPSWKFWKRGSQ PEX2_073880 MSAPVITELDMLTLKHQQNAGQFPCKTHEVNDESHEEKKLLQNP SQWRPSFHVTAPAGWMNDPSGLGFDPETGLYHLSFQWNPHGNDWGNMSWGHATSLDLV SWKTSTDPILAPSAEYDRLGVFTGCLQPTDISGQPGALTIIYTSVRHLPIHYTLPYTV GSETLSLAVSQDGGETWERQDCNPVLTGPPSHLNVTGWRDPWLTTWANGPFFKHTPST LYGFISGGICKKSPTVFVYTVQATDLTKWDFIGPLIDVGLNLRPSRWSGDYGVNWEVV NLMTLTNDTGTSRDFIIMGAEGCVPPDDSNQDGIQEARRRRVARAQLWMSIKSNGEKK STSIDSPLASYGFSGIFDHGCYYAANSFYDPQTSQHIVYGWITEEDLSDSLRHRQGFS GLVSLPRVVGLMTLKNVKKARISQLSSITSIDAVPDAPGIGTSTIHTMKISPDSRLTR LRQNARESQFAGVALSTSPSQGPVILRTSKWEIDAEFSVSKSCARVGIKIPHTSDGQV CTTLSWNPRSETFEIHRPLIDNAEVNHGYETAPHTLFTFLNERGEEVEETLRVHAFLD SSVLEVFANNRTVISTRIYHPGDRCFGPLFFAESDAEADDEQPAAVLVQASIWDGLGV PEX2_073890 MSFKCPSTETQHVDYSDATSRPKSQAINARLIFACTIFGAASFL FGFDDKIISPVAALPGFVEKFQGPNPVTGKYVLTARNQNLVFSLPLVGSVIGGLLASP MNFHLGRKWPLIVAYIISVGGGLLQVFAPNFGAFVGGRSINSVALGIINATAPLYISE VLILYRLFDVQSRLSLLLGGSHLYTRKICTAVVFRTEKIHGTLSYQIPMAVQCVLPVL LLFLTVSLPESPQWLVGKGNIADARHSLRKLRGFSDDEVEDELRLMRLSEERERQLHA QTKFWHIFQRQHLKRTLTAGSFFSLNQISGIILSSTYTTVFLTELGIADAFALTIISS CCTLAGTIAAPFVIDRAGRRPTAFIGMGILFIIDAVAGGLAFDRGNKKATMGIAALSF VFNFFWAASFFSLSNVMPSEMATPKLRHHTMAYTIACAQTTAVITTLAVPQLTSADAA NLGAKTYLIFAGCMAAIIVFFYFFMPETRGRTFAEIDEMYAAKIPMWKWRSYETSLEL RSRASKRLA PEX2_073900 MEARRSPTGTTATGAIRSNKSAIAKRACDQCKFRKIKCSLSQPC KACESMGFECTFLQPQKKRGPTGHRVSQIRQQQTVITPQEESKNGFQFQTPTSSVESG QAGPLPGAPWTPTQGPATMPLEGTGGLAPSVIPPPMAAWGEETTSIDSHSNSASAMSW NDRNDVEYWLPDNLDSQVPVFEYPGSNVYLRPSLPSIIQPVPDAGAMGMPPQEAPNMP FSPTVGSMHSDTQEGDAVWPSTINEANMIPWIDVYFDRLHPTIPVLNRSSLYTSMITQ EHRKNSQFGAMLLSLCAFSLTQPIEINERPTTSSRALQARAMMNAATKMRSCSDFGEN PTIEAVLTSFFLFGCLFGSNQHNAAWLRIREALDLAATLGLNDPESYQDLSGEEKGQR LRTYLVLSITERAYALQRRHPITFWGKPGFTMRSVHDFIHSATHSVVSGIMVHNEKDA EGMMGLARMMELFDAIDEDVIDCWNRRCDSNNGYCQRLTEVKALSIHQNLNRVNQAER YRGYDWFERAKGGRGETSNATFAMGLRETQAADVFITQKWLQNRVWLLSSTHGLLSAH SEHPELTFGYAVSIAETTLQLCQSLRLSSMEAHGIGLTEKLYDIAICATNILCNTSPS YGVGLNMPVNFTERIPSTTTSTPQSLAEDFLLLMTSFRGGNHPYLEKYRAHLRSLQIM EAKAPEWPQR PEX2_073910 MGSLQTWEEIVSHKQLIRDQLLAPYLVDVAQRLPQVQNPEERTR VEDPLVQRITDIDNVATLLECLEKGEFQAEQVVKAYIQRAVVAHQLTNSLTEIMFEDA LEHAKQLDADFAESGKLKGPLHGIPITLKDQFNVKGLDTTLGYVGRSFAPAQEDAVIV QILKNMGAIVIAKTNLPQSIMWAETENPLWGLTSNPRNPIFSPGGSTGGEGALLTLHG SLFGFGTDIGGSIRIPQSTVGLYGFKPSSARLPYQGVPVSTEGQEHVPSAIGPMARDL ASISYMSRLIANSQPWDLDPRCAPLPWNDTAFQEPQDRPMVIGLILDDGVVKVHPPIA RALLELSAVLKAHGHEVVEWDTSDHAGCIEIMDLFYTVDGGEDIRRDVAAAGEPFIPH VEGLVNRGKAISVYEYWQLNKRKAAAQKKYLDKWNAMRSPSGRAVDVLLSPTLPHTTV PHRKFRWVGYTKIWNFLDYPALTFPVDRVRAELDVLPSEPYVPRNSIDEWNWNLFDAK QVDGYPVNLQIIGKKLQEEKVLGAATVIEKIWKSNLDKSN PEX2_073920 MVQAESSSNASRNGAKASAAGAPVDYELPWVEKYRPTFLDDIVG NTETVERLKIIAKDGNMPHVIISGMPGIGKTTSVLCLARQMLGDAYKEAVLELNASDE RGIDVVRNRIKGFAQKKVTLPQGRHKLVILDEADSMTAGAQQALRRTMEIYSSTTRFA FACNQSNKIIEPLQSRCAILRYARLTDAQVVKRLMQICEAEKVEHSEDGIAALVFSAE GDMRQAINNLQSTWSGFGFVSGDNVFRVVDSPHPVKVQAMIKACWEGKVDVALEGLNE LWTLGYSSHDIISTMFRVTKTIPTLSEHSKLEFIREIGFTHMRILDGVQSLLQLSGCV AKLCKINMKPDLFEPPKA PEX2_073930 MQTSGMINQTSVRRNLFHGNLSRRPASAGPPNGTVPQTHSGLSN RPSHRLKPTSSDSGPHTRPFKTVENKDIVVRDKNGSYKLEIPTLPQALVGEDGEELAE LAPEDGAFESSELTGRDKEKFEAALVEMMVRHRTRQSNGETDEILDMVHESLRKKVAS LDDDNWMFEPEKDSISN PEX2_073940 MASRIDKVIARQREKIADGAYYEAHQQLRVIAVRYIKQSNYDAA AEILAGGAKELLKAGSQQGASASGGDLAIMLVLEVYNKAEWEISGGNDDAEGRSRKKR LIELLREFPSDEPTRKRFIQEMIGWSAKFGPLERGDPDLHHAVGSVYAEDNEPYDAEK HLTLGTSESAETLAKLEYEWYTSDEPHTAAIYASRAVFPYLLTGNIRSANKALLIFTS RLAAANPQLGVQDVSSASSDARVFPALPLVNFLTMLLLAIQRGSADLFRQLTAHYAVQ IREVGIWDDALAQIGEQYFAIKIPRQGNPLMDMMSGMLFGGGQNKTGGRGASQSRKKV EAPPSSMELD PEX2_073950 MNRSTFNIQPLHRFGRANTSIRRPKEIACFSYDDQRRFSLGDSS MTYYYTPALPADLNKGYETFQKLDDVPDEHLDALLDTLVAHEKEIEKKCDVDIVTWRG MMTKILTAPFDNMNGFIEENNEYKNQQKEIQRKRGSPPGMAPQELMMYWGYKFEKLAV LPKTWDESTREEIEGRENEVVNNAAQYCSVVRTGIGNVRMILGGEVDAIWDSKPSRKE SPINWVELKTSAQIRNERDMIKYERKLLKFWAQSFLLGVPKIIVGFRDENGICRSLEE LDTASIPGKVLSVGRRSWDGNVCINFTGAFLEWLKQTINQEGTWRIRKKEKSPVIEVY QVEEQGHGDILSPAFKAWRSTTTSASL PEX2_073960 MTDSCRQLAVDLASRFNGEIINGDAMQMYRGLPIITNQIPVDER NGIPHHLLSCIDLDAEAWRIGLFKREALRAIEDIRARGKVPILVGGTHYYTQAVLFKE QLVGEGVDDEGEREQLTEFSSTSKKWPILDSSPEVLLEKLREVDPVMAARWHPRDARK IRRSLEIYFQTGRKASEIYTEQFSQKRKAIDQAEGLLRFENTLVFWVHAEKEVLNARL DARVDDMVKQGLMTEAEKMSDYLQEKASQGIEVDQTRGVWVSIGFKELAPYFKAIRDG EASEKEIEDLKVTSLELIRIATRQYGTSQVKWIRNKLWQALREAGETRRLYLLDSSNV SDWSKCITGPSEEIVQLMQQNKPTPDPKSLSQLATAEFGAKEAQAQMRAQTDPIGKCY TCDVCQKTMASEDQWHIHLNSHQHKRGLKSASRRAQRDDYFRKKELEAQGIQDPETET EPGS PEX2_073970 MADINSTLPNIEVQNLSYKFQDGSSGLENVSMSLPAGSRTLLIG ANGAGKTTLLRLLSGKRLAPNNTIAIGGKDPFKDSLDGVTYLGVEWVLNSIVRTDIDV PTLLASVGGNAYPERRDELIDILDVDLRWRMHAVSDGERRRVQLAMGLLRPWQILLLD EITVDLDLLSRSNFLAFLKRETETRACTIVYATHILDNLAQWPTHLAHMHLGKVKALG SVESFHEQVPEWTSENSRLGELVLKWLKEDMQARGPRNGRGNQAKTYQSLEGIGGYGL EKHD PEX2_073980 MVAVKTDYISVGGNRHPGAADWDVQTGVLAFGADNNVALWDPLD KTDRGIYALLVGHTDKVSVVRFYTCPASGTRFIVTGSVDRTIRVWQKDNADPHNYVLA STLEGHTSSVNAIAVADGTDIIASGAADGTVRIWRINAQGELKCELLETITMTPRFFP LSLSLQGLEGDSNKPLVLAVAGTTTKVQIYVSETSIGKPEFKRRAVLAGHEAWIKALS FTLDKQSNGDILLASASQDRYIRLWRLHRGEASAPAPIDDTDPLLGGMEPTLSNKAHE FEAAGSKYSITFEALLFGHEDWIYTIAWNPDSKRQQLLSASADNTITIWEQDQASGVW MSAERMGEISVQKGSTTATGSAGGFWIGLWSPDGKQVVSLGRTGSWRVWRHDSDADIW VQKFGISGHVRSANGVQWEPTGGYLLSTSSDQTTRLHAKWVRGDTGSWHEFSRPQIHG YDLNCVDTLGPSQFVTGAEEKLLRVFNEPKPIAKLLERLTGFKQSNDEDLPDTAEIPV LGLSNKALGDEAPVEEDEKKGPTEAPVASASLAANHPPLEDQLSRYTLWPEHEKLYGH GYEISAVAVSHDRKLIATACKASSIDHAVIRLYDTSDWHEIKPSLTAHSLTITDLSFS SDDRYLLSVGRDRQWAVFQRSDADPTTFTNLSVNPKGHSRMILGAAWAPATTEHVFAT AGRDKSVKIWQKSEDTFVCKTTVGLTSAVSAIAFLPATYQGTYIIAVGEDNGVISIHR IAADTLEAQHIVTIDKVASPSKTITQLSWRPVPAAEADNRSQFELAVASEDTSTRIYA ISNMLS PEX2_073990 MADTSNVGQGDFPIPITVEFTGGLELLFGNERKHKVVLPARLED SSRPNISYLLKYLVDNLMKDQRIDMFIMEDNVRPGILVLINDADWELEGEETYELQQG DNIVFVSTLHGG PEX2_074000 MDTLLTAEIVANSPRFRRKSSIFVDAIHDLPEKADLAPAQLYST ESGRLFHSGRIVIITVGLPARGKTHISVALARYLRWLGVKTRIFHLGDYRRATIPFGE DMPDDYFYVNATAKSVLLRQKIVRKCREDIYHFLNHENGQIAIYDAVNPLASGRRSLA KEFAKHDIETLFIESWCDDEHIIEENVRRVKISSPDYVSWKSEDAVKHYLNRISSRIP QFQTMEEKDLNYIKMINAGERLIVNNRSFGYLSNRIVFYLLNLHIKSRRTYFARAGVS LDADSYKADASLSEQGEDYAKKMTARLLAHREEEKQAMIERGETGYESRPLKVWTSTR RRTVETAKYLHENGYKIRQRSQLSQLNPGVCEKMSENHIRAEFGDESYHDLAVRLEPI ILELEREQTDLLIIAHESVLRVLYGYLMACNAADIPFLEFPRDEIIEIVPESYQNEAQ RIHIPDLPAEIIPDSPEDLKIPVPPSGVVSPTQGLGTPEGQATPHSGHRTPSGIRTPR ERERISQQHVEDVV PEX2_074010 MADYLSSLSFLTENPAAAAVNDAYSSFSDRRALLNLPNPGTVDN LAREVQKDVLLTNFMFSGLRADLTKVFGMSPLFRVSHAFSMGSTGNLPPYAFSAMYGS PRVFMQGNYGSDGALAAVANYRWNQALVTKTNAQIMNGGTQGLVQIDNDYTGRDFSAS LKAFNPSCLEGGLTGIFVGSYLQSITPSLALGFEAIWQRQSITARPETALSYSAKYKG DDWIGTAQLQAQGTFNATYWRKLSERVEAGIDMNLQLQPSPATLMMGGPARDGTTAIG AKYDFRASSFRAQVDSTGKVSCLLEKRIAMPISLSFAGEIDQAKQTAKLGLAVSLELA GEDVMEQQDKVDPASMIPPPF PEX2_074020 MSQNRPGLFPTLRMGEVIREKVQDGLTGESKEISYTQCKIVGNG SFGVVFQTKMAPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFYYSNGDRK DEVYLNLVLEYVPETVYRASRYFNKLKTTMPMLEVKLYIYQLFRSLAYIHSQGICHRD IKPQNLLLDPATGILKLCDFGSAKILIENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRKAPPEAIDLISALLEYTPTQRLSAVEAMCHPFFDELRDPNTRLPDSRHH NNPSKDLPALFDFSQHELSIAPDLNAQMIPPHARPALEARGLGLDTFKPLSKEEMLAH LD PEX2_074030 MAENDRRNQGYRGGRKRRYRDDDDFDRRPQRRRYEEPLFVQVRR QLLTIAESAARRAEDDVQGIAKTVTDNYDDDEIRRDFVNISLDLVLEQPMKIPFIAGT VLVAHSLKPELGSEVLSKAAEALQKYIDIGAWREVKLLIRFLGILQPVYEGDGIFPLL EELFARAVDLQTASSEDLLGLELVKIIQFTIPYVMLSPATGFEAQASALLEKTDIIAS TPHALVDLVNTFSPEENPEAAGQSVISLMQTQLQGEANQGWELKCLPRPWKDLQDPET DEPKSFESVTKVPFPTVTVPNPVPNGVRPLFPEVYLSVYANQEVDTVPAITDISSSLI RDALVDTINLLDFNRVATAKFLIDIDCYFTPTTFVKRATPFDRMRELIGEVQPWKPED VAVDAVFSQLFQLPASEHKLVYYHSVLTECCKIAPAAIAPSLGRAIRFLYNSLETMDL ELSNRFLDWFAHHLSNFGFTWKWSEWTDDLDLPAIDPRMAFIHGALDKEIRLSFAQRI KGTLPDPYPKLITPGKEKDTPEFKYSSEMAPYSKEGQELMQLIRKKASDEEIQPVITA IEDQAKSQGVDDPKIPSTDAFVTSLCFVGSKSLSHVLSCIERSKDRLLAIGTESQHAR CQIITSVMDYWVDQPGIAINIIDKLLNYTILTPLSVLEWALSESVAAGTILSKPHVFE MISATVGKVTNRMRQIVAARAQPGLYEPQLSVIDETLARERTDMEALFKYIEDSIVSV AAGSNDEQMERGDGSGTLPEDGIIRQWGRRWLRVFRRKAAVEESFISDALANATPLGT QAPPVSGREDAADGDLDIADADAQ PEX2_074040 METAEPVSYEFPGHAVGAFAQRRSMDSTLSQNFPFYSHQTAPYS LPYQTPSSLPYSFGHSVGHTHAHSNPYQQYFVPSQHPIHPQPLRLTTEQPLQSLPEIR PAKNAISQTVKSTPDHGPRVQISTEGHSSPVGTTNETKETPADIAFSTNVDVLMKAIQ AKHASSPTQQALPPLQHLAAPAPQAYPVSYPTASPTPPRCYHANEGQLSRSGKKRKYN CSLPGCGKSFAQKTHLDIHIRAHTGDKPFQLIVFGMWQICKEPSCGQRFSQQGNLKTH QRRHTGEKPFQCEICHKRFAQRGNVRAHKLTHDQSKRFDCRLDECGKQFTQLGNLKSH QNKFHAATLHNLTVRFSQMGENGAMNMNPADRELWIYFAGLYKNSNKGIKGRGKDRRI STTKRSDAQSSCDSMDRIQSVESEEGVSKMQMQMRRGSFEDGFSVYNASSSEGDDAEP YYIDRRGHVHGHGGHSHGHGHGH PEX2_074050 MYNAHRGMVPAPNSRLTELLDQLRQEFESQSRNTGEFEHQLTGQ LQEMEMIRQKVYQLEQAQIKIKQDYEAEIRMLRHELESRGVQTIPSHIGGPAAAHTAP SQAPPPALGHGPSNLFGGIMTNPPGSGPGLAPSLPQDQQPPQHPLQQPASVAQPGAPQ PQQSSFGGYPAGAAVNGYGPPPPPTASPGPGKGRGRVPPGPGTPQQTHIAYPDPRASP QIPRPTPPNPPLGRTAERPGNMLANWNPDDLPPSQKREGSDWYAVFNPEVQRVLDVEL VHHLNHDSVVCCVRFSRDGKYLATGCNRSAQIFDVTTGQNVATLQDENVDKDGDLYIR SVCFSPDGKYLATGAEDKQIRVWDINQRTIKHIFSGHEQDIYSLDFAGNGRFIASGSG DKTVRLWDILDGKLVYTLSIEDGVTTVAMSPDGRYVAAGSLDKSVRVWDTTTGYLVER LENPDGHKDSVYSVAFAPNGRDLVSGSLDKTIKLWELTVPRGMHPHSAIKGGKCIRTF EGHKDFVLSVCLTPDGAWVMSGSKDRGVQFWDPVTGNAQMMLQGHKNSVISVAPSPTG NLFATGSGDMRARIWRYSAYTGR PEX2_074060 MDIILELWDTFIGDRLYSTLLPTSLSSSVSLPAFVNAAANTSMA LFGPEPYVYEQATQMIYLEPSKYAYLSVWPRNNVYRQFTSFFLITWLFGLLVYFVVAT LSYIFIWDKTTYNHPKFLKNQIGLEIRQAMAAMPPMSLLTAPFFVLEVRGYAKLYDTT AEEPFPYYSLIQIPFFICFTDFFIYWIHRGLHHPRVYKTLHKPHHKWIMPSPYASHAF HPLDGWSQSVPYHVFPFIFPLQKFAYVFLFGFINLWTVFIHDGEYVANSPIVNGAACH TMHHLYFNYNYGQFTTLWDRLGGSYRKPNEELFRRETKMGDKEWKRQAKEMESILKDV EGEDDRSYSSDKKKNL PEX2_074070 MALGCNDKSKSPNQARPLRVIDLCTGTGCIPLLLHALLAPDFQL EIKGVDISRTALCLARENLEHNLQLGQLAPSAGTDIQFHRADVLGYDSDNSVPSLESI LKTQFSDIGALDISSPDQESGCDLLISNPPYISQAEFRNGTTARSVRRFEPKLALVPP HPSSGVDDCMPEDIFYHRILTLAFKLKAKLTVLECGDSHQANRVVALHKRLASAEPGK FSAEVWPSREQDLAENGFHATDGSRGVIIQTLQ PEX2_074080 MPRIPFSVILKAQRENYLLPILLKECRTVDSARNELRWLQERAV RDSESLSRPKAWRSRLRSMCQMRSRGYPLQYILGDQPFGDLEILCRRGVLIPRSAHRI SKRGISC PEX2_074090 MFSYTSRSLIGVSKRLRAPVPFRPLSSPSQPTPPEQEKTPVQDP TPTPAPVASAKATPVAPTPAATPIATPAATPAATAPASTAPVTPTEAEKITKPSVKEW SERLGAFGEESRLPRSVQAIYLRPLRRKVEYGLPVCDLQLRSYSVRNVEFFTDFAIRA AYYLNLPVSGPVPLPRIVERWTVPRSNFVHKKSQENFERITLRRLIQIKDGNPQAVQA WLAFLRKHAFYGVGMKANIWEHDSLDAGKAMDASVAEVEEALRPEFAQFGQRKDNSAP GTIFDTLNNYDAQVQFYADTLVIGDYGNAKWLHLNFSILALIECLSEMSANAMRPEFA SLGTLRAKYLHIRPSGLSLLPKRCHRWNSSKTTPAQEPIIFSGIQPTGVPHLGNYLGA LHQWVKLQHDAAEGTKLLFSIVDLHALTVPQDPAQLKRWKKEAFATLLAVGLDPKRST IFYQSDVPAHTELMWILSTVASMGYLSRMTQWKSKLQLPDDATLDDSTARAQLRLGLF SYPVLQAADILVHRATHVPVGEDQRQHLEFSRYTANSFNHVYGPIFPIPEALISPAKR VMSLKEPTSKMSKSHADEKSRIILTDSPAEIRKKVKVALTDSESSITYDPTRRPGVSN LIEILSHLEGVSCEDIAADFHNASLRSLKEHVADRIAYHLQEIRDRYITIMEDKTGYL ESVAEEGAAAARANSRVTMQQIRDAIGLTMGAEVESTASSLHYETLYFCNVTLGTPKQ SVRLVLDTGSSDLWTNTPNSTLCASTKNVCAEAGTYDPSSSSSYSFVNSDFNISYADG SGAAGDYVTDTLTIGGTTIKDFQFGVGFSSGSSEGVLGIGYTTNEVQVGRNGDSAYAN LPKAMVNKGVIQSNAYSLWLNDLDANTGSILFGGVNSKKYHGALQTVPVQKVGGQYSE FIIALTKVSLTNSSSENTYSSSSIPAGVLLDSGSSLTYLPDALVQEIYDDLGVSYESD SGIGYVECSMADEDITLSYTFSSPTINVGINEMIIDAGDLYFRNGKRACIFGIVPAGS STAVLGDTFLRSAYVVYDLANNEISLASTNFNTTENDILEIGTGTDSVPGATAVSNPV TTAPVGGTAARIGGPAGGSSLYGSASATGNMAIPRATAMPKHLAVGLAGVGALLAL PEX2_074100 MTKIDLSSFERANEAVSYLRTKLPETLQKPQVAIVCGSGLGGLA DTIQPESRIEFDYASIPHFPRSTVVGHAGKLVFGLLGQKIPAVLMVGRAHYYEGHSID QVAFPIRVFKQLEVNTVVLTNAAGGLNSEYAVGDIVLLNDHLFLAGLAGTHPLRGANE DEFGVRFPPLSDAYDLELRRHVHQSWKKVISPESKRKLHEGVYAFCGGPTYETRAESR MLRMLGADVVGMSTVPEIVTARHCGMRVLAFSLVTNKAVLSPVPRGDDDLLQNKAAGE LSALLEEGMAGHEEVLEAGRAAAIDMQKLVVETLVDVFDSV PEX2_074110 MADSTCVKDVQEDLTDDQIQQLLLEAETRLKAPNALSNQTDDLA SIRIPKLSPGSSLESYIRQGDDVAIVDATKITDQKQKELANSLRAIEIKKANTDKPTA GPEWFNLPKTEMTPELKRDLQLIRMRSVLDPKRHYKKENGKAKPPEYSQVGTIIEGPT EFFSNRITKKDRKKNFVEETLALERGTKRFQAKYRDIQANKSSGKKSFYKDLQAKRTR KNK PEX2_074120 MPPMAPMLNEPHVLNQIIISPSDTDYLDQLIPSIRDYSVGNRTP QLLQSLSRFAGDKESEIESICNTNHQEFVSSVNSLLRIREGTVSLTAEILDLNQSIQT STERLAEQKKALVESRGHRQNIDETSRAIQDCLEVLRLANQVHDLLAKKNHYAALRAL EELQNVHLKGVTQYKIAVMIQRSVPATQRAIAEAVMSDLNTWLYRIREMSQYLGEIAL FHTDQRKTRQKQRAEKIPYLEHFNLNSAIELVSDEDEEYDLLQNEDLQVDFTPLFECL HIHQSLGHMDKFRIEYATTRRRQKELLLPSSITLVDEDGSSLHNLLEEMAGFAIVERA TMKRAPDLRSSVDIDELWDSMCQGAVVLISKALHEVDNAESILNIKNLIALFMQTMNT WNFPVRVFDDFLLTLFGKYAELLKKRFSDDFQEIVSTDDYMPMPIQTQEEHDKVLNVS WYSPEQPREEQVFPCILPFSRMYPLCCIDIRNFLNQFYFFANDGFTNTNVIDETLKND LDDLLSQKVCDTLVERLSSQYLGQIVQILINLEHFELACRELELLLAAARSQNSTGTS IALKATEKFKSNKKAAEKRIFEVVNSKIDDLIETAEYEWMSPTPPTEPSNYMQTLTRF LSNIMNSTLLGLPTEIKELIYFDALSHAANMILAQPLSPDVKKINPNGVAALAKDVEY LAEFVDSLNVPILRENLDELQQTVQLMQADSADEFYDISTRNKKYGRVDAMQGPILLE KLTRSIQAPTKTDKFATLSSRFKKTG PEX2_074130 MEGFSPTRGSPSEQLTAFNPMGYDAFSNRANPAPFPGMETIRPT GDPFAWSNETGSTRVLSSQTTTATSGSCYAYPVPSTLDQANTQYQSSSVSPQLTRTIN AAHYAIGQSQFPSASTQVSPSAGPGGMRYSPSHAQASRSLPQMQYSPHFSTHAQAHLG ASQCPLSPVQTAIANARYSPSHEEISYEYTPTPDFISSLFAATRDPAVGNITTSNNAL VHASPETQAGRTGTTDLSSRSLSGGTHDVTEKHRSFIVHNVSLDTTHRSIVMMFPIDE YPSLEDVCLKKLEAEGMFSFSFGDLREAIHAMKKIRLTRPTWRVFPASCEEITNFKGT SRSKGVASSSSVPRDGTFLLSVYTIPSQWLMEPMDDVVQHVVASLGTLRSCKLIQNGT NMSHYQVEYFNKRHATYAFSCLAGFRLESLHFNVFIDAQEEMPVLPHLRTTSFGSPQS PVTPYHLVSKENETEEKVDVSPASEEGQTSAEHAINLDRIRQGLDVRSTVMIRNIPNK ITSDQLKSILDESSYGKYDFLYLRMDFTHRCNVGYAFMNFGDAIDIVNLVHARQGKTW PDCISEKRAEVSYATLQGKEALVNKFRNSNVMTRPHEERPRLFHIDGPRAGTEAAFPG PNDASKLRRSVASTTQQGLFAPRNRAPTTPRANRTRPQSQSFSQTPRGRPSIRTPRHS GGRSVQHVPGEFSSMQAEDRQFLSQRN PEX2_074140 MDNIEDILAVHSMLHLIFHRNKNQHRRTKWWKWLSILKRATLDL ARSGVKSHLVTIIPRCYIAFSTVVADNQFSTLGIVLLAALARLNKITGISQLKMQPVT SKSKIIPVAKEDIGERILRIDNAPLTPVKVSQSDSKISKASKAKPTEKFTEDGVSKST SKKKKKKKNAIDDLFSGLF PEX2_074150 MAGFRSWATVIANLLVPVGILTFSSGFFPYKPLIPGLATFDETG ENVPAPAVFDKVIFMVVDALRSDFVYSNDSGFLFTQSLIRSGAALPFTAYASAPTVTM PRLKAITTGSVPSFLDVILNIAESDTTSTLAYQDTWLAQLKATGGRLVMYGDDTWLKL FPGMFDRADGTTSFFVSDFTEVDHNVTRHVPHELAQDDWSALIMHYLGLDHIGHKAGP KSPFMIPKQHEMDSVVTEIYTAMQQQDHLQSTLFVLCGDHGMNEAGNHGGSSAGETSP ALLFMSPKFERLGGRRESPMEPSGDMQYYQTVEQADIAPTLAGLLGIPIPLNSLGVFI PDFLEMWDHGSHKVRILYQNAEQLLNTLTTTFPGFTFGPHSTESCPSGVLSGIEGARC AWARVQQLLSGRDAADDSYSTAGPALLQFLRIAQEVMSRTASNYNVSRLTLGLLITGV AGMLVLPATYKECARHGSSGMFLMLMIITCGMMMFASSYVEEEQQFWYWICSGWVVYL HIKSQSQSSAKPILRKRFGSLVYWSDKCMILVLAITQRLLRRWNQTGQKFAAEPDIAR TFFARHPEIFWGLLILTYVDAGRHLLKNIPITGILKLGALAPAVLAFTFKLHFVTSES PELLDGTFISQITKEWPYSLSLVLHARLVFYGLASVVLLTLFVGNRSRVSRAHDTIHE ALHIFLMTQSRATNVPLFLIFRIQAGILSSMNLTGIEVTITTLIFQYMTFFAFGGSNA ISSVDLASGYNGVDSYSVVLVGVLTFASNWAGPIWWASQSHRLRPRNPAEQNPSALLT FHVAMSLVSVMAACTALRTHLFIWTVFSPKYLYSMAWATANHVAVNLLGEVIFSRSRS RCHYS PEX2_074160 MAKSVRASVSKRNRANLRKKVFGPLVDARTERLAAKLQELASQP RPEVPVKSKMDLAEDEAAEAAKARTGDSEEMDIDTIKSSKKKQARVQKRNQKPRNSIV FQKRLSTTKKGPKRK PEX2_074170 MSTLSSEVATLTAFIKPLTNPQLKDLLRYEGLQVSGLKATLQFR IIQPILAEIRTLTIKIGIQSLAESDPVSFDALARRIRATAFPNTVPYQSPTRPQYQPS PVSQSPAQARSAPLGVSMSPHPYSTGPSAPLMKPPAAAHKSPGPLIFKESPFYTILEP LTAVVECKVREQTRDSVELKVMLSQQVAYRLQTDSNLRVMVYCAADSGLTQYTKSDIA FPHQVELKVNLDEVKANLRGLKNRPGTTQPADVTNWIRKKPNYPNNIVMTYALTQKVE LKFFALANLVKQHPTDDLVSQLKTRKLISKEQVLREMQNRANDSDIVATSSVMSLKCP LSTLRIQVPCRSIVCAHNQCFDASSFLELQKQAPTWTCPVCSKSTSFESLQVDQYVDD ILQSTSPDIDQVTVEPDGVWSSPTDSDATKLGGMTPASDDDDDDLIEISEPGMPVVKQ EPGPPNVALERTPAQSQSQSREASTPSSAMRMSSKKRPIAQVIDLTESGDEDEESHIP PPKRLAPSLPSRAFSHQDYHVPPASSPLNGGSYPP PEX2_074180 MAERMAKELVTEGKEIEDEEVRETTFFRLESLGYRVGQGLAERF ARDRPRFTDNLDVIKFLCKDLWTVLFKKQVDNLKTNHRGVYVLTDSTFRPFGRMSMAV RSEAISMAQAYLWFPCGIIRGALANLGINTTVQAETSDLPGATFQIKTLQPRP PEX2_074190 MVKAVVLGAAGGIGQPLSLLLKASPLVDDLALYDVVNTPGVAAD LSHISSVAKITGYLPKDDGLKLALTGADVVVIPAGIPRKPGMTRDDLFKVNAGIVKGL VESIAEFAPKAFILVISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFTQ EFSGVKNAADATVPVIGGHSGETIVPLFSKVSPSFQIPADRYDALVNRVQFGGDEVVK AKDGAGSATLSMAFAGFRFAEAVLKASKGEKGIVEPTFVYLPGVAGGDEIAKATGLDF FSTPVELGPNGAEKAINILDGVTEQEKKLLEACIKGLQGNIEKGVEFAKNSAPK PEX2_074200 MASNISNDLVWQITRNQNSFLVNRNSGGGFQFSRDPLNLLNKHS YKAVGVQATENGVAVTTKKPSNPQQPGQNLVTVTYGPSTSTRKIYKGVADKTAKNSYR ADLREEAVARVSAVRRSQLPKKDAPAQKLRGSKARKAEESE PEX2_074210 MTYILFYFLLFSVIIVGTALYLTRSRWIPLVQVPDYLYHRLPSS FTADLEAGLSSSQFDITANLADGDLRAGLDQRAKTEVIKIMKSRKVNFDEARRIYTEQ RFAKNNIGPDGRPRDPKFVSFS PEX2_074220 MSNARHWEQDKEATVYIGNLDERVSDSLVWELMLQAGRIVNVHL PKDRVTQLHQGYGFVEFISEEDAEYASKIMNGIRLHGKPIRVNKASADKQKTVEIGAE LFIARDDNNLSKGYGFVSFADFESSDAAISNMNGQYLMNKQVSVQYAYKKDGKGERHG DEAERMLAAQARKHNVQPPTQQPPQFPGAGPGVSATPVMSNSDSSRPLSTAPSQTPDL GMNRSMPPVMPYQSAPPPMPYQTVPPPNRHVPPPVPSLNTPPPGLPARPPPSQAGYGG PQSFLPPGFNGAGQPPFVPQGAAPPGFGPPGFGPPAGAPSLPPGFQQPGYGGNR PEX2_074230 MLAYLFIQLLAAPTLVQFGPHNREREREHNITSDTHIPSWGRVA IAKPRSGPLQNTDLRFRISQINAPDLVGPVVSLLLAVEALWQYVGTSASLARITSLDH AGLIDFRARFVEGDPRVVRAMREGLGASLNAVLDATAFAEGLMLVDRCIETMKDETVK AEVGAIAYEDL PEX2_074240 MAGIYHPVCPAYCRDSNAWKTQLVGGSCGVLALALVLGAVVWIV RFHARQARLKNPQMVINEEYLQQKEEERKREKKSPWWQFRAKNAPRSKAPAHRPIRQL WGHAHVQRPDSSTQVALMAPCGLHLPHPPDVDPDVLSTIKSALKGKGKS PEX2_074250 MFPENFYDIEELSPDDRALFAQKYRKYLRHIAQTAIWTTYIYFA VRLFFTLITPDRTWKMWAMLGIEGLFAQISLNHQHLSLAATTKPLRQTLRKRLRNNEN LPRVDVLVTCCGEPVEIILDTVRAACGLDYPASRFRVRLLDDGGSIDLRDEIAKLTTK WTHLSYHTRGKQSGKSFAKAGNLNYALFSLQTEDPPEFCTVVDADSILMPEFLRATLP HLLADPEAALLTTRQYFYNLPRSDPLSQSRAYFYTCENTELDLLGHALDAGSGAVFRR EAILDAGGYPTYSFSEDWQLSLILRGLGKRTMQVQEVLQFGLVPTSLAGHLKQRNRWH IGHSQQISVLFPSINKAIPQHLRWDIALGGLSIMAGLVAYSIGFVALPFLVVSDGGFI PAGSALEVKIQLVLAIAHVASMWAYDWARSAHAGVPFAPFAHAENSWLSGAHLYAIVR FHLLGSKPKGSFVTGSTANSSENAPSISSFQKAYRDTLQSGALLNIYLFISTVGAMLF AVWVAIPEDGLAIPKLLTTIAWPPLLHLCLLAIVNNWVPIAHLFNPPTYHSRESRLVT TDMGISYPRAEVEGELGSEKSFFGLCCSFLVPTILLGVLVGVLVL PEX2_074260 MRLTLVSILFGTALGLHHGQPAATSSTNYNNLTVAIVRAPPANW PLPVMNKNWTDVKFDLNQTVVKATHLIKDAADAGANLVVFPELWFPGYPKGIADSISM KDHIKNYFENSLVIDSPQWKTLIAAAKHNQVYVVPAFSHREGDLIYMAQALISPEGKV LLLRHKLRPSGGEREIWSDGTIEDLKVIATPYGRWGLLECWEHFHPAMTFNIQSQKET LHIASWPFTPDEGASNALPFETAEVNVAAGRTYAVNTGAPLVFASVGNVRFIDSSGLD IKVVNASVSFEDVPLVYTSFNTTGLAETAPYDADAEQSWGILGQINAGFPSYIPNVLG KLVPHKNVSISGLLALLG PEX2_074270 MESWQGGQDIAHIQHPCFHRQVQLRSALYLLPMPVTASHNPDPN EDPQTALSYQSSCSAWYTVNKLLSQAYPCHTSELFSKIDNIGLLLKAIDRGWESLSSE ERINPGLCILQRMDEYIWSPMPKIFRVAIAYKSYQLMRYIFHPGPEG PEX2_074280 MTTIRDSHGDERYSVPAEAQRVFLAEILQNPLMKDLPAEIHDAA ASIKFVGSDDPSIAINWRFAESIASLKAFEGAMLSVLLQRKYGVKAPEIVIDTDHSQL FVMSAMIWTIDPEGEPIECSFTLARDGGPFLNYFPNWDSNKAQANLYRSLCTNVYKTK DERYFHLHGSMNPEPTLASVGLPPWMPELDNSPREDVVKFYQNRVKEFDSTDLEHLAS EVHRQAGTICWTPEEYFASEHGQANGKVGLYEIHQHANPKQEPCWWPSSPETSATRPL AGLKVVDLTRVIAGPAISRGLAEMGASVMRVAAPHLCDFSFVHCDLNWGKWNTLLDLR LTRDQDSLRALIEDADVVVTGYRPGVLDKYGFSEKDILKIASDRGRGIIYARENSYGW YGPWAKRSGWQQISDANCGVAMEYGRGMGLDEPVIPVFPNSDYCTGVCGTAGVLDALM RRATDGGSYTVDTALNYYSQWLVRSVGTYSENVWQKLWSDNGRPVFRHYHTMFDTIPV HVKLLKKNSGDRVFHPRNFQDLYSPQLGVPVRVPKPVLQFPDGPVQLKYNVGTRTNGV DQPKWPVDLTVEVVV PEX2_074290 MPSQQTKRPLRILGSSGSAQDRRRLLAAAVRNHKNEPFDVLVGD WMSEANMTFNATKHKKAGQGIAYEKTFISALEDVLPEIAEYGIKIAANAGSSDTKGLY DALLALVKEKNLPLSIAWVEGDQVLPAVLEAEAGKTFDFRHLCTNQRLSEWKHEAISA QAYLGGLGIAEAFKQGANIVVCGRVSDASPVIGSAVWWHNWDREDYDQLANSLVAGHL IECSSYVTGGNFTGFKDLEHHGWHNLGLPIAEINYSGQVIVTKTRGSNGLVSVNTCKS QLLYEIQGPRYYNSDVTAYLEEVKFEELEPDRVLLSGIHGGPPPATTKVGITAKEAYH AELVFWLVGLDIDAKARMLEEQIREELGQGVNNLSLLEFTLNGVAAEDAQDQPSATVG FRVVAQALEKEYLSPANFLEPILETTMELYPGGTFHMDVRTALPREIHEYFVTKFPQS LINHRIHLHDGRTIEIAPPSNVESKTAVPTQPSPHVDVDSFGPTTRGPLGWIVHARSG DKGSNANVGFYIRHDDEYEWLRSLLTVKKIQQLLAKEYKGGRIERFELPNIGAVHFLL YDHLDRGVSCTKTLDFLGKNVGEYLRSKFIDIPVGFLERGKI PEX2_074300 MAPNIATVLNDCLKEFTESTNSGALTRYENEVSKHRWLDELGRL RVWAGNIGAHQTGQSSLDYRLRDASHLKDETVKLLQRLLRLLRDLADVIEDENQVEDE NDAIFFEDSELELEDNDMTDIQMLFQSLRNTINLLFQMSMAIRRPADHDRLLGVKIKD ESYFELWAQKHISHKFPNAKNSIIPRLSAAMARQKAVLKYFARHRAKLEGWPSDIDYV PPGAMAPKLPPSSFSQIKGTPDSFSVFSWAKSWEKNFGTCQHFPQRAVGNANPEGLGH SPNSKSQAHENFPWESGEKANEYSSHSFGIDGDTRDQESTILGASNTWTTHAEHLNVT SNEDPHDGMLVNLLSLNAFDGRQVKLPGTTSHGNDQTDDLEGGSSSLDGKKKACDNSE SHRDTFIGHLQGVHDVPRPFLCPVQECGKAHDRMANMTEHLFRVHNIKKKNGTSGQLE SHKAGS PEX2_074310 MVTGIEATGVALAILPLLVNQLDNYARGIEKIKAVRRYKWQLED FSSGLSAQYSMMVNTLELCLDGVVDDHDQKSDLIKNPRGPGWKDPTFQSRLTQKLNRD YVPFTGTVRALCRLLEDLSKKLGLETGDYRSFRKIFNTAIYEDLLEKIDKTNQILKII SEQSQHRESSLKKPARRRRNLKRCREKRAHAKALYSILGNGQKGWKCSCQNAHFVALQ LNAHYMDSTDDIHLAPQATSFYMITSPPENCSSTSDRRRWHEVRVQTDQSAQLPCNSQ DCTPGRESKVNFELPKTKFLESVQSIPTALGAPSASAALCCAFDQVNITPPNSSSKSI DYIFGNKATEAGYYMSLVRTIQDETNLRSLQETLIGSPSTPDSSIQSPGELSRRDRLY LATQLACSLLELHGTWLQQHWGTKDIFFLCGKESQNLHYERPYLLRTGLDVPETDTNR FPHRVHNGQDSNGHSNKTLFPLALALIELSLGKAISTLRRPEDGESSEDTSMLNTVTR LLRTVYWESGSNYGDVVKECLYWSRSKGDGFEDPYFDESVFDTIVAPLLKDYDYFEGN SRAFQLEYRMA PEX2_074320 MSPTSQPISGHLGNLTPDQEAKVIEFWIIILTSVANVLSAVYEV PIPKGSSSKLFAALDKISEPTVEAIISALKGQGADGETSNGTAPAEAGGETNGEATAK NETDNKEQKSLDKVDSLMNENAKETIISEMANRKVTPAHFSSIFSQLRELGVQDAEIK SMENILSTLTPQEMCFAILKMIKQENPDSLLLRFLRARKWDVGKAFSMMASNILWRKE MEVDEEILPRGEEYALEQSRNPKATPKEKKQSADFTNQLKTGKSFLHSFDRDGRPVIY VRVKIHKPGAQSEEALERYIVHCIESVRLIVAPPVETGTIVFDLTGFGLSNMEYPPVK FILKCFEANYPESLGQLLIHNAPWIFSGIWKLIHGWMDPVVASKVHFTRSIADLDKFI PRNKIPKEFSGDENWTYKYEEPVKNENAVMKDTATRDSLMYDRMMIGIRMISATAAWI SASSQSNGKEEVSKVEELKSRRNAVIDEFRVNYWKLDPYVRARSFIDRTGMLLPGGRL GPDETTNGQAN PEX2_074330 MSSPKLDVRHIQNMSAATSLEPHWGYYDRALPCTNDPGSCEYLD VVYASHDLGMLYSGILWCVIGTILLLWVVLNQFNAPKISPMLAAPELAGLYKVRRSVA SLARKYLLPDAARFIFGRTTRLQVLTLAVLAGYLLIFSFVGILYNTWVTPVSKMPGVY NTRSSIGPWSDRIGTLAYALTPLSVLLSSRESFLSLITGLPYQSFNFLHRWLGYIIFV QSSLHTISWCVVELRLYQPQPTVGLEWVTQTYIVWGIVAMILLLLLVVLSTPWGIRLT GYETFRKLHYILAIVYIGACWAHWKQLKCFMWPSLIFWFLDRGARLVRTALLHYHPDH SGTIGLGFKPADATITRFPDTEHGDVIRLDLHNNQDPWSIGQHYYLSFTKCSIWQSHP FTPLNLPTVSKGTVQHSYILRAKSGETKKVADLAATGTPTTPIILTGAYGESITSHLT PSTNIICVAGGTGITYVLPVLLELAQQQPSSDRKVELIWAVRHTTNTEWIAAELDLLQ KARNTLNLEIRIFATRDSGSKTPSIENSDSENCNLAVPKIEEKVVQVSPSRSVSACCG CDKPTAVERLGGASDDVNRHPDLPRLVNDFLAQTVRGPTSVFASGPGGMISDLRDIVA SCNSGGKVWKGQERFDVSLICDDRLEW PEX2_074340 MEGMDHSSSSTSCKVSMMFNFHTIDACFLSTGWQIKNNGMFAAT CIGTILLVVLVEFFRRIGREYDNFLTRQFERQAAHGTFAKKFESARTAVTFRATPLQQ LTRSVIHAVTFAGAYITMLLAMYFNVYVIICISIGAGLGKFLCDWMVVTVGIDDVQDE TRKLEETTICCD PEX2_074350 MNSSEGAQELVQCFNDAHKNFTATLKSLIHTLQHDGFSMDNCWE VCDQLDLLDSLLGDMEELGPEMVLEAPHLAIVREFPRGYFLGYKFLFDCLGVQTGLDD VRGILAECFYDDCAEVACIGLEGLLARLPF PEX2_074360 MKVYLQHTLLGLGLSGLSLAGVAARDIEVIRFHSPGGVTADSLH NIHVEFLDEQFEGHLQLVYGECGIQDPTQSHHEIGSTFVKREAQPERFVWSTPSDAPH SNCLHAFSGSRLLGRSSPIMVSSPVKKRESIADVADAMGPWFDGVAYMKSKKNNKAFV SKAKNTSVAILGGGMSGLMTSLLLESVGIHDWHIYESSGRVGGRIRTKYLNNTTPDQY QYQEMGPMRFPVSITYADTNETLEIQDHRMVFQLADVLNKMNKNKPDLQVNFIPFIQH SPNVPAASGGNRLPNGRIPSAAQVAANSSLVYTAPSSNETAAEEAESAFESYAKTNDR DAIRKIATNMYQAHKQAVDDGMFHWSEAGYLKYALGYDANITDYVASSDNNPIWDGLY DDVYFAATKWRTIDKGLESLPRAFYPHVAGKTTLNRTVSGLVYNETSGKVAVAWRDDP FQMVPETKEYDYAVVATPFSKVRLWDLPRYSSLLSRAISTMNYDPACKMSLLYKTRFW EHMEEPIFGGCGSVDVPGVGSVCYPSFNMNATGPGVILASYVSGTPARSTAALSEDEH VALIQRTMIEVHGEIAAEQFTGVYNRQCWEVDQHQAGAWASPLIGQQELYLPAYYQTE FKTIFIGEHTSYTHAWIFSALDSAVRGTTQLLLDLGLVDEAKEIVETWMGRWIKL PEX2_074370 MAQEGMFTHNGIYTDERCHIRGPIVWVNVFVATPENGDKTDTEF MDLVAETIHARIAKKTPQVSVSITPEPMHHRVMGCLSLPARGDYWAFERFRDLYLDAF LRDALELALFYQAECAAGNVEIDRVRLFRFFHLRSDAF PEX2_074380 MPEPKIKAVFFDFMGTCLDWHSSVVEALPTAIPKDEASKFALEW RRQYFLETSKRVRENLHPEDIDITLARTLDALLDNSPDHKPHFDTKTKDQIIEAWHSQ KAWPEVSDAIKTLRQDLGLEVFVLANGTTRLQLDLTQSSGLQFNMLFSSQLLGVYKPR PAAYKKGLELVKLRPEEVVLVAAHAYDLRGAQNCGLRTVYVHRWTDDIDEDMEVVKTE FDAFLENMDDLASAIRGL PEX2_074390 MSDDNISEKHDTSTTEMLRRYQTADSVMLPIPRDVFEKLYLSPK TPNSGKLRSTFGNPTPISLMGFLLAATPTAMITMGWRGAGGNGAAILPVYIFFGAMVQ IFGAIGEWILGNTFSCALFFTYGTFWLVQGTSLMPFFAVGTMYSPEGNTLKGMETAAY SATVGFYYVTLTLLTFVYMICSLRTNICLFLALFLLVITFGLFAGTYFQTALGNLVLA AKLQKAAGAFNFALCIPIWHIFIAQMLDAVDFPIALPVGDLSTVIFGKNQKARKREVE G PEX2_074400 MEKGKFTFTPQPQRWHWRTIVWIAAAAGIVGLVGFGKLPTPAQR WAFRGDAQVQASPYGAFPQEDDPFQFIPCTDASRPPPLEDSTPQQSWAALFDPNPSHW NWGSTGRGIYLCGYLDLPLDYHNSSDTRIVRIAVTKFQVAGLAHRNDPGISPKSYKSE RTIIINPGGPGGSGTSFLWETAEEMTNRFSDGQLDVLGWDPRGVNFSLPAAACFPQDK FRDRWSLLALRYREEAPKAQLEAADAMNNATFFACQQRLGDFGRFVTTASVARDLDEI RKALQEEEVTGYLVSYGTGIGQTYVNMFPTRAGRVILDGTEYVRDHRLLGGFGWTALD NATDAWRDGFLGECINAGPKLCALANPVSSQAGPVTLAQLETRMTQLFESLKARPRSA YTELAGPSLVTYSALVNRLLYRAMYRPEEWPGTAQMLYELEAGNATLAANSLDLSWSD YSEKPSYPYVPSSSELELLVICSDSYDAPLPDGLGWWEQLWEKMSAQSWMAGNSRFFA VLPCRHYNTYWDRPSEVYRGDLNNTLKTPALLIASPYDPATPLRNGRRLLAEMGQNAR LIVNHAYGHSSRRDRSDCTDRVAKAYILNGTLPEEQEIQCYANDTPYVRGLVGDE PEX2_074410 MFECSTCDDEFWYQEDCDQHMDDYRHWIECETCNRTFRTKTACN QHMNAVDHWAPTFECETCNSTFYSQHAANNHMNAKDHWLPTVPCETCPAKFHSQQAAE NHMRAKGHYKNYCHECRRSFMNENCLRQHLNSKTHRGTNVACPFCRTGFVTASGVSHH LESGSCPQAKGLNRDRIHHIIQQLDPNGFVCKKQIAWHDEQNSTYSVTDSAWNGNFWV CYICKRGFNSRKALESHVNSPVHKEKVYHCLKRGCPKEFHSLASLFNHLESESCGFIR FEGVQQVHKQLNDAIMGRRMITRF PEX2_074420 MPLPIKETVTRLAAISKLLVLSYIIDWIFIIGIALIGYGFYKQP PNHHPFSLTDPTISYPLTKETVTTTTLILVCLFAPAVIIFLLSWLLVPAKATVSTTTN SGSPKPPAAQYIRRKFWEWNVGWMGLALAIASAWSATQGLKALIGKPRPDLLARCNPD VARIAEFTVGGLGESVRGAATLVSWEICRDKSDSLRIDGFSSFPSGHSSFSFAGLIYL TLWLCSKFSVGFPYLPRYPIEDQSHTDDSSSVRKRGAAPPVYLMLIAFVPTATACFIA ASRWFNYRHHGFDILFGAALGLFFAYIAFNMYHLPIRRGAGWAWGARSRGRAFLRGVG VPSSLGTDGWAGERGVDGDVEGAAAAREMSFRGQGQGQGRVKPESSQGEAVRLEA PEX2_074430 MSQPPSPFPLQSQFYSSQPMNPDTPPPPPPKPNSHEASRRGTPQ NTSAHAWHPDNQTSAPQGAYPNNPQQPYIPDPPKIEEGWLPDLVSDKSTTDLQTILKD PSLISALSSQHPSYTTRQQHLETLIQTNKDLATRVLEMQNHLAEVRASTETMLITHQS LEVSWRKKQAEMDAALAPWSPKALYQRFSAAITEQEAVCHAVEESFLDEDHHGRATEK EIADWVRRVRGEASKLAARKEAKARWDEGRVGGWR PEX2_074440 MAQNPYLLACDNPTALLDLLRSNPSIASSQDESGYSLLHAAASY GHIDLLRALVKEFNVDVNLLDEDGETCLFVVEDSDIARCLVEELGVDANKKNAEDFTA VEKFETENEFPQVAAYLREVAGGAPAPTHAQAAQVLNTTGPIPGSDMRVNIGTMTEEE ATAGGEPDPEFKRRIEELAARDDYYSEEVQNEVRELVKDAMAGSNIEAMERDIRRRTE PEX2_074450 MATQDPHVISQLVKSLETARKAKKGETRFTCRKSTFVLANNVSV DSWKFQDWDYKQAGLPTYARGLFTTKRRDNIPEIAARGYDKFFNINETRSTEWRNIEK NTRGPYELSVKENGCIIFISALEDDTLLVCSKHSTGPREDTVVTHAQAGERWTERHVS SVNRSVKDLARTLRQMNVTAVGELCDDTFEEHVLAYDEASSGIYLHGLNYNQPDFKTM SCDDVHKFADDWGFKKAKFEVFDDIFRVQSFLEGCAETGMWDGRETEGFVIRCQLSDN GTEPYRNWFFKYKFEEPYLMYRQWRECTKAVIAGKHPKIRKHEQVTEEYLQFARRALM RNPNMATEYMKNHGIIALREDFLKERGLNGAEIIALEAKKQSESKEINKNVILEPVAS LGCGKTTVALALVKLFGWGHVQNDNIPKQKNKPKKFAFEVSHALGEHSVVIADRNNHQ QRERRQLMEDIYPVLPDAQFVALHYVHEPKADMLPAIREVTRKRVLERGDNHQSIRAS TNAQQETVGIMEGFLGRFEGVDTTRLPDEAFNHVIDLDVCASSRENLETIVKALHAAY PRLVPTIPTAAELDAAIDASLNDYTVTKDLSYSYGPPQKPKVKKPKNQDNGPLLPEPT ESPFSPESLAKKIEYFNISLPTSEITSILHSLFPPTTSPETSRLYNQLRNSRRLQPTF HVTLIHRASKKDNPDTWDQLATRYIDTLTKSPVENPAQHPPTLGSARVRIERLVWDNR VMTFVARILPAEGVETAESEWPCANPIPHITVGTAAPDVKPKESNDLLKRWVEVGSGG DTGIFEAEVEGVKVVDGVVGLVMSRGKY PEX2_074460 MPSSFQWWHYMLLLSCLFHTQVYSRAVFAHFMVSNTAVYGVSDW ETEIQLAKDAHIDAFALNIAKGEETTSTSMPNAFKAAENLKFSLFFSFDYAGNGAWDK DDVLSLLTQYVSSSAYYLHGKTPLVSTFEGPGNADDWVYLKSKMSLFFVPDWSSVGAK PAMALGDGVADGLFSWAAWPNGPNDMNTYVDASYMQYLGKKPYMMPISPWFFTNMPGY DKNWVWRGDDLWYTRWEQALYLAPEFIEIISWNDYGESHYIGPTVDYHNHLADSMYAA FETGHAPYNYVENLDHTGWRQFLPYLIDLYKFNHTTSGKEGLVTWYRLNAAGACADGG TTANTVSQLQLEYWPKDVMQDKIFYSALLSQPGDISVTIDGVNLGATWTNTPSGNVGI YHGSVSFAGHSGDVMLSVTTPSGLLEVEGKDISSDCYMGNLVENWNAWVGYTMGNSLS GTSPDLAKEVCIEGWGMGDFNGLCQFACSLGYCPVGACVCTKLGPHPTLPKPTGVVGY PAAGKSANYAGLFSPFTPDTCVSGTGEGELTGLCSFACNFGYCPIYNCTCTATGPLNV PPAQNTSIVGWAPDTEDNGLCSFACTRDYCPSPVCLNTTPDDDPCGNGDDDSDECSGT FPCDFSINYSSLNDLEADLDKLTPYCVDFYMLGALYGELETTLANYTNIAHTTDYDKD FEEYSTYMKNQVLPQLRYFMNSSEIIGEPSGVGNQFFDFEYLGSVDQEHIVYYDIIDR EGFFGNLTANVGVEPDWVTLDGGLFLGSQQNAYYEKCAWWQGFPVPAEEIKVTDPREV MRNALPNIPNLQLSISLTQLSIASGGFDGVIDDVIQTISTAVSTLSELVDRIYHVVEL GRKLSDIDRKKKILKIIGGVFLALPFLGPLSGLGDVIEGFDAILTLAGNAANEGYDVY TMVQDPESAPVAILGMLLGFDSGSAVGKISDESLGALKYESLAASRREMKSAEVQGVG DVFKEKMNRVNSIVSKCSRR PEX2_074470 MKAFKLLLFIFLSLLDLGQASKLAAPSEMLAMYNTYVLDFVKNG ATRTLGPGLGNEALVDFGTFVSHVYESENYPRTGVFLSKTPQYSQDTLSQIRGSLGEE DNYGTTLLLRGGELLPKSHRVMLEQLSDVVKSTRGSTAPGFSDAWKAHLPEFRRALAG TQGARLSEMFSVGLKPMFEEKYKGATLMSSPIPVLDTDVSYDRANWGLTLTANGGEVS KAQEYKTWYVGLQTSKGKDVKSYLSHQKITNTIGRSLTELDSLDSCD PEX2_074480 MIQPDPSPVKLDNSGTGPLRVPGFNGVPLFYEHSKDNRFAHGIA DWRQSPQLFLREISMLQLMSYVTEQPDWENKTEDPQTLEEWHQHAVSVFDLDEPSWQW CVKELRDKAVDFKRTGYVAVFDADSRVIKSQVHDDLLKELRESMSPLFSQSRSGSPSP SDDTPGSDSESPVRHVVDPFMYPLVYGRTRVLTDGGKVDLERPESWRPSESQIAPIPE KQTDRQYEMQFKERKGRDRKDQRYHGKRRYWSNVFQCLPCEVALNKQGGAEIASYVNG VHPKERGIYKALEGLISAAMQPWNEMLIFGDQGRTPMRIRTYDFEVEGYKWYPKIYYY LDNARRQKPPITEEEWHEVRSRVREYLSLPEHEKRYRIWPDSGYHDLLASMQPWQWNS FEELQELVLAKGKRLFAVRGVEPGISFTYDEWKTGENTGCTIMPKWTKPDKPPPIPDP DHQYYSVSLQDQFEGLQIIVRVSTIELTPEQPLYGGDSHHNVTGILNEHIVSTATCYF DMHNIKDAKVSFQQETKIYSYDYNIAYFEVMDRLFDVPEWQWDSDDPDPLNALQTMGS IPISRNGQVIVWPGTLRSKAEPFSLADPLRPGYLRFATLWLVDPHYRICSTQNVPPQD PSWVDTSQSMKTVGEDESMTLAEAVEVRNQMRQERDKISKDFLRTGNMYHTYSEDHLI PEX2_074490 MANNSFRDSVNSLGWSRRDPDLPVNTGTSSNTPFLSRLQSYNPF GEGGYVQLPTHNEGPGAPLPAPTRREEEEGFFALSRWDRMLIFGACNLGAAICFMICF FLFPVLSLKPRKFAVLWSVGSVLFLLSWAVLMGPWTYAKHLVSGSRLPFTAAYFGAIA LTLYFAIGRQNLFLTLISSIFQLAALVWYLVSYFPMGSTGLHLVGDDDDDEHAYAGLD GIREGLTLDGEDRAVCEPGTMEEDEAGGATFDVDSVTRVPFISHNPRMKPALFACATG AEPYNWHSFALTTKSHAWQVLMLSHSLAHSDGDKWVYPWARGALLKNPMVSCWALGEQ EADTEEMNPSIARRKVNTKIEVGCMVYGSSECSLDSGIAS PEX2_074500 MAEASLFIKHESDDYTPFIMSHSGYSMGNQFPEGVDPSDLTMQQ QNGFMPYSYGSQQNMSSSFNFGNSGIDTDELLDLEISGQNGHQRDNVNYLQDQSAGGI AMSHQSQMSHMYSNTPDNAPMASPFVQNSFNYEQYRMNQQNSHMHNASSFDQNYLGTK RQSLQGMDRTSSDGRSPMTPKTPALGSLTLGTPESGSFPSQPIRTGLQARHQKSLSNQ WDGTPGSSQSYVESSPISSPPHQSHHAGISEILKSGKHASLPAKVDAHLPGGGDLESQ EAKRRRRRASHNLVERRRRDNINERIQDLSHLVPQHRLEDDKVRKQLVNSSALSMAGA GGSAATSLLAGGNGRRATAGNITMGLPIEEKEKGPNKGDILNGAVSWTRDLMWALHVK YQQEAELAELIGSLGGTWPFEQTEEEKRMRSEILDALERNDPTSFSYTRGPGSGLRVP KHTNIAGDAVQGGDMSGLTPPSLSPSFHSGTSSANGTGQPQYWNSAGHAAMSFKEEDE YGMEMG PEX2_074510 MPDFSLAGKVIVITGAGRGLGLALAEALLEAGAKVYALDYLKEP TPEFIEFQQRAKTWHTELQYRCIDVRDTVLLNTVVEDIANHEHRIDGLIAAAAIQQET SALEYSAEDTNTMLEANVTGVFMTAQAVARQMIRFGNGGSIALIASMSGTVVNKGLIS PVYNASKAAVIQLSRNLAAEWGQYNIRVNTLSPGYILTSMLEMLFVKYPERREQFAKE NMLGRLSRPGEYRGAAVFLLSDASSFMTGSDLRIDGGHAAW PEX2_074520 MNGTNNGKRKLPFFKSTTPIDRQRKSSVHWHRILRSLLYLIAWI FLLLVVIGNTSNKPVLRSTYFLYLDLSNIIPVSVPNAVLINSIAQTIGLHDFYQVGLW NFCEGYNGEGITHCSKPETLYAFNPVAIILNELLSGATIALPADIESPLKLARTASHW MFGLLLSAAIFNFVLIFLAPLAVSSRHPRNIKAWAAGYSDGHPPAGNPPHRRRTFFWL RALPMLILTFFTALVTIVGSAVATVMFVIFANVFSNADPSINIQAHVGTQMLVFMWIA SAFSLIAFIIQIGSCCAACCRGRKARKQLKLQGINWHEKGAVAPVAPKDHAVSSCRDD SAVHSEERRLGSSGQVLSNEHEQKLGDGHASNPHYSSTEPHAISN PEX2_074530 MRWSTIVLGFIAVAVAQGSSTESSSTQSGKTTTDGATVVSITTP VAIPSGTYQDYSTTITLSDGDKSTITSTTQHNGTMTTSNHTAVTTTSDSLTLLVGGGG TTVIGNNSMNATATTTSTATNTPVVNTRPCNNYPEFCARKYSNITMVAAHNSPFVRKN NVAANQVLDVTTQLNDGIRTLQFQTHYENDTMYLCHTSCQLLGVGTLEAYLTDVNTWM RKNPYDVVTFVIGNYDYVSPGNFTAPIFNSGLKDLIYTPSKIPMALNDWPTLSEMILK QKRAVFFMDYQANQTAYPWLMDEFSQVWETPFSPTDPTFPCTQQRPPGLSEAAAKNRM YMANHNLNLQLNLGSLSLLIPNTAQLDETNAVNGSGSLGAMAENCTAMWGRPPNMLLV DYYNYGNFNGSVFEVAAEMNNVTYNGKCCGKTSGALRGVSLAGMSTVLLVAVGVQMVM PAF PEX2_074540 MVDIVPLSSYPSYIDLLPSIQTCNITNLPENYFLKYYLYHALTW PQLSFVAVVRPRNGYKSSSTGAGIAGDVSGEYPKVVGYVLAKMEEEPTDGNQHGHITS LSVMRTHRRLGIAERLMRMSQRAMAESHRAHYVSLHVRMSNIAALRLYRDTLGFEVEK VEDGYYADGEDAYAMRLNLQNMWLDWKAIEKRDADNNKEKNGEEEGNEDEGEEVGELG KKDAEKMIRVKVGRGLGVGDLVEKNESKA PEX2_074550 MDQEEAPPPPYSAVDPLLAPANSRNNSSSQAPISPGVSDPPTQD ASSSRAPTQPTVVPTHFRSAAAYFEERLPSVVDESRGVLEHHMTIYPRSQAKDFPRRP RCWASRLDEITQRDWDTFLKYLFPPQLGLAASSQHLPRQLRAEIRRDRKDRPQETDEQ RKARISAVVAEWNECFFELRATQIVFVYVGEPDSAPSSALCPRCYPAATGSIDGQPVR NSNAASPVPGQHVPSPTPWSVPSPMFPNPQVHVPPSPYGVYGVPPYPPPISPNQPPQY YPHPPQGTWQWNTWNYPQQQPQYPNNCTQKSGSRGWISQIASQAQKYGERFSEQALQY GDQISAQAQHYGRQVEEQALAHGRWIEEQARLHGRKAQMAGPQYGGGYYPRPAWDNSP RPTASIPMTPLQSPSPVTSPNPTSQPETISQVDSNKPKSPTETLSIEPPATERTRRVS VSSVSSESSFSSIDSISTTSDLGVSDLATVRTQLELLDDRHDRVLYEAAVELRRQLSV LQESRREAKFSGKNNWRAGFNQSQQNNQQSGDADWGRWDSPEQQQRNSVDKRAMKEEM RATKKAFRDTLRRARDEQRERQRTRRRQVRQARANDGNKANHTHDQPLDQQLGTLRLE ESRQSNPPLPARPVSTQTQPSPISTPNSSNVGFGFASNPPSPSVSRPSTQDSMPTGGS SASVKQGKPADTSSRLKDMLMSRKAKKQQTKEEDAGSK PEX2_074560 MSVEHTRDWSNLLPAAKPTGQIDQAFDLPDAGCFTLYQFPCVLE HPSHFVTWRNDINQILKMHRLHRLIDSGISRPYKDSPNARRWQQMSIEVRNWIAWNMN PILVRMIVKGQPRADLADEFMEGAEMILREYPRGPAQDLDDVSAGLFNLIGCRRSDYS STRLFVHRLMEYYTHTLNLKMGIPPFVPLLILLQEIEGDVGPAFVNLRYDRLDDMNNV TQDVTKAYFEDVYFDVLEHLESMDQTPHDVHHLLTE PEX2_074570 MDTLQKESISNNATLNEGDSVRNLTPDLEKQSTNQSAETSEPTK QIFPETDLSRGIVGWDGQDDPNNPQNFIPSKKWSLLGLISAMTLISPLASSMFSPAIS YVAMEFGETNETILSLSVSIYILGYAFGPLLLAPLSEVYGRRVVLSAANWFFVVWQIG CARANSIETLIVCRLFAGIGGSGCITLGAGVIADLFPREQRGMATSVWAMGPLIGPVI GPIAGGFLGMEEGWRWVFWLLLILGGVVSFGVEVLNKETYADVLIRWKTAKLAKETGR TDLRSAYDTDAEHAGLKQILIQSFKRPILLFVKSPIVFLLCIYMAFIYGLLYLFFTTI PSVFTKTYGFSVGLSGLAYLGIGFGFFGGLAMIAFTNDRMVSAATKKNGGKFEPEMRL PTMIIFACILPISFFWYGWTADKHVFWIVPIIGMFPFGVGMMGVYMPIQTYIIDCYPK YAASANATLTATRSLVGALLPLAGPKMFGNLGVGWGNSLLGFLALAFIPIPIVFIKYG KVIRERWPVDLDGKKA PEX2_074580 MNPMSSNEKKRLRDRRSQQTLREKKLRHTAQLQEQVAHCEQHHS DQGVQRLLQVIEGLRKQNEGLLTRQESLKSLVNSWDTKLDEPTATDDSNHDLSSLYKE MNNREAQFSLQTDFNELIPQHGISNLLNTPISAVSTPRRIRSPSLEPLSAETTPLWNQ IPPHTDDFRTRTMVSCPWFVYPELIIPCPDIPNSPLDLIYGTKANPLADMIHTALQRR PVRDPERLGTGWLAYHFSRWVISPSPETYGRLPAFLRPVQGQMAVPHPLVLDFLPWPR LRLNLIRRWHVYGKDRDGLFGFMACCVKLRWPWNETILERNEQNELCMKKAFYETFMS ESGWGLTPEFIRRYPDLVEGMDINEVVFELF PEX2_074590 MGRWGWRLFEGDQDLDIVLSLSHDGLGIKTGDWEHRLSTMVHQT DMLAPQEAVALYSTPEYAHSLANVIVPYVRQRLDTDNLGERMFAASRAMESERDDLFQ ESKYRTIIFGARINAADLQHLRDLVPQVNCTSRRTIFEDHGFRSPGKAQFLAALDHYQ PGVARSFQEPSCFKCGKIEDDIGHKPLQCKKCKVATYCSKDCQRDQWREHRVSCIPPG QRRMLNV PEX2_074600 MASDQRFQRTVQAVAEAVQVFAAGTFDFAESIKQIWRPQAQPDA LETSNLLPVEGMQDVQIVGQLRERIPVSQDGDQLAIHSHTYPPSVTLHNGEGVSEISH ETTVPVETANMELSNNMDVLAGSIHRSIRSCYECFVRWRDESPFPPCHSITGQQTCNY CLRNQIPCDMIPAGEMGKMLQRRREADLYRAAQNGNVPIKREPFEHPFYGTSEQLEIP LSSPIGDTPQQQASSKRVYQDIDEQQPVAKRQQTQGLRQKPMPNPCGGEKVIRQEQDG YGATGDGESSSSLEEEGLQMGANRQESWPIKISDLLRVPEKVTHELEVEVDVSSSSTQ GKSSAESSSEEEDDDYSSEEEEQAVQIPAVRSRQENSESSSSSSEDESSEEDSDDEPG EPKQQLIAKEELLKELKDNSEELIQLPDNESSNSSSEDGSSDEESEHEPEEQQRIQLA PEAFLQEKRREMMRRMKEAITNLEEDISESESSSSSSEDESSEEESDDKPEEPTKQPG TKEQPPKEPIHPSDDESSDSSSEDESSEEESEEEPEQPKKQPATKVELGKSREEKKPE EPIGITSDEASSLSDGKFSEEDSDMEI PEX2_074610 MQLFLIAIPLCHALVAEPSILEVDVAIIGGGLSGLSTAKSLAGV NKSFVILEARDRVGGRVLNANMTGKSIQELGAAYVGPTQNRVLALAAELGLPTYKTYT VGNSTFYRNSTARHYQDSLGGIPPVGLDSLVELALFMNDINTLASKVDLEAPWNTPNA TALDSMTLETYVNSRLSTADSRVLLNVAIPAILSTEMGEPSLLYALWCIAAAGDESGP GTINRLIGVDGGAQDSRVSGGTQLLATLLAERLGSQNIYLNTPVRKVQLKESRYLVSS DNVTISARHVVVAMSPTLVSRITFDPLLPAGRDQLNQRMPMGALGKAIAIFPSAWWRD EGLNGEGVSDTGAIRVTYDNSPTDGSFGAMMGFIEADEMRKLDTASEDEVKRQVMQSF ANLFGPRVENATDVLIQRWDLEEFSRGGPSAFMPPGVLTQYGPYLRAPAGRIHFAGTE TSLRWIGYMDGAISSGERVAGEILENW PEX2_074620 MSKSKRRHHPTNLVQQTQSPECSSSPSSPVKEKGRRRDDQHKIK KRHKAKKRLPSSSQLFKKHGLNSESPQAESSDHPLTDDERAKPRSFDSSRVKVQDPGS SRKRSRTSLQRTPPLQVNTVYESEFEFEYKDPAQAKALLKSRLTFRSPPSSPQKVAGL ENVTLVSDSECGNKAREVSSSDTDSESERGSNPASPSPSSPSSLQRLVDLKNTTPVSD HDRQHTPRGASLSDSMSESQSVITPESEIESVLPSPALPERVLGQERTTLVSHHDYGQ QTRESSTSDADTEWETISEAEPSSEPELSSSSPSPCKTTALKRNKLNIYQSSRRMAAP FPAPSPPDCLREFESEPILPSEHRWSIFSSLKRSIYSEPTIQNFRSEHLRKACEWKKP PAPSESRLNSEIGFATPSRKSVSETEYEPHESERESRDLSPTQTTITPASSPPVPPVL SQVRSRLLSPFPAPQRWLPRLEGPDQESAFIPTVWAPRLRHRCDIKRPKVPLMINGKR FPRLFAEIPAGGHENIEFIFPDNSDDDPDFDDPDIDDPDSELPGAKRRRVGLTEREES LSEAQESTNPELLPEPEPEPEADSEPDQVIDLGELLDLARSSNLEPLPELELLDPEPL SVLERSSDLERLNPQRSSGSESDNPRTPTSIRDELPVWRTRHLNKKIDEAVVIDKEQN PKYWGGSWMKEYARGGEIKKQVEAAYAQEMKQKKEDTEKMKRKRQERDKNLKEKRERE KKQREEQRMQQEREEREAQKKKEEEKEKQRQQKESQNREELERRRARARAKDKPKNVL SMLREMLDEEKRTAGVQARPELIGNQSIAEVQRLQIAIDAVKSWERKKEREREIERGE RGV PEX2_074630 MDFLKSAIGSAIAKGGGLPFSLGDRVDIGDSVWALHNGTKRDDG SACSVFAFDVNANKSRLPLAKNAVRKSRTLRHPGVIKVLDTIETEHNLYIVTERVVPL SWPVKRRSLSEETAKWGLYTVATTLKFINDDASSVHGAVRASSVFIGESGEWKLGGFD ILSSMKEDDAIIYTYGSSVPDAGRYTPPEVVKTGWDTIKRNPLAAVDAYGLGILIFEV FNGGFSGGDQAGKTTNIPPSMQQSYKRLCTANPKLRLSPGHFVEQGKKEGGFFQTPLI RLTEDIDSLGLKSDAEREEFINELDNLSDDFPEEFFKMKVLPELLKSVEFGGGGPKVL GATLKIGAKLSAEEFNAKLTPVIVRLFGNPDRALRVCLLDNLPSIIDNLPQRVVNDKI FPQMTSGFTDVAPVVREQTVKAVLTIINKLNDRIVNGELLKFLARTANDEQPGIRTNT TICLGRIAKNLSQSTRSKVLIAAFTRAIRDPFVHARNAGFLALSATIDVFSEEDCAVR ILPAICPGLLDKEKLIRDQANKTFDVYVQRTRKYASTMPDTVIPPAAPAEVNKPDARV GTPNDNSWAGWAISSFTNKIATANGEIEPTANATKTVDPETARSASVPRPAKPSLSTP AELPKQVLRPATQPLNRSMSDRPAPVVHDEPEEEGDDVFDAWGAMDDDEKDDDPFTAA VTSPKPTSPVPSSTKAPAVPYDDGGEPDFAGWLAAKSQTKAKNPLPKGLTKAASSNSV TTRSTLSSAKPRTVVAPKKIDTKPKDEEADDDWGDAWE PEX2_074640 MASALNSVPANNASGNASAGAPNPGRSTLRSSNSTKGSDNRRQS GSPVDGGQRRTNSHKAWTQGTNPITQRSSYTSSNGNMTHQKQSGSPRPNQKESNTPDN HAHDRLVFLFASFIGLHATITTKTGEKFTGIFSSSTMEPNELSFLLKMVQRTSEEGQP RANGISDVATPYIGPAPEHTMSFDIKDVVDIAVPNVTTAEVSAKEPNGASQGFRTDTD ISGNLTMRERTLQRWEPAETDIDMSLDTSNTSAGWDQFEANERLFGAKTNYDENIYTT RLDRSDPNYKQKQAEAARIAREIEGQDVDNAHMREERGLVAPDTGDQDEEDKYSGVRR EDKAFPPLLSGQPNKYMPPGRRQAAPQPAATPSAPTKQPVPSPVPTAPIPIPSQGVAK EAAPSDQQAELVASLQPTTDTEKKSALGKSVTPVVPTTSAVPAAKRAAPENATANVEV EVLDHFRQFANSEKIKMQERRRNQASYDRTMKLNELMKFSKSFKLSTPVPKDLVPILA KDRLKQEAIMQRALQQGDDKTTAKLTTPPTEQQPPARGAGPTGAVPPSAPADRQNYNR TRQGYPPTGPLAGAGGRFPQQVVPPGRPGVGMLSHRLADNLQQRKGAGMGPVPTPLPI QDARGPPTGPASDQQRITSPVKSQAGSSAATKFNVKAMEFKPNPAASTFTPGTSAAAA SPRPFSRNRSVSRATTPTAFFGPRKPLPVSERPSISDQFNPIKRMKKENAESAERLIT LNGGIPPPYKTLPTWDTADGSEDKTYDQMFKQPVGVPTVSPQGRSVSNNTNIPQQHQV PFHFQQGNPAMPPSSGPSNGPHGLHSQGPHGPSHVDDHHRMQLSASNSQVFPSPRMQH GYPSPMAPHAQLSFPQPVPQFYGGPQPGHMRPFQGGGPQFVNGAPMMVQQASNGPYMG VPQGMTPYNGQMPMYSPNPGHVYPQHTPQPHSGYPSPSRGAPMMMHQNSQSGQPPQSI MFMPGQPGYPQQSGHMPPNRGNYPQQPHFSSSPHQSHHFPPNQHRTPSNGFNQMPQMP PQMSANTPATTPGASHPAEATDEGK PEX2_074650 MQSSGIPRTQFPYPEQLEHQTSPERPSGSGSADTSMASQQSGRS SSASSRSQSSKTQPDKQISQIEKSVTHLLVATKQLLETLTQWSRKQASENDVSDVYVR LGYEFNLACRAFSAIGVDTSDLGPVPDLLRTILEDTLSQDASSQSLDRYLPRIRDIII NLLKGLKKKQARLRSRHQREDGRPAPGRQASAGSIANGQAIGQLYDDAAASTMPSTAQ SPRRSNRRYGSGGSLEDQPAIARTSSAPSTTEPRMTNYAERELSRREAQQMLSQPSPF DNDTTPRATASNTTAPSTYITPAGFSAPPPPPPPKEDDALGALQRSGELERRASRRFS AYQIQKHLGTSTNGVPVLPTQNSPIPNRGRDVRESLNAVRLRGSFTHTRQRSNNRLQE VAKGAQAPPPANIPNVVEEERTPPSAAPPLAPQQTDTTPSEAPSEADAHNEKDRTVES AIVPPPIIPVPQERPTLDDAFEPAKPAPQTPKADSFGPSTQIATPLSAIQFGTEQPSP GKELTLFLQYKSKIKKYVLPDGIAELTIGRLQLAFIEKFAWNTHDNGVDLPEIYIQDP ISGIRHELEDLTDVKDRSVLVLNVDNLDEVKKHFDDSLGSVRLLVEGVKETLSGQGNI IQRVSDRQLEAAKEIARLAASPPASRPAAVGGNSKASIAGSGSQIAELQSLRRDLAVL RQTYSNFTADITGSMSAVRAKASKVKTAAVDVATPSYEGDAGRARVNTGKKELAGESE RLVARVDDLQDLVEDLRKDVVTRGVRPLPRQLEGVSRDISMVMKEIKKMQDFLGREKP IWTKIWEKELQLVCEERDQLTMQEDLAADLQDDLEKATQTFALVEQATKEQVLTNTTG GTAVRAPSRTLGIDPTIDPMKAKDGVLGEVRALQPNHESRLEAIERAEKARKKELETR RIGLFQKELGAFVDEGKLKKSGGFEETERLRTAKDERIRKEVWDRQQARNAEMEKAEA EAAAAQAAEQNNEGGGDDNHQEGEKEVNTEDAEDAEDVDEAEKVEEPKSPSSDAEKLL PKAPEEEENGTSEQPSA PEX2_074660 MLSGSMQLLHALTIFCSLPQFATLVSAENASGSTDLDSKEADTS PSLPANIILQRNAAITSQLAAGPALGVKKMSDDEGEKFFLDYWSFGDVFSSVNISERY LSDEEGFSPARFVAQSYPFGPSYSLGSDGDSELLPREYNDSTDNLFEKRDFKCPTGTW ACASIGRSDRCCGSGETCEIVADTGHGNVGCCPSWKTCSGTIGSCAYITVITVTVHST VVLSTVTYSTKPQDTTSTSILCSSPSASSHSSKSTTNDSLAPPARPTNLSVVTSATRG ADVCPTGFYACSAVYQGGCCRTGRDCDKTSCPTASSTTMISDGVTIVAPVATTTQRSG GNRCAQGWFHCADTVGGGCCPMGFACGASCTARDVAFGTTVAKEQATAASGGAAIMSE TPQKPLPFVYQFAAGAVAGVSEVPSGRAQDSNLQTGPAVPGVDHYDGMFDCFRKIVKN EGASRLYRGISAPILMEAPKRATKFAANDSWGAFYRNLFGVDKQTQGLATLTGATAGA TEAIVVVPFELVKIRLQDKAQAHKYNGMFDVVKKIVAAEGPLALYNGLESTMWRHILW NAGYFGCIFQVRAQLPAVEPGNKNQQTRNDLIAGSIGGITGTLLNTPMDVVKSRIQNT TKVPGQVPKYNWAWPALGTVMKEEGFAALYKGFTPKVLRLGPGGGILLVVYTGVMDFF RKMRDEK PEX2_074670 MADQDEIRGNSVYQVEQPVTETPSPVEKPVAAKEQKSGLMAKIR RMFNQDVREEMPAYKDIEIHTWDGPDDPENPFNWSLRYKWLLTITVCFISILTGLPAG TYGSGNDWMEKEFNVQNSPFPNLYWATTSWNMGAAFWPLIFVPLTEASGRMPGYFVAY VILVISLFPSAFAKNFATLVVTRFFGGGASSVSINIVGGSISDVWLGDHARSLPMSIF GFTSVVGIALGPFIGSAIVQIHKSEPWRWIFYVQIIYNAALIPVFWLILNETRPDVIL KKRARKIRKETNRPVYAASEIDAPSKLRLLKISFQRPTNMLLTEPVVIFFTLWISFAW GILYLFFSSVVQTYSTNYGWGTMGTGLVQLAISVGAIIGTVINPLQDWFYLRSERRNQ EKPGKPIPEARLYTSIPGSLLFAAGLFWYGWASQPDIHWIVPTIGITAAGIGIYSIYM AVVNYLTDAYERYAASALSAASLGRNAFGAFLPLASPQLFGNLGFGWAGTLLGFIGVA LSIVPVILVFKGPQIRERSKFMREAMWEPEERVQVDRSGKEDLGPTSEGIA PEX2_074680 MDPIDPNEPPSGLDQPAFDSQAFFNGLANLDAEGPFNGSNDFNY EVPELLGSFDEYYASIDLDALDQSGFDQPRLTTPINPSVRAVSNNLPAHAPYSQMTYD PLIGIAYTPVDTEADKIRKWENLIAHANLASAGVPPSAPQSIEEELVEQNPPAVDSPN SLFESPRSPSVDALGTPSTTPSTPSPPQPPAATMASSNPAKNLTASTIPRTVHLPRAL TSHKGYAQHVSPFAPVATISPPVHSAPNSRELENARSRIQILSRERNYYQRCLRKATA IDPKTGKTSLQLLQAENAALRRVNAKQVKENESLKREIEGTRKSYASLVDNYNSNIKQ LHRAQFELRQLGK PEX2_074690 MHNHVVVMAENGTPSDVRPRPPHLDSSPNASRDSSGTQNEDITQ IGYFSHYTPLASALANMSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCITP DKRFLAAAGHNNVKLFDIKSTNPNPVITFEGHTNNITGVAFHCEGKWMVTSSEDGTVK VWDTRTGSLQRNYVHRAAVNDVVIHPNQGELISGDHAGMVRVWDLGESVCTHQLIPEE DTAVLSVSVASDGSLLCAGNKKGNVYLWRMVQTDETTRIIPVCTFQAHKDYLTRVLLS PDVKHLATCSADHTAKVWNLDAEFPPAKLALKQWKEKEALNPPVETPVEAPVTPVEVP AQSRDLLRIFDAPIQDRDLLRITDNPPRQETPQQTFTSSPDGPPMDPVNHTLFLETTL ANHQRWVWDCAFSADSAYLVTVSSDHYARLWELGTGNIIRQYSGHHRGAVCVALNDYS EPR PEX2_074700 MSAEGVSSSPVPIEDLQKITTEACENALKTTKTYEHDNVGDWNS QIINTVLKALITATAPEASKPPPYRFTVNSTIVQQGVIDPSVAADGALSNAGKRGMHS ASGAFWDVNRDGMWTFKYTGAEERGIDVVVCVTWFAVV PEX2_074710 MPRYSPWEEKGRSLRRWIERYHEPGCPYPKSTFAVTYYPQPRDY SVTHESASLDSTWDTWIYPHDLPTELNKIEIKNRKGPPVGWEGRTGQGVVFLDWIRRS RRSAAPHMSEFTKAAYEMDFPLRSLKYVFVTDISETDTVECIRDEVYKSEGIAYPSSE QEIWDSPSREFDALLGTTMGKAVAAFVLCAWGQGTKRIARIITFHNGEYLQRLNMRFD IEDI PEX2_074720 MIPRRILKPYAAEFLGTALLIVIGDGVVAQCLLSDYNYGTWLSI NLAWASAVALSGYLSDPSPTINPAVTICLALVRPSEGQWKQIPGKLAAQFLGGFVGAA IVYTNYRSAIKAWDPEYTIPGGSILSPVGHHSAGIFSTYPGAFFESNWEAVFSEMLGS AVLMFGCLSISDPGNAHRFPAPQLASFLLLLMIGAALGWQTGYAINPARDFGPRLFSA IIYGREVFTAANYYFLVPLFAPIGGCVLGAATYDGFLFEGEGSRIADALDKVEDHGSL RLQ PEX2_074730 MQEALGSSQLQRTMGMNGQLPETAMQQQQQRQQQRTQLLPSYSG IPTVDELATLSPHDFDHVSRLAEEMMKKLSPEDTEKINFNLSDMTPEKRLYLAQKNLE PMTYFFRSQALNQIRRFRLARLQTSNSSDDKIGYASDLP PEX2_074740 MADSLLNTFTCKQYNVAILCALSLELLAVRALFEEEHGELPTAQ EDTNHYSLGQIGQHFVVTTCLPSGEYGTNAASAVMQNLRRSFPQVEFCLLVGIGGGVP SFEDDIRLGDVVVSHPNGANPAVVQYDMGKILENGEFESTGRLQAPPRLLMTAISNLQ SDPNGGVNSLQISLKKIGQGRAAYQFPGRDADVLFAADSLHETTDYNCHSCNGQVISR PERHSDQPQIFYGSIGSGNQVIKSAKRRDSLRARHKILCFEMEAAGVMNLIPCLVIRG ICDYADSHKNKIWQQYAAAAAAAYTRLLLSVLRGRADTNQKTRLLVESKSEPANVYRV TFDRLAFLQVLQPEEDAEQVLKRYLSFANRGPSWNPWPKDKDSFQLFQNLDQWISDPN CCLLTVRGARARSLSELPAPENIACHIIQFLRKTTSIPVIYTFSPNNNKNRPGEAGRF LISQALKIIPDSLLEGLALNLSLKEEPSEEQLFHFLYRVLCCLDECFMVIEMKQAVLA ERFQDALQRAINESKAKFKAIIIAYNIRWKASNDSTMQNAIMPSIRLRGSQPGWDVCW NRSKPVFK PEX2_074750 MLAKRRAPVRPSPPFESCTIHRIHCTKVNDHQSHPSVTYFEDAP QLFAGDCKASALRGKRHIADISDFVESNSHIGLILYRDYDCEAYHKIIEPRFRPLEKP DDPVIKWMLRYFYRLDDDGMPARSHGEAMLIPSEELRGTFHRLTNMSPDIISELEDPV YMRELITQMYHHRGIREDPVLAEKLGEESFETAVFLVEFMEKMFGQEYNEADALFSKG LVTKFHLPKLFAAQDVLVTREQGQPCAYVLEAFPERPTELSCWTWRFDGRFWKYRTAL GVEWPDLLDMIAITDLSVYPLKYATIDVQRLLASRGRQFKMGGMQIQPRYMVDMATYR ELHPEEHAPEEPEYLNNETMRAEEPPDDQFLLLLPITVHGFGFQDKKWRLLFVSHISI IKWNEQSFDHLVLHSTKKELIQALVKKHDSTNESTDVIEGKGNGLILLLHGGPGTGKT LTAESVAELTHRPLYRVTCGDVGTNADEVEEYLESVLHLGKVWRCVVLLDEADVFLEE RTSQDLQRNALVSVFLRVIEYYEGVLVLTSNRIGTFDEAFKSRVQLIVHYPKLQKEER RRIWFKFIKNLRITNVGAHIEELEERIEDLSDNELNGREIRNTIQTATLLAQFKDEHL RYEHLMKVMAVSKEFKQYLHDRFGHEDEDLVQQRQIR PEX2_074760 MVLQQYDYIFAIGTIFAFLDAWNIGANDCANSWSTSVSSRSLKH WQAMILGSIMEFAGAVGVGNSVSDTIRTKIVKIDAFADNAPLLMLGMCCAVVASAIYL TICTKIGLPVSTTHSILGGILGMGIALIGADDIIWWGGDINSGVVQVFLAWVIAPLLS GVAGALIFLITKYGILLRRNPALRALYTIPFYFLLTTSLLTMLIVWKGGSSRIDLEGP EIAGTVVGVGFGMALFSAVFLCPWIYRRVIRSDWQLKPWDMIYGPLLFRRGEVPPRPA HVETVRNYYRGHKTLEELQAERSTDVEQSHRNSNSEPESPDLKAEHQVTANSHDETQS VDPDVINVSGPRPEGAWYTTPVLFWLLKKAFLNGVEQDIVSAQKGESRLAGDLEKTHA HATHYDNEAEYMFSFLQILTACTASFTHGANDVANAVGPYATIYAIWRTGALEGAKTE VPIWILVFGGAAIAIGIWTYGYHIMRNLGNRLTLHSPSRGFAMELGAAIAVIVATRLK LPVSTTQCITGATVGVGLCDGTWRTINWRMVAWIYMGWIITLPCAGIIAGCLTGIIVN APRWGMPNA PEX2_074770 MGSFRLTILANGIIGASAFVLPFLGQTPMAVGNLGSDFICDLPP ILDPANDGLPSALSLFSSDEALERQVKRHQAIVQVPSVSYDDLGEIGEDERWLPFYKL FPVLQKSYPAVHKRGKLEKVNTFGLLYTFEGWDKTLKPTLLTGHQDVVPVADASTWTY PPFEAHFDGEYIWGRGSSDDKNSLTAILSAVEGLLSESDWKPRRTLILAFGFDEEVGL NQGAALISKILKERYGDDSIAIILDEGGFGSGPLDGNTIYVHPAITEKGHSNLFFELH AKGGHSSVPLPHTGIGIISEIVVALEANPYQPKIIKNSPIHKRLICQARYSPNTQPTV EELLRNNDFDGLSAEFASTSPLNRFVVQTSQAIDLIKGGVKINAMPEVVTLAVNYRVA HHERPVQIQHKAIQVIANVVEKYGLQVDAFKGDKEYQDFVAELYPGDILRHSDLKRRD EVDYNGTLVIRATKAEAAPVSPVSGPVWDVFSGTIRHSFATDDKTVVPVGDIMTGNTD TRHYLSLSRSVFRWTPAISRGLDNIHTVDERVSIFDHLSAVRFYYDFIRNFDTADV PEX2_074780 MGNFEIFDWKLTFHDLLHGLGLVIATYWTGWIVYTRVFHPLARF PGPFWASVSRAWIVRSVIRGNPHETQRLLHARYGHVVRIAPNELSISDPEAIKIIYGV NSGFIKSDFYLAFRAPYTRYPDHFTSTDEKVHAQRRRIVNGVYTMQSILQSEPYVNKC TEVLLQQLEDIADAKASIDLLEWTRMYAYDVIGELYFSKMFGLMKARGEHLGIMKSTD TLIPAMAISAVMPSYLRSFFMLVGVLFAETRKALSALNDLATAADSAVQSHVQASSSD DSVIRRTDIISKVFNIHRDQGEKLDFQIDDVKLEAFGGYFAGSDTTAIHLSTTLYYIL KNPGVYAALNNEIVQATQRGELSFPHISYHEASKLLYLSACIKEGARLHPSVALTMPR KVPSNGCSISGQWIPGGVRVGINPTVVQLDKTVFGDDAEEYNPDRWLKPDADKMNKYI LQFGAGSRMCMGKNISLCELYKVIPELLRSYRLELSSPEKDLETSGFWFYKPAPMHIR IYRK PEX2_074790 MIDALYDHLSEKPALHLDEIAVFLWDEFRTTMTTSGLRRALVTK GWSKKTARQHEKKLNTDLREIYLHNLSDFKSYHLVYVDESGCDKRAGFRRKGWSPFGV ACLQVSQFYRHQRYQIIPAYAQDAILLSQLFRGATDGTVFEDFLAQLLQHCGRWPEPK PVVVMDNASFHRSERQPIQT PEX2_074800 MVQSHHRPRARAKKHHHLSEEPLLVDTIQSLKSKLPNALTEGPV QYIRDLIQSHAALSLRIDYDCPAYDDYSRTDIPLLHIASAFGPPEIVRLLLELGANIK ETTEAGSTVLHLAARNGKNENLKILFEHGAKALVNVKMRGQRFPLNQAAWTGQTETCK TLIAQGADTGLKDVDGRTALIFAADLDHLGVIKTLLDAGANVNDVCNAHWTALHFAAK KNRPEAIKLLLTRGADSTLQEGDIGNPLHLAAMEGCKDATVELLSQAPNHDINAKNVW GSTALHLAARYGQPHIVQKLIEHGADKDFLNNDLSTPLHIACSERKLDVAKVLVQNHS LIDEYNRQGNTPLQLVASNGEAEIVLLLLNSKADVDTNNKIGNTSIQLASANGHHKVV QILLDHNAAVGTRNNQQSTPLHLASANGHIEVMLVLLAHKASLTKVDEDGSTPLHLAS AHGQADAVDLLIDRNAGINAKTYKETTALHMAAHNGHLKVVELLLRRGAEVDPVDCNG DTPLHQACVQKKTAVMDYLIAQGANIHQPNTGTSKRTPFAIACSESSLRPFMPLLKVR DGLLQTDPDGWSALHYASWDGASLSVKELLEDTDIDPSICTHNGQSALRLAIDKGHVE IVLELLNSQPYYPETSVDSCPCPTAESEIQIIAEGLAKLLVPTDFELGDRLTAIMYWA IVNGQSCLIDRFLTMRQGEHPQLKRGMTCLHVAAQHGQEELTKSRFCHLDPFTKTDDG FTSFQVAAASGHRGILESLLGRFSQSPKSQIEAIIMKSNERESCVSLAVKKRNTEIKG LLWSKLDSLAIKKSEFYSENQNQASQILELAAQFEKPGKEDYLQRMFETWFEGPSLNL NGHTVLHLAIYHRQVVVLWWLLSNGAHFKTEEIQLAHDMLHRNTGSLNTLMTELIHNP PPIVEYTTITESDQPPARPTKSDSLTVLQELPVTIIDFYGNKTIADLHHTTRSVKELI YQTSGPNEIMKSSMRKGHRQLDFLKQDLANMISGQSGDGFTVLAPHKMDLNSDHHAQS DPPTVLGELKFRWLHVPANQIPFLSIGKFIPQFENDEKHGVQQVNSQKGNQAIYQFPS PQEALHEAMTLDQYFYPTEDTYKRDSDQVVSKYLDRVKGEPNKSSKGNQNTKPPDAPS GESSERTILMVDQLWMWIIDGRTIITTSTKSSDQHDDILSAGIREFVMSNQSKSTLER VSSVESMIEVILGVATGLFLDRNIPTGRMSTVKKREKKSTLEIFRESIRTIADAETKL FNEFLTELNKEKGKPKENNRRLLALDMAENPYHIVSKEAELLNEIKDIHDELNMLRTL AESQQNVWEQFFQTKELESFPDFQFSETCTPNMVLRDIQNMMTETEMVQNSINTLLDL RTNQAGLKEAEFGRQQAYDTARQANVIFVFTIVTIIFLPLSFLCSLFALNVAEFPRVS GAVEYHAWWIFPILFGVSGAVSLPLILLAFNFDNLVKQWNRMKHETKKKNGNSNGSET ASVSQSNSTDERGASIQGSSSQEEISGLPKSVLSLINHGFRQRRSPQNIC PEX2_074810 MLALFLVLVALTSYLLVRLRQQRGMFKNLPGPPHHPIWGHFLIM RDIASTLPPDATPQLFANLMRKRYGLGDFFYLDLWPLAPPQLVIAHPELATQIVQKMN LPKESAIMQKWTGPILGEKSMVSANGHDWFIARKSFTPGFQPRKLQQHIPFIVEEALA FAGVLREHSAKNDIFKMEDLGARMIFNISVWVILGIKCNAQRDDDEFLELFRKQAAMV PQDFWSRYLYDVSPRRYYRKWSNGRALDRYVGRLVDQRVISGPTAIPSEKAIYYAIDD AIATSRTLNKTIPLNNSVDKYTRDMLIASVKTLIFAGHDTSASTLCYTYAALSKHPQV LHTLRQEHNAFFGTDPSAAGNILRNDPNLLNNLAYTLAVIKEALRLWPPTGVSLRRGQ QDQTLFADGKEWPTYPFAVLVNNCATMRREDLFKEAERFYPERHLVTDPADPYFVPRD AWRPFEKGPRMCLGQTLALMQLKIALVMTVRTFDFEIVYEEGTFMYQVLDVTAKPSLG LPTRVRVIE PEX2_074820 MFALRDAIYLIELAFYVAIVPAIFFIILIHGNKQPYTWRPILVP LIILSGLRIAGAGLGLAVMDPAKSDLLPIATLLDTIGLAPVLCLLIGLLIRANAPVYK GLTIWVFLPLHMTIIVATVMTAYGGRDLYTSHDNQARDLRLMRGGIFLFIAIFAGIIL LSVMTMPRVQVKAYRTERAAVVCALLCVPFMGVRLSFSAGSLFSGERSVLNPMSEDET SIWLHFLMVIVMEYIVTLSATAVSLTARRVMVLTAERGNPVNDEAS PEX2_074830 METTNMQMDTEKSNSWLYYKLGIHTLALVLQSGITITTPDPKVD AKLQVTSGIHHGGTIKVIVAGLPRTGTMSMKHALEELGYGSCFHLAEPLCQFDNLRES AAIVQTKDTALRRRKLAKLFQGCEVTLEVPGSACLPDLLEMYPNAKVVLTERTSAAVW LRSWRGFGIDLRSECFRWVGYWVPGIVAANDLYRGWMQLCAERLGVEAEPSEELYHAY NAWVKSIVPQERLLVFKCQDGWVPLCEFLGRQRPNRFPHGNEAGYLRYYKRVAIMLGI FLWLIVLAMAILLFFAFPLLE PEX2_074840 MLFDLKSIVVYGLVALAHASPLPELSKRAKLSDFQCPDGTTLSE HDIREALHECQRHNDGSIGKYPAYFGNKSNNQKVFNNIPDGTDLREFPIIVGGKYTGG VPGAYRVVTDYKDNRGDFRGVMQHTGATAGGAYTACTRVANTKREPVEEKEENGNEER SVQMTETEIPEDSTDNTVNDLATRAKKKKVGSATCSDGVTLSKDSVGNAFKECKKHND YGVGGYPHKFGNKSGNGQVFAGVTKDLREYPIVEGGTWTSGSPGKYRVVTDYKNNFVG VMVEDAGASFTRCTVHDD PEX2_074850 MGKENFIRQIENSFEFKFLDQVVKGELTAQDAVQEVINMTMSAL SIHGPDKHNGIGLPDYNVSLAVMELAQRLEPSKHTKLVEFICHLQKQVPVDPSTNEQL KVQGDTLWTDMPSFGYTELETWYEFGGDYKDPCDATLDSKQRERWVNLNAFLAQLTQS ADIHYPLPSEEVRYSALDKSLRAIWTMAMALENERPPASLGDTAAMEAACQWFIYAAE RLWVNVLNSRTYPEVAGAGPGKRYEEEGWTGYTGERWGIWEEALNEAKAKCEGERMLK LIDDALASLKRGMVNQ PEX2_074860 MTNSLSAATCAIYALLAIPVLYLLVRHGRYGLLGWLFLFLFCTL RIIGGALAVKDTSVTASIISSVGLSPLLLAAAGILHEAREYRIQVLDKKMEWVCALAY HMLVVAGVALTAAGSVKLQKHEQPLDKAERITKVGISILAVAWAVLVAWTGFSFIAPR GRNSPLMRAGNVLLTALAFSLVFIGIRVFYSLAALCTQKASLNPVTGSLAVRVVLGFL PELIATILFIVAGIRTQGAAPMAKIEEERAVSKQRAQPWI PEX2_074870 MMATESSVLYLFGDQIADVLPCIQELSQRAANSDELRRFLRSAT DSLRKAIYQASFRERQRFPTWESLTDLATAVERDDAHCPALKAALCCISQLGHVILYL EKFPRALEFGSSSKPGRDAVLGLCTGSIAASVFSCSRNITELHRIAHHAVALAFQLGL EASRRSEYINSSKDTRTRGSWATLVSNVAVEEIREALASFNAQMPSKSGRRAYISAQS TSSVTISGPPSYTAELLQKEPVFHGRKTIPLPIAAAFHAEHLDPVPWKKISQACSNAP FETFKPNMPLISPSSGLPYAADNLSALLIQVVDDILQQPIILEEAVKGVASLASSSIS LLSFGATSSKKTIMNSLNSTAGIEVDDKSKAAFENSMKEPDTENAIAIVGMSVRLPGS ETLEEFWKVLEQGRDLHEKIRPDRFDVDTHCDPTGKAKNTTLTPYGVFIDRPGYFDTR LFNMSPREAAQTDPQQRMLLLTTYEALEMAGYTPNGSPSTNTRRIGSWMGQTSDDWRE VNASQNVDTYFITGGIRAFGPGRLNYHFGWEGPSYSLDTACSSSAASIQLACSALLAG ECDTAVGGGANFLTASDLFAGLSRGSFLSKTGGCKTFDHDADGYVRADAVGVVVMKRL SDAVAERDNILAVIRGTTTNHSAEAVSITHPHAETQERLFTTVLNKAGVQPHNVDYAE LHGTGTQAGDATESRSVTNVLARGRTASNPLYIGTVKPNLGHGEAGSGVTSLIKAIMM LRKNMIPPHIGIKGRINQKLPPLAELNTHISFEKTPFLPRADGDGKRRILINNFDAAG GNTSMLIEDPPARPATTDSDPRSHHMVAVSAKTPTSATNNVRQLLAYLKQNPGVRLQD ISYTTTARRMHYNIRRAIVASSVDDLVAKLEKQSADGSQWAKPNNSRPLVFTFTGQGS LRAGMAKDLYTTQPSFRETILDCDRISTAHGFPSFLPIIIDPTMSAKSASPVQAQLSI VAVELALAELWRSMGAVPTAVIGHSLGEYAALCVAGVFSVSDCLYLVGTRASLMLEKC RAGSHSMLAVQDTERNLEDTLSYNSTLSECEIACVNGLTSTVVSGPIDQIASLHEALQ AEKKKSTQLEVQYAFHSAQMEPLLDDLVSVAEKIHFSTPSIPFASTQLGTVVTTGGII DSQYLKRQTRQRVQFTKAVQALESLHAGNRASPVWIEIGPSPLCLGMIRNIAGKDQVL LPSLKRDEDDWKTISESIALAYDAGVDIDWREVHRPYELNLNLLELPNYAFDLKNYWL QYEGDWAIRKGASSGTTPAPTKSLLPTFSTSGLHRIESLVRDDDTGISVTFASDAAEP KLNKALRGHLVNGAGLCPSSVYADMAFTAARYIQSVLDPSTPVAPLDVRDMEVHKPLL IQPGATKQIIRVTATLVPSSQSIDVKFSSEDGGSHQDHAHCRVDRGEGDVWKSEWART SYLVKSRMNQLIKDSSSGDVHKVLRPMVYKLFAALVDYDDKYQGLQEVYMDSDLLEAT AKVRFRTTPEDGTFTYSPYWIDSLAHLSGFVLNGADTTPADSVYISHGWKSMKIVGEL SEKKDYQSYVRMQQTKTKGVLSGDVFFLEDGEVVAVCQELKFQRIKRTILDHLMPRNP ATTPAVVERKPVYTVQQRSKPVIKTKTVTSTPDNSNVLQIIASEVGVDVSELTDDVMF ADLGVDSLLTISIIARLSSELNQEIPSSLFMNYPSVRELCGHLSASTETAPVPSSDSS DSGGDRSSSEEDTRPDSPITEAASPASGGVSSTDHIRKIIANELEIDVAEIDEHIPLA ELGVDSLLSISIISTIKAQTGRVLPSSFLIDHPTLAEIEVALDGRRAAPAVSPPQLAK ALEKIQDGPSSSPSKYKAEAVLLQGSPSSSHGASLFILPDGSGSAGSYVGLPSLNAPG PVYGLNSPFLKSPSSFSCSIPEVAAMYIREIQRIQPHGPYRLAGWSIGGSFAFEAASQ LIQKHDETIESLVLIDAPCPSVYPPLPIETVDLLETIGAFDGLKNDNRNGLTPSKQSS IPQRVREHFIGSIKALKAYEPKAIPSSSQGPKSVTALWAQNGVWETVGEEKKSQFQEL TRIRGNQAEDWILDPRGNAGPNGWDSLVPGVVVRCAVIPGDHFTMMRRPGVNELGAKL AETLQ PEX2_074880 MSLAFTLSSLYSERNFYNAIVLLFGVLVVWLYRTWTEQSHIPGP FLSSISNIPRLLWARSGRAHETHIQLHKKYGSLVRLGPNSVSVGDPREISKIYGIRSS FGKSNYYKVLQPISKGKIIQGLFNTQDDQLHRSMKRPIAGIYSMSNLVGFEPYVDSTI GFFLERLEDAQGKAGGRIDLGTWLQWFAFDVMGEITFSKRLGFLDEAKDVDGIMGSIW KMFQYSCWVGQMPWLDKIWVKNPLVSRLLPAKNSPVVTFALERAQERISEKLLHTSDS QDATSSSGYNSQDFMSRFLAARAKDPSIPEWFVTAWTTSNVLAGSDTTAIMLRAIIYF LITNPASLQKLEHELRQARSQDQLSDIVTWKESRNLRYLDACVKEAGRLHPAIGLTLE RVVPRGGAKVCGKFFQEGTNIGMNPWVIHRDQEVFGPDADHWNPDRWLDTDAERVTLM ENCLLTFGSGSRTCIGKNISYLEIYKLIPTMFARYEIQLWDPKGEWEITNSWLVVQSD FFIKLKRREPHL PEX2_074890 MASSQPSSMFGKDIESDSATDNSHVKTEIVAEEPPKPAGPPPPP NGGTKAWLQVLGAFFLNFNTWGLLNTFGTFQSEYSTGLLKDSSQSSIAWIGSLQAFLM LVIGVLCGRALDAGYFYGDITMGVFLEVFGMMMTSICKNYWQLILAQGLCVGIGAGMS FIPSVAIVGTYFSTRRSTALGLAATGSSVGGIIYPVVLRRLIDQIGLPWAVRVMAFIM LATLLISVAVMKPRLPPRKSGPLINVEALRDPVFAIWLCAAFFIFIGLYIPFFYIEQY ALNLGMSRDLAFYTLIIMNAGSVPGRVLPSIIADKIGNLSVMIPSVLLTGILMLAWIS VESQSGLIAIAVFVGLTSGSIQAVLPATVAFLCPDLSKLGTNIGMTLFTSGLGLLIGS PVAGAILDHQRTSEGDVFWGTLTFSGIFILAGGVCLTIARVVKVGFALKKA PEX2_074900 MLTFSHMDAEAFENPKKNNGRKRPRFREPVACNHCRKSKVRCDR KSPCKPCQDRGLGTQCTYSCSKSSAVSDSTRPIVSPRSTGVDQLALEQLTPRSSDGET LDPRSALPHQTTVSHANSAFKGSNFKTRLIGGTHWMAPCNDLPIIGAMLEKTVDFQVT WRTFTEVKSLLHIANALPAGVNGDGKKLLYLLPDRSTCQKWIRRFCETYGRIYHVIDH NCLITELDEILMACVDANEVHILKIMLVIAIAMQTDKSERLRGRLLLQEAESRIHTST RFQKPCIGVMQALLLLIIMKTITASDTDKIYSLLGIMGLTTQMALSMGLHRDPALFPG VTPYYAEVRKRLWACFFRLNLNYCIRSGSHFGIRLEDVDCPLPSAIDLLTLDQGPITD SGTFLNQVQEATDQAFNIAAMKLAIVRAPLHQRLCSTAPGLSSEVRDRMRASFQKILR ELPPNLQEGASSCSPIEKLQQALLSMHVHSFMIIITLNFVLGVPSHNSQRGDLYEAWD NSVSILHQSQEVLQSGSELSNVAYHLLWTDLARAALTACLVVGRLRRINLGTTVCNSP QPTLVIFQQLLLKYLDSLSQMLAERYHLGPVAAKTRLVLAVATTVSSSLVNDLGGSKQ DSKFFQVGVKAAEEVTAEMERSLKRDNQDSTLSLLAFNTARTSAASSVPTPLSENWMD QAQLPDHLIETLFPSDCAFYQDPECPGLSMQSDLYSMAFKPTLTIQSTPDLLWEEV PEX2_074910 MKGIWGATVVASASLARAISTTSLAAASTTVVATTDSAPLLFHN EKVQLTEGVLSDVAATLQNSTVANMFAFASDSSVAKRSVHNSCKVMPGDFLWPVELIW DVFDLLLGGSLIKTVPLGSYCYPDWPQYDAAKCASISADWLTSDLHMADPASIMLPLY EGRSCLPSPYNYTASCTQGAYPTYAVNVSTVAQIQLAVNFARNLNLRLVVKNTGHDFN GKASGKGALSIWTHYLKDKEYLPNFKAANGYVGPAIKFGSGIQVHEAYEFAQTLGHSV VGGEAVTVGLGGGYTAGGGHSPLSSMYGMAADQVLAMQVVLADGRFITASSTENSEVF WMLRGGGGSTIGVVTSLTVKALPQLETTTVTFNFTVSDTPGPDAFWAAVGSYLDNFET FVDAGTYGYFYIGASEAMIGTTYAGDPDYYFRMESFVAPNMTVAQTEAILAPWFDTLK SLNVSYTPWYNHADNFHDAWVVSFPQEYVGTDVVKTASRLLPRSVFQSDDLRNQTWAA YRDAVNQGLFLAGFHISGTGIAVEPPTDTSVLPAWRDALSHVIVGTEWNFTSPWDVVE SSTLFVTEWMDVLRDIAPDSGSYMSEGDLIEPNLQEAFYGVNYPRLYALKQKYDPTGL FFALTAVGAEDWEVQTVDPLPYSWNNNGRLCPKTS PEX2_074920 MASSDNLQGQAIGLIFAFPCFASVAVALRLYSRTLTQSFAADDW VICVAIIMYWAETFTSYKVIIYTYIGYNVWDIPKDHPAILGGKYTYATELIYNPILAL VKTSILLFLLRLTGQKKSVRLAIWGLLIFNGIAAIITFFITVFRCMPVAANWDSVAYP DAKCLDFANFVTATASVSILTDALSLILPTWIVYRLQMQWNQKLMLIGILSLGLLTVV TGIVRLILLDNFDRHMPKNYTHSVLFCVSTIEVGLSFIAACAPSFKPLVTRIVPKLFG STRTGPYNGSTNRSGRARLGYNLEETTNFTHRTQDKITTTVEGGDDELSYSPTNLKAN NVITMTTEMEVTWDRSNIEEQETSSTESLVYGKERSRRS PEX2_074930 MFLGGECGKRLVATIIDETASKTPDLECMSIPRSNNPSDGWKPV TWAEVANAVNYVANMLITQGGHPAPGIFPTVAYIGLEDPRYPIFVIGAIKAGYQALLI SPRNSIEAQLNLFVKTDCNILYHEQQYASMVHPWVGAKPGMKSMAVTPFEEWVADGVA PVLYGKTFAEAEWDPYMVVHTSGSTGLPKPVVVRQGMVASNDLHRYIPARNGNLPWLP TWAGFPNPRHLLVMPLFHAGGFMVATLCAFYYNMPIAFRDPSRPITGDNVVKWLQNSN PGWTVIPPAILGHMSRSQQAVEELQKLHIVGFGGANRTIPSGAIAPDTPDRLVKHGIK AVNIIATTDRGRFSFFPYYSQPDPAMWPWFIIPSDMMGIEWRLVGEDTYEQVIVRKES HPGLQACFYTFPQLDEFSTKDLYRPHPTLADHWTYVGRADDIIVFSTGEKLNPVTIEG AIMGHPEVLSALVVGSTQFHAAVIIEPIQHPKNEEAKKLFLNKVWPTIEKVNAETVAH GRISRDYVFLSDSQRPFPRAGKGTIQRSLVERLYAKDIEISFGNSDNSVVATELDITS ETAFLRSVRQLVQRIFKSHNLDTDKDFFTAGMDSLQAIQLSRALVVSLKKLGVTVNEQ AVESRVIFAHPTITQLAAYAFSLVCASRTHNGPTYGAGVIADKATICRALVDKYIHNL PAPVPNKPAPADKGQVVVITGTTGALGSYLLDFALKCPNVSKVVCFNRSVNGLERQIE ASTSRGLSTDFSRAEFLQVNLAVPDLGLAREVNNRLADEVDRVIHNAWPVNFNMSVRS FEPHIRGVRHLVDFSAQAARKTVPITFISSIGTVERRGNPQVPIPEQALPDWSLATMG YSQSKLASSMILDKAAEVSGVPGVIVRVGQIAGPRGEKGKWNPQEWLPSLVRSSVHLG VLPDSLGTFDDMGWAPVEDIANVVLDVSGVTSLWPVEEIAGYFHALNPNATNWSSLIP VLRDFYGGRIQRVVSLEEWIHALEKSQVHPMMDDNPAVKLLDTYRSAAEGANMGIKAV SLATTRTELHSFTMRQMEEVLPELMRHWCTQWQF PEX2_074940 MSIRNLAILAILGLVNAQDTVGFGPYFSLGPTSSWIREANTTLV LPEASSPQKDRLALWPGMGTSAGDLIQALAVSFADPSANCGAKAGQWCTWASTLQGTQ LGGKQVPAEAGDAITMHYKYNDETSKFDQTVSINGEVVSTLSTTSGQAQGWGTAVECQ DDACKSTAAAHEYLDTTIILNAADASFIDTLAINEVTSSGLKTADNGKTWTVSSIKVQ AHTYDL PEX2_074950 MFDHIPPGPVDPFFHLKKKADRDNHPNKVDIGVGIYRNEQGTYQ ELVVVKKAKKILDQLDLGHDYGLTTGDDKFLKLAAEVMFGEENEALVSGRIASVQTLS GTGANHIAAILMARSLDPKPTIYLGVPTWNNMKPLCEYAGLETVEYPYIDTQTSELSF QPCIDAIRNAPAGSVFVLQGCCHNPTGKDITPEQWQLLGEEIKARGHLPFIDIAYQGL GDGLDEDAVGVRILSRLGIDMIVCQSFSKNFALYGERCGVLYVVTRSAEVATNTKDQL RSLIRREYSSSPAYGSRLVTIVLEDTELRRQWHRLHEELTMVLQTPGHWNHIIEEKGL FSCLALTPEQCNALIDDHHVHLPVSGRINIAGLNTENVERTARAIDAVVRGNMP PEX2_074960 MTGVVDLPPYEQTTDKQAIVHPGEVYCHLTGCWRGRVPLAETRN LRDHPSRHGLMVAWTPSGRISEATKAAVAAWYESLFDDEEKYNLESNEEDNQAANGEQ DHNGKVEEGRGH PEX2_074970 MSQPIGPVFLHSCAAYGRYLQKGAAGELSLPPYEQAIDGSIIVR YGEVFCRIPGCEYGHIPISNTRALRNHLRNHGAMVARNPSGRISQGVQDAAVAWFQAL FPENEPRDEGAHQDNEGEGQHNEGEK PEX2_074980 MTEDFSLHPCEEKLSILSEIADFAAWLKGDPRNNIGMMNAILFC FFFFFFFFFFSELGFPSSLYKTESVRGSLSSDVSIMVKFYPSGILPVPGRYFIAM PEX2_074990 MSFPKAVPDWNNLKVIHKNTLPPRAHFYSYASEELALTFNRDNS EYISLNGTWKFRHDASPFEAPEWSTANPLSWDDIKVPGMWQLQGYSHPTYTNVNYPFH VNPPQVPLLNETGSYWRQFVTPSTWEGQQIRIRFEGVDSAFHLWINGEEVGYSQGSRN PSEFDITFLLKPSGSVNTIAVRVYEFCDGSYIERQDQWLLSGIFRDVALLAFPLHSVG DFNAVPTLSEDLSTGQLVTNLKIQGEGADVQARLYRPDGTLLKELRFSSKESGRIDVS GDDLKLWSAEDPVLYTLTLSFNGRTIPQRIGFRRIEQKGANFLVNGKPIILYGMNRHE HHHLHGRAVPYENMRADLILMKKHNINALRCCHQPNDPRLYEVCDELGLYVMAEADLE AHGFDPVERSNIPNQHLMTEHEIQETSYKMATKWTSDNPEWKDAYLDRAVELVERFKN FSCIIMWSLGNEAFYGQNHATMYKWIKEADPTRLVHYEGDREAISADLYSTMYWSIDD LKKHIKEKPDRPLIQCEYAHAMGNGPGGLKEYIETYRNEKLLQGGFIWEWCNHGLLKR DGDTSYYAYGGDFGDEPNDADFILDGMVFSDHTPSPGLAEYKKAIEPVTVILKGRSLE VANHYDFNTLDHLSISWHVVTDSGNSEPTPWQIPEIQPGESKMLDLPEGVVLGSNPSW LTINFRLKVDKSWAPQGHEVAWAQIPLFDDQKLAIHSTITSLQSKLSVQEGPGRLYIN SNSFGSHFTYDLIRGDLSWSTEAGKIFNSGPQLGIYRALTQNDLGSAGPSPEWDRFRV KSSRMLVESAKWHVNEDNGSVLIATKVRVAPTVLEWAFEATLTYTITETSVSLHVKGD FTGTYPKYIPRIGLTLRLPRQYDAATWFGRGPGESYRDTRSAARFGKYTASIESGLET PYEWPQENGNRIDTRWVNVHSSPPDTSYAASAGAIAPIPEIQAYMDTPFSFSLRKYAT AELDRAKHPHELSELDNETELNIDYAHHGIGTASCGPGAFEGHRLEAGAFEFTTTFGI VGDKKV PEX2_075000 MCVSSIASQHGHVPAKIRVAVYGQCFREQGYATGYDGSMMNGLQ SLTIWQNSFNNPGASELGLLNAIQNVGQLITMPICAISCDKFGRRPVLFVGAFILLVG VALQAAAQNVGMFIAARGIIGMGLVLNITAAPLLLLELAFPRQQGPQVAIYNSLWNLG ALVAAWVTYGTFHINNTWSWRLPSLLQGLSSVLQIGLCFLIEESPRWLISKERDDEAR RLICKYHANGDDSDPLVTLEMEEIRVALQLENEARRTTSYLTFFQSKGNIRRFFIILC VGFFSQWSGNGLISYYLTLILDSIGYKSEGTQTLINALLTLWSMIWSLVFSAVMNRFG RRTLFLISTAGILAVYIVWTALEAVYERGAALDGTGGNDHYAKGVLAMIFLYNFFYSV GWTPLQVTYCIEILPFDLRARGLVLYNLFVALAGIFNQYVNPIGVTNSKWKFYITYDV WLAFELVVVYFLFVETGSLSLEETAVILDGEEYGNKLIETTASTAEREIGEKAKLQAI ANSEEIAPDKL PEX2_075010 MRYIWGWASRIYLLILVSTVLAKDDPDYNPSSNFRPNNVTGLNQ LYAWVGSYYNGTTEIELNPTIGYTPNLTVCPTHKKRTTILKWNSLLAITQRGTYNSGI DPVNLWLIMFPPNQNISAMPYESQLLNEGTPLMFPVMSSLLTWKQKKQENATVPDYFN VTATRNPNSSFTLSGGMYNSLHPFDGDASWPFTLDMPACNTSQQYGNWSTQVLQSRWW NTKDWSEFVLPNVTVDFDTRTANLTLDGDFLASPYIRLNNSGYPATGTISTEDALQGA IQIRFRGVVDAYNSDILNVNSTAPAWLRTVGFGNNSLNIANSSNRGSGLRSTLWSALV VPFIVVVIVYV PEX2_075020 MGSIGFAEPTLLDLVPTLVGESNFSTWATSLKWTLDSRDPRYYE LLTGMWSEPSAVDPTQPTPTEVKARTQWDTASRYLLPLLNATVHQTVRFYILDANNAR TAYSNLHRVFAARSYEAGFANFLKFMNTTYTSNKPQAFVNEWRDALGELQECNSTKLT PLLIFYHFLHAVSANPAAHSWLDSFLNSKVTTDTTIEATFADFVVSEDRRLNTLNQAH SFSLPKVNHLPFFCRFHNRQSGHSSDHCFRNPINKVPFGKAATAPVTAPASAQHTVTQ DPPQE PEX2_075030 MGSITTTSFQDPFQGIPAARAPDGLAALVWQFRADTDPNRTDLL VGVYKTEEGKAYVLPSVKEAKNRIFNDPDWHHEYRPSAVGSQLYRELSANLLFGADHR LLREKRIVSAQTLGASGGCHVGAVFLKNNYGPWKENGNAEIFLPSDTWLNHPFVFQSQ GIKPSLLPYFSSKTNTFDFQKFSEAIKSLPTQSVVLLQSGAQNPTGCDPSLDQWRELA SIFLERGHLAFFDAAYPGFASGDIDTDLQGARLFAEQEVPLVFVSTYGKAFGLYSERV GVLSVLVPNEETGKRVEAQLSLLARAESGAPPDFGSKIVETVLSDEALQRQWRQEVRD MANQLKHRRAALREALEKFETPGSWRHITEQNGMFSYVGLSVEQVTLLREKHHVYLQH SGRISIAGLNDSNIEYTARSISDVVKATV PEX2_075040 MAPNLEAEAPHNNFDTILVLDFGSQTSHLILRRLRALGVFAELL PCTTKIADLTWKPKGIVFSGGPASVYDEGSPHVDPAVFDLNVPILGICYGCQEIAWRI DSKNVARGEAREYGHADVTITKVNSNVDRLFAGLGDEIPVFMSHYDKLVSLPTGFVVI GATKNSEYAGIAHEEKPIFGVQFHPELEHTPRGTEILRNFSVDICGAEPNWKMGDFAQ LEIARIRELVGDRALVLGAVSGGVDSTVGAALMREAIGDRFKAILVDTGCMRLNECEE VKATLGEHLGINLTVVEAGELFLTRLEGVSDPEKKRKIIGSTFIDLFEKEAIRIEKEA ENTPNSGKVEFFLQGTLYPDVIESLSFRGPSATIKTHHNVGGLPERMMNGQGLRLIEP LRLLFKDEVRAIGRQLGIHESLVNRHPFPGPGIAIRILGDVTKERVEIARKADHIFIT KIKEAGLYDQASRASRKSADERKLILLSRYLKPSLVSILTAVTKDFMSADIFDFETSF LKDVSRTICNQVEGVARVVYDLTSKPPGTIELE PEX2_075050 METSIKATALETLDMGIMDQRNPGVSQHGGTALDDDEMHRMGKI QEFKRNMRPLAALSFASVLQATWEYLIISDYQGLENGGLAGMFWSYMWTFIGFGFIIV SLSEMASIAPTDGGQYHWVSEFASPRHQKFLSYVTGWMSVLAWQAGAASGSFLTGTII QGLISVRNPDYDPKGWQGTLLVFSMVLMIYIFNVYASDLMPILSNILMILHIFCWAVI LIVLWAMSPHRSAKDVFVSDWQNLGGLPTMGVSVMVGQISAIYGCLSSDACAHMSEEI KDAGRNVPRAMAWGYFINGIMAAILLIAYLFATPSVEDSLNDDTGFPFLYVFKQATNT AGVNGLTAIILLPVIFSNIMFNASTSRQTWAFARDKGLPCSRWISKIDPKRKIPVNAI ALSCIFSCLLSLINIGSDTAFNAIISLNTAALMFTYVISMTCMIWRKIWHPDTLPAGR WDMGGNWGLAVNIIGLCYSIFAMFWSFWPGELPVTAENFNWSVVIFGAVFILSLFMYV IKGRKEYSGPAVDVKRED PEX2_075060 MSATAKTWPSGVSPPLTTDNDHNHSGLVVVMTAFNLCLILFSLA ARTFSSYHRNRLQRDDYTFGALVLAAIVQIVIVFCQVHYGWGTPIDGIEAAKKEQMLK MVYAADIFSVVVLGLSKMTACIFYEGLFSQIQRRISYVMLPAMVAWTIISVFLLGIRC SSNPWSEISAAECSGLRPRWEVITALDIATEILLLVYAAIAIHQVRISTKKKIVVLCA LESRILLIPIAAVRLHYVLVQLSSQDPTLLGSFATVSTEMYIGLSATCLLTAFLKSFI AVYEDDMGITYRRGPSKSDSRSRAAPNYTLSSGFSRSARTERGVKGWEREDDPIVESS EGSQGLHIMKTIDLSIRDEPIELSNRVGSKGI PEX2_075070 MADQQPKFVSPPEGLWILDDTGKNLEFMSEEELDRNSIKATDHT IPRETSAEVIAKYLSTLTPHQKKMQDKLRDLGWNDSAIHNLLTILEDAQRYNCAKLRQ KGYTESEIQRLDALGNQNLMDFSHLKRGLTSKADEDYRLQLYLLDEVKRRRRAMLGEE PEX2_075080 MGEAITGTFGPNTIKGTGIALIVLTSLVVGTRFVGSIRSFKDLK AEDYLLIVAYIFFLELSILYIYISPVIFRIAAVGAGTIPPYAAISEEGLRLQTVFFVT TSSLWLCLWMIKFSLLAMYKRLLVGKKYLIAWWVIMGFCVLFIIGCILSSWLSCSSFH AWFTSGQCNTPRDHRAAVISLYYAYGVDIVTDLAIMILPIRLIWNLQMQTRQKLSIGG LFCFGWVCIIISTIRVVQLGETENGVPAPSWLALWGTIEASIAVMIGCCPGLYRVLKT AISPSKTSYPYDSYNLRGRAGSGIPSHRSGAFGGPNIPLNSFRGKEESYQSASAYRST SPSSSQEKLAHPSDKRNIMVNYGVAVTVEDRPSDYGQEARFV PEX2_075090 MHLSTLIGGLSAIGGLTLAQAAATSSAIETDGFSITDALEDLGV DVSQIPALESFASIETRSTDKACAAACASLSFLFGSKVASPETTGYSNFTSSFWSVQQ EEVQPRCVFRPTKNTEVSTAVLLSRLTGCEFAARSGGHAAFTGASSAPGGISIWFKDM NAVTLNADKKVASIGPGNNWLTTYSALEPYGLAVVGGRASSIGVGGFVLGGGISYHSN LHGWSCDNVQSFEVVTASGLIVTASATSYADLYWALRGGGNNFGLVTKYNLYTIPSPE LYGGARTFLQTEFPEVINAWINVINNATVDGNAQQYVAFLRTQEMNLASAELTYVKND PNPEIYKQYRSIPAISDTSSAKTLVEYVKYLETENPFGLREVYWPISAALNEKFANWV VDLFYSMIPEVADVAGAQPVLIYQGITEPMLNNMKNYGGNALGLDGSSGPVHLLHISC WWKNESDDKTIYAFVNKFMEKVIAEAKTVGVFNEYIYMNYASMFQDAVAGYGATNKAQ LKKIAKKYDPREVYQTLQPGYFKLEGAPVTSSF PEX2_075100 MTVAPTSPIDTTSLTTRYMCAQTDWTFDTLYWAYQEQTGYPAPS TSVYQTAAPSTSTPSTVTSSTSTSSTFTSSISTSLPTSTSTTLPDSTTSDQSGSSLSG GAIAGIVIGCFAAAILLAGFLLRRYRLPPFSKRIDPTNELPELPEQNQVHEIGSSGSP PQKSNTVYEIGS PEX2_075110 MNDPSQGQFDGFESTIKRISPQRSRNPHLTGDPRLQSSTNGPTP KIYRPYPDYESKDWKRSHRGSFKPCIGPRGKEIADNLDDQVSAYVGVPKGFPPTIYGS HKAVGLDESLSFDRYTRYGAYGFGEDEKNVENWIRPTKVDWNNVDWGKLQKQCAADNA DRFDAQSQGPNTQIAPEARTAVLIRSYTGKEYSDNDIINIRAMVAELSLQSGGEYEVV LLTHVKDDSIRLDDPLVWDRLLQEHIPREFWRMTQFWNMPQEVAHYPQLDPELMDVHH SQWLSVQQFAIQNPQFEYIWNWEIDTRFTGHYYEFADRIANFGSRQPRRGIWERSERF YIPDYHGDYDTRFRSFVNAQGGLGVWGPMPMRLDSDKQIERQGPTPPVPAPAQDPYQW GVGEEADLMVFLPMFNPINTEWVIRNEVFGYIGDTTPRRASLITHSRLSRRLLLAMDS ENREGRHMSAEMFHVSSALIHGFKGVSVPHPVYSDRLMPSDRVSRWFNSGVNGRSGST TDSPFSWGRESRFKDVSWYYRANLPGRLYWNFLGWEKEGKGGPQYEAEHGRYCLPSIL FHPVKDVRPEADSTHYEFDADNGSVATPDQLAHINEQGK PEX2_075120 MSTNEKLTGLIQLPDVRALKWEVTHHDRGRLAPGYWFVAPYGQI NPEQHTQKYQQYQVGPHIYDNDGMLIWAGSKFHDNRNVFDFRANQNMDGDIHLSFVVA WDLDNEFQDRGRGVIMDQHYQVMKDVLPFPETHDFNMHEFNILDGGKTVVACVYGALP VKLEEFGRPEEESWIVTGGFVEYDIATNEVLMRWEGLDHIAMHESNKFLPTNAPATGP PGWDYVHANAVDKNPAGDYIISMRFTNTIYGVGRDGRILWRLGGQTSDFDQDFTFSKQ HDAKFISSKDNIHVISLLNNASDEDSNDEDVSSVLHVELDTLAMTARVIKRVNRPDGK LTRLRGNTHTLPNENIFVGWSEYGYQSEHAANGDVLMTARFASDRFSTYRAYKSEFVG RPLTPPDVVASVHGASDTTLSTVIYVSWNGATDIATWNFYAQANSYGESVLIGSTNKT DFETSYTVDGYMDYIIAEAVDRNGNFMGRSSVHRSEIPNNWQAAGFRGSTNPSAQDPK LVLAIQQDSKETPSKEGDISFIKSTGGLLIGALMLCTLLGGLVAGYYMMKSRKTRSYR GVPSEENEEYLRLQGTRRLSSVPE PEX2_075130 MTESPPRDKSQTLPNRLAHVERRLLYLETAFQKQNALHADNNFS STNPDNSHQVAYLNNLIPQHVSDTQQSSHSLTSEPTAIRFINPSHWRVIMNNATRELG KSNYDSSVEERTIDVEPRSTTPILLGFVKDTGINDLLAALPPRKDADALVSCCFDSGG PALIYIHGPTFKAEYEEFWERPSTASLAWLALLFAIFSCGTFIQHSLIPGTAETVLPQ IFDFYRTKCAIALTHSNYTIPGRYKVEAAVMYLGVEYLQSDGLKTGTSVLIGLISRVA IMMGYHRDPDVYPELSVFEREMRRRTWLVLVVLDHLIASQTGLLPVIQRGSGTATSPR NLLDDDLGPSVTILRPARPNTETADISFMVAMEQILSMASEVTDAASDLKMTVEKTME LNDRLEATWNQISAPWNMSSLNQVTGGDEATIQRLSLGMTYERARCILHRQHLVSQRG DRDFNALRRALWDREIKQLDDITHG PEX2_075140 MQPNPKFRVIIVGGSVAGLTLAHCLLKNNIDFVVLEASPEVAPE VGASLGLLANGGRILDQLGVYDDVQAEVVSIEDAYFWAADGSLMLKSNSPDELNKRHG YPIAFLPRRTLLKILLKHLGDRQDDVVPNKKVIRVDHYPSHVIVHCADGSSYEGDMVV GADGVRSVVRQSMWDYMESQGLKSEVQKERSTMSAEYNCVFGMSTATPGLDPKDIHRT FGKDWSFLTIAGKGNKSYWFLYNKMDRTYYGSDIPRFNKADIDERVAPYLHRPVTDSV PFSELYKRSTIRTFVALEEANFNHWCIDRFACIGDSIHKMTPNIGQGGNSAIESSATL ANHLARLLQRSSPYQTEDINRCTQAWQASRRDRVDKICREAFNLTRLEAIASPKYRLM IYLIPYMKTKMIEKTSATLVASPKLECAPETVKALWCSIPYKTEKPASEATETIWERV LWGMPFLGCFAVASATMGAILTKVRQLMIPYFIQGTWRSTNGEVLDIRKAVYHIPFLD NLLRPMITCFLPSISGSDLQSRAQMISFLADLGAVYGIWLLESYRKANGWSEVAIPIS ICSVFQLKGIGLLAPIYYLLEYVRTPLSKLLPKNMREVEPTGSISLLAAMLIGHYFPT FASFTAPTLDSRRWWNAMWQIFPITVPLIQLPFALLGKRLLPSTKASPEEKSQNSMTS TRIAYGGFALISALTFIYARCSAPADASLLSIFWPGFSSHHLPVTSFQEGIARFLQYD QVLSMGSGFFWLALRFREIKQSGGSVSWWKAIGSLVATISSFGPGTAFALGWGWREEL IHKLAISQGVCSE PEX2_075150 MFSHRLPHSSTASLGRSRWNMDGDWSLLMNIGGDNNILSSANEF LKQAKDLGMRPSVVAELIHGFSMKAVLDDAYPPESPREFHFNPSYIPVILYHYRQVDN VREDHDMREDHDMREDHDMREDHDVRDMVEILPWTKDQNSWLDIAMAGVSLHIAEEAA LQSGLFGLLGVAPVQMVDINNKAQIREFEALYRDHALQEKPLVQRLFEVL PEX2_075160 MLAPIPIQAKASSSSRELYLSQARASGSTEDCMALYEKWAASYD DEVGDSAQNYVAPVLVAQAAIEYGLSNGATNSVILDAGCGTGLVGVALSMAKAKAIDG IDLSPAMLSVARKTGIYRDLTVADMNRPIDKTDGTYDLVSCVGTFTLGHVGPDPALRE LVRVTKNNGVFVSTILQEIWVSGGFQAEVEKLVAEGVVKVVTEELIDYVKGHGDKAVL LILEKIDRD PEX2_075170 MFHRFSVAPAKVDSQSKGPGSARARSKKNNNISDADCNSNPEYT LHPLAKRQKATKACDRCRLLRIKCDEQKPCTQCVGIKAECVVSYAPLRSSRADNSGPD RAGSESLTVLPLRLPSPSPADAVCRDDGDSISSSAANTSPINPTVPPSRMNMDKGTRT PQDTVDKESFNLAHVQGFFASANGAASSHNSALGGYLFPQLPHPTVPSGERLLALNVL HKSQRSYYLRLFWEARHPLLPIMSKTGFAELDALPPPTVYDKYSASSALVDSIIALGI QHSNATGLAERVLGLQQRPSQQYYDTTLSSEASWPGFEYFHRCRECMRINTEGSLEAL RCHILMVLYLVKGNAFRDAYNLLGITVRKAYIAKLHRPQLSHLPEAGKTARIQLWWMI FSLDLECSLQLDMPSASQKSLVKCPFPTEDALARYVSSPREDGMNAYAYSTHLVNLAV VVTDIGTRVSTADLDDGSNSPATLEHHSLNLSSALQSLEVWRDRLPPELFLSQCGNGS GNTASGALRLHYHSAYTLIQRPFIHLRYAHSRDTSSIITPADAQQPYVEMHIASALQH ATIIIGTVFTICSMSDVFYGWSEVIQPLWNATLTIVAYVSANSLASVVPRALDSLMQA QRVFELFSPTHPSALSANGIVKSLVDSLQSIGAQDASAVTNDDDPMGWDLIGSLLEEQ QTSLAGSNTIPSFNDLNGSVSFPPFMSAVGEFSCTTGVSAFHPTPEEEQERERIRDLS RYYCTVTGAFPAPDTTTSEDEETPVAPTGDEQPTGCELAKDIALNALAQLGVLRFNAN RCFVSIIDGETQHIIAETTKSVSLRDKNRHKSGDGIYLGARSLDLIWGVCPHTVSLFT GRATNDIDTSNITANRTRYIIRDFTLEDCFKDRPYVREWPHMRFYAEVPLFSASGYVL GSYCIVDDKPWTDFGDDEVNDLQEVADAIGLHLENVRMSQAHIRTEKLVKGLTDFVKD HADFDPKEASNHGRLQSSVSAANLLPHEIAATTADPDDSDTTPVLDVPLGHVVQCVSP KSGQSSSSTVAGEESVFFLQDRCSTTEPSSLDSGFSDRPVVMSPGEEKSMGEVLKSGD TDAAQGIDQGLSQLSLTESTPIYERIASIYSRASALLRDSMDLDGVAFLDACPTDFAF VPEEPEIWEPLAATEPGFPAAPLPITLGLARAVSHEYDLPCDTLSCALKLSAKDNAGP NNQSPSIPQGLLHHMLKSFPQGQILSLDGFVDEDDGLSNHTTGAFDSRPDPNTQTCAK HLARCLPGAKSALFLPLWDWNKSRWLSGVLVWTTSSFRALGLEELHYFKVFGDSLISE VSRIHWATTERSKFDFISSVSHELRSPLHGILASAELLHASSLGPSQEEMVTMIEKSG LTLLDTTNHMLEFCKVNNLRHTDKLNEMISENDTANLVSNFDISHLVEEVADILYTGQ RAPEQVSQLAKRMSSSNEAGDSITKDFRTQNQMSVVIRIDQTDTWTIRSLTGAWRRIV MNLLGNAMKWTTAGFIEIALSKARDRSNSQSPLVHLSITDTGRGIAPDFIKHKLFAPF AQEDPLSEGVGLGLSTVQQLVMSLGGHVNVRSEIGIGTQADVYIPVQYLPASVGPEDS QAPTTTQPGTTPMHACLVGFNGYPDLTEAPTGILTVEGKRNLSIQSALANIFMTKMKW NISLAASIEKARGQVIVIEEELLRRTMDENDQLVSEMAAQNGFDFFIVLSGNVPIILD SLSVNIIRVAQPFGPQKIYKAVEKIMKWREIQIQPESPVPGADFPLMPPQTPTESSSD SGSDLYDRRTSEYSNERAISPGGSNSSTPRPSSKQALAHVLIVDDNEINVKVLSHAVS HVYDTAHNGLVALEKYKASNFHYDFVLMDISMPVMDGLVSTSKIREFEREYNMRPSCI MAVTGVSSAGFQHQATTAGIDNYLIKPLSLRALKSLMNIA PEX2_075180 MASPVVTMSFTEATQRRRTIRTLDSKTTVPEITIVKLAEAAVLT VPSAFNNQSVRLMVVFGDDHKKLWSITADALLVRIGEERWNGGTKDRIASFADTYGTI LFWDDQFCAAAMKENALEIYKDKTDEWVQQSNGMHQYYLWTALEALGLGVNLQHYNPL IDIEVQRTWSIPSDWKLKAQMVFGVPKDGSEPEEKLQKLPLEQRLQVFGANA PEX2_075190 MDFVKRNFPMPTSTTVVRSSEELFFDKDGHLEFAPNDPENPKNY SFGRKYSPPSQSPCDDLHVSAEAAGLVTPLFLLGYCAGPLFWAPLSEFYGRRWIFYVS FTIYLAIGFLCTFTPNFAGLLVGRFLTGTAVSAALTNAPGILADIWGPVERGNAMILF ATMKFAGPALGPVVSGFVQLKKTWRWTFYILLWMAGAIELLLVTLPETLPMMVLRNKA CRLRKIPGNETVKAPIETSDRSLGGIFTVALTRPWRLLIDPINFFVSIYYAVVYTLLY MLFRIYPIVFQQRRGWNAGVGELPLIGTVIGACLGGLGLFVNSQVKQKKFTGARKSVP EDRLPGAMVGGVMFAVTIFWFAWTAEYNSVHWAVPTVAETFLATSILLIFVVFINYII DSYLMYVASAVAANTVLRSACAAASPLFTQYMFDVLGVGGAGSLIGGIGVLLIPIPFV FYRYGAVIRARSKFTPTDEVPFPPVDEEKAINGRSASQTSGNEGEPVDNITGGTKQ PEX2_075200 MKFTNLAITGAAIGLASAGPVSKRAISDADILNYALTLEHLEAT FYAEGLKNYTQEDFVNAGMKDPFYANLKEVASDENEHVDFLTSALKAAGASPVAACSY NFPSTDVSGFLALASVLEGVGVSAYLGAAASIMSDTYLTAAGSILTTEARHSAYLRAA LGEVPFAQAFDNPLDFNEVYTVASPFITSCPSSNGALPVKAFPSLMMSSMDAVMTGSQ VQLMAGSGFNTSASDVNAAFITVTGPVWAPLKSMGDGNFTVTVPKGVAGQSYVVLTQG NKQATDDNILAGPAIVEIGKKTGMGTPSGMAAMGMGGKKNMTMPTPSKSGSWSSSSAT ASASASPVFTGAAQKMSGTIAGVVIAAGIFAAVALI PEX2_075210 MPPLRAHTKSRNGCDQCRSRRVKCDEQGPPCSNCTTRELKCTYL KVAAARRVTTHLTPPSSASDVPRSLDGHGSPVAAIPGPAVTTTIPVPASAQTNSFGID NLELMHKYSTETYKSLCISDSEIIIWQITVPTLAFKHDYLMSGILALASMHIATTCEP LEAAPMYYETGLQYYNRSLTPFRNAIDNISPQNCNAVFAHSIVMIAISIASPRLTVTK DECASITENIVILFELLQGVKKIMQVSKSWIKLELFSRGEFWKQTPTELDPDTEAALT HLAALNDQVMIGIYSEQHRINKTVIAHLRHCYAKFLRGADPAPVLAWLAAVDKDFVDS LRCRQPFSLLILMYWGVLLKELDGHRWWARDSGRALVSELFEALKSGDPRWERALAWV QRKMAL PEX2_075220 MAENPTCTVEEFTRTTFDYVICGGGTAGLALAARLTENTAITVG VIEAGKYRIGDPLVDTPTAFPQMFENPEYDWCVYTVPQKGNRGLSHHVPRGKLLGGSS GINYMMYVRGSTQDYNDWAELVEGDGWSGEHMQQYMRKHQTLEPIDPAITDRSTMPLV GEFHGLNGPIRTSFNDLIMPVENDVIKACEEVTGIANKPTDPWSGDHIGFYHTLGAVV RTGPDKGKRSYSARGYYEANRATRPKFKVLCEALVNRVVLDGNKATGVSFTHAGVEYQ VSARREIIVSSGTIQSPQILELSGIGDPEVLKAAGVECKVANSGVGANVLDHALTLYI AEVQPGVLTQDTLHQVPEAMEAAAKQYAETSGGPLSSVCNMQGFFPAKKILSETELEE IIQSIRDVKPNTAFHAKQLAQIIANLESDHSANMQIVTVPGSMNPDGIGHQSKIIQPR KPDEPGGLTFGLCIQYPVSRGTIHIESADPTKHPIINPNYGGHPADISLLAAMLRWSD KVMESKHLNPSILHRAYPDPSVNLQNLDEAREAVHDIVAGEYHISGSVAMGDALDSRL RVKGVEGLRVADASVFPNNVSGNIVSSVYAIAEKAADMIREDWDLRTAKTMM PEX2_075230 MSQLTSLPTELLLSIAESLSSQKDLSALVQTTKRTHTALQLYLY KTNIQNHNQSALLWAAKHGHTALTQKMLNAGARISTLNSSHNPTNPIEGNAIALATSG PHVETLACLLSEKRPGQACDPTQLRDALREGAIPAHSLEAAKLLLQYKAPLEPANTLQ SYLSALGAAVAASWADIIPFLLEAGACPGMYEIPTPLECAIRTNQPEVVEMLLGAGMR LTDDAALCVIAEQNNQRLLRVFVEAGLEVGMCWQGALFAAVMKGQTEMVALLIEMGAN PHLTHDVVLLTFQTPRYSTIGFAVRFGHLGVLKLLLETGVRAEQSDLDLAREQIFEEA VALLEGCDFEDLPKKENVREFVERKVRKRRVTEPRYEDLTMQGTLCPDMGLRLWMEDS VRRSRTRNLRVNDGVISSIP PEX2_075240 MSSQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG GLAVGLLNFGDRIGRISAALFTVIAMGAMIYALVTFHWRAQSIRRRGQSGIDDRFGPT ILAIALLAAVVVNFILRIRDGQMN PEX2_075250 MFPSVAGLLVLSALVTAHGEHGQSQLAGPHRGLWYNTLPGDGGT QADSVFSGISTFGRLPYYPCLASDSEKYDIAFLGAPFDTGTSYRPGARFGPSGIRQGS RRLNLYGGYNVPLQANPFTSDMRILDCGDVPVTSYDNSWAIKQIEEGHNSILMREPYT DADKLGLSRAGKTLPRVITLGGDHTITLPLLRSINKAYGPVTVIHFDSHLDSWKPKVF GGSPSQVAAINHGTYFYHAAMEGLLKNDTNIHAGIRTTLSGPSDYDNDGYCGFEIVEA REIDVIGTDGIIKKIRDRVGTDNPVYLSIDIDTLDPAFAPATGTPETGGWSTRELRTI IRGLDGLNFIGADIVEVAPAYDTNAELSTMAAADILYEVLTIMVKKGPLSVGESSHEL PEX2_075260 MHEATSNGSLTYQPTENTSLLSKPIDDEPWSDPGSESESPFSRA LILAEFWILLKDSTPVILAYTLQNSLQTVSVLIIGRSSPENLAASAFSQMFAMVTAWM IALGGTTAMDTLASSSFTGSSNKHDLGILLQRGFLVLGLFYIPIAVLWAFSEPVFLFL GQDPQLSRDSARFLTCLIPGGLGYIYFEVMKKYLQAQGIMRAGTYVLLITSPLNSALN YVFCYTFEIGLLGAPLATGLCYWLSFFLLVLYARFISGSECWGGWTREAFQNLRTFAR LALLGVIHVGTEWWAFEIVALAAGRLGTVSLAAQSVIMTADQVLNTIPFGIGVATSGR VGNLLGKRDDTGAARAAHTSALLSVVFGGVVLAVLMGTREHFAKIFNDDASVVDLTAE VLPYVALFQIADGLNGSCGGSLRGMGRQHVGALVNLVSYYCGALPLGIWLAFHGWGLK GLWVGQCIALYLVGALEWIIVARSQWDAEVRKAFQRMDVHEVLEDGVEEE PEX2_075270 MATSAELDGRREMVEKDTKNDDFDQTHTPVDQGSQVLYSAVVNK NGIRIHPQPTEDSLDPLNWSRLQKHVILSIVMFKYFLFTYLTTTTVPSFAEIQDQYQI TYSQVNWTVAVPALGLSMGPLIWSSLGDIYGRRTVFLVGTVISLVSTIGAAVADTYSG YMAARFFQGFGVSPASTVGMAVVNDLFFDYERGQKLGLWVLAIDAGLLLGPTFGGFLD LVSAAWINWFNAILFAALLFLELFFMPETLYPRNKMLQQMPCLNGHKALAGDIEKQVP GGDTTPTSPAETLPSELRRTKNLPFINWRPIPGMRHPKPWDSITRFVLTFQLPAVVIA VVGYSFVWYWWVLSVITMVPAAYPSYTPLIQGLLFLGLFLGTVISEIGCSGRLSDFIV ERLSRRNGNIRVPEMRLWLAYPAILITTVGLIVWGVSIDKNYHWVVGQVAFFLFAAGI QIGNTVTSSYIVDCYPLQTTSVITFYAVFLNLSAFINPFFVASWQASSGWTWTFTVQG LVTLAGGCIVFGSLHKFGAWMRAKAPQPSWVNPEFD PEX2_075280 MSNSAIFYPAESHSGTVTSYVPLTTTWTPPSGCSTKYRLDGPSL VAFDPAYGLDVETGIVCGPPAMTTWWEQGLLGDGGSHKTRLSIGPMSCPEDWSTLASS TKDSSSVLEMCCPSGFYLADAIPGSVAGNCLSDVSSGMTLTYGSTASDDSTSWSMATT TMTSSSTVGAIAIVGWNIKYPTTATSTSITSTPSLTTQSLATQSSSTTTTTTPAASPS LSVSSSNSESSLTTGAKAGIGIGIGVGAIGVIVLLIALYLFRKRKERAAMEPAQNLYH HSQPPVMSISEAPYQQQYHAELDAANLRPGQGGNSPAELSSQNYALRRSGVP PEX2_075290 MPSKGPKKTRTRPSVASKSSERRQHGLLGPNWSLTSVLFTGVVA LSAGLYMANPSVEAILDKFRPIGRDSYWKTQQEEVKDVFVTSWDAYSKHAWGKDVFHP IAKTGDQMSPKGLGWIIVDSLDTLMIMNLTSQLSDARKWVNRDLTYDQDQDVNTFETT IRMLGGLLSAHYLSTQLPDVASRRDYVYLQKAIDLADRLLSAYESPSGIPYASVKLST REGIQSHADGGASSTAEATTLQLEMKYLAHITGKEVYWRKAEHVMKVIDDHRMPDGLL PIFVHPDTGNFRYQEIRLGSRGDSYYEYLVKQYLQTSGDEPIYSEMWEEALEGIQKHL VTTTKHSNLQFIAELPTGIGGKLSPKMDHLVCFLPGSIAIAATEGRTEAEARKLPTWN AKKEEQMKLARELMKTCWAMYAVTDTGLSPEITWFEADDADLRPQPGSRPLEKSKNDV NSWKKDLIIKPLDAHNLQRPETVESLFLMFRVTEDPLYRKWGWEIFQSFKKHMLIPDG EGYTSLNDVTKVPAPYRDSMESFWLAETLKYLYLLFSPKEYLPLTDVVFNTEAHVLPR FKQSKFQTGWERKKR PEX2_075300 MHSHGMFRLLPWPSTIGGNKVMEDVKMLAPNCTGLNTLSLPQLK RKRSDSDSDATDAPAPTKVCADAISTRPTPTVIADSIAPTPSTLTPSSNSPVASARPP AQDAVVTKPSVTRLRETITAQLSLEVLLKHNELRLIDQEIAKCQVALEQLRRCGEIPY PGSRVAGVSPDVSSGTGASVLAPGNGPAPMSPAPWGVTEGPYARHYARWLLPDPRFDG GEVDSGMLANSLAEGRSTRGNPGEMGMLAGKSRPQRGSTATKHTALSTGYPVVKEKSG PMLIRRKSDGVLVKLVCLDCRRDNFSSTQGFINHCRIAHNRNFASHDAAAMACGQSVQ VDDAGIVVGGSAEPTATPTTPGYVHPLIRSTHVVTTPWKSLHTPAKDFVTPRKPVAAT TSAVATPPLTAEPTYRRRSEPARQPPNPQFLASPATPHLSALMKSRGAGIDMDKLVEE SRKPIDLSGLFDDDSETDDSRGPSAGASHASLGARAGRQLMRMPVGQTPGESESRKAL DRAQTPPIEQATPSRPQSFLDFSLAGQSQTLQSRESDSLDHQTLSPHAIESNQAPSLV SDDEDDYEAASDSDSPAPSEADEQEQEFRHIHVEDDERAAAPSAGAEAKPGPSLASPA PQSGPPLSQPLKRSRSKKMSSIVPFSDTDDQHVGYPYSSSAENAAKAKRAAERKPNPS KR PEX2_075310 MAKETKARTLHVQPEKSQDHPNHGEDDNKHVSFHPRHITLATFH KLLSHYPSTVERVHRAKLMLKLQSKAGKGSKRKAETKTTKAELDPSEEKHTLEETNKF LQLDRWRYEVLPKIIADRANEGGQKGNAPEGAHLLKEELVDIMEWKTKHGVSRPMLMG MVKSNQVATITKSTSTAFATLPDADPVVAPNDAFPKASLDALTAPIRGVGPATASLIL SIATVFGDAKKQVPFYSDDVYLWLCLMDFPEGQDSTEQKPSKHKKPNGELIAKYNMNE YRDLWDASQELRARLNNDVGKKSGDGPVSFIHIERAAYVLRNLTVSDYYAGQEPEPGL DTVKDMASVNNQLPEDSRKNPGELGTRRSKRIKQESM PEX2_075320 MNQLLSFLTLASVAYATSLGSACTVSRIRDSLPENNYILGVTFG HDSVTANAVYNSSHSDGTFFPDATIDFCNVTFSYTHSGLDQTVIVGYYLPAPGNFKNR FLATGGGAYAIQSGSMSAPGGVMYGAASGFTDGGFGSMDTDFDEVFLLSNGTINWPIV YMFGYQAIKEMTIIGKQLTRNFYDVSNSTKVYSYYQGCSEGGREGWSQGQRAGEEYDG LIIGAPAFRYGQQQANHLYSNIVEKTLDYYPPPCELEKIMNETISACDPLDGRNDGVV SRSDLCQLQFNMSSIIGQSYYCAASTASSLGLGFGKRQAASAEPAQNGTVSAEGVAVA QKIVDGLFDSKGRRGYISYQMGADFNDAQTAYNSTTDEWELSIASSGGEWVSRFLDLK DEDNISTLEGVTYDTLVGWMKEGMTRYMDSLQTTLPDLTTFHENGGKVIHYHGEQDSS IPTGSSVHYYDSVRQTMYPGKSYNASNNELQEWYRLFLVPGAAHCASNSAQPNGPFPQ TNFEVMARWVEQGIVPQTLNATVLSGDNKGSNEQICAWHLRPYWKDSGKTLTCEYDQA SIDTFTYSFDAYKTPLY PEX2_075330 MTDQKPAFQALSSDNRGALITLTSVCLLIVAIIFVLAKFSSVIY FKQRRTAVNTPIWVALIIAIVQVVVLQKAVDHGLGKHQDRLSGGDIQAWNKFAFAAHI LLIVVLSLSKISTILLVWKLTPSKSLGHSCAVTVGIVVGWLIFAVLSIAFQCELPDVW LYSPERCAGEGALLYPIAVFNILTEIVIVVQPFIMMRNVQMAWDKRVKILCSFSLRLI VVGLGIAQLALLPSFTHSADISWEIVIWETMGQAMMLISVIIACVPTLYHIFAGLHSG LTTTQIPGEIGLELPQTKGSGYINQFSSGGSQSHSRSRNNRRSMFDGWGDQTGVFTEV SSGRDPNHNDVGVRRSNSSEGTESTRHLTQELQRKGAVLRTVNVTVEVEEDYHRDRL PEX2_075340 MEMKSGSAVRSVPVGSRNDAYEGGTSHQKQQLERYLNFFSSVAF STCLLATWESAGGSLLSGLYNGGPAAIVYGIILSTVGNMAIACSLAELASIHPTAGAQ YHWSYFLAPRGGRFISFFQGWITVFSWSALVCIAPYFIGTEIQGMVVLAHPEYELVRW RGTLLMWAVAVIPIIINIFARRVLGGIEVAAGIMHVVFLPFVWDTFVGGLSGWKDSGV VFSVGLLGVITPLSGVDGVIHMAEEIKNAEVVVPRSMIYGTLINGALAFSYLIAILYC MGDYTEAVTSPTGYPIITIAYQATGSKPATFVLMAMGMLPGWIALFNGLASVTRLTWA FARDNGLPFSDFFSVVDPTYKIPLRALCLVASCTFVLSFIQIGSTAAFNAVLSLSTLG LYISYLIPLILLVLKRFTSPWNIPQGTFSLGKFGLPMNLLAILFSSYFVIFLPFPATV PVTAENMNYAGPVLGFVMLFACGDWIVRGRHQWKGPKMRSNARNE PEX2_075350 MTPGCSLQADNSFGPVIAHGCRDGFDFTLLFEQAIFGLIPAVAF LLVCPLRLQILVKKDVRTRPHIMRLAKLIIALVFAAIQLALLISWARKAQPITKISVA LSAINLVLAMEIVVLSWVEDQRSVKPSSLLAIYLVFTLLFDIVQTRTLWLSHRNSHRS SLIPSLFTVNVVAKTAMVLFESLGKQKHLMGSYQDLPPESTSGIVNRSFMWWLNRLFA IGFRNLLTIEDLDHLDKPLESAETAQTASRAWAQRPHLERRFEFPWQIGRAFKGTLAL MVIPRLFLIGFTFSQPFLIASVLSWLDNPDSENNAGYGLIGATVLIYLGMAISNLAYD QMLYRFVTMFRGAASAMIYDHSLHIRDGTLEDRSATITLMNTDVDRIIDCLTTLNESW ARTIEVGIGIALLALRLGWVCVMPLVVVLISSGGTIYIAKYIGGHQKTWVDAVQQRIA ITSSMLDSIQTVKATGLGQTFIQLVQRKRVYETRQMAKYRWSVVWKNMIHNLPWAFAP ALTLAVYAAQGNELDATKAFSSLSIITLLSDPASKLLSAIPSIAAATGCFDRVQAFLL LATDPQHTGKDLVGTKETKVDISSHIVEMQYMTPKDSSDTTGLQTPVISMQNISIRPF QSTKNILSDVNLQVPIGALVIIQGPVGSGKSTLLRGILGQAVCETGSMTVNIRRPAFC AQTPWLPSGTIRDAICGAFSAAPAKENTFDKNWYATVLHACALNSDLDLLREGDATRI GHGSGHGLSGGQMHRIALARAVYSRRKLLLLDDIFSALDRKTKTTIIARLLGVDGLLR KSNSTIVLVTHEMEQLPCADQLYILSDGLLRQEEPREGNVYKEPKSDAVEAEESTGLI ELATEDKAAMISEENEIEDLRRSAGDSAVYKYYLRYVGWKNAMIFVFFVTMNVFASSY SEIWLQRWADRGGGQKALYVTIYFFLAICNTIGNGGYVWAILILISPSTGRRLHYVVL KTFMRATPQFLANVDIGSILNRFSQDMTLVEADLPIGILITVSNLFASIASAALIATG SKYMAITVPFLIISVYLLQHFYLKTSRQLRLLDLESRSPLYSHLLDTVEGLATIQAFG WEADFQIANSTLLDVTQRTYYMLNCIQRWLTLVLDLIVAAEAVIVVSLAVSLRHSTSV GLLGVSLNSILDFENTVPRENSTEQEIPVGWPSQGAIEISGMAAQYNPETTVLSNVSL KCLPGQKIGIYGRTGSGKSSLLSTLLGMLTVTTGSVIIDDIDIATLSPDKVRERLVTI SQAPFIMVGCTVRFNLDPTESIPDTDIIAALDRVGLWNGVLLERGGLDAEINDTLSLS RGEQQLLQLARAMLKIQASNARILLIDEGTSSVDMETDARVQDLLKQEPFSTCTILAV AHRVHTLLTCDMVVGLDQGKVVEMDKPMVLSDRKDSIFGSLLNSGGY PEX2_075360 MEPNTSENKSQTTQWDEGQIWVKSCHNATRKLLAFLLSRSNQKK LHITTTELDLSSGESLDYGPRFVKPFSHEKMREYVNHEQPWDKFDIHGLYIKDSPERY KFRRWHNARHVIGILHATLQNFFGNNAPHRIADEDNHLGSIYRSQCQWKLEGWTNAMN KAQPHINAYVGDSQPLRVGRLNSGEVSLSYGLIAKRRIEDGYNDHRYIPITVFSMSDF QVRVLQIWHDKKNPMALQVRVSPIMDFKDGVQNNLDDWVTILCWMAGESVGDTKNGTE IVRVIEQDA PEX2_075370 MHYLKSTLPFILAAATLASADTTSTTLADSSVNTACASENILQC IQSMQSNLAICAPDDWNCQCTISTNILDCYNNCPDDISRKDAESTRQQNCANAKAHAP TSTAASATSSSRAAATTSTDIDDGADIFDSEVENNFEGRPIKSYSGLEANSIPRLEEG TGSSLRMGSWLGALALAVGVLL PEX2_075380 MPLGSIIRVNKSSNPNRYPRELLQSPFTRNTPRRAAIGKLASKK TTERLSLISRHLDQSLPLPDLNTPFSTERDSLFSDDVEYKPIERSIPPAPPSEPTPTM SAQAPHNTLLIPGPIEFDDAVLQSMSHYAESHVAPGFVKVFGETLTLVRKLFQSTNPT AQPFIISGSGTLGWDLVSANFIERGENALVLNTGYFGDSFGQCLKTYGANVTHLKAPI GDRTPLEEVEAALKEKSYKLITLTHVDTSTGVLSDVKGVAQLVRRVSPDTLVVVDGVC SVGSEEIAFDEWDLDAVLTASQKAIGCPPGLSIVMTSGRAIQAAQSRKTPPGAYYASI ANWLPIMQNYENNKPSYFATPPTQLIHALHTTLTQITADVPGRFAIHTEVSNKVKAAA AELGLKQLASRPECQAHGMTAMYLPEGLVPADVLPGLLKRGVIFAAGLHKEIATKYIR FGHMGVSVTDPKRGDVDNALAALKEALAEAKQAKGL PEX2_075390 MTARLVLVIGDLFIPDRAPDLPAKFRKLLTPGKIGQTLCLGNLT DRETYDFLREVAPDLQMVKGDYDVDSPNLPLSKIVNHGSLRIGFTHGHTIVPPADADA LLIAARQMDVDVLLWGGTHRFEAFEMEGRFFINPGSATGAMSSGFWPDGEEPTPSFCL MDIQGDVLVLYVYQLKTDANGVENVAVEKVSFRKNHPPAA PEX2_075400 MAAEGQVIPEEIKGEQIPPQEDGADDEEEIEAMKRRVAEMESEA AKLREMQADLDQQTESLQENKEDIDARSIFVGNVDYGASPEEIQAHFQSCGSINRVTI LLDKFSGQPKGYAYVEFSEPSLVAQALVLNESVFRGRNLKVVPKRTNLPGMHRGRGRG AFRGRGRGFPRGGGYRGGAPYRGRGRGFSPY PEX2_075410 MASNLPAQPNLRVTIIAADGLYKRDVFRFPDPFAVATVGGEQTH TTSVIKKTLNPYWNEPFDLRVNEDSILAIQIFDQKKFKKKDQGFLGVINVRIGDVIDL SMGGDEMLTRDLKKSNDNLVVHGKLIINLSSNLTTPHPNQNGLQRQQAQTSTSSGLVP QVAATTPQSQAGPSNLDTSPAAASSSSLAQRTPTTGPPAGAPPPLNGAPTNPPGPGAP SRANLSSFEDSQGRLPAGYERREDNLGRTYYVDHNTRTTTWSRPSANYNEHAQRSQRE ANMQLERRAHQSRMLPEDRTGANSPNLPDAQQIPTPPPGPNAGTSLATGAPAGSNAAA ISMMATGATTAGTGELPPGWERRVTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGQGQ TTGGANNTTIQQQPVSQLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQ GVPQYKRDFRRKLIYFRSQPALRIMSGQCHVKVRRNNIFEDSYAEIMRQSASDLKKRL MIKFDGEDGLDYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGVNPEHL NYFKFIGRVVGLAIFHRRFLDSFFIGAFYKMMLRKKVSLQDMEGVDEDLHRNLAWTLD NDINGIVELTFSVDDEKFGERRTIDLIPGGRDIPVTNENKPQYIELVTEWKIMKRVEE QFDAFMSGFNELIPPDLVNVFDERELELLIGGIADIDVEDWKKHTDYRGYQEQDEVIQ NFWKIVRTWDAEQKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKSGDPAALPKS HTCFNRLDLPPYKTHDALEHKMSIAVEETLGFGQE PEX2_075420 MRLSIFFIALLTSVSSAATTEDPGPSPTASIGCEPHGDHWHCDG PASTAITSGTSTTSEVEVSTTADASPTAPSPTESIGCEPHGDHWHCDGPASATVSGTS TGDVKVSTTADASPTAPSPTESVGCEPHGDHWHCDGPAETASASVSASASASTSASAS ASTSASTSASTSASTSASAGAATSSGDDAGGAGSIGVQFSLLVGLSLVAAGLNI PEX2_075430 MLREAFLIFVCVAWAGFAIHISPWIKGISYKVEPYNTTTSRYPV PSTSHSEEHTRLLQTLERSSGKWDTTHPRHRLLTALHGYSSYKDKNLAEVTRWRSLYK NVPKRQRTLLESTIHYTRKLNTMEHLLEKNNELARSIVDHGLWFYNISQPELDEFIKE SETEQRYADKTSVSQGMKHFVRDWADEGHEERDQSFGCILESLAQIPRTKERPLRVLL PGSGLGRLAHEVDKLGGFEVTMNEWSTYMNLAYRYVSSVSVPNSVSFHPYIDWWSHQA TTDDLQRSVTFPNQVIHQSSVLLIEGDFTTVFTEHTGQYDIIVTLFFIDTARNLVTYL ETIHRLLRPGGRWVNLGPLLYGTAPFVQLSLDEIVTLSESLGFEFQETDPKIGNITLP NLPVRGLEVAYGRNGRGLNKNAYQAQYWETIRR PEX2_075440 MSPIVHCVRHAQGFHNLSHANHHLPDPELTPLGEEQARALGASF PALENIQLILSSPLRRTIQTALLAFPSHVGDGSLQVIAWPEVQEASDLICDTGRDLLD IKAEFEKLPVDFTMVEPGWHIKQGKWAPVMGRLLERAQLAREWLRQRPEKEIVVVSHG CFLHFLTDDWVNAVNSHVTDWANAEVRSFDFAYDEDERPALRETTESRRKRGLEPIAL TKEQRLELQQTSLQNWIEWGVILP PEX2_075450 MGIPVKGPSIGWPETEQAAPNIQRWATEQLLCLWRKQRSRSDNV ASWGDEIEYNLVDLNPDAERATLLLDQEKVIRQWEESPASNEEPVVLQWEWAKYVVET TPAEPYTEHIEDILSVEQNMNRRRQVINHSLSPNQHTMSLSFFPRAGADGQWTTPQGQ NQTNYSMCSLPRYRTIPENILKRGQGRKKTHYPVYQDIETPNTFHDILPSGEKVKNHL CLDDLEVGIGCCSLQTTFQAQNETEARWLHDQLIPLAPIFLAMTAAVPIWKGYLVDTD IRWQRFGDLTDDRRPEEMETTPPRWTWNRTYISEEKPPGLESESPIQPMNPAIKQRLL DGGMDESLATHFASILSRDPLVLTEEDIHNQNTSKTNLFELLQSCVWHAVRFKLPTTD SGPGWCVEFRTMESQLTDKANAAFAIFAYLLSRAISTMHLNFYIPINKVGESMDFAKE RDAVREGKMWFRRSGWLVGAHSVGGVKSMCKDKAHKQLNGEKEEKGENFALMTADEIF NGESEPNGFPGLVAIVRYYLNQSKMPSAEQDNIAPYLELISERASGKNPTPATWMREF VRSHEHYRQDSYVSERVCYDMMKEIVRMNEDGE PEX2_075460 MSTSDEKVIVVDFDGDHPQDWPASRKWTLMATIAIPLFLMPLSS TMTTPTVNAIADEFHTTSSITGPLALSLFLLMYSMGPILLGPFSELYGRWPVLQVGSL FYLFFNLGAGFSTSMTQLLIFRLLSGTGASASLAVGSSIVGDVFRKEERGLPVALVSL GPVLGSCLGPIVGGFIADYTTWRWAFWSTSLAAGAFLVVCFIVARETYAPILLLRKKA TLMAETSRQGKDDGSVWKTPFEKDETVGQLYRRTISRSVYFLGTQPIIQALACYYGYL YGIVYLLLSGFSDLWTTRYHMNVSTGSLHYIAPCIGYAVGAQICAFLTDRVYRYQVAK NNGVGKPEFRLPIMVPASFLVPIGLLIYGWSAEYQTHWIVPDIGIALPLMGATIIFQC CSQYLLDTFPVYAASANGAVYIVRGFTGFGFPLFSPVMYEKLGYGWGNSLLAFLALAI GCPIPFILWKYGEKLRALSNFAEK PEX2_075470 MVAITGRDRQAVAVSETTALLGSSKINYADVPAPGTLYSSSGSG DDNNDKEKPLPKFQILLLCYARAVEPLAFFSIFPYVSQMVKDNGNVPDSDIGFYSGLI ESMFSLTQAAVMIFWGQAADRFGRKPVLVLSLFGVAVATGLFGLAKTIPQMIAFRCLA GVFAGTIVTIRTMVSEHSTPSTQARAFSWFAFSGNLGLFLGPLLGGALADPVRQYPGI FGAGGFFEKYPYALSSFVVALIGGTAAMSSACFIKETLKEPAVVDREAASNAPIQSGD LSTKQLLKSPGVGMVLYTYGYLMVLAFAYTAIIPVFWFTPVDLGGYAFTPLQISLMMG LNGAAQAAWLLLVFPPLQKRIGSNGVIRLCASLYPFFFLSCPLGNVLLRMGTEASVKA FWIILPFTLVVGCGVSMSFTAIQLLLNDVSPSPQVLGTLNALAMTGICGLRAFNPALF TTLFALGARTQLAGGHAIWILMFLLASGLSITTKYLPESNTAVKRQNSQAR PEX2_075480 MSQGHVIIVGAGVIGLSIAVKLSKYLKVTVIAKELPGDVGIDYA SPWAGAHFRPTPAKTEDEQIEQNWMRQTYQEFEEIAKNHPEAGVDFIPAVEYFDTADT TSFLAEANGYTTWPDFRILHPAAYPSQHPSIQLAVTYRSWVLNSPVYLKWLQTRAEAQ GTRFIRANLKNLKQGLSVYRQNKSQDESDHVSAVVDASGRGFDDPASFPSRGQFIIVS NYCDRTISHHWSDGSSTVIIPRPLGGGTVIGGTKEPNNWSEDIDDASTEVILKRVRDL CPEMIYEQADELASTNGFDIKQVYVARRPMRRGGLHFVTGQLMDHTKTLLPLVSCYGA GANGYKISWGLAGEVDEFLSGTT PEX2_075490 MHLSGTWSILNGLIATLLFSTLTPAIELDIGDEQSIKDAASTSA YSMMGWYAGNQTGQIPGAFPEKWWEGSALFLALLQYWHFTGDTTYNSLVSQGMEWQSG DKGDYMPSNYSSYLGNDDQMFWGLAAMMAAELKFPDVPDKFSWLSLAQGVFNTQTARW DTTKCGGGLRWQLFPYQDGYTMKNAVSNGGLFQLAARLARYTNEDKYTKWAEKIWDWS TSSPLVNNKTWNVADSTQMANDCADAGNYQWTYNYGTYLMGAAYMYNFTNGDEKWKTP VDGLLGKTLKTFFPNGDVFEDTTCEPIKKCNFNEILFKGLTSSWLAFTALLVPDTAAQ IKPKLASSAQAAAKSCTGNNNNSCGITWYQNQWDGSTGMEQEISATNVFLANMINFDT GTFGPVTSNTGGNSTSDPNAGEGKGGDGKKEKPITTGDKAGASILTLMFVFGWAGAMA WMMLGA PEX2_075500 MSIRGRSAYQRRARDERLRLTANGTFQISVFSDLHFAEADEADN KTAGVMNSVLSSEDVQLVVLNGDLISGEATQSSTNSSIYVDRIVAPLVDRDLPWASTY GNHDSEINLDPEEIYHQETKYQNSLTQRRVRGSTAGITNYYLPVFPHEASNDSTPVFI LWFFDSQGGHYALTENEERKSVPRQSWVDDAVVEWFTEANANLTSTYGQTIPSLAFVH IPALPMRAFQKSGVSPTREPGINGERVQQQGYDSTTGYQSQDLPFIHALLNTTGLAAT FSGHDHDNDWCFKWNSKLSDLNITGNGMSMCYGRHTGYGGYGEWARGGRQILLNQQSL GDDVRTWIRMEDGSISGDVHLNATYGQDRYGLSQRRISEQNGGGLSPSPSYFGVGYLW IFVFYGLISRFHLW PEX2_075510 MANLLGSLLLLLTCTQTLCLAYTPNLREIYRFPNGTWIENIAVR PNGNLLVADLSTAELWEIDPSIPSGPSSAHLVHHFEGAEDADGITELSPDIYAVIASN SVYTVDLRTHENAPKSVLIAKLPAGYLNGIAALDDGNAVAITDSQLGLIWRLDIRTGN YSVIHQDETMAANNDMGLLLGVNGLKIVKDYMYYTNSPKRIFCRVRIDIHTGHALGPY EIISHDTRGDDFAIGPRGVAYLAGLIDNVVTKVFPNGSHEIIAGSKNSTELMTATSAA FGRRRGDRNVLYITTGGETRLPVNNTSTRGGKIMALSVEF PEX2_075520 MNSLNILSSRVIGQSSSSSRHRSQSQGDSKRAPLPSDLSKLRSY SEDNFRSLDPTEKSYDDGSPVDEDGGEDAAYDLDEKTPLLHEAHKNGTLATRSTWRLI TQRFFDAITETIKFILSTFAAPGVYLAQCFQADDGRYSLLAPVRKLRRSSADPTIRSA KGATRVEGRRRSGSTRKLRPQGSHESIISTASESDTDRRHIKNLSSGRNRPTKTKGHD PDPIPEGEEHEHTPRRSIRIKLHNEESLKRQRQRRSQSADLGHPMPEGVSRVQGAVHL DSLKSPTSPNIHRITKYPHSPTPPRPLLPSRVPSYTAAPRNARLPQKTLVLDLDETLI HSLAKGGRMSSGHMVEVKLSIPTTTSLSPGGPQTTLGPQHPILYYVHKRPHCDEFLRK ISKWYKLVIFTASVQEYADPVIDWLEQERKYFHGRLYRQHCTFRNGAYIKDLSSVEPD LSKVMILDNSPMSYIFHEDNAIPIEGWINDPTDNGLLHLVPMLEALQYVTDVRALLAL RRGEIES PEX2_075530 MPSSSLALTPGLGSFLKCLKTNPIDTSIETLISLLKRRQIRHSR SCATATAYLLRSVISACRTTDSAKLIERVQSVGRRLMAAQPREMVVGNIVRRVLGLIR DEAEDDRDAEFALSDIGSESQPQTPRAYDDPSLPLDRDSPSFRSEERSSRPPLTSMFS LLSHPEPENSLPSTPGSSSPSGRLPGHGPSKDVRAEVLEGIGEIIDELGQVDDQIAAY ALDHIHSNEIILTHTSSTTVQKFLLKAAAKRKFTVIHAESYPNNHEATHATISGSAVS DDDNLGLEAFQKPLIEHGITVILIPDSAVFALMSRVNKVILGTHSVLANGGLVAAAGT RVIARAAKVHQTPVVVVSGVYKLSPVYPFDFDSLIEYGDPSKVLPYEEGDLVDLIDVQ NPIYDYVPAELVDLYITNLGGHAPSYLYRIVSDHYRKEDISF PEX2_075540 MGKGQPRGLNAARKLQAHRKDQRWADDHFKKRLLGTAYKSSPFG GASHAKGIVLEKVGVEAKQPNSAIRKCVKVQLIKNGKKVAAFVPNDGCLNFIDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLAALWKEKKEKPRS PEX2_075550 MQGKQQDIDCIINPMRMLDVYCSLEYWLKMDLSRKPTNPNPQRL PPPALFQGPPSHNASNISLPVPPAASTLATASGSQPPPLHRNRSPRGVKGENTEKSSL LSPFVSRRLSKNDVDGSDAIWQEMQSALSEVELSAVTSENVFGAKHSEALEDLRMKQL KLAQAWARSEADDEVVDPGHSGADEASGKASSARRGVEESKAQYDAPTETSPGRGSVS HRTLDDETEKDIRLARKRREANDRYFDRVNQGVLDVVAKLEEVAQAMRTVERESKDIW SDSESVTTTAPSSSTHTS PEX2_075560 MGFFTGFLGGFAFTSSVLYITVQVHRSTRISQRKAIHAQVEQID WLTSSAGAYDRRFLPEDISRRRREELEAQNQPEPTMKEILKHKWNKEVEVLTKKAHET TWEDMRDAAAEGWKAAARVVKRE PEX2_075570 MAGGGSRYRHLSRDSAHRQALLRNLVTSLFQHESITTTWPKAKE AQRLAEKLITLGKKNTEASRRRALEIFYTPHEMLPKLFGPLRERYADRPGGYTRVLRI EPREKNEYFSPKKGDKNAIREPKPQVKAPTAILELVDGPKDIRFAMTARTVAREREQG RDLVHSLTRLNVKKVTQFRKDGVEVLERAISQLSLAKKQNDKLAKKEAEAESRD PEX2_075580 MEKMSLETHNSLRQNAPTPPRANKYKEISVSPPRPASEHSMKDD CTLQVDDRDCTLEFSDRDCNLELNQYADDKHIDKQAEAGAGDANGNHAEASGQGSAAD GKQDLESQSVTGTVKRHRSRRREWIIAGSALGAVVLLVVIIVPSVIFGTRRSMPQYKP PTNPYIS PEX2_075590 MPAPLNPHSSSVSDENEICQPAEQSPCSEANGSGVSQHNLDKTP EASSIDLAVDDLGRGVQDIGLTLKKHSDGSSSFIGGQNNGLLNRENSFEDDRTHLSTS STKMTNFDSKSLASVTTFAMDEKDSVRPDDSASVQAIDEEESLSGLATGAPNSVAGSE AGSRAFRDQYRDGIPTRPRGILPVPPLFDGTQPGNGTIPPDSVANNFVIPANSDGIED GQILHGFPLEPDEKLLEAMKSPKDRLLILQLEEKIRYFIKDCNDQSLELPPSNAFGRL LAHKLGDYYHLTHFVDNNVTSVRLHRTPFCRLPTPLSAVHAACNSTPPPAVPAMKIMR RNDGGQFEGSIGGSSNPSKANSEDGDSGPDGERHGSSSGATPAKDRMALTREEREAKY HEVRERIFRDFPDSAKSDPASGDSNPNMSRSSSANGRKKNQRQRTPHDDSFEVRSQFN AYYPGMQYGNGAAQYNAPVNDSSYPNQVPYLVGPGVPPPSGGYMPSGQNGTIYPGQLN MNMSGVSQYPVAMSPQMASNSSWQGGNMPQQSPYSGYASVNQQGMMNQPSSNASSPAM NNYAVPNMTPYQQTPNWNSPPFPANFQQSPHQRNGPPVHWPNYPQQPMNSNMPPNMNS NMPPNMNSNMGSSMGPNMSSNMPSNMGAYPYAQYPGQHMNPALQNSAGSHAAMQAGYS RSHFNPQTRSFIPGGAPPGRHPNKGQHTMQPYGNMQSGVQQQWNGYPEPVPNRTMESN PAMIPNRVPTGPRDSIAKWGTPAHLPPKPPPSEVPSDFDLKNRPVPASAPPPTYSSNG TSAASNGPLVVSGGTTVSKPN PEX2_075600 MPKLSSENPNELLRKEGVADELLATREEERGRKRDLEDMTDRNA QSPKRARSLSPTGVVEMIHRHHPQSPERDATVILQRVLPGQFTQDQRTAHPPGSEPTT ETLGDGDENLAPKNEVVTVEQEDVASEETAEARVWIRIE PEX2_075610 MSSNHANLDAASTDRKARLAKLAALKRKQPEPETHEAGAEDQEL PDADSTPDVTTKYLSGRNYDAETRGPKLGFEQGPQEGQVTVEEQAAEIARATAEQAKK DAGADEPIDLFKLQPKKPNWDLKRDLDEKLKTLNVRTDNAIARLLRQRVEEAQRAAKA RGAKSDGDGQGEEVGMEGETLIEGIHMREREEEKSDEETI PEX2_075620 MTHDPPDPISYQHNRDSTDSSFPPTSEQSDQVPTNRNGMGHGQS KPAAQSRGLSHTPIITNDKMKKVAYVRKADPRFVAKAAERVNREILKKGRHLTDRGLY LALAMRMNSLYSRDLGLRQWKESYGAIHKAKAHGREVLHKSALPALREEGEQLLALLL DDCQGRFREAWLAMSRSTRAWAWQRLALWLLQNNPAMALEFLLVTTEPREKPDLTMVV DCLAYLERFHYEELRDWTKGAHTFESVVATSLDPKDWPIVSPPQRGIRFYIRRSGFLG VYESFRLMNERGTTMEAQTALCYMFRFTELKDVEYALRALEFIPKIHDPEFSMNSEGV LRHCCKLLTLDTVEDNAGGRNFRILPRLLKMGVQPDRDMMNLVLANAYKTGDPQLGSD MLQFMKNHHHEFDSYTYLTLLTDAVSRGDRGRVDELVREVEMQEELRNNPYIFSKIFH AHFTFTAKHIDPESDPSGVFYSMLDMYNKIHDITPLKELLLLPPQYTPPPTQGLEIKT PPSPVSLFLMIATFFRCRNRISQVHHMYDRFRELVQQGHPSIAPLVETDHVYNEFLIA FRKSSRGLRSSIRLVEDMLDASAMPKETTAGKPLKHIMPTPRTWTILLSAFNYSRQPD AAEKVKEMMAKHDVEYNQVTWNTIINGFANAQDIPETASAIKKMEKEGFSIDHYTMKS LRYLRDPERLWVAIEEMDEGLDSDGTHKLFTLEPTYDENSDQEHDELIDNALEKLESK MKPKM PEX2_075630 MCMNSPFCAAVKSSPVTWAKKQNCDTYSAHHVRQTHGPNAQAGI VFPAGPEPVGITATFYANSATCLAELIHWIAYSEHINPKSESSLPDSSRRHTDSKPSS TNSERILSDSKRSSPDSEHTNSNFKHRLTNYKSLLANPEKLFPTSKNLISKLSPNWYF NEQDTTLIRAGYEPQWTPDPPDTTSTSTEKGRKAPATKVANKPTMAEPRARMARHKGQ MNFQNELRLLLLAYGDPSPHPSFSNEPLPETVRVLDEIVTDFVLELSHGAAQVAHHAR RQKIKVEDFRFALRRDPNKLGRVQELLRMERELKEARKAFDQNDDQVAKEAGKKGAAA AAAAAAAGEDPLAAEAAAAATVTKKSKGKGKRGAATRRGSDATEESVSKKRKTIG PEX2_075640 MSSDSALLAIAIIVAGYCFMITHVDPNPHQARKDRNRADRIRFL TGRALPNFSRVCAAAAIYHALFTMATRHAPTRVSQICPLAHNTNPDLFAWNMVSIVPL LIYLGTYIRLSAYGGLGKLFTLHLVPPDHLVTTGIYRWVQHPSYTGIGMIWWGCFALF LRWDATPACWIPTFALSQCYGWGATILTAIAGSVVWFFGGRVLDEEVMLQQKFGQEWE QWHRSTKRLILGVF PEX2_010550 MASQNAQLPGFSLPLNFAPRRMFPSALQYEDLDMDIGRINVHQD VVMMRIINAFTEMPDWHSKIYESDDGALKELLRQTAGGEDVTPKMTSWIIKELQWKAE NLLKTGYTFFLDPGVFKSDSMITASTTKALKEASRSLGPARGNSPPESKKMIFNPVEP SICPVIYGRTLTLPDQTIGVDDCVDKGQGVLLPIPLEEQAYDQLDNQTFDPAYDQAFY TSWLGRSKKVKPFSRRFQWLPCDVALGEDETCHITSYINNIPPWENRGLYETI PEX2_010560 MLVQNVDCGVCGVRGHRTHDHWRHAQGSANAGQGAPVINIAGSV FGGVAGVGSTTTNLAWGRGRGGGQNRGGRGSGWGGGRGRGRGALLPRANADHPGRLER LEAAFSQQQETIARLQQENAELSRLRLQEGSAEQVNEEPTAALSIADGLDARFDINIH VSRLRGRGDDEESLSVDVSGCSDGSSFISAYLQIL PEX2_010570 MRGLKHEESPPAFLTSVFSPPSHLSHTFISLFALPKLPSTAFTY IMGKQIRVRETVNEALPPLLKTDFHTNANAVHRLIYNGELNYWPNFCQDVRATMDNQH WSNRVIGYTLNTHDLDDNKVFVGDETGVQGRFQQAIGQRLGKVFEAQRLDIQFADFKC LPNLGNKVPDCVMKTSRNELKLVGELKVPWVIHHRIKDHLELRPFRQKSYFRVLVGQV LRYMKELGCMYGFLSNYEETIFLRQRHNGTTWVVDCSPVILASNVYTKGSPTVTVREC FFYIAILARQQGKVDNQLPPKSWVESI PEX2_010580 MTDISQIFKGTQIALPGSTALRLNASTWVIDEKLYENRVDGVRE PTSDPDEQPSGWEAKYLCHNHHFPYNQAFMRVYCQGPDEETEFLLPEVRAQQANPYFE KQEAKARKKFRQGGCKSVPSLLGYGQSVQGEHGPVPGGYITYLVWDKVRGQVLTPELF WSFERPKRDLVRRKFRAAYEEMSSFGWGPGGENITKIIWDKESADLWISGFRGSYPTD EKWEDCILAYYRLIKPPASGVCENLDEWEW PEX2_010590 MGFMRGGKECVGGGNGNLGLFGIECGPPQLQKPRSRMPRMTPET PETPAPSTTPKKKKKKKKKKKKEKKKRLRSAKLANLSTLARNAAHGMKEGLATPPPGG VAGLPYSFKFSEGV PEX2_010600 MPKTLAKTYDMILASIDTQYQKQAYEVLQWLAFVVRPLRLAEIA ETLIIKPGVLALSEEDRLFSELDILTICSSLIRISNGEVQLAHYSVKEYLMSSRLKST ALSSFHIAELPANQYLAHACVTYLLLLNQPECLSLESFTKLPLLVYAATHWQDHAKNA FQAGYREESTKNFLNDILRLMDQSQGPAYLNWLRVSDPDDWNRRDLSKREQDVPKSLY YASLLGLYIVTEALINRGVDVNARGGLFGNALQAAAFKNHHRIVYLLLQNGAEIKLNE GLHYSALNAAAVNGGEASFRILLDLGFAGRCNDSKIVGSSLVAAAASGKVEIVTLLLD HGADVNFRGNDSVALNSILAGSSIAAASFQGHKDVVQLLIAWGADVNAKGGRYGNPLQ TASRAGFDSIVELLMDYGADVNAQGGVYKTALQAASAGGHQSTVRLLLEKGANDTR PEX2_010610 MAPARKALIAITSAKATLNKETTGLFITEALHPYNFLVAAGFEV DLASETGTYTADWLSQTPDFLNGDDLTVWNDVNSDFRKKLDNMPKAADLDASQYGIFY ASAGHAALIDYPTATSLQKVAEQVWASGGVVASVCHGPAIFANILDRETGKPVIQGRR LTGFTTEAENTMGIIGDLKSWNVELCEELAARLGAKYERSVGIWDDFHVVDGRLVTGQ NPASATSTAKAAVEVFEKL PEX2_010620 MTTRPSVSVPGLPGRQVASRRQKRVQVARACDECRLRRRKCDNK VPCSNCTTIDRICSNSGAPKASTLTQASEEIEWLKQRVQQLEAELEQRSHETNTLPTP TGSSSSPPRVFSSRNSEISDSSDLKKYWGGIQLRPARSSNDTWLGPSSLHSFIQRLSV YLSLNLQQTHSPNNLLPISASDDKLLDRPEGPDIDPVRRLVSTGKLSNAGVYLSPIQE EYFINFFWHTYHTSLFPILDETQFKKHYQSLWVSGGKERKPSALVDIIIAMCMQYGIS ALPSDTQGLLVEGKDALVAGRWHFWRGQTLLTYELESPYLSTLQCHLLCAVYLCGGSF HNMLDNSIGQAVRTAYALGLHLDPSPSMPEQDREMRRSLWWAVYLMDSKAGMKLGRPF LLRDSHVMPCLPSDSLEAARMSGSAFAPIGNNSTWLSYNLHQTELYIKVRAAYTSFYD RELHLRNGQTIWDDRHALEASAEVLFPHIQILKEWSNTVPESLKLKRQNNGRPLSTDG TRLSIEQFAPLWLQRQRVLLEHSYHHLSVNLYRPLISLSSKPSPGSRAEEIAMRCASH AIMLSKITQQVLVETSILDGWHEAFHCQWNAAMTLIGFVMVYPDPPLATEARNAIGLA VDVFDNFGAKFSVAANAAKIMRDLCAKVDFLARHNQSQRDPLNGVQTISMDDSMSLPQ GLSFDASSSSSLRDWSSYTNVLAPDLSLFDMAVDVDFWNNLDALWPEAENLFQFQSEI PEX2_075650 MDLFRSDRLEYHSFNSPEDDDFFHSVQSDPVAFTNSCASLIRPM DRQFTENIKKHLIENSLLFVVICRTTDDSGSRIPSERIGTLFLKSPSPDMAHHRCSEF GIDIKKEYQTRGYGGEAIDWMLDWAFKNAGLHRVECNVFGWNTRAHKIYQRLGFREEG RRRECLFKDDQWWDEVHLGILKEEWRKIRQDQATV PEX2_075660 MPTELAHQIIDDLRVWDVLKLLCYDNDQVDACIMSHPVCRNMFG ADLETLAKSKFAAHLYRDIFMAVGKSFVQEPWRGTNYLGTNIHCLVPEKHGEILNYMN ERIHDELNIHWCKLDLTRFGAPAYSNEFGDIHPKNSYSFEEHKKWWHEIQKAKATLFH LRASELRWTADMLEANSDILKRTLDPAQEIRPNTVHIVSRLRYGADAIMRSPNEKFVL SEHFIYDFLGIIPFDSALDRLLYMMQKHGLTEGDRVVVSNTIILPGGTSHPSSIVKSV QVIVDGMPKFYISPPETPEQRANITWRNAANEDGDLLRTANTPWSEPFGANERVSLKG PFFTAFKYGDSKGYLRPKPLYWDPHNQMEKEWLGSFVEVYRYLKNLDV PEX2_075670 MKEELQGLHLPDAETIANRLRQDQKACRERKVPSNIAIQLSSVT DAVFDEIAWHLTPHSEVSKALRSTRCNSIIKGIKAYLGQLEVMTKSDEHTSMDSMHVP TSDELETTLKVLDYLQKHRVVAPTKPLSEVLLAVREQLSLNDNTRYTQHERFQRNVAP RTSDVKKRCYICRYRFSPTDSHELYPALCRQCGMFNIGCSNLSLPEKLDLSGKIALVT GGRINLGYFTTLRLLRCGAKVIVSSRYPADAAFRYSTEPDFSQWKKRLKLVGADFRTA NDAFRLVQIVKRLLCAWDGETPSDTPQSLDILINNAAQTLTDPVKGEVRAISREDHLK NHPKAIALLAESENERYTPRVRGGQQATWIPRITNNRTQAQIEDASNDQSTNQIATKG LRTTENEDEELSKSSWVQSLHEIPYSDLISAHSVNAFVPLILCRELLPVMGTPPSSSS PSPSTPAGYIINVSSREGILEDTPQSSSKAGHHVHTNMSKAAIHMITETEAHAAWKGR RVAMNSVDPGYMSAAPECRTVEGCPIGFEDGTGRVLWPIAVGEVEGKVVWGRFLKHFR VGVAITQRG PEX2_075680 MHLSTLPTELLISIANHLLSAPQDLNSLAQTSHLLYRITNPILY KDQISNQKSSALLWAAERGKPAPCSRLLSEGANPNIKDQHKRTPLSWAAGNGHADVVS ILLSTAETDANTPDAYFQTPLCWAAGNSLRSSSRIPWGPPKPSYARESATADYLLVVK LLLSIKDIQPDQRNLRGETPLAVAASEGAESIVEELLHTRKVDPSSRDRFGQVPLIAA ARNGHLGIFKRLLGIEGVEADARSHRGHSALLAAAGNGYAEIVKLLLAIPNVDLNQQD AGTGDSALIAAVTGGHVDVVNLLLANEVVDPNLANEYGDTALLRAVHQERNHIMHLLL ARGVDPDVKNKQGNTPLMIAAHKGNIEVVEGLLSTGRIAADFDLKKLNLPSFMRRQG PEX2_075690 MKIWTALLALAGVASATSIHHNGYTRHKRDVCSGNTASDRQSWC KYNVSTNYYDVVPTTGVTKEYYLNIEDVTVAPDGYSRAAMAINGSIPGPTIEANWGDN VIVHVTNSLTSNNGSSIHFHGIRQNYTNPNDGVVSITQCPTAPGQTTTYKWRATQYGT SWYHSHIGLQAYEGVFGGIVINGPATENYDVDKGVLFLNDWSHQTVDELYASAQASGP PTLDNGLINGTNVYGDDDSTSQTGYRFNTSFTEGTSYRLRLINAAVDTHFKFSIDNHT LTVMAMDLVPIEPFNTTVLSIGMGQRYDIVVNADQSTGNDSFWMRAIPQSACSDNDST DNIKGIVYYGSTASTPTTTAYTYTDSCEDMDVSDLVPYLAQSASQPYYNSSEPVTLGT NSENLFRWKMNGTSMQVYWDNPTLLQIWNNDTTFTDESGVVVLPLADQWSYVVIETTM TVPHPIHLHGHDFFILAQGTGTYSSSDITSLTNPPRRDVAMLPGSGYLVVAFKTDNPG AWLMHCHIGWHTEEGFAIQFLERYSEAWKLIDYDTLNSTCEAWDAYVTEEEVEQEDDG I PEX2_075700 MLYLGASAYASAVVPRTPPSAGEKFGLYAYGESFGGLSLFYGDG KALIGDPANSTASTTSSVYFERTSESSDSPWVANPNGTANTNGTAHSIASWSDQMLYI PSSSSSSDEMGFTSKNRSNETTTGFIFYGTWMMVELDSGDISSSFYVRESSQGDGIYS LLWNVSDDTTAIPVSLRSVAPSNT PEX2_075710 MLAQEPSGDERLGNYASVAKEENGQGSHRKLWAPFFLRRPIMVV FLFSFLSLFGTLIALYVYTQRQGRSLGIKTDGDRYYYLWTYGLTAVFTILTAGWMQAE YRAAQLMPWILMHQGPTPASQSIFLDYMSKWNVVSLYQSLKQKHFLVSLCVAGSLLLN GVTVFSTGLFELDSVPITRPSSLTVPKAFSEGNYRPTVYDSRSYAACSAFAAHNLTRP FGLHEPYIYTPFQPASSKATGNNTIPIGPKYQAEIEVIEPFLDCQNGTVTWTTGRIPS PNWNGTGDQPDVERNTTMWSAEGGCDYKIYPSTLEEMLNKKSVSIEMHLTACGGESAN AYAVGKNLLVDWTVDWRLWAAVINPASAKLAGNEVLRSPSNTPPIHLVVCKPRYNAYR GPVKIWREPGQSAISAYIQSQSLKVTEGIANVSAANILHSSFRSLSLSEGNGGPVVSD EDFHFTGHEGTSQEVYWNDMAAFTDAMRSEFSCLMRQVVQNELLYPNPHNVEGTEQFI EERLFVRPLSFWLMAALLGFLITIAIILLFFFVPVAVCPRDTGSIGGMTAVFAQSPEF MASFKESQLKTESQMADTKLGQTLYTSSHMEGTFRLLPQIEPADTSASEGIKSSERDN SSTTWWYPFSSTWFIRVAVVILPVAVIISLEVVYHISASTHGITLVDGKSPYIHYIWT YIPALVMFIIRVVFASVEFGARIVQPYARLREGSAPPQTTIFENQLRKIALYGVFDNL RQKQWTLAAATTSLLLAAINPIVVSGLYTAKASWPTSPMNITQTTRWNLGDPTRSDKL KYSKVVDFNTDKMAGLIIHLNMTDPQWTYNNLAFPQFALLNTVIPPGAGYIDVRIPAL RSQLACKHAPINCSAGATGYFCKSDDPCYEYGMGSNPVEDTTYFIQGTYLLPAKNATS NCSTDNILYGDYGYKVASPENYLLNCNATIEEVDVDTRLQLPSFSIDADIHPRIVPNT TRKVFNTTINSFPSLSTIDNYLFKTNPNVSNILLSALTDGIHGVPAKELLDPPILAAR LNTVWGIIMAQLFNSNGRESFDDPLNTTWFVEPATSHAPIYEGVFHDGRKYLVQSEIS TRILDGVLGTMVICALAVLCVMRTKEVLPKSPCSIASVASLVAESRFLELLRGTEYSD AELRKRGLFEGVFSMGWWEVERKMSQSDFSTSSGSSVSSVGDEGQHEGRGSAVERGND NTRVDDRRTRFGIDIDGNTPLLRDVS PEX2_075720 MSDISKTLVVETTSESREEFLASFSSQEEKQIRRKVNKRFLLLI GLMYMVKQIDVNNVSSIKVLQVGKQSNILNELHMSADQYNWVSSIYMIAYIIFETPSN LLLKKMTPRLWQTRIFFTWGIVLACQAAVQNRQGLLALRFLLAMLETGSYPGILTQLN SWYPSDEMATPVAWLLGISQCSSIVGSLLCYGISYMDGVRGLSAWRWVFIIEGIITVL FSGVIFLVLPDYPKSPRSSKWLSPREQEFIEARLGERAPKTADPAFSKKEILAALRSP IQWSFTFSQMLINLGMYALQWYLPTLTTSFGFTKLPANQLLNIPPAATGIIGVVLGAL VLRQVSFSKPIQLMVYNAGIIIAFILFFVVSAAPGLYVACMLGTFFVNIYYIPFISWR SATMRGATGTAFAWGLQNSIGQLGGVIGPQLFQSKWAYNRYKTSFGIALAGVITAIAS NLACWWWTKKQEEEELESSSPL PEX2_075730 MKIDRFRSAWGIAPGPNMDNWAQWFPELKAQGYNGVEVDIHPLE PSRDFPRLRELCNQTGLELGLMIHSSWFQYLGPRPAGTKADHHLQNYRSLLESVQQLK PSTMNFQSGEDLWDVEESIKFYKGTIQIDSELGISGRVYHETHRNRSLFTPYATRRIL EAVPELRITADFSHWMVGCERVLDLSEGDKVMMDAIIPHVHHIHARIGTTQVSQCHEP TNPVFKEERECFERIWKDVIRSRVKDGATTRIVFVPEYGPFPYHPIGSAKTHSQVADE EGQRLQILFNDFAATLNKA PEX2_075740 MATTTMKALNYIGPYQVRVEDVEKPRMEHPDDIIVKVTTAAICG SDLHMYEGRTAAEPGITFGHENMGIVEELGEGVTLLKKGDRVVMPFNVADGRCRNCEE GRTAFCTGVNPGFAGGAYGYVAMGPYRGVHTGQAQYIRVPYADFNALKLPPGKEHEAD FVLLADIFPTGWHGVEISGFQAGESIAVFGAGPVGLMAAYSAALRGASNIYVVDRVAE RLEAAKSIGAIPIDFTKGDAVDQIISHNGDMVDRSVDAVGYQAVDSNGSAEKANIVLE NMIRVTRACGGMGIPGLYVPSDPGAADAATANGMISLSFGKLFEKGLSLGTGQCNVKS YNRYLRDMIIAGKAKPSFVISHAIALADAETAYEKFDKREDGYTKVIIHPNGGF PEX2_075750 MPVERKILLDIRIRRNSRNQDCSFRPASRGDRSSSATWHLAQGC EARQHPREKLKPPYAMLTRFGCASNAIDTPYDSTDTVDYPAPGQVEGKPRDRAVGYWG CCMIGLEMVLKKPIGSRVVHGRFGLSSYREVPVHNKTRGWPDVCEAC PEX2_075760 MQDLTYAFPRPRHTRVMKPRSAGNSPSSATRRRSTVNQNVVHGL PTQYQSSLEEALLASAARNSRPMSWHPSSARTRGLSNPYISDFPSDSYAGMGTVPDQY LSSAIYCDENMMSYPIAADPTFSPDYFPVYSAMQEDLPLPQHTPALMTGSQIDPMGWD VAAMSTDMSTMSHPASDNWNFDMLSMGTNIPPPETTCPSYVNVPSPGELSGPSTPDFL PIQQFEDPFQLTAEPKKTVKGEEELVGMGLYSQPNGTLARAPQSKSGEGLKLEETFTP SDEDNDDETDEVEIIGERELPQQQTYHESAATIRQSHFTSKQQPKQALNLLQRSFFFD HDDTDEQPMAVQQPFAGFNQPCMNYGYGWI PEX2_075770 MAKVIFTAWKTKSQLLEVRNEFYPPSAYAGPDLRSHGCAVVEAW KLRGNVPHHVEATALLTDAILHDDAERNSIFSIRATYSAAFCRFVTGLVDTKIHGVRR TMFQRATDLGLPASFVELRHEATHREPPSLVVLRKAAQRSLEWLWDNYWAGVADDSGA SALTHDDGASVRATLCDALQPLSSESADSVPKKRKRDQAVSVAMRLVSVCNVSGTGVR LLSSVLLERGFLVPNGRELGDSLNDTFKQWNPILQRVTESHPAFLRHLTEDLVNDLAF KNTTDISADASSEALYLWIAHILTSPAWEFHRQSCPQSYVLRACDESPHHWTKILGDQ LRKHASKPKSVLAARPAAKNRVSKPKHVRNDSQYAPTQLSDKLLGHGWGFLEKWDSRP LGVANSIGTGKGNNYAYLVTDESTKQSVIIDPANPPEVAPELKSQIASGKIDLTAIVN THHHWDHAGGNDDILKQFKGLQVIGGKDCASVTKTPAHGEEFKIGDRISVKALHTPCH TQDSICYYMQDGDERVVFTGDTLFIGGCGRFFEGNAKEMHKALNETLAALPDDTKVYP GHEYTKANVKFCIAVSQAEPIKKLQAFAEKNKETQGKFTIGDEKLHNVFMRVNDPEIQ KVTGKTDPVEVMAALREMKNAM PEX2_075780 MDKAAKHDNNGGASDTEGQNHLEVVFYPDSNHRRKSSLVTMDKP RVQPHPAQDDTMTACYVHSLIASEWTSPPHHLKGSKDTEDVIQTLDEDETKPVAKTKG VEPEEVVSVFDHDNKPIPTIIESRHLTKRQLSDMAWNVRKLSKKLGSIKLKLTVKNIF IVSKAQDQSLVSLTRKVTRWLLSKDRDSPYVVYVERRLETNADFGALQLVQDEPSAEG RLKYWDPKLAQEQPHLFDFVITLGGDGTVLYTSWLFQRIVPPVLSFALGSLGFLTNFD FADYQKSLDSAFRHGVFVSLRLRFECTIMRSKARLRDPHARSLPDRDLVEELIGEEGE DTLTHTPDKVFEILNDVVLDRGPNPTMSQIELFGDDEHFTTLLADGICIATPTGSTAY NLAAGGSLSHPENPVILVTAICAHTLSFRPIILPDTIVLRMGVPYDARTSSWASFDGR ERVELHPGDYVTVSASRYPFANVLPPGGQGEGWVHSISKTLNWNSRQKQKSFK PEX2_075790 MAAPPRHATMQSISNGGTGSGSDRPQTQQTQQAQLSQQSPGLRV PSNRKTIYDRHLNRTRNAELSRASFAFLFGEMVTYAQRRVTGIQDLEKRLNEQGYPLG LRLLDLLFYRSTSTSSSALSSSSTSSSPPNRPLRIITLLHLIHGPLWRLLFGRAADAL EHSVSPDTPNEYMITDNDPMVNTYISAPRDMSMLNCAAYVAGIIEGVCDGCGFETKVS AHNQPTELWPSRTVFLVRFGDSVMEREKVLERAGIK PEX2_075800 MPPPLPSSHRGMTKNPIKPARYRPGKAIAEEPSSEEEEEEEDDE EEREVRREQERRRRAAALRRAKAPKASSFPASAIAGQKVEEDDDEEGFVTEDEEDDKP APAPTALPHPTTDDLKPTVSTTAPESDAEEEEEESEEEESSEEESSSEDEAPRRMLIR PTFIKKDQRNTAASLAGKTQAQADAERAAEAETQRAAQRQEKADQLIRDQLEKEAIAR SSANRAWDYDELVEAEDEEAIDDTDGLDPEAERAAWTLRELKRVQRSREAIEASEKER EEIERRRNLTAEEREREDSEFIAQQKEDRDATRGQTGFMQRYFHKGAFFRGDLEAEGL DRRELMGARFEDDVNRDTLPQYMQVRDMTKLGKKGRTRYKDLKSEDTGRFGDGLENRR RRDGPPGDVTDERFMPDRRGGDDGDRGPTGANASVVRPRRRSRSRSPRRDKRDDRDSG RFERSRSRERRKRSPSPYDDREKRRRVDSS PEX2_075810 MSLQTPRILPSHLHAFHPSSSSSNTVRVLGTVTALHGGTGTLTC GNNGDITLILKSDARLQVGKLVEIVGKVADLEGGQGYGIRVLGSTEWGDPADCDYKIY ENVVNATHKFKTIFYE PEX2_075820 MVYLHSSLFSPELVGQLGKDYTVYAYDYSEFETPLVGQGMLSGV LATFSSTPETPSNQSKTMITGRVCKSPLSGLFSKGAQETLEVKLRLVPVPTVTQSEYF ASMQKHQKLTNGNSDDFDTQSWTNFVRQNPALLESSRSQSHNDNVGSPIHQSGIERFH QLLSEGSTPREFPTFHNNGSVRSVSPSHSYAGSRVSTPGGCRTPAHHQSYTKQSIPHS DMIRPSSSASMRDCDNQTQLSHVSQNNLRRGSIQSGYGSGDEESTEAPARKRAKLYQA NWPGKSGMNIERQPSSLRVAASTAASVRIHRPTPVNPALAVEHSHEEPVRPPTPISRP SDFTRRSRPTGSLLRESSSMSIASCPASYNSPYCMSDDVPATDVGGPSPEDTRYQGLF EPSFNMPSSPPVFDSRLPNRSSPVLPTMSLDHDSGFMSAGPDEIMDDDTVIPLDDPRM ELSRGITRDKCSVRATVQAHSPGSIVSAAQGTNNDPLPVDDNPTEQLVKQAPRPISRA PPSHSTQSRPTSRPPTATTSRPSSSSGAQRLAPKPLAPAPQIFHNEVPQMFRAIPASD PIIPRAIGPVDVTFMPCETASSNSKQSKQPSKPKSMPKQGSKAVPKNIKARLDNAILN GLIPPYCENCGSIETASWRRAWVKTVKGGETLAEEMMKSSPMLYWEAVDRNDKGEVQG FKIYKKALEQDDNDWDQMTFCNPCGLWLHKFKQMRPEDKWTKPGAAKPANKKNNKKRP SRNRDGQVKSCPAARTRSKAAPKKASASSPAPTEASSVHPDGETPQMDNDDDDDQDGE GDYSPNESPRATSTEPEATFDTPGRRWSKEDAREVLARATKSSPGSRAHARSAPMTDP NSLTPKPLRRSLFQNPQVDGPLKELDSSMVNSCSPRRSPRIASTKDEKLGQQKENLVP TPGNDLDDLFESPSIGFDSASPTPRRRNPRINAIDKRLSFPANSPSLNKRKDLGSVMS PARLSAERLQRIQSIHGSPQSSPRQQKSPATLAPQPANDSMPQSFESLDGMMLDIFDD ADKADAFFEMENSKFAGENWAEWLPADYVSPAVSCETPANELLNALFSDNPNEKDNFN PDLLPFNFNDVGIPDSGFFSSDALTTDVPKSQPAGEEPEGQ PEX2_075830 MSTAPEPQIQDLLNKPKSELTEYEVALVEEHELTAGPLSLLQTA TRTHTQVLISCRNNRKLLARVKAFDRHCNMVLENVKEMWTEKPKGAKGKGVNKDRFIS KMFLRGDSVILVLLS PEX2_075840 MQTKHFFTDPNHLVLTALNSLTLTNPSLALDRQNKIIFRRPDAP RRANKVSIVTGGGSGHEPAFAGFVGHGLCDASVAGTIFASPSAEQIRRAVIDRVPTEN GVLILPMNYTGDVLNFGMATEKSRVAGIKTEFFAINDDVGVGREKGGKVGRRGIGGGV LILKMVGALAEAGGSLDDVYALAQLANANLVSLGSSLEHVHVPGRGVPEDTIPHGEVE VGMGIHNEPGSHRVKFDLVELVEGMLLQLLDHNDPDRCYVTRKPEDQFVLLINNLGGV SPLELAGITDEVYRQLKRDYQVNMVRVIQGTFLTSLNGLGFSISLMKLVDPGLGVKIT MLELLDAPAEAVGWSAPINTSTWENNLNADPVDLKTSNLAEDIHSNLRLDPAVLQKAL NSGLQRVIKAEAEVTRYDTIVGDGDCGIGLKRGAEAIQSLLVNASPPLTNDVVSTIAR IVTVVENVMDGTSGAIYAIFLNALAHGLLAQNTSSPTTITTETWAKALRSSLTALGKY TPAKPGDRTLIDALSPFVDSLLETGDVKVAAAAAQQGTESTKAMKASLGRSVYVGGEE EWIGKIPDPGAFGLSEFLTGLAEGV PEX2_075850 MPQNSIGDTEQSGKRQSIGKRKLSDQGEPSQPRSQRTISEVLSQ RDSDLQAHKRPRLSPSPSLFSPTQKIHTMYPISGSPPKNGAAPGRGLSGSNGPVRPQG LNAHTRQNNFSPHTGAKKLVVKNLRTGPRLNQESYFDKVWSQLDAALMAIFDGRKPES SLEELYKGGENVCRQERAALLAKKLQDRCREFVSGKMRMNLVTRAGGSTDVDTLRAVI EAWSAWHSKLVTVRWIFYYLDQSFLLHSKEQPVIREMGLIQFQSYIFSDTSLKPKILK GAYDLIEADRGGLTKELSDPSLLREAMELFHSLDVYGSDFEPLFMTKSEEFVKEWSQQ QAAGSLAAYVENAYQLIEREVERCGLFSFNRSTKLKLSELLDETLVTQQTDVLTSEKE VLGLMRADNKTALKRLYGLLNRRDLSLQLKPAFRKYIIEEGEGIVFDQEREADMVIHL LQFKQKVHDIWVNAFESNEELGHTVREAFGAFMNRGKKMDSTGGTDNPKSGEMIAKYV DRLLKGGYKLPPGRNPEELSLMSDDAELDRQLDQVLDLFRFVHGKAVFEAFYKNDLAR RLLMKRSASNDAEKSMLARLKNECGSNFTHNLESMFNDMDTANDEMTAFKRSLQEERK GRFEFEVNVLSAASWPTYPDVPVRIPSKIARSISKFETFYHNKHTGRKLTWKHQLAHC QLTANFPLGKKNLVVSSFQAIVLLLFNDIPDGESMQYAQIQEATGLSDPELQRTLQSL ACAKYRVLSKTPKGKEVNSTDQFSYNTSFSDKQMRIKINQIQLKETKEETKTTHERVA ADRHFETQAAIVRIMKSRKALSHAELIAEVINATKSRGVLQPTEIKGEIEKLIEKEYI ERKEGTNQYSYVS PEX2_075860 MFGGSSPRTRETTGTGEMRDLIGTDALGWVSGGDELERCRAVIQ SIKDGLMEHSSSEMEKTAVLSHFPFDNHEENADIF PEX2_075870 MLRKVRGRFGDKLNPDDAFLSLTREDMQTLKNDWLTDNIISFWE EYLEHEFLSRYQSSNIILLRPSMSFMILQTPDPRTLREALPDFTKATHVFLPINDCRN VSQAEGGTHWSLLLISVVDRIAFHYDSLFQGNVWEADTVTRKFGYLLNMHIRFLHLND SPQQDGGSDCGVYVCMNMRHLLMKRLLMASAHEKVSMSLGGRKVDASGSRKEMAKIIE GFRKEGERRRSYVTLGQLSCTVQ PEX2_075880 MSGGDEQGFDVRYRDPPPFGRQSSAPPFGQSVNGHTTTSLGDSP TVSFPPQAQSSTTYSPLPSGFIRPHNSSTSTNHLSGDQLEDRRGRESPDPADYYRQRG SLPGTASNVREGFGDVRTSMATADYSAVGDKVSPLPTNMLESSRTRRQPYRSTSDSPT LGAPSANIPPLHANARSRQPSFKDLVNKFNKTSDQILPLPTVSRSTSRAPSPSGSVDG ERNRVLPRRRQYRDSLPESITSIHRASIDSTPSSPETDRFRPPLDTKSAIPPPLFQRI TESHPRRPLFSELLPINTQLNNGVISRLQQRRGSDGSIPSPNPAFFEHRDQYPTKTPL TPTAWYLGHTTSLEAVHVGINNTGKHRRVQSDLDKIREPLAEPWDPEMAVPAPLLRTK PDHRSPSGSPNSKSRIPVSSHRLVTASGPESLSPSSNPTFSSRSAAITSPPKGSSRLP IPSPRQSPPRMPADDPALFATTSRGRRDQTIGRTRNQLSESSRRLQAYIAEPPPKKSP PLRSSRPRQPVSHGAAVSPRSKIGDRVLNLQKHATHSDSRSRSERKLPELGKVDFDAR RRHIQQAINRHSGVPENQNDRGPDKSAAAKLRRHALVREQETHEDFKQLMDEPTITPN LPVTNPPSSDEMATMVKESIGSVEYKESRVQAVPRLHLNTALPASDMAAPHTTMDSPT LGLPHGINSTNPGEGQATNEDATRSGATSDSDSTHVTTFDPEPQTGLLQRDPTVSHRT ILEQIMQIRGSSPSDDSCDEPDCSLSENDDRESIRIMLGDTTYYNSSSSTNTQEPERA AMQRAQNGTHLHNRWSMSSWSSGQNQHSTCDEQCDESGDDSLLRDRETEPPTETCSAV STRPASIADDEPPTSIQDHGVMGPNTLQNPEQFRSNAFSTPPNLARLGRWDSKRVTQL YLEELTRGRSHHPGSSIHPSSEPRSHPTDTRTDGRPDSLTDDPVVVPGFKDFQASDRL SHTASLVGRDDWEHASPSIMDWMQIAAEDDVLTPNAETDGMRTEGVLTPRLVPSTLQD AGASSTNSGLGVSVDVQSSNEPPQPHVFSSPAFLPPSTTHLADNEPLGGQPSGYNGLN RNTQAAPGAAGHSPGSSQDSSFRNLESIQSTVAADSSATSLVPSTEQTIRVEPKSSPS PEQRRLKKRRHIIKELVDTEHTFGHDMIIIEDIYKRTSLTVLEPDDVKVLFGNSDQVA AFSDKFLYDLKQAAQSIYVIPKALRWTSKRKRNNQPPESATPESDEAAGLAGMSELEK DRATSVGQIFVTHLKQMEKVYTDYLKNHDAANKKLQVVQRNPKVGFWLTECQKGAMDL TTAWDLDSLLVKPVQRILKYPLILRDLLESTPNDHPDRAAIANALEEVTNVSHRINEL KKRVDLVGQAVGRKRNQSDVRTGLSKAFGRRTEKFRQQVGLSDLFEDKTYDSLAQKLS DGYFQLQVVMRDAESYSRDVQLYVNQFNEYADSIEEIIGMSPSPYPQLESKWHQFKMT VQELVSTALPEHLAVVRKTVIDPMVELLGLYNAPQRVMRKRDKRLPEYARYKAIKDRG DKPDKKTIEQGEQFLALNDTLKDELPKLYALTSKLMAACLKNFIEIQTVWYSVLQKKI GAHVELFPNDLDRLISDFNSDHTLMEARMGELSSCNGAMMAYSLNLVPLSPSEQQNPI SPRRPSTVNSSNARPGSMNDDSPNISRDFSVGSHSFQSPQMESHSSRSSRYRADSILS GRMVPDNPSQLLQQVTSSPAPVRTSDIEPFPSLPRLSLDTPFLQDVINSSSHSSNAPA SPTDRYSGFFSSAMPMSDVPSDTVVDGHFERSPPPMGPATLFCAASVYDFNIDTRTEG GYPYLTYASGDIFDVIGEKGELWLARNQDDATRTVGWIWNKHFARLGS PEX2_075890 MGKKRKRPIKDRGPTSSSHMGITASPRRFRTSYASISLKTKIDH PIISLYYRHVVSLREYLLQQLPVTSKSRRRRILTLDSREDKDSNAQSQTFAELLDSTL VGVLKESSPTLNLERQKQYSSFNESQSRSILVSTDTGPTCPQSEVVDFVIWKLFNHNN GTYQKPEHLLTHGFQRPYNGLNAQHTNVPGIVSQFPNQNVRVLKEGAWAEVLGLLGSN GEEIMMQLLFDCGIFAPINARKGIYYQLSGLPLSVLEPLSNASLVTNGSAATDQDPMS VTGQKHPKEKTECKRNSKKAIQSPNSIVFLRRRILYGRVESKKKVPSGLGQTHVLSRF SSLDSMAQTVHVMKYIFPRQFGLLNVFNLDSDGRNSMDDSKRFMFRESEISRLEEEKR LQRPQPPIEFADADCGGERTIKVPKRLRGITIELVRKLRNRNAQCSYKELLRYYCPTE QTGPGRLGAFASTPDAKKSEPISSLQSNLVSQIQMNHSSPSDSSVQTRLEPHMAVGAS CGIDAGKPVIQKTQVSLTEYATPASSVSAFCRAVIQKLIPRQFWGVGPDGISNLKLVL RHVDRFIKLRRFESLSLHEVCKGIKITSIPWLEPPQVQTTSPSKTRSKVALSDLHKRT ELLHEFMFWIFDSILISLIRSHFYVTESQTHRNRLFYFRHDLWQHLTEQPFGELKATM FEELEPDRAQRVLARRSLGFGALRLLPKSTGLRPILNLRKRALKQSTWGKQPTYLAAS INTSITPIYNMLTYERQQTPAKLGSSMLSIGDMHRRLKAYKEQLSKRLPSVSNPSRSK LPPMYFVKLDIKACFDTIPQKRLLDLIEELVSEESYHISKHTEFQPPAPTAQQGKPTR RFMSRAAPARKQQHLPDYVNSGGTVHKSNTVFVDSKAQKEHDADGLLALLDEHVRHNL VKIGNKYFRQRNGIPQGSVLSSILCNFFYAELERKILGFIKPENSLLLRLVDDFLLIT PDAAVAMHFLEVMIRGQPAYGVQVNPAKSMTNFSAAVDGILLPRLEGTSLFPYCGSLI DTHTLEFHRDRDRILEGGESAAATLSNTLTVEASHLPGRTFHRKVLSSFRLQLHAMYI DDGHNSRAVVLANLYCSFITSAMKMYRYMKSLRGRAHPGPAIITQTIRDLISQTNGTI KARRAGNSESSFSCFVQRCHLQYLASAAFRFVLKRKQTRYAVVLHWLDSLIKDARPTS DSEALRMTQVVKKGNAMFEEWRF PEX2_075900 MTTVLPTPNSPPELSGSKSSKSSSFHSSSHLDGPDSIFTDISNF EDIGLEDDTDLSYANPRGSYGRSGVKARSPAPRMSSKVPAVSTRDLTATPKPRKRSPL PPIHGGSTNGPAGSLTARAANRTHSSNTLQSPGLTPAQARRSRSVSPLRPTSSHSTSS TSLALSPLSARVPIHKQTWHPNRKSLKDLEEEYHDSDDELPDDASLFNIPISPRPAQE RAPSRSASPNGRSPGRRPLPIQHTVAETDKPPSPNTAKASRMKRIQRSSSAGPERGQL SPRNPRTYSYNSYLSDLSEEAKIITEALELHADDRDRKREEHVQTGASRGSSEDSHHA SRDAIALPPLQKSNIMIDPLPISKEKEKVLSRTRPSWLPPKDQKEEKKHLKQYQQMMA QSREIEKRKAAKVANEQCAKDNTRATLQNIWDEYVYPNWDRALREPRIRELWWRGIPP RNRGHIWERAIGNELALTEETFTKALCRAKDLQSKKDGESENTKRLLDCFEAIETDVP KAFPDLNIFQEGGPLRGTLIDVLKAYCMYRSDVGYIHGLHTIAALLVLQFPTPASAFL AMANALNRPLPVAFLTWDRGAMARSYTLASDTLRYKFPRLHTHLTETLHFSEAEIWEP IFRSLLTNGLDLERISRVWDCWVFEGDRIMIRSAVAILGCLQAQLFSFHQTDEQSRLA VRDVLGWGPHNHGANMQKPKERHSAPPAAGFGGGQIENPGVGDYWVLTAAGNEDGFMR AVRDAGKVRQPIQQPE PEX2_075910 MAPMTPDNSKKDIDVESEGDTLRDDERNGRSMQITFEGSTPAEG FRSIYQPTQNNRPRSISRDTIHSTRSSIQGMPELPIEFRTISIQISESQNAPKEAIVS TTEKQPHQDYFESLDFHIISTDSLCREFNVDQRTGLSSSSAATRLQRDGKNVIAHHGE NYFKKIFFYIFGGFCSVLWVGVVIFFICWKPLSNPPSAQNLSLAVLILIVIALQAGFS AFQDWSTKRVMNSILDLLPAEAMVLRDEKLIHLPAADLVAGDIVHIGIGNKVPADMRL LSSSGDVRFDRAILTGESDEVEGAIDCTETNFLETRNIALMGTGVTNGNAVGIVVLTG SRSVMGRIASMTAGVKQKTTLIQREINRFVTIIVVLTVFLALLILFTWLGWLRKDHPS YMSVVAMLNNVMGCVVAFIPEGMPVAVALTLMMIAKRMKQTNILPKGLSTVETLGCVN VLCSDKTGTLTQNRMFVKSLGMVDWEYSLEDLAPGEFGTVDLPEGLRNMLQASILCND ATFDPTTMNLPVQERAVNGNATDAAVLRFGDSVGLTSPTVLAPYERVHQVPFNSKNKW MLTLHRDPKSTKEFILYVKGAPDVLLDRCATYWSAVHNAVRPLDVEAREKFSNFQAKL SRRAERVIVICQRRYIPQSTPGSNDFSNEMLEHGVQDLTVLGIFGIIDPPRPETASTV AACRKAGIRFFMVTGDFGLTAAAIAREIGIYDGQAEPDTIGELKDGLDPSMEVKVPRS RHSLLLEGPSLSALTPEDWETVCGYDEIVFARTTPEQKLRIVTELQARGCVVAVTGDG VNDAPALRAADVGIAVGSGSDVAIEAADLVLLDKFDSIIEAIRLGRLVFQNLQKVIAY LLPAGSWSEIWPVIMNVFFGCPSPLSTFLMIIVCVFTDLFLSLSLIMEKEEFDLLSLP PRRPTKDHLINLRIYGQSYLFVGVMEAFSAHAMFFLYMWRHAGIPFSDLIFAFEGYSE GFHGYTADELNHFVSVGQCVYFVTLVIMQWGNVLSVRSKRMSILQADPIRPARRNPWL PIAILISFIIAIFVTEVPGLQNLFSTASVPIEFWCIPLGLALGVLCMDELRKVLVRLF PKGPVAWASW PEX2_075920 MVHYGSNSTTRLHETKANKDTNNQLVAIKVYRRSILRGPPSSSH STSLHPSHPNILPILDVLHNERAELCLVMPYCAGGDLNTLLSRKGPLPTQEADCIITQ ILRALAFLHDHGTAHRDVRLETVLLTANGAVKLAGFGDGHVRRIWEETAEAGAGGILP PRPQPPTQSAWSFVLPWPLNSLCRQSSESSSGNGSQTVIANSPTASFVGMRLPYIPPE EFALHFQSRCRDDHDSHGECDSRPADVWATAMLYMALVTDRILWRSARPNQEDGRYLE YLHSRCGEDGYPPIETLGQVCYSLFLGAPVRKTSH PEX2_075930 MTVNESNQSFKLENLFNVKDKVALITGGGSGIGLMAAQALAVNG AKVYITGRTAKKPDRVATLYDNNEISGKIIPLPGDVTNKDEIRRLVSEIFTREGHLSI LMNNAGISSSMQKTEPEDASELCESLFDNPAATFEEWESVFRTNVSQLFFMTTAFLPL LQRGSEVDHGWSSTVLNTTSISGIVKISQHHFAYNASKAAAIHLTKMLAHEISSSKLR VRVKLLLGFFLVR PEX2_075940 MSNKQIIYTTPPAPAIDPSLTSGTFRLNTIPIPKDVPTDKLLVR VHYLSLDPAMRQWLTAKRSYIAPVEQGAVMRGQSIAQVLVVGSDLTSKYSKGDWVVAG SGWQEYAVVDAKEAQKVTVPAGGRPTDAMSVLGMTGLTAYFGMVEIAQVKKGDTVVVS GAAGATGMVAGQIARIKGAKRVVGLAGSKEKCDFLVKELGFDAAVNYKDSDWRKQLKI ATPEYIDVFFDNTGGDILDACLARAAQNSRFAICGAISQYNAAKPQGPASFMSVISQR ITLKGFIVFDFVKQYSAALQELGSWLAEGKLKRKEHIVPGGLEAAPQGLIDLYAGANT GKMMVEVAPVSEALGPKAKL PEX2_075950 MSRAYQSYEHADPTHTSRGAVGGGSSTGAPGLPTGLHDTRSQYD ETKGYNPATGASYSRSSAGGPSQTHQRPLSPSPSRSDDRIVGDAPLPHTASTAQRAEM EDSRAARAGEGFGNKVKGVAASVHGAGESLRGALNTAVDRAFGSDEGAERNQEIANKG AEEIRSGRFTRNR PEX2_075960 MASIPADRPYPSRLAPETAATAIASTQPQSQTVYSSETSSNLIV SDSPSHYSSFSSSDSDEPLPHSIEVTDEDAAGDVVGVSIGREQSRLKARATPVEEKNR VLEHQGSYFTTIPDTISEDGLFASELTAEPESVEPKVEKASAPAPAPAPSERKSGHKP RASYPSPRYTQPGPGHLAHGPRSDLFASAPEKAGSKDTAVSQRSGFVGNLLKNTLPRR PRAWSGEFKKFLPDLASLRKRPSLPFGAHNGQNRIRSQTVAPTVKKNSGVPKRTSSLV LRPPPSTLGPSSSSIEDDGEPAQPIPELRSPGETSAAKHSFIRRPSSAMPGRPPSLRR SSSDQSLYLRASSTASSLEQRPLYENVHTQVNSRFKAIKDSLQDSSSRLLSMPNLHLQ DIRTDWSSRPFLPDLGNGSSNNSNGASGTCKGEQPPRVATPPPTPRNNPNITHPILEE AMAELTGDVVILGGYRGSILRSAKPPNRQLWVPMKVGLNLRKVDLEVGLNPEDEERME ETIIPSGVLSHVGPVDVCRRLMKRLRKSENALRGDMRVHDYGYDWRLSPELLSRRLIA FLESLPCNQEPAPGEPRRGATVIAHSLGGLITRHAVNERPDLFAGVVYAGVPQHCVNI LGPLRSGDDVLLSSRVLTAQVNFTFRTSFALLPEDGHCFIDKQSKKEFRIDFFNAKTW EDHRLSPCMGPALPAPPTPTTLGFKDIPIIGKRFSMGPRDDSDEFLSQDLHNHPHDGD GDTGTDKDVYARHPSTSHNPAAYAAYAAEKAQNPADGLVGPGAHPRSSAATNKIATTS TIPLPAAREYLQRTLADTKRFKENLAFRQSHHFENRYPPAAVLYGKTLPTVYGARVVS RESIKQVDAYDDLAFAAGDGVCLASAAMLPPGYRIIKDGLVKSERGHVGLLGDLEGVG QCLRAIHRGRKEGVGLGEKEL PEX2_075970 MDLVNHLEGQGRLQQATLDLLAGCDVQFRRETRLDIALVKNLPI ALIFLPAADIPTFVGEGRVDLGITGRDQVAEHDATLPAGETSGVEEILDLGFGACKLQ VQVPEKGELKEAKDLVGRNVVTSFTALTEAFFRNLEGAEAGQKLTTKIKYVGGSVEAA CALGVADGIVDLVESGETMKAAGLKAIDTVVSSTAVLVKSRQSNSDMLTLLTSRLRGV ITAQKFVLCQYNISRDQLPVASKITPGKRAPTITALEEEGWVAVSSMVEKKRIATVMD ELIKVGASDILVLNIANSRTD PEX2_075980 MDSSKQPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDTSRSIIRN VKGPVRVDDILCLLESEREARRLR PEX2_075990 MAPHSSQSSAPSPSLKRKQASISSFFTQKPASSATPKDSAPTPA KAPKKRQASPVDKIEPKQDDTNPADGDDDDDEDIVAPAPKRAKTTGTDAEEPIRVATS VHFEHTSQASSSQRTDIFKFQSSPAVAPVPAEEEDPEQEQRKKEKEKLHQQFVRKLGG SDCQVGIGRIAVSDAASAEAEAAEAEEDDEPAPPPPTKGKGAKKGASKLTPMEKQVIE IKRQNMNTVLVIEVGYKFRFFGEDARIAAKELGIVCIPGKFRFDEHPSEAHIGRFASA SIPVHRLHVHVKRLVTAGHKVGVVRQIETAALKAAGDNRNAPFVRKLTNLYTKGTYID DAEGLQGPAPAAGGASPATGYMLCMTETNAKGPGNDERVHVGIVAVQPATGDVIYDDF EDGFMRSEIETRLLHIAPCEILIVGEMSRASEKLVQHLSGSKMNVFGDAVRLERAQKK KTSAAEAHSHVSGFYAGKMKATSTEDDTQAAKLLHNVLGLPEQVTICLSSMIEHMTEY GLEHVFDLTKYFQPFSARSHMLLNGNTLVSLEIYQNQTDFSTKGSLFWTLDRTQTRFG QRMLRQWVGRPLLDKVRLEERTNAVEELIDPARAVPVERVRGLLHKVKSDLEKSLIRI YYGKCERPELLTVLQAMQLIAMEFADIKSPAQTGFQSSLVSEAIASLPTIRASVVRFL DKINMHAARTNDKYTFFREAEETEEIGELKLQIGSTEHGFSDHRKEAASTIGRGKVEY STVSGIEYLIEIENNSPALKRVPASWVKVSGTKRVSRFHTPQVIQLIRERDQYKEALA AACDQAYKTLLADIAAQYQSFRDCIQSLATLDCLLSLADIAQQPGYVKPEYTEEAGLH IEQGRHPMVEQLLTDTYVPNDTKLQQDGTRALLVTGPNMGGKSSYVRQVALIAIMGQI GSYVPAASARLGLLDAVFTRMGAFDNMLAGESTFMVELSETADILKQATPRSLVILDE LGRGTSTHDGVAIAQAVLDHMVRSIQSLTLFITHYQHLSRMVHSFPDHALRNVHMRFT ETGSKDKEGDTEITFLYEVTEGVAHRSYGLNVARLANLPSAVIDVARQKSAELEESIR RKRLAGLVGAVGRVLDEKSDLDGESAGADNLLNRLMASAEQL PEX2_076000 MALVSGPGRAGGSPADSELHTSKHVTYIKNLDTRKDELEYWLTE HLRLNGVYWGLTALHILGHPDTLPRDQTIDFVLSCQNDNGGFGAAPGHDAHMLYTVSA VQILITIDAVDELEKRGRGGKEKVGSFIANLQNADGSFMGDQWGETDTRFLYGAFNAL SLLRLMDLVDVPKAVSHIQSCENLDGAYGIRPGAESHAGQVFTCIGALAIAGRLDLVN KDRLGAWLSERQIESGGFNGRPEKLADACYSWWVGSSLAMIDRLHWIDGEKLAAFVLQ CQDPDAGGFADRPGNMVDVYHTNFSLAGLSLLKFNGLEEIDAVYCMPKSITTKCLAGV LLNGLWDTMREENIAHFDLFRFIPLYNGQRAVKTSWACIMDDTILVCSICPGQPRFSD VSHLLTHAASKAHLASHFKLKLRTDDPNSIELLKQYDDWFDANGFAKQLAARMASKEI RKKRKSDEVSASQTIKRTRNRASDVEPEGSSTCKITTTPVSDCLDPRLADSHNDKQHH ADTTLTPTTPKRLPNSNAESRTGPILRSMRSLNGTKSSVLHPVDASGPSDEARSLALP VTPIQRRHKPDPLERTWASGRDTPDPFIDSGNQTQASSGDPETDKTRAEEIARLKGVL WPGMNIFDSATVQMRRRRNQKKDGAVLRMMELTSSLVEPTEQVFSPHGTLLKERVITG NVEEYSPLKGETPIPRRGLTRTRTVRLTKADPNVPRALDRKRQKIDKDRKNIEEETAK EEQKSPRRARRAADRTHSYVGDDEEFGFTVNTFGKRPRGGFDVFVDEEKEEEESKTSY QELGYRTQFDTLTPTRLVLNGKTSTGIHASRIGHTSLDKENIEPILNPQGRIGPHGWH SPFAKRTDPDDFGFGLPYLSDLGDPYDSFDKAGYRFNPLQAPSKHPFFDSQYEEEQTA AQNGWLSMNQTVPSEETIPEDDHFPTYYLTTDAN PEX2_076010 MSNRASTVPNLDLSSWKTESKKEKVSSPVTLGDHSSDGLSPLTP RSPKSASSSPLFKGATIRPVAQDSDSKATSPTLALSPGTGPAEPTTPGITAIPQHFPS PKDSRHTRDASKSFFGNLKAPKSSHKSQRSDSSENSTEHPKSRGSSRERKTPIASKQY ESTPDLPGALARATGNERTSWFPSSTMWIISNKITTDGLSGQNNSQQTGTKKVATDLE SAIPKKNKQRFANLLTRSRSIRVDDSSGPRPPRRRPSTGLAKLEEFSQSATTAANPPR CATATAAAPARPERSIQGGLRPPERHTDTMSLRKERSHGSMVASGSLSQVSGASATIF SNLKSSSSGTADRIGKAGKGFFSKITRSGSTNEREMITDDSYTCSVINLPLIEQARKT RIAKKLEDCRDKTEFWMPALPYRSIDYLNFKGCEEEGLYRVPGSGREVKHWQRRFDTE LDIDLFDVPDLYDINTVGSLFKAWLRELPDELFPKSTQTMIAMKCEGATTAPQLLKDE LSKLPPYHYYLLFAITCHLNLLHSYVDQNKMDYRNLCICFQPCMKIDAFCFQFLVCDW KNCWQGCWTEKEYLEIEKKMDARDLRVSIEQEAFKAKERASASHERAISSSSSSAGEE SRQPSRQPSREPPRPATSRPATTRPQIALPEPARPATARTRKAPPKNIETSHNRSISQ LPELGPPLSPIKI PEX2_076020 MSDTEMANSAPAAGSKIERLPITVSKPTPYTFDLGHLLVNDPNP LELPADQTLNDSLKATARDGVQVLINQLLTTCEIKTSVADGVLLTLPAPNIHLPRHKP LPVPKAPTKWELFARKKGIGKFSQKPGAAGQDKERRKKLQYDEATGEWVPRWGYKGKN KDDENQWLVEVDEKKWKKEAEANAEGGNIRGISRTERKERIRRNERKQRSNEKRSNPA RAK PEX2_076030 MASSWCWNCLSRLRPTPRALLPPSATPRISSAPFHSTAVHYAQP AKKKGNSLTAVKHRQSTSLRRKKKKVADRGGRPPAVGERKALRKRIVLSNPNALEIEG MLELSPETMVDSRLRGTVLGLPAPMIDQLRAVQAFKPKQGWSIFRRPGTVLRRDTIEM GRLFEQISGEGDDVQKGKVVKKIVTGMKGSGKTVHLLQAMAMGFLKKWAVVTIPDARE LVSGDTAYAAIEGSNPLQYVQPSATSALLTRTVEANRELLASLKVSQNHPALKMLKPS STLEDLAKLGFNDPAVSWRVFQALWTELTATAPAAGLEKDFKPRPPMLVAVDGLAHWM TESAYRSAEYKPIHAYDLAFVHHFLSLLKEGDSLKNGGLLLYATSFSNNPNPTGLKIA LERLAARQAGISTSSPEYPQLPAYSDADPRVLDLLQPTEKAVSPLELQTLGGLTRDEA RGFMEYFARSGLLREIVNDQWVSEKWSLSGGGIIGELEKFGRRVRATASVSK PEX2_076040 MFPRAAAPKSYLARYRLLAPTAGVKVSPLCLGAMNFGEGWKERL GECNKETSFQILDTYYENGGNFIDTANNYQSEESEQWLGEWMEVRGVRDQIVLATKYT SPYRTHNRSEIQANFVGNNAKSLKVSVEASLKKLRTDYIDLLYVHWWDFSTSIEEVMT SLNQLVLSGKVLYLGVSDTPAWIVTKANQYARDHGLRPFSVYQGKWNAATRDFERDII PMAASEGMGLAPWASLGGGAFKTAAQWEEIAQSGNSGRQVPATERDIAVSKVLETIAG RHGTALTSVALAYVMRKAPYVVPIVGGRKVEHLLGNIEGLGVDLSDDDLKEIEGAYEF DIGFPMNFLFRGDDVVKEAHPSNSTFLNAAANFDYPDLVRAPRPKKLDA PEX2_076050 MDPRQQLHEVYPGGDIVNPPPFPKRPDIFDQAPLAPVLTEDDAF LARRFWQQPDESLGRAWKAHANRPYTRATEEEESAMHALAHNVYEHLMSNPLLPISRE DARARFTAEGLDETYNGVQGLDAMEQKVFIHGLNVRDPDLWTKDALTEELTSRGLRTD GRKTDLQRRLWEYECDERFGVSRQSNLSHWGIHREPRPVMSPATRTDMSALEMYTAAI KLSPYNPTYWNSRAYCHYLLGHFDLAIGDAYRGELLCEVLTTANQRNKRPGMYTRVWD AIQQHLMIELKIKGVSMTREVLQMRKANGINYFIPTLRNALHSITSLSLAALNCWDDF EDHMQSQLQRPLPYRDVAVLKKRMTVSAPVKAEIEHRRENPSALHPSIYGHEWSRGWI SGADKYPYDQADVRRESPHFIDALNANIFTVSASGRKSPKRICQVQPTLTKDNSFNGL GVFATANIKAGALIHYEEPIIRGNLIPNRLLDDDSEDPLHAPRCDNCQTLIDPDEIHH IEDNWDFIHSPATLLGWLHPSNCVCLDMMCNCSDVGYFRATRGALLFCSSNDTISDGA APHCLDIARETYHFQEFCGLDWGWLHDAMRPNKVNWGGSQYFTHTNERHGTVLSLLLK SVLELTLHRRQEDPNLLAHEINELLVLEAGTDANEPWKDSWFPFTLAGNIQIPFDILF NLGVDIFSDLSFDTWVIQTVLRKLLVNAVPWEHARRGANLTFTDRDGPKPVLHPTLQT RMRDRNEDLSAMEPSFGNFYLFPGLSMFNHSCRHFENALWGYDDKVPNRVVVWANKDI IAGEEIRIPYQRYRIADPVGKDLDLNMHAVQLFGKNCECPRCQGRGIVPPVGKGKAKS VSSSDATQDDGTVDWTPGPVTIPPKQETWGGVLGEDDSELDEYMREYREIVDDIYDQY YDLDDMESQDDNGEEEDEEEHDGDEVVDKKAEYVKAENGDGDGPGYPSGQWKSCGF PEX2_076060 MYGQSNRHDPPSQPEWRLPTRLPSQPSQPSQPGPPPPPPPPRPP VAQYSPAAPYSPATYGPISNPQRLPSPSGADTTTWGVRFNQNSGPPLPPRPPSTNEQR YAYAQPQSHTSVATSFHGTQTPQPPPPYTQTPPPPPPPPGVPQLPPKIPQGIQHVPTL PNDQTGRVSLLFQGAGPAAMTGSYEFRPAPILNTNTTSISASALGLGTPSDWEHLGPT PGNFDDAAWFPPRRTPPLHHEGFQPPSSLPGDTPNVFVGSESDMVARPRTDTNETLST TISGATQSGRGSSNSPVSPCTTHGIPTPPLLARADTTNSTLSGVGRSETIDNVIDAWV RPLSPAHKPLQPEQQGHPGAFYQNSPVERSQSAQTSSSYFDHLQSNSNSSARIAEEPR RAATPKKQDPFEDLDPWSKSSLERYVAMLRKEAVADSDEERYKIFTAFMAKETKLREI LYNIEHEPESKPLTPRAPDPAPPQPLSISNKSEPPVESGLIPVASEEIPPPSAATATE DEDFDDVRSEYSSGGRPLLVKQAQRVSQFVPSLSTPPSGAESSLPRPLSTMLVDTQKQ VLEPLATNPPRPIYTPFQYTEGPQRGSDNLTFARPAYQAYSDLRQAAVSGRVMSNAPA PTSRLRSNTALASPAQNETDETFLGLIRHKSVAYSKPAERNSPPLPLLPEALRQGRPT GLVEELRTIVWTPLDKRSESSWHITTREELEKFPDDLSYIGRTVDQWEETARARRQKL EDERVARQEESEQHIDELFNGKEIGYADINTLEEDFRQTEARIQLEEERHEVDDFMTH VSNPLDEGLKNEISALRKSYDSALNQLDRDQQGKGSPGERGSPSVTMKMVNDIHNKLE IRFQKRLEMALDCERRRKRAERRPLVVMGDIAGLQKVDGEFDQMERRNILEACKDRDD RANRLMDSFDDAILNGLGINQTLLDEVASKAARLDTATLRASGLPDSEIEQILKSAAT FAASLRADSEAIIRSAGVADMALNDADYGVSVAEARYADSDPDIFHRLGNEKKKEDEK IQDEQNSKLQSIQKGPLQIEATIQRLVLGLHNDPQAAAPISPVQGSSPASLSGEGPLP LPPSLRPSTTSPGPSPSPAPVSQSTDEDLEHKQRLRRALEAAKQRNAARAHH PEX2_076070 MPDTVLPARAARAGRSDTSNDDSDPGDDRSSEESSSDEEEEDED EDEESSDEEQSESNSSSVLATSGITYDLSQLDSESEARAVVGLTGHFDVVNCRSTPAG YDFQLSDRPQVHIGADSSTCTCAAFQDQPDGACQHIFWLVDQLHGCFLPAPRSTEVTL TPDGRPERLPRIEDLLKGNLMSVATQLKWQCLREEEDGMTRTEKVRDIFSAFSNRTLP EDTRRDLTETTEQARTAEQCVVQGDFEATMFRLAVHDDGVFNSLCKAMPAGACAAIYF DKVQEQSRRLLNDFDRYCATGELPANPVSPDLGVEINDVVTQLQRSVSRIEVNIAARA PHGSEGAAEALVSLLESVVARNNDPLEGNPLGRQSFHGEDEDQRNLYHLLIGSVETDS ETDARHFVLHALDALPPVDLHQCANRLRDILRKIEVNRAPKPYLLHLGSLIRTAESAA AAAMGSGQKRPAAGNGNSGGYSKRTR PEX2_076080 MRQDLEERPEKVTRSNWKSWKNAIEKHARKEGVWKYCNPDAPGE YYAEIHEPEKPHISTARPEVKSIVELGEDDFIELSSIVDQYWKQMRGYENIQTKLQVI LELIEDHVDDEHFDLIKHAKTPLEQMTVLSDKFKKFRLEDLQPRWERIQELANKPDSQ ELFKLWNALFTDCDGTGLKNPNFFSRGMGIIALSFVHRLDGSLSLPRTGLRLPEILSQ ETMEIIAFNLVRPLDGSRSLPLPWAGLKPLSVLNRGTMGIAVLNLVRRLDGSLITSLQ CTEALDHSKSSNSEDDYTEFRSPARREPESTTSLNFIETRNDSELRNYSDSCAQLRSR AKRGPIASQYRVGYYEPVVSTEHTSLSPRSSSITGQSDQCEEVSGDNLEITGLLQRLG LLETKESEN PEX2_076090 MAAPEIPGKQKAVIYDQPGTVSTKVVELDVPEPGTGEVLINLTH SGVCHSDFSIMTNGWSGFPHPTQPGQVGGHEGVGRVVKLGADTESSNLKIGDRVGVKW VSSACGHCQPCQASADGLCFNQKISGYYTPGTFQQYTLGPANYVTPIPDGLDSAAAAP LLCAGVTVYSALKRSNARPGQWVIISGAGGGLGHLAVQLASRGMGLRVIGIDHGSKEG LVKESGAEHFVDITQFPRDDNGAALTKHVHSLADGLGAHAAIVCTAVNAAYAQALPLL RFSGTLVCVGIPENDPQAIATAFPSAMILKQWTITGSAVGSRKEAIETLEFAARGVIK VHYRTEKMDALTGVFKEIEEGKLQGRVVLDLSG PEX2_076100 MSQTETKLTKDEPIQSDSQSIQLGVQSDILKFDDDDAVLRANGH DAIMPRQFNWVSALGLGFSITNSWVGYLSCFGQNLTYGGAQTCIFSLIVAFFAQGIVT VGLGELGCSGISLSALVINGIVNFWNPSYVANQWQTYLTYVGVSFVSLLPVFFASKVA IMTQITLFLSLAGYLIFFIVSIAMHTEQQPGSFLVQSGLGNSGWNDGTAWMLSISNAM YAFGGTDGGE PEX2_076110 MSDFSGIDLTGKTALVTGSSRGIGAGIAVLLGKRGANVVVNYVS EGSKSKAEQVAADIQAVGSKASVCQASVSTMEDIPKLIEAAVALSPNNKIDILVHNAA QGLEADIEDITLEFYNQHFDCNVRGPLFLTQASLPHMGSGGRIVLISSAAARMAVPQQ TVYAATKAANESFCRVWAKELGQKYGVTVNCINPGPIATGKRSCKAFYIALLTRKFCE DQWFQSDEKFLREMEPLINSTPAAARVGEVDDVAPLVAFLCSDDARWTTGSVLSANGG LCLF PEX2_076120 MAQVNTWAVSLPQLAKMIDHSLLHPTMTDEDITAGLKIAKEYNV ATACIKPYHIPQAKQELQGTDVKICAVIGFPHGNSTTEIKVQEATAAAQAGSHEIDMV VNIGKVLGGDWEYVSQEIEQINTAVVQQGAILKVIFENDYLQPEHIVHLCKICTKLNV AFVKTSTGYGFVKQADESYNYKGATVNHLKLMRQHSGLDVQIKAAGGVRTLDDLLHVM SLGVTRIGATATVAIMVDAKNRGIGMDQVEVEFKPMKEDGENGGY PEX2_076130 MFHPLDPLSPTEHSKASQLLKDYHVAVPIRFKVIDLLEAPKASL LAYLHDKTATVKPPSRKVYAYYVKTGNSTMRKAKIDITNGKVESDIECPEIQGPADID EIDRVYRMCNEHPAVQAEIKKLKLPKGAFVTNDPWTFGTDNANERRRLYQCYMYIVLN DDPEANHYSLPAPFAPIFDVDTWELLEIQKLPLGVGPELEVDTQPWNPVKAVEYSKTL LGNEYFRKDLKPLHVVQPSGPSFNIDGRKITWQKWSFHLGWTVREGPVLNNVHYDGRS LFHRVSMSEMTVPYGDPRSPYHRKQAFDLGDSGFGITSNTLTLGCDCLGHIAYFDGVR TSGNGEPVVMKNVICMHEVDNGIGWKHTNFRNNQASMVRNRQLVIQCTATVMNYEYIL AFVLDQAANLHVEVKATGIVSTMPIREGIHSPWGTVVAPGVLAVNHQHLFCLRIHPAL DGNKNTVAYDDMLPVRDEPELDPFGCAFRVQTTPVTKSGAYDLDLTKSRTYRIFNTQH INAVSGKPVRYKLHASPSQMLMVGSHTFNYKRGHFSTKPIWVTKYREEELWAAGEFTN QSRQDSGLAVWANRKDSVDNEDIVLWHSFGVTHVTRPEDFPVMPVETMNVSLKPTSFF ELNPSNDVPRSDQTQNRSSLVEHQSVAPEESARGKWKNQTAPTSTISSTPQHQVQEVT ETMERSKHFPPNENTLVDNQEPPFGIGLSDPAMSQASSPDISEAWPPLDHRAVELDDT LMLDRTEMLLIHYDREICPHQIALTVNDANNPYRKFILPLAYEQVGLLYALLGITAFH LGTAKEDSYLRDTLAVVYRLRAIRSLADTIEAGISGTIHENERDALFATIQILLLQDN EKRAVFFLGNLAWLDIVRSFANPSRLSFSKELRETISSLSDIKFEQVNGCPRKLFLLM GDILEHAKSHSLGELVDSEYKQLLEDARFKLHSWDVNDGKYPNDDQRWMAVAEAFRHA CILYTSRLIDMYQPAEAAIIQSSVTAILDSVAEIPADCYLIELLVMPLFMAGTDALSR HARHYVLLRLDHIKSMAGVGNDLTRALLKSVWDAREDQEKYDSRNIPWVWFARNTTSN QENDYLII PEX2_076140 MLEKIKAHIDDLTSGPTPKIPGVVCIAVNRKGDQIFSHASGPTK LNGSEPMTLDTVFWLASCTKLLTGIACMQLVEQGRLSLDDPDQLDTIVPELKDLKVLS KDESGNFKLVAQKNRITLRMLLTHTSGFGYAFDNDELCTWARPVGLDDFSGRREDVLY RPLTQQPGSGFTYGVGIDWVGEVVERVTNASLEDYFNRNILTPIGADEIRFFPGLKMK DRLAYMHFRDANGALSVQDHICRNSLQSNPNISGHRFCMGGCGAFGTPLHYTKVLATI LNDGTCPKTNYQLLKPETIQDMFTDHIPKFPIHRNELSHAAKANLANSCPIIPEPGDP TDGWGLTFALSHEESPTGRSKGTASWEGIANLYWFADREKGIAMIIATQILPYGDFEV VRLYRKIEENIYASC PEX2_076150 MTAYQAKLSSEGHTYTKSDGLVGGLHTYGVIQPESKVHQADDGH VWETIIIGAGYAGLIAARDLVKAGKKTLLLEARDRIGGRTWSAEVDGTTYEMGGTWVS HVHGRLFAEMQRYGLQDEVTMTRTENGGCSYFTLDTGSGSRTFTLQEAGEMTARAWRI FINIDGNNCRDICPLPHSSLGNFRVSFEQIQAVDQLSCRDRIEQIKHLLTADELALIE SLVPHCGGGSVEDMGFLEMVRAQALQGYSPETFEEIWTLYKIRGGQSTLARRIFDDSV RLGLQYEFKTPIKSIMERRGIVSITATTSSTHTKVYRARRVINTIPVAVLPTIEFDPP LTALRQEALEINQLDYLTKIHAEVEGDLRGLRGCTWPGELLYVYGDGFCAGGKSTRIT SFAGDNRGILNPLEEPERLEVALQRFHPMNIKKLVFHDWVADPYAKAGAAWYPAGFLT KYLAELQKRHGNVLMANSDWASGWRGFIEGALEQGALAAGTVLDEIDVSSANAVPCSK I PEX2_076160 MRLAIAGSGDLASYLVEEFTAKGHDVVVLSRSLKPRFEGLPKVT QAVVDYSVESILNAISDCEAIISTILDYSEGFVDVHLALMEACKQSRVCKRFIPSEFG GNIETHPHQPEFYFHTREPVRKMLREQSELEWTLVSVGWLIDYVVPSKNRYLKDIGPA FPIDVANQTMVIPGTGREPFNVTCARDVAKSLVALLESAKWDKYTYITGEKTCWADIS RAFAEKYPALSVSHKAVAEIEQEAMSGGDDAIFAEYQLYSVTGAACFDDATVQDQRER YFKGIFFRKVQDLLEAVDKDDQIIV PEX2_076170 MASFCVVVCLFVACLPRSLQSQPRSQDLHQNYQRLWNAICDFLA AHTHESVFVQSCLELLSPLLGPLTSSNTPPAIKTSLKELVGPLSKTLEHLRQSSKSPA TAEDPMDLDDRFMAETPLATDETILILNRNSLPIVLDPITYQRCVTIQLSIILRTQAD SGSSSVVEYLTGLDEADILAAQAILPEIFQLCSKSEPSELLSILEDLGEKCLQSYEME RCEASHCVCIRMMTSFVDSWTKGPDDTLKESAMDLYTWFMEALLARKRAAPKVYIALA ELIQGVISTCPSYGNEQSLPSPRTSLFTILREGDVPVKFNVAKFIPALFERFILKDHD AIFDDVLESLPRDPEWIEGIAVRLFVLSQLASRWHTLLRRSIYHLFETPAQVPLSLWY AERCMVSVSQTLGMQDAKELFRLFSSQILYTWTETQSIMSMPYSIFGYDSLQKMLVDV KDEIVGQMMMRAKEQETIELAKHLQIPHLVLLETSFHKAEAYSIARDISTPPGQGSQP KGVEIRLRKLLGADQFMTQIESQFPLIIATFFKSLDYYDQIDRAFSKRENFGYALDIQ TQIINKSTSQNSLPANQQPSFRARYLLDEIEFLCRRIGFEFELIWTPSLVSFVCRSLL ESIHPALGSLHACSVIRKIRILTCVAGPIILQDYPLEMTLSSLRPFLGDIHCSEDALG IFWYLLEAGKPYLQVTPGFTAGIAVSTLVTLRRIFSSLPENTTQESQLRKVQSNAKEF YQWLVELFRELRLSDWDAETNVSFDRLVSLAEKFSTSQDSSGGQVGKDLVFEILKDRD SVTSLLSKPVADLVLSLLCPEFEQTSDNGNWVSNEDVDPTSHVVSLWHTLQNSNGGPQ YRLWAARVIGRSFAATGKIDQLLLREQDLSLFQSPETSLSSDIFCHSKARILRVLCDM LHVQKHFEAGLFERTLQMIVGNITRYPDFQGCSEMIPESLMKALIWNPYICPAVVLSN SEQERCENATTSASGLSVADWARSVSLFLSNASLEDPVIGSLRKVLNVTPGLAVQLLP YVVHDVLLSEKDDKGERVGVREDISAAFRQVLSEAGEETLPHAQLVINCILYLRDQPV PDESTIVQRDKWLDIDFGEASLAAHRCGLHKTSLLFLEIQTSRVAATSRRSSVVKYEP PPALLHDVFKNIDDPDLFYGIQQSSSLSSVMERLEYESSGFKNLLFQSAKYDSEIQMS DNGESYGVLKALNATNLQGIANTMLSASSSANDAPVAFDSMLQAATSLQKWDIPVSPL DSSPSATVFRTFQSLNTSGSLLEVAGSIENGLLTTLGSLLKTSRSAIQLRSSMRALGI MTEISDTLQSASSEDMEEGWQNIMARSSWLKTERESSYHEVGEILSWHEALFSSVRKN DILKSRAKLSLGDSRLLEAKVIRRSLEITRTHGISQASLKSAMSLSKLAEPCAALGMN IDGASKFDLANVLWDQGEMTASIRMLQQLKGQNDLHKQAIPLSRAELLVTLGHYVAEA RLEKPDSILQEYLYPAVKELKGNSDGEEAGRVYHGFATFCDQQLLNPDGLEDFKRVEQ LRDRKEKEVLGLEEMMKNATGKEREYLKNYRAKAKQWFDLDDREYQRLLRSREAFLQQ CLENYLLSLRESDTYNNDALRFCALWLDKSDSETANSAVSRYLNEVPSRKFAPLMNQL SSRLLDVSDEFQKILTQLVFRICVEHPFHGMYQIFASSKSKGGKDQSSHSRFRAANQL VDRLKNDSHIGQTWIAVHNVNISYVRFAVDKPDSKYKSGAKVPLKNLTTGQRLGQDAI TYKLPPPTMKIDLRADCDYSHVPTVTKFHPEFTIASGVSAPKIVTAVASNGERYKQLY KGGNDDLRQDAIMEQVFEQVSSLLRDHQPTRQRNLGIRTYKVLPLTPSAGIIEFVPNT IPLHDYLMPAHQRYFPKDMKPNSCRKHIADVQTKSFEQRVRTYRQVTEHFHPVMKYFF MEKFNNPDDWFSKRLAYTRSTAAISILGHVLGLGDRHGHNILLDEKTGEVVHIDLGVA FEQGRVLPVPEVVPFRLTRDLVDGFGITKTEGVFRRCCEFTLEALRQESYSIMTILDV LRYDPLYSWTVSPLRVKKMQMQDNQASDGPPALPGAADALVSKSENENEPSEADRALT VVAKKLSKTLSVTATVNELVQQACDERNLAMLYCGWASYA PEX2_076180 MAVDLFLKNLRIKTVRAIVDHITETIPVPGEGLWEPLSLDYTKC LASLLRYRPHTEHLDEEDWETLISFCLASISFKENEESQLSIRSGHRFVPEDQDASDS RSTPLRVIAPVPFSRERHHGNINVIEEVVICIQLLTTSPNPLQTTAQSILHGLSEFVE SSVGGNAHQLAFNSINAVVTKVMFDQSELVRSVLLGLLPVIRRLWATKLQILKEELLA TLMLSMIVLVDTARKEPSESLAHDIEGLASTLHSEYVRRSEKEILQIDETTLYQNEAC QNRPVYGPCLGTARSEHNWTVLWLIAELLKLSDGIRTRIKSGSTREGSSKRQRFSSEI QDVFRDSVSATGTRRICALQLIPFLESEIDIETKEPFFKQLVLNVSDSNGAVSSWTMI ALTRYVNK PEX2_076190 MGEVFLDKTISLLSSEKQKARSDGLAGLILSTLSPRRITGPNSP GRLEAYLPAE PEX2_076200 MAEIQDTRNGLRGLYQDLSALSNSQLPNVDRLCFELEIHIQDFR KLLDKPAKNNASRQAVLSGKITVADVEYSVNQDFQQGALQLADALDIDEMEAAVMFLA AQENSQALDRPPLIAAIISFHERRLFTLECLRLIFRESRETEHEMTHPIMHETLAHVL EIKDSPLRNASLFARKALSSMADIQKWLSLLGDQVQKASVVGQENDRDIMEAIEFQRT SLQQQHESLGAILFYMFKGTYTSCEDFRILVSQLKNIDRFDGLLFHYIPVTIVSFVQH GSPEGSGSEKEARDLHKLITTSKDGQGWKLSNFHAALVALWLSVYSGWYFDGLSSPSV DAEKESEERTKMFMSSLDDGALDFMLAICSSVNTEEWADPARSELVTLLLRESVVSLP DPEPCSGFMKELLMENLEVFVESCVANMPDAVRRLKSEEDSQRLDQITALRDGLTSNL HRGLVEVRTHLESLLMIISFAFEHRQEAAQEFWADPDGNLYGFLQWASKRQTVPRVSA FCEMLCSISEGEENAAAAHRFLSEEDKFMSSKFKRSTTMNWSQMFAELKLYASRVTEK PSTTTSPQGPPGIFRPRKPEPVEMNEPESPVMLTCYLRLIGHLCKQSRPIREWMLQNE SFNVVSTLLDLCSGPIPSHLRATTFSTLSALMTDKLSTVGKETWVRLDGWLSGGTGAS GIGKVPLISNPAVWHQQQALQKIGESFDQTNSFVVLINALTVPSSDSIDDPLSLPFPE TLGGTYRMSGIEPYVDFILGHAFSRKIQDLNEYQSRLLTFNCLDFVVASLKSFNEDLV LLLSQPSVSDSPTQTSSLLTYARSHPFARVVDWLFNEDVLKSLFATSKQDVSDVATAS PDSVLVLTLHRSLEVMNLLVDLQSTYFNIVKPLVHSQPVPSRTNVANSSLASFEDTVL NNLTLIPSLCLYCGTAHEQLTVISMALLEKLTSSRKLNKMTSLELVKWQSSNKIVEVL ASEVDVDSISRPLVLQMEPDVRELDFGPNAAGYVIRESLLALLNSCLGTITDRPTVAH LLLGFDCVGNILDVSSEGLFANQSSLLHAIVNFLKSYPEILDGGIISWVVHLKRMAFE VLKHLWSSKLANYYTLGEMRAQAFLREMFAYQPIIGPSTAWNGFPIDSEEFWLTEAAT ALAEFLLYRSHLFTYAATEVRSTAKARSPALHAQILSVLLGNTLADNGEPISSPSVFD LFDFADLDVGREFNMPQLYLLGDVALQLESKREDGVYSMEGVEEMIQMRKAELTANGP LRPHDEEQLLAEANDLKLFILATKQNNLIQINRYHALRSWVELVATMITCSAIDEGSR PAFILHSIQLILPKLTTAIEADLPEALELARLAELLIGGLASEPSATDASRSGDVIDE KLHQLFQLCIRGTNLATGNVLLRETLYSICSHYITRITSSNKGHENLRRLSQHVIKAA GSSLIETICDDAYTGQESCRASALVLLNSLAVLDRQTDCILAELISRSNYLSLFLDAL RSLPLELHNAQGSDTPALLAYFESLLSLLQRLSQTKGGANCVLNSGLFQAVHESRLFA ADPDIGIDIENPDALQRYYDLLLSVLQVIVYAVFSRGIHNEQLKEQTRIFLAENRPCM VGIFKRSAKIGNTSTAHHETLCELVKTFMALVTATDFMEFEEQEVQHIARPALFS PEX2_076210 MHPSSSSSFGARIRPSRRLPLLSKNRPPPLKSCLAASDSDRDST PPQEPVRKTVRFPDDKLFLEQVRTIPARPDRTKKAIFKRGQVAETKSFIMYTSNPSST NQRFLSKLPGSSGPRYENHSQWMSVVRARYLAAAYVSEPRSPRSAPSSDESAFDMSSL KDALTEPASGSSVHGSDFDMSSLADALPDPNDTFNMRSLMDALPEAAPKPCGFASDMS SLKRALPDPDAPSEVSSLAPAPKSMVAPHSRDLPPKVHVRAVQTHVQVGPFSTTCFFN LCGLCLVLGSFFPCLAPALYAAFALLSIYGTCFF PEX2_076220 MGSIVVNHVPSDHNDMVGGAINSDSVYSFAQPMDTHSTSSNTPT STAPPSVGSARLPVHANDVPAYINSYTPSQASRASSDTPNFTPRPPSMTSHPSHAFPQ DSYSSAPTPPTQSGSNSYSSNQSAPDSPRLQPTYQSMQSVPGSEANSPSRIRVQSLSH IQSLASEDLMSSRSSHNPGTGSQQRQYEISSMPVTEIIEMVAGLLTKITTTNDMHHEH VHRHIPPPEGTSNLSPQATSVLAFHGKNVPTITILSYLTRIHKYCPTTYEVFLSLLVY FDRMTELVNRDQLEQLHQRWDSAEESGSSSASTESPGSPGMQDSLMATPPPSTGFTAQ DSQGSTISPGLSPQSDAEALSHFFVVDSFNIHRLVIAAVTCASKFFSDVFYTNSRYAK VGGLPLVELNHLELQFLLLNDFRLAITVEELESYGTMLVEFYAREVVTQQQQQHHRSL PRAIDPPAADAYSDGMYMRSRDKPRDHAEFGQTPTPP PEX2_076230 MVAPHGTSGMLGEDGIHVDMNHLKSGEVNLGTSIMAINFKDGVI LGADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVSYHLNMYGVTNA EAPTTQVAASLFQELCYENKDALSAGIILAGWDARHGGQVYSIPLGGSLHKQSFAIGG SGSTYIYGYTDAHWREQMTEEEGIDFVRSALQEAIKWDGSSGGVIRLVVLTKAGAVRH LYLPDNGYTGPGVTN PEX2_076240 MSESPPPPAWSISSMANSAAQFMRLPVLASSGLAVVASGLLYFK QNELIYPRNVPVDARTNVPSPRQFGISDFEDLQIPTPDGESLHALFLRQRPGRFSRNL TVLMFHGNAGNIGHRVPIAKAIQDTLQCNVFLLEYRGYGMSTGTPDEAGIKIDAQTGL DYLRQRSETRDTEIVVYGQSLGGAVAINLVATNEEQGDIAGLILENTFLSIRKLIPNV FPPARYLARFCHQYWTSEDVLPKITKTPVLFLSGLKDELVPPSNMTQLFAVCQSECKI WRTLPNGGHNDSVAEPGYFEHILSFITEEVLPNKQ PEX2_076250 MATAHNTVAWYRSTLEQLPASTLKLFLQYTGLKSEDEVKDHIYK IRDKAWQVLSPIYTQVVDRVREGQTLLDLGCCFGQDLRKISFDAGLSSATNLIGADIK GDFIQLGYELFRDEDSFSAQFVTGSIFDEDFLADRRGSVDLIHLGNFLHLFGFEEQRA IVARLEKLLTPRAGSIVFGRNISAEQGGPFFMESLGWNMYRHSDQTIKDLWNTVDVEL WEVHSQLSGYETAATLGERFGDWQGDDTKQMSFWVVRMDG PEX2_076260 MPSFRLQGFQSVIRGNSHLLRHQQRRWAQVHDVRFLASHHDPKY VLEKYRSKLDQKAKEEGHESVESLKEAYNQKIQELRREASTAATPEPRTPTSTTAVPG TPPAFQPPPPPQESRTAKAARAVSSDSSPVKPLSSYLDVEKVRELPAKEIEALWRLRF AENSHAITAAIPLDTYKRIMQAARENPQFILPLPRAQTPEEAEQAPEGAGTVADIHFL QWAFHPPAEGSTLSPSNNHTSTVIFTNLGVYKMHGAFAQPHTTITHHLDLADEKGLVL MHGQIIPDGGVSAPEATWLVSCVQRFYDFEGQASGRKSELVRMFTRGDVENFKVEELV DEAEKLQ PEX2_076270 MAIHQKEFSTPPPHPPVGTPKDSPSALPWYSIAPGVWEFLLNGD GEHKAVLQWWEPNTVSAQTGPITHTYIEEVCTLRGGLEDLSLGQSWGIGAYAYREPGM EHGPYRAMKEGCLQFVKVVPVKK PEX2_076280 MSTPGVSSQTSAAFSAMATPQPETSIPAGPRPPRSSRPSRRRGR GSAKRDGQQQTPAGEPQSQAAPQAHPTQSQPQPNTTPQPSQQDGPSSSRRGGNREGRG RRQRNPPRQGQNQNGGHESQETRPEMRTRGMRARGFEAQLTRPDQAENGPLLDGAGHL HDQSLRADVPDFVPGMPSSQQRQPAESTPSAKGKGKARQPRAPPPPKVTAKSTADDLA TRIHEDISHNLYECPICCSELGRRSKVWSCELCWTVFHLSCVKKWSTNEGAAAQRASA QEDGQDSPTPRAWRCPGCNLSQQNFPTSYSCWCEKEVDPRPLPGLPPHSCGQTCSRPR KNCPHPCDTTCHAGPCTPCTAMGPIQDCFCGLNSSQKRCQDTNYEDGWSCGEICGELL PCGEHTCPLPCHEGLCGGCEVSIDARCYCGKLQTEMLCKSKDDEEDSRIVREDGSEDE WTGCFGCGETCNRPYDCGKHSCQKNCHPQDPHPAHCPQSPDVIVNCACGKTPLSEIPG YTPRTSCEDPILNCQKPCGKTLPCGHACEKLCHVGSCGACLRNVHIKCNCGRTNNLTI CHQGQNEPPQCPRMCKATLHCGRHACTERCCPGEQRANERQAARRKLRPHLRPADEDI EAEHICTRICGRMLKCGRHTCPELCHKGACNTCREAVFEEIPCNCGRSVLYPPQPCGA KPPACNFPCERPKRCGHPQAAHTCHTDEENCPKCPFLTEKACLCGKRVLKNVPCWLTD ARCGQVCGQLLKCGSHFCRKDCHRPGDCEDATKPCSHPCGKTKTMCGHPCTEQCHAPY ACPEKTPCSSKIAVTCSCGRLRQERRCNAAKAVTSKGQVQSPPRHPELTPLVCDDECT RLERNRSLAGALGIDINQTTTLQTITAQNLPYSEETLNQYVQLACSVPLSTLQTYESN LHALAAADKPTRSFRFQPAKSTLRAFAHSLAADWGFVTESHDPEPHRHVFVLKPVAWT PPLFGMGSGSTIGIGGMSVRECVKLRERERSKEQEARRVAALEAKATREAAKVQASTG DGGWAQVASKSKGGSGAGSRAGTPVQNSWVSKSIYAALDGDGAKKERLVLRSGVGVGK SLRAKTPAPEVVDDWEEAEEKEEKEEAGQQDQGQEISVREDPEGKPELAPETEAPADD GPTQAEATGAEATQAEATQAEAPITDDVPV PEX2_076290 MVSFSCEACGDVLTKKKLDPHRGQCRGASFTCIDCMVHFYGLEY RAHTSCMSEAQKYQGALYKEKPQKGKKGQNNKQNQNQTPNGHHQQARVENAPPPPAPT PPPAGEVRTPAPAPTNNEKAVNVFDYLVADETPNASKVSVVAPKEQMKMNPNAKSVFE PSESLTRVETNPNTDDEGKNYDIAFEENGFSYGAGQIPHSASPPNVSTTEFVTPAPKK KKDRRREEKAPGTVSEKKRKRGQAEVLSTPGEAVDTAMMDAPSSIINNAGTPMLNHSG LTGGLNRMMRSASPDGDDSPETSRRAYQDTSSPIKRSRRNGKEANGNGDQGLGISMKN RAGRLVSSMFGGSAISSTNGSETGPKALVHNRRGSSSSGDGQLDVRKSKKSHRSRAEE DDSRKSKRKSSNPTDGDRPSRRLKQIDERRGSVDSSHGHQVTVYKQSRPPARTDEDLQ RELGHHFLSLVASHSERGCSINKALKRFHRDLSDEYDADRGRDNGRSRADRERRVDDE KDLFRALRLRRNDRGEIVVFI PEX2_076300 MASRQITRRIVTSASIALCLIFFLFIRPQGPPSPAVRAPGHIDH SAPAAPGITIQDSTLKGDVVMPRLGNETVKAELGRATWKYFHTVMARFPETPTEDQKE TLRSYIYLYSTVPVRGSVLDRELTGDDISGECAEHFMQHLSKYPPQVSSRNAASGWAC FVHNEVNAMLGKPEFDCANLGEFYDCGCGGDEEGGEGKEGVAASRSDAGKEGTGKHDG PAVEISKEETTRG PEX2_076310 MAILQKITLHSLLLLQYALSTVATQDDLHYVNPLIGSTNGGNVF AGASLPYGMAKPVADVDGQNTGGFSTDGSNVTGFSHMHDSGTGGNPSMGNFPVFPQYC KDNILDNCKFPKAARAIHYKNDSVVAQPGYFALTLDNGIKAEMTAARRTALYRFTFPK GQTADGDDLNPLISVDLTDLWDSRQNASISLDADKGRIKGNGTFLPSFGAGSYKSYFC ADFGDAEVDDSGIWVNDRAGSVQELFVTRGFNNFYLQAGGYMAFDRPKNGIITVRVGV SFISSDQACKNAEAEVPELEEGFDKLRKSAEAAWKQKLSPIKVTPGGASKDMISSFWS GIYRTMLSPQDLTGENPLWKSDEPYFDSFYCLWDAFRAQHPLLTIIDPKAQSKMVRSL LDTFKHEGWLPDCRMSLCKGWTQGGSNADIVLADAYVKNLTGIDWELAYKAMVNDAEN EPLEWSYEGRGGLQSWKHLNYIPYLDFDYIGFGTNSRSISRTLEYAYNDYSLSVVAKG LGKKDYTTYLSRSGNWQNLYKEDQKSLLGNGTDTGFTGFFQPRYINGTWGYQDPIACS ALASWCSLTSNPSETFESSIWEYLFFVPHDMAKLIKMVGGPKSFVSRLDYFHTSGLAD MGNEPVFLTVYNYHYAGRPGLSASRAHAYIPSSFNATYNGLPGNDDSGAMGSFLAWSL MGLFPNPGQNVYLIIPPFFEAVAITNPETNQTATIRNVNFDKTYKKIYIQSAKLNGKP YNKSWIGHEFFTRGMTLELTLGDKESDWGTRKEDLPPSMSDSVATVGDHDGM PEX2_076320 MVKTLPFGDIQVPTPGFGAMGLSFGLGSNLSLEQAEPVLLKAIE LGCTFWDTAVVYQAGVNEKLLGDFIRKHNVRDKVFIASKCGFEVMGESSSVSITNSAS HIKKYIEGTIERLGFTPDLYYLHRIDPNTPLTESIPALDEIRKAGKTKYIGVSECSAA TLRKANSIAKIDAVQAEYSAFETIHETDGLIETAKELDIAYVAYSPLGHGWLVDNFDY KSPDDFAPDDFRRNSPKFQGENFFKNLAIVEEIKKLAARKGCKISQIALAWVASQGMI PIPGTTKAARLEENWASRDIELSAEEKQEMRNIIDAAKPHGSRYGPVHQAMVGH PEX2_076330 MGLLTAAEGRDDDKNIDRTIVGEETHGSQTTKSEARGANGDSPS QYDPESPPLSDGSHAGDMFDDEKELAQHPDSITEGAQLGQQKAEAAALSWSWPALVGI YAWIWICTFMLAFHSNISGFLINYIQGGFKTAPQVSTSYILANIVGGVLKLPLGKTLN LWGRAEALVVSTSIYLLGMVILAACEGPNGYAAGYVLYWIGYYCLYLILNVFVADTSG LRNRAFAFGFMQTPFICTAFTGSIAANSIYARYGWRWGYGIFCIVMPFAFLPLAMAFK YFEKKAEKNGIFRRQPSGRTVGQSIIHYIHEFDVIGAFLLMAGWVLFLLPFSLAQYGR STYSSAKFIVLLILGVFMLCIFAAWEKWVARTHFIRYELIKRPTILGACILAAVLFFS FELWDQYFYNYVLISYDISPVHANYMIQIYNVGSCFFSPIIGAVIWATARFKYICLFF GAPLMILGSGLMIYFRGADHGIGYVVMCQIFIAFAGGTLVIGEDMAVMAAGGREGTPM MLALIGLFSNIGGAIGLAVGAAIYNNVFVDTLTKQLPDNLKANATQIYLGGITVQSTY PWGSPLREAVAYTWGYAQRLNCIASTAILALTIPCILVWKNYDVNLKQNKGKMIF PEX2_076340 MLLENDASWKEQLEDRISKLEESITQNTTQPLRIASGNVSPPQR LSFNSVENDTPRVLSNSLHSEPSATVTLNLSCSLGAFPASSMITLTMGDIGANPGRNP DLVSCGIISQAIAEELFTFYKQNLNPCIHNILTDNDTLSTIRTRSPLFTTAICTVSAL CTGSEDYNALLKHFTTQVSGKVFSSSHTFDDVRALCIGALWLNEISTALNSLAVRIST ELDLHRCITKMPHTKRACYDRTRLYWLVYLCDHHCSLIHGRPPLTRDFNSLRRPRDFL QSYFTNPSDLSLISQVELWSMGSRVFDVFGADIECSEASQRSDKLAQLSAAYDGWLEE WLSMLSFTNAPATFSRRVFDLYFYSAKLYLFSHIFRGSSQDAKLPEAGPDIGADKFAH DAVRSALAIIRCIVDDNQSSSWLGKLPNYIGTMVAFACVCLVKVSAQQGPWDRDLQGI PNYLQRLVQVLLSSPVVSHPTHPLLSIARSLETATAVAGGVHDQGSLASIDMRDLDLD LAFFDMFTSDGLNGCPDSRSAFSGM PEX2_076350 MKGGSETPSVDGSTLSAHNREKLGTDLENGPLDLSDTAKEEKAR DPNIVDWDGPDDPENPLNWASGKKIKATCSIALITFLTPLGSSMFAPGVGQLVKDFNV TSIELSSFVVSVYLLGYCFGPLLIAPLSELYGRQYVYHVCNVLYVIWTIACAFAPEMG SLVVFRFFAGFAGSCPLTIGAGSIADMFAQEQRGGAMAAWALGPLIGPVVGPVAGAYL AQAKGWRWSFYVLAMAGGAITISSIVTIRESYAPTILARKTKKLQKETGNMNLRSALD TGRTPKELFLYSIVRPTKMLFRSPIVFLLSLYVGVIYGYLYLLFTTITSVFEEQYNFS QGSVGLTYLGLGVGSLIGLFILGATSDRLLNYLSTKNGVTKPEYRLPPMIPGAFFVPI SLFMYGWTAYYKTHWIVPIIGTSFLGTGMMITFMCVSTYLVDAFTDYAASAMAANTVF RSLAGAMLPLAGPKMYDALGLGWGNSLLGFIALAFCALPVAFWVYGERIRTSPKFQVN F PEX2_076360 MIIPTIIGAVLFSGQAFAQYPPPPEGLKWIDSKVLLGVTLSYKK VPGNFCDSASESYAGYVNFPPNTMKDAIQDFPVSLFFWYFKSQSDPATSPLSIWLQGG PGGSSMFGAFTENGPCPVKNDSQSTYRNEYAWTKHSNMLYIDQPVQTGFSYDIATNGT LDLLSGDVIPDSDTQGPFNQTGTFSSQTLTSTANTTANAARHLWNFAQVWLQDFDVYK DQSENDRVSIWTESYGGRYGPGFAAYFHQQNEKITAPDTFTPINLDTLGVINGCIDLR KQETADPDYAYNRNTFGVTAIDRTQWADAIEDYEKPSGCQDQIDNCLHLAAKHDPHMY GNVTLVNNACFDTSTNCQAEVEAAYIFTSNRGFYDIGHCSLDPFPANYFIGYLAEAEA QQALGVPVNFTLISNTVGRAFNLTGDYARRDSLGYLGDIAYLLEHNVQVALVYGDRDF ACNWVGGERVSLDVQYESSTAFQAAGYANISNADGSDVWGQVRQQGNFSFSRIYQSGH MVPSYQPEAALEIFRRVMNGKDVETGEVEITPSYSTNGTSASTHTDRLPPAPSPTCYL WALKGTCAKNQIDAVGDGKAKIKNYIITEPEQPSDACPYTPTSDDSINPALSGIHWHQ GL PEX2_076370 MVDDWDDPGKAGEAKKVINTAHILHFTFPELLDKGGFPTVINLI GGMMPDPKNPNHDVVYAHGYVPKEVLVLFSNPEDHPKVTVTASKVYSYYCGYTPFSHG ITFRSAVINGHASLLPYNEHISSCKEEVKDDEIIKENEKLWALFNIVTGMFGSEDRWN DTRRPFKDEEVKRVPVLRVEIDKSTSRLHERLGVLGYEPDWETSDGRKYWEGAIPIWE TYGDPIKGKTDRAFPDYLNKVFTHRRESNEQVAVEEATRPFVPKK PEX2_076380 MAWPSRNNQVDYSSLPQLHDVGNEDSTFYKETSATQAPFVTAAE PLSTEYGFYNPRVSLGAALLHPNSALPSTIAPFNLEYMDQGAMQGLPVQWNGTIEGPA TNHHPLVENTVGVEALYSASTVQQPSPPKDSGIPTTRGHRARGRPAKKQE PEX2_076390 MSSHSDPCLPSGYTLHSGYPSVPEYLHLRSAAGLSVKTPSQAAP VATGSWYGCYITSDAINGDPRPIGMGRVIADGGWYYHIADIAVHPEHQRKGLGDVILK ALLQRISQGAPSDGKPYISLFADEAGRKLYYKNGFKDAAPGGLGMVFKS PEX2_076400 MPKMMDSGLQSYKKTGRNPSNDILGPAVFFLSGQSVLAESATST PLYQLNSDIKSISNKDSSVAFERVDQDVSEHENQNEGVTASKSRKRHIFYLAHPVNAH YRTDIPAKYYITAAVPEMVGNIRFETFETRFKKISFKAMLSANKTASDKPLFNEGTQQ LLLFDIQPNWKVGRNCYKWSDSNGRQVAVEETEGEKYKVSITRSISQELRDALVATWL LRLWHDTAEITSTFSFG PEX2_076410 MFPKFLEPQLRGLSLGLVAALGVCLVLNLGALLLSLRILTRETR GYTYLAGDRPREIPLKVRTIQAAFHDDPSHYGMEGIVATAEWNAIRPPGKGYVFLGEE HFAFGVSMWHQMHCLNHIRAVLVNGDDGSDHTAHCFHYLRQGILCAADTTLESGGTSM KLANGDQVATGGGTVHTCRDWRQVHDWMESQHDEWTADMYERFQEAS PEX2_076420 MLVMGLSILLIYVLAVLAVLHSAHFSYGQELNEGQPPKCNKLLT RKEWRTLTRSEKAEWVGAIKCLASIRHERLSLTENQTVLEGKRSLYDDFSYSHASVEH SAHRNAYFLPWHRWFTYLFDTSLRHTCGYSGPTPYWDWSRDHADLFSSPVFEDSSEYG LGGTGDCDSSPEADCTVTTGAFAPSNGNFELAWPIPHSLRRNLTLITGWYPHELPQNR TLGPDFVRNSTEKTTGDFFRFQHAMTLMHNHVHDFVGGDLAGDCPKILPEGDCQGMAI SFTPNDPLFWLHHTQLDRLWSEWQRHHPSNLHAFSGMPLTRHNMTDPRYDLDAHADHL MPFDVQSVPVAPNRVFDTEGWPLCYRYTDEE PEX2_076430 MCTSIYLSRLALVLCIVFPFYLAIRGAASLSDISIILSSLSERA KALHVVLTSPPAAPPAPIYKEAFEDSAKPAGPVRAPEDGRRNKYPSLPDGLAHCINSF EQYPFLAEQVLQRKHARYSKQNLAQKAISDKLGYLAHFEKARKGIEVNTRFSEKIALI AREDYHTGPQALEDKEDADFGVVGLAFGHLSRDWSTQGVKERQAVFPPVLGGLEQHFG GNGRGKKVLVPGSGMGRLASDIADLGYDVTANELDYGSILAYHLLTNHTTSLHQHTLQ PFVTKWTHQANPSSRYSALTVPDHWPNKAVKLVEGDFLEKFPRDGEFDAVVTLFFIDI SNNVVDFLSNIHRLLKPGGVWINLGPLKWGTHTALQLSTEEVLQLADLLGFDVDHMSR KNIDSLYAEQPETLLKFTYVTQFWSATKRG PEX2_076440 MKAFQFLGVGEGLQLRDVPMPKPGKDHVLLQVKAAGLCHSDTHV LHGGGASWMCALPVILGHEIAGTVVELGGDGSSSLFQVGDRVAVACVGHPIQERNFQE ALGVGCDGGYAEYAVAPIKNLVKLPDTVSFAQAAVATDSIATAYHAVITEGLVSKQST VCIIGLGGLGLNGVAIAAARGAKVYGVDISSSKFGPACRAGAIECATSLHHFSDKSFD IIVDFAGAQRTVEDAISAVKIGGTVVLVGLAAATIQFTTSDLVTKNISLKGSTSASIE ELHMVLKMLAAGELIPQIQEIAFQEVPASLERLGTGEVAGRLYTVPWGCQTAGNLEIE TSSRVTSGPTVVSHP PEX2_076450 MQTRPLSAMLPLRRQALRTRNPSPPLAHSLNSTYISSASIAPAL HTSRRRCLATQAEQSAAAQESDNKRERVVILGSGWGGYTLSRRLSQKTYAPLIISPRS YFVFTPLLTNTASGSLDFSNIVEPVRDPRAKVDFIQAAARAVNLKKKTILCEATVVKS GVTESPRTAEERRGTDEDPETTNKEPMQAHLQWEQGEMFEVPYDKLVIAVGAVSRTFG TPGVRENAMFFKDIGDAKRVKRRVRECFELAVLPFTTPEMRKWLLNFAIVGAGPTGIE LAASLRDFIYSDMMALYPSLNEMPKITLYDVAPKVLSMFDESLSRYAMETMTREGIDI KTSHHVKSLRWGAPGAPPPYHMDPKRCLTLTTEEDGELGVGMCVWVTGNAMPKFITES LDSVEAFPTDSVHSMEASLEAPQNPENALWKFKKAPKKGPLLVDGHLRVQLQNETGQT AVLKDVFALGDNAMPENGAPPATAQATNQESKWLADRLNKDDLARTPPFSFRDLGTMA YIGDERALMQIPHNGERGSKSFLPEGIKGRTASIIWKMAYISMSISWRNKLRVAFRWT LNKFFGRDVSRF PEX2_076460 MASIYQLNQPPNPFWDFANGNLEDHPFFAPRGHHGRRGRRGWDN PQQSDNTTRGAQAGEGNESNNNMEKDETPASDSDSPDNHHHGCEHCGNGKGKGRGRGG PGHHGPGPFRGKGGKGKHGHGPHAGPYGHHNASKEFPQDSEAPQAVGELPEPNALSFA SKEVIETSASDSDSPDSHRRHGGKCKNKGHGGPGHHGPGPFRERGGNGKHGHGPHPGP YDFHAYGHDHHHGAGAFGGPGRDGKGRHGHGYGHGHGPHPGPYDFPGHHHNAGPFGFG PRGQGHHHRGGPGGRGRHHGGPPFGGPFDFLRQLGAGLGFPINGPTAEGVDFTPSVDV FDTPTKYIVHVSLPGAKKSDLSIDYDADESVLHLAGVVYRPGVNEDLHQALVMEERGQ HVGVFEREVRLGTRVAPAFVIVDGISAKLEDGVLNVTLPKIVQNPEIGKKKVFVEDGD LQNEKDAMVVDERTLTPVESEESDVEDGEAREYVNVHVQ PEX2_076470 MPFNAPMLTVAYQLRLRRRILESYPATPAGNSSALGHDQPKPES RSDLLQFLSNSPDEIPTLNTDDWASDLELMHHYCTVTCKTLTIREDSRHVWRVVLPTE GYSNKYLMHGILALAALHRSYLFPAQKEKYIKASAYHQAAGLKEFRELISSPIDPSNW QPVFCFASMIMVYVCASPIRLGEDRWPAPISNMVELFSVVKGLQTIMAPWLGSLRRTQ LAPLVNCVWLEDEMLIPSQATMQQSLLPPDIHVRISQLHQFIDDYPFPHIQPQSHQNL SASDELPRPSDYRKDYKHTLRYFENSTRQIELAGPHVEVGMVLMWAYSLSKKFQEDLE AFHPAALVLLGHWCVLLRIVDNSWFIKDTSLQLLEDIETKIHPGFREWLVWPRRWVFG K PEX2_076480 MSEQHNIYGYNPSLPAAVIFIVLFGATTAYHGYQLTKSRCWYFI PFVIGGIFQVIGYICRAASHDNFYGIPLYAMQSLFILLAPPLYAASIYMVLGRTVTYL QGEHLSLVPVKWMTKLFVAGDVLSFLMQCGGGGLMSSGGSMRETGSNITVGGLVVQLL FFGFFVIVTTVFHFRISRQPTSKSQSDRDHTRNDGWKQRNWFTILIGLYIVSFLILVR SIFRLVEYKEGYDGYTMTHEVFMYVFDAVLMFFAMSVMNVYHPAEILGDGKGESQEYS DVMMQLS PEX2_076490 MPPSRSSITSDSHERLEPRLLRAAESNDVDSLKKTISLAREYNQ LTDNFLRIGLMRSAERACVAATEYLLTLGAKTDVPSNRASPLLRAVERDHYEIVRLLL DHGASPDSVDKDGRTALMTAAWKNHADILHLLIMRGADVNKLDLRRRNALHNLAADKA CRWGWGDEVVRLLLRTECLVDGVGGQDELGRTPLHWACASGNWRLAEMLLTRNAGEGE GEGRRLGPAEIDAVELRGKTALHVATAHDRADIVQLLLAHKAAVNAASDGGWTPLHNA CDKGCEEIVRILVSEGAHINAQLLNGITPLHLAAQGGHKEVVECLLERPDLKRRIRDN FGSTPFLRAAQFKRKDIVLLLAPFNNVESLSDDVKGACAAFDATVVDFGNFHNENRVK RMSVFNLLYGRDQENPRKQAVTTVPVDSRGTDFRWIHLPANNMAWVEALLTKSFIEEG AHDVDGFKGLEKSFNYQHRGQRTHSHFMRPLCQNTPRTMMRRHEEETSDEPVSDPGQA KALDSAARKQKGTGSKSEKADSYFHDDGASSHGKKSKNNQKRGKSGSAPGTPKQETKG KSPWGQNEKQARPSPLSSSTFCKDPHPLVSACNVCVFMPYLHFETTERRQKMQDAISR AETLAFVSNVMKKRRTRDEMLIDAHLSSSTTSLHVRRTLDQFFYPNIDTKSRDQDQVV YRYQTKSPGMGADPKIFMVDQLWMWVLGTNLIVTAFPQRWDQPKNDPLNVLDGIIEDI NSKTRDPVKSVYDLAMIITNRCSGVFDRHRLGDEEYQFLDMFESSIGIATDKETVLFN QFNHASGQASDWLKSHRKLNGSFSSPSKSCKETDGSSYCDEDGQPLFVDRLLDIGQET NLLAETKDIRDELNMIRTVLEHQNNVLLDFQEVVCETYQGQHRSQFEVKKRFKDQQRM IDMHLKDIERMDKQAERIYHSITDLLDLKQKHANAFEARFARDQAAGTARQSKTIMVF TIVTIVFLPLSFIASIFTINLKEFENLNLGYVAKFTFGIGFAISIPLVWVALTVDDIG GFFRVGGRRWLSNRGKVSAKSEPGEETLQALEMEKMISLSRMRRSIDGYGGSLLPVST SGTGTSRRPDLYVGGLGSDTVRKSYDLRSSLDYRSR PEX2_076500 MLSAPVKSAKRISSLFSLGSKDRDSHSSTVSSPVLNSSENPIDK RRQSSSKPTRHVSTPNAVFSSEPRTMPDNLDMESLPPPPSLLAVNQDLANSTPSSPVG RPQSRGRDMSRPSSSAGLTIPGSTPDSRPSTPSSKRRSWMPGRSRASSIDKRPTPMLP AAWIAGLDQKVVYDLGPLSRGEQIQELWNEQGDTYVYMFPHNAGRAPSFKVDSTIFAE SPSLTYLARGNDGKSGLEQPTQTLSINPISPPMSPLDSQPENDNDNDSAGSQRMAFED TPEEVQELHLYLPIPLNCDVSNPQARLTPEDTETLLLFRNLFAFLLGQSLIASPKSST LFSIFMDVAVLLARFEFSNLDASNFGETATSSFSNYCEELRLADVRRSREKTIEAIVL GERLRYYPLYVEGFVHGVGKLDELKQLRSPKYAFIHPITQKRMERAFIDLDTRLRGLY GKLEDFDFPSVFSGVANSTTSEESKVVRFKNWKTGFHEFRRFTIQYYRQKYGSWPPKA RSKKNEFEENGLNRLLLSDLYHDFTDLYDLMVDRTSLTTRTIDTSGAGSDSVESSDPK QMTVRALRQVLSEYDRSTPPVTPPIPFDIPQMPSLQPLHRKPLDAKKEAKQSAKKLKD SDINAVLMGSYTREAMRPTPFIESFMQFERRSAHGKNVQDIIDLRCGQWIFLYAVIQA LPMLVVDVPDVHFNEGVEYFLCIAPRGGAPWIHNDTKTARSWFGVAGGAGVVSLPSDV VINGVEGVYRRSHCWQVAEQWAEAGALLDPPMMEDATYDDEESSISSPYQGQQSSAGS SSEPYPNPMMVPGGLTPPPPAIPRTRSPAAAQRSEHRHSFYPGLEALPLPAGIAPIEP PTRPISRYNPNMSFDDILKEVPKKDKKKH PEX2_076510 MTLTVSPDQPIDLLTGWPNPALLPAPDLRHSATTVLSNPSIGNP ALLYGPDEGYEPLRTHIAAWLSDFYQPREAVSTQRICITGGASQNLACVLQTFTDPVY TRNVWMVAPTYHLAARIMEDAGFAGRLRGIPEDDEGVNITVLESGLRAAEEIALRDGN TEPVRQHWIHEYVGITRSHRAMPLCHRFIESRTDPAPLSLQKMKSPRPWRKIYKHVIY AVPTFANPSGKIMSLRRREALVRLARQYDALIVTDDVYDFLLWSAKPEGEDNFGNRAC VPRIVDVDRYLDGGPQDEWGHALSNGSFSKLIGPGARTGWAEASEKVAYGLSQTGSSR SGGAPSHLCAAIIDQMFPTGIVQNHIREVLQPKYAERYHTLLSAIHEHLVPLGVTVPA PGAAAGGYFMWIGLPAPLIAADVVQLAQSEEKLRLSPGHVFQVPGDPQVNDEEFADHL RLCFAWEEPRHLTEGMRRLARVIKRMTGTQV PEX2_076520 MAVLQTSIAIFIAHIILLLGLLASLVAAVPVAVPVSPVNNVLAT TEKRSAASSYWVANVERQGVVPFATSTDYKIFRNVKDYGAKGDGSTDDTVAINKAISS GGRCGKGCDSSTTTPAIVYFPPGTYVVSKPIVQYYYTQIVGDAVELPIIKASAKFAGM AVIDSDPYEDNGDNWFTNQNNFFRAIRNLVIDLTSMPASSGAGIHWQVGQATSLQNIR FEMVKGGGDANKQQGIFMDNGSGGFMSDLTFNGGNYGMFLGNQQFTTRNLTFNDVQTA IFMNWNWAWTFKSVIINNCEVGLNMSTSPSNQTVGSVLLLDSKLTNTPTGIVTAWTQK SIPVGGGDLILDNVDFSGSKVAVAGVNGNTILAGGSVVDNWVQGNTYTPSQTINKRAS EVETELVTVVQTVTETVLACGASQGLPSASLAGSDVPAPAKTASLGSPPAPSPAPGAG TSSDGERTAKPSSIPALNSVLSLLGVASSQASEVPEPTPVAAPSTAAPVTSVVSVGSS PSSVASANQPSVPVTSAATPAKTTSSSSQVSQASQSGSDSSTATCGAAAAVSSARTQS TLKTASKPASLLKGGAIFERSKPLYEDVAASSFVSVKSAGAKGDGTTDDTAAIQKIFD SYKDGQVIYFDHGAYVITSTIKVPKDIKITGEIWPMLMAHGDKFADQENPVPVFQVGQ KGDTGSVEMSDLIITTKGPAPGAILMEWNVAGSSQGSAGMWDVHFRIGGAAGTELQSD TCPKTPKEKTTPNKECMAAFMLLHITKTGSAYLENTWFWTADHELDLKDHNQINVYTG RGVLIESQNPVWLWGTSSEHHQLYNYQVSSAKNVFMGLIQSETPYYQSNPTSLTPFTP QNSWNDPDFANCTTNSCRKSWGLRVLSSSDVFVYGAGLYSFFENYAQSCLNSEDCQEN MVEVDCSDVKLYGLSTKAAVNMVTSSSGKSLVPQKPNKSNYCSTIALFEQSA PEX2_076530 MARRPARCYRYCKNKPYPKSRFNRGVPDAKIRIFDLGRKKASVD DFPCTVHLVSNEYEQLSSEALEAARICANKYLVKVAGKESFHMRIRVHPYHVIRINKM LSVAGADRLQTGMRGAFGKPAGKVARVNVGQILISVRTVDRHRGTAVEALRRSMYKFP GRQKVIVSKMWGFTHLQREEYLRLKEDGLLRSDGAYVQFCRRKGELVGNMKMFPGAYS QVGVEA PEX2_076540 MVVPAVFEMASNACMDDRDERVNHLPRRLPSPIRVFILRLLESR WYRASNPTEWERRTGNILTPSLTPTLTPDAGIPHLPTPEFGWPNMVGSDSMVTNGENP ASFPESGEMNEEHESGHERDEMRNDPDDHHEPCIAVACRTLSSLYQFVQSDCVNRHAI NDNQFRDMLKPSTPEEESPANDIVFCTTRSATETVSRVLNCTGKSCAQDHSLLLVIGS ILLKILTWYEALYQSEIGELVPSSTPLPDSREDVSSRPHHSNTSHSGDKHPTGLSSPR GRMEKPIYTVPLTIPLTTGAFSFSRATEKKMKAQLLLCEVQTLSQMFQALDRRVQAAG SIRGEKDLCGQSNTRLQRQLGELQRVLTVVCTQVPSLGSNVHA PEX2_076550 MHPDCNTTPGINTSTCLSDWEPCCYGQINSPAKLHSPHPERSIA DEVDCWDIFNKCHSTSGTNISTCISDKAAHDEGENEQGDDLIYTVFMDGTSL PEX2_076560 MIQILLAAHATVNRTVNSQMLSTFTRVDCYQRRERGHQSFPGSR CQSTSYRYIQHVKGGDQKKLIRTSLGSK PEX2_076570 MKRPSNPVKFALSEGDIPLLQTLLSIDHIIDHSDSRAYMQYAIR LGNIEQLKILLTLMALSKGFCRTRSKSHFYRLQRKPGKTRWYLCF PEX2_076580 MLVRLFTLVSPLDPVNLKLNRPGTMSGLGGHFAVEFARETWALY SVGVLGAILRWTARVRRLGIRNLQSDDYIMLFAVFWYTILCVSLNEVASGGGSNLMTD EDRLHLTDSIIAERTRGSKWVFVSEHSFLLCIWSLKACMLVIYARITEGLKQKRWINY VAIYVALGFVGTELSLFLLCRPLSHYWAVDPEPEYQCFSYQYYEIVQGCISISADVFM LLIAIPMLVQVRVPLKQKMILTLLFGMGVFVIVAAVLNKVYCLVPSLISYVYMNWYFR EATVAILVTNLPLVWSLLRDVFPALKSWTGGSKRGTDRYKSGPWTSKGGSQLPPYGPP SHARSGDFGMQEFEGTTTITPTKATASDVSISEERDASSDDGSARALRIRQDITVTVQ RDSRPEDYDANSSRITRAREEV PEX2_076590 MSEVNYSPEKEVSYVPEKEVASSPDYTHDGPIDGELVNASGHRQ ELERNFGLISICAVAVTTGNTWIAQGGSVVVALSNGGLAGTIYEFIAVSICYWLVAAS IAELASGMPSSSGVYHWATITAGSKYGRVCGFYAGWWNCLAWILGAASMSLILAQQTV AMYVQMHPDFVPSQWNVFVSFLLCTWTCCCVVLFMNRFLPHIGNLGLFFILAGVFVTI VVCAVMPHVTGSGYATDKLVWATWENGTGYSQQGFVFVAGMLNGAYSVGTPDCSSHLA EEIPKPSRNIPKAILAQMAVGFVTGICYMIAIFYSINDLALVSDPSTFFPLAEIYRQA TGSHAGSVGLLVVAFLPTFITACGCYITAGRTLWTIARDGATPFSGWLGTISPRFKNP FNATLACCGLITVLACIYLGSSTAFSAFVGCFVQLSSLSYFMAIFPHILTRRSSFVPG YFFMNHTIGYIVNALSCAYIIVFAIIFCFPYAIPTSAAAMNYASLMTGGLSIFVTIWW FIHQGSYVGPKHVPLTDKALADDARNPATSSNDTLEESSRPTKKPRLEDEPTPKDVQS TPTASRKPLLQVNNRGSDSVTHSLSNELTKDDTSDEKYFNVLWRKPSAKKHKTWDGDG ILSIRGGFVYLRDTAGKEMGRKVHEACLEPGTTLSISGKEVEIDSEISRKEYLSGRRF LESTKPAPTSTPDPVPTPKKDALPPRKKGEVRKPTAAERTQSLKRSLALVTNPTANPG TAPAAFAAYKAPLLVNTVTPKVIGKVVPRHDPKAVGALVMPRPKSVPKGKQVVDVVVD PILTKNLRPHQREGVKFLYECVMGMRSFNGEGAILADDMGLGKTLQTIALLWTLLKQN PVFEAPPVIKKALIVCPVTLINNWRKEFRKWLGNERIGVFVFDDKSKRLTDFTKGRAY SIMIVGYEKLRTVQEALANSSGVDIIIADEGHRLKTLQNKSGQAIQSLSAVKRVILSG TPIQNDLKEFFAAVDLVNPGILGNFKSFIREFETPIVRSRQPEATRKEIEKGESRGEE LRELTSKFMLRRTADILAKYLPPKTEYVLFCKPTRPQANIYKAVLASPIFQTAMGNAE SALQLITILKKLSNSPSLLTAKNNNNTPNETMTALIESIPQPLHRHLSPSSSAKIRVL DQLLDTMRNKTDEKIVLVSNYTSTLSLLATLLTSLGLPYLRLDGSTPAQKRQGLVDDF NRLPASSCFAFLLSAKAGGTGLNLIGASRLILFDVDWNPATDIQAMARIHRDGQKRPC RIYRVLLKGSLEEKIWQRQVTKLGLADSVMQEKSTSNGGAQFSAAELRDLFRLDEDRA CQTHELLGCQCGGRGVQADSEVSSGAATPATIDGGGGSDEDLSDPPSDFDDGDEDYDS DESLPKPHTLVKASEVDMEKQEQFIRDGTYRAKMAGRGKKGTKEKEGDTKSQKDKMHQ SLAQYSHIDPTLLATESDPNAAAEVEDEELEAAIDDDVLVSMLKDECNLIGYVFKKTN GAEIRS PEX2_076600 MPSPVTTQRPSNRGGTTKPTSKPLDIGEPLGAHDTNTVRSRVRK WQQQGGGVVTVDDPYADDEENKAKPTPKPAKVKVEKLEKAEKPKGNPPTTRKRSHSTP RKRVVSDEHWKRTRNRASTQSSSRNLPAPKRIAEYTTNEGPRSSRGKHDDSEDEDGKG RDPPRSQAKRGTGVGSKSPIRDTKSAGARQNIDTITESDYETDHPKSVEPSELSDRLK TRSPPPEDVWAASEADFSELSRRRKRGPAKPPKGGIFAHMIDESRKMFGIPEPEPPRP TPTPTTGRGAKIEAWLSDTPDPFMDEAGSKNDIPAPLDLKSGRARRSQKLADGDLQPG TKSEPSTISERPKSSDRPKSAVSKHSKGKDSSSSVDKTPKVQDREKTPESKQSSIDRT SKSSVKSKEDNKVQDKPYEDSLAPSESELQPFSDGGSEVSGHNAPVPLGLKKPFPATG YHRLSTIASTDTLSTTLTDGTEHVPKSTSTEKRQSKLVEEAEEAEKDDQFDPDSLPVV SSQLKRRLTTHNDLMSVLSVSASRSRSIRSNRSIRTNKSRLAHATVDDLLYELSGDET KYMRELKTLVGGVIPVLLTCVLSRSDSAIAAGLFRPSLDPEDDVNFSKPIVNMGVAIE RLKNLHKRIPQDNVDALLTWAQGAQRVYREYLKAWRLGFKDVIVNLAPLDEDEVTNDA DTKSLDEGLERDENGDIVDSDGEKVDVAYLLKRPLVRLKYLAKSFKGINMLEPSPKAE ETATAYQSLVIDARKRVRDERARLEDDSAASIDPTRTRDPMTLGVLRGIGIDQTRHVR ARDFFNLSLYHSSGQLVDCRAELLYRDNASSKGPGGDLLICEIDHSDRWLLFPPMDLR CVSARRGESKHEIVVMLRSAPGDETKYWQELISLRIDEEEVGSEWISLLGSDPIPPSI CRSQSFISRAKQNKARKPSAISSPPRPHPNDIDIPIGEKATGKRRSWTPKEHSSEPST VSSVTDSRSSLSIATRETDYTTGGSELSAKPARVDLPFLPSTAPKSSPSSPEKTPTGL KRSKAKRLSRYGDSPASETASQQNILSDKVPDHNRSAIASPPPSTPTGGRFYGETEQS PARGSPREKSRSRIPQPQKTPVRELEPESPRVSSVPSATLPLIPKIRTPSSQTHLSTP TTIGPEDEEDYPPLEFLPKQEIPETPTRTRRRKSRRRPKDDDSPPPPPPHRSPSPANG KRSTPPVLTSSSGRLKRRGSSPLKHEYEPSTASDYSESDSDASTVRHYSYSSSEYSRS GGFSDSDEDYSSVSEDEEYVTPKPRALDVITDASSLSPSNSVSQSGYRAVPLQPTKTN KAIASVFAWSDKGNWEPLLPDECSIVISPGLIEAFAMGSEPDENSESMSSRPLISLEL TPLVPIRRGTAIDISIRSPPTERSQITSSNNIMFRSGNPDECDALYGLINQSRINNPT YIALQNARGSFNGHVSTFEPTPKSGGGLFGWPRRRKSYRASSSPRSLAEGSESSVGTM SSAFSALKRFGTGSRMFNISRSTIESRTGREGSIHSGSGDSGNDPSPNSGISRIAAAV KGADGIGLSNAKVRLYARESASKWCDMGAARMTIMPAPPKNPSRPGTGVSGRSDSSSP QGDNADTSPPGSGSASPRGAISSEKRIVIRGKTRGETLLDVCLGESAFERIARTGIAV SIREENEDASIAKKGGVATGSGKIFMIQMKSEAEAAYTFGLVGKLRY PEX2_076610 MGSYQGSFPRIYEHKLALVTGSARSIGAAIVQNLASKGCNVIIN YATEASDAPAAALAANLEKEYSVRALPIRADITSREECARIVSSAKEHFTNLQTGSFQ IDIIVHNAAVLYLGPLESVKESEFHRIYEVNVLGPLLLTGACKEYLPTDRSGRIVMLS SIASKTGTENTSLYSGTKGAIEAMTRVWCRELAERATVNSINPGPVMTDMYMSAPDVV KDGLALWNPLTPLVAVRETDSPEVKELGKKFGGRAAYAEEIAGGTANMPSSPSHPYYP LDANVVGYEPNQTPVLELLVSAGGACTILLGMTFALASYVKPTLRMADRIAILWFVLS GTLHCFFEGYFMLHHNHMASAQDLFGQLWKEYALSDSRYMTSDTLVLCMETMTVLLWG PLCFVVAYLTATRHSLRHPIQVIVCMSHLYGDMLYYATSLFDEYVHERPYSRPEPYYF WLYYFLMNFIWIVVPAYYLYHSISTISAALKCQSEKEKTKEKSK PEX2_076620 MNVPEAPVFPLLEGKVAIVTGAAQGMGKATASVFLRAGAKVVIA DVKVEQGAKVADELSTLGEVRFVETDISKSEDVQNLIKQTVSAFGRLDVAINNAAMSP DQTPLIDFDESYWRRLMDVSLTGTALCCKYQMQQMEKQGSRGSIVNIASINAYSPQPN MPAYTAAKHALLGLTKHAATEGGPKGIRVNAIAPGAIFSEMSVAALEIMGTTHDEFAP KVSSLNRFGQAHEVAQGADQELLAFDIDNGIKRTGQNDLDILESLEQTTRDAYNTCVQ KRWKITIPGKGKKIIIRDLLSKVVHWIELFKSVGDQAVSCDPGHAALPWAGARFLLQI AINDFSKFDFVVQGAERIAKMTARYKMIGKIYIQKESAATKQLEQAVVGVYGSILKYL VEAKRYFEHKTGVRILKSGLLGQDDFQGLLDKMEADERLVDRCTSLVQSEKMKSFPSL RYTLSRMEQPISQVFFHFNKVEDHLNSIQRREVLNWLSSQPYLDHHTTIKSRVLDGTC QWVLQHTSFTKWQGESTNSLLWLYGAQGAGKSCLASTVIEDGIKVSSQIEDFAHAYFY CSRNTAEPQRANAQSILGCIARQLSSPSSNQPIAPATISLYSKIHLADGSTRAPNLVE SRDLIVELTEAHPRTTIVIDALDESPREERADLVEALEYIIDNSTSLVKIFVTSREEG DLRLSIQAHSGVQVTWVENGSDIEKFVNFETNRLVAKNQLLAYIRVKTTKEDLKTLIK EDTISKANGMFRWAQLQLQSLRWIRTEKDIRLAMSKIPRLLSELYEDLYRTALESTEE TDRALFRNTLRWMLCTTRVFGWEDFSQAITSFVDIEIDEIDEDFILDLLGNFVVSQTT QEGSRTFRFAHLSVREFLETKPEYSIRSSNTFGAEVCLLKLLGASGSPNAQQFLSGLG LSDKDTVTLSEIGPHRKGIHDYSLQYWNEHCVRAGKVNRSDEELLLFHLLRYFLFDNS DPNCPLKGWVHALQRRKMGTYLKWYLLDLLRNYHQSRDRAFLLSCVFGFHELLRIDHY HELHDDLKEACMLAAAKHRQYDILIYLTQETKSRLVQKRVLKAIVRNNDVEPLEWFLT VSNPDLITGSVIIDATWADTKILELLLDRNKNIHITTEFIEENARSYTVIEALLSRAP DVVINSAILNNSIADIPIERLRELLGRNDGLIITSDNVAYAACFAGYEYPMRAKIDFL LERAGESKGTEENMMRVIGMCGNPYVIQSLLDHGWPVTERVMELAAIHSWVELFERLV RAGGPITSQAIVNGTVNRCDGARMVKLLVSLMDRPLDDDLWVQMMVLYAQSLSSEKTI HALLGIKPGAKVSEEVLIALTRTSMNGNIILDAILDDDREMQITDAVIVNALRNLDYG NTIAKLLNRQGSTNISSEMLLGAAQNPRFANMMTKLLLQQTATIEKPSSMVIDAVIGN QISGCETLQMLERHFGRFNLSEEHMEIAAKSGNHVMLLLVLVRCSITEATTPVLLAAA SNGSLEVMEQVLKLDNAVVTKEILIAASNNYHCRIEMLGLLWNFAPHIKVSPEMFLNA WDYTGAEFLFFRVEDSKLCQDILNAVLTAKRSPVDWISEHLLDLILESKFEIQVTDEL VMGALKAGQGWLLGAFFDHGIDIELSQDMVNKAVELEDHQALEVLVKHGNSLELNLHH ARSILDYDSPWDSGKGED PEX2_076630 MSESQSSTQDPEKDQSVTRTQSAKGGDTPETSLVNILVVSTACL GGFLYGFAANALSGSLSQTTFIAKFLSTPDATSRQDGMLGGFLGGALVGAILQAPLSN KFGRRIANAVAALIVIISGALQAGAVDATMFIVARVICGIGAGMVFSNTPVYMSEISP PHTRGMLVGLHGVGTVVAYTLAAVCALAFSFVTTQMQWRFIFIVLTAVGVIYLVTLYF IPESPRWLMQQGRDDEAKQVLQYLHRTKRDPRAAFAHAEAVQIKAQVDAEKNTPSGYL YIMRTASHRKRALCSILLWTMGQGTGITAIANLIPTLMGALGFGTTMQLGLGVVWTVC AVIGCGINVFLLDRVGRVKLLVIGGFGSAAIISVMAALEKFYLKTTYTPGINGAVAIY FIFGAFFTSTIECTAYVYGSEIWPTHLRSEGATIALVSFFGNAVAYSAPVTLALANIG WKFYMVFVAVTVASTIVIMFYFPETMGSSLEEINGKFGDKVEVELLDALGVEDTSSQG TV PEX2_076640 MTLRGKGPTLVGVLWFETILCMIVLALRIYTRTVIRRSTGWDDL LLIVTWVLMVAFAALCTASAHHGMGVHAGELGVEDTTQGMLLLLVGQSVIAISMGLSK CAVAAFLMRIVVKKWHKLFLWFWNISIMALSILLAITVFAQCTPVQSIWDSRVPSNGC SLNLTIMATIMCAWSAVLDFVLALFPWVALWNLNMKKKEKYTICLSLSLGIFAGICGV IRTTGLDALTQSTDYLYATTDSVMWTMSELTTTIVCVSIPALRPLYRSIRGNLSSNDA SNYNDLPPYGKSSGGRSGGRSGGRSAKPTFAMDTVTTTTVHGKDFDNMSGGSGRNEEQ GDDTDTRHILQHPLPHSTGIYQVNEVRISYEDRRPSYGVTSENMPSIPVKTRHHI PEX2_076650 MRLQWAGLLLGASIGGVNGMAEYMHEAMRGERVSGYGKSDNPSF VPEFKDESRPYQGHRIPRQDWTLTCSSSARGFPCKNAIDGKSATAWRSDPSDKGHTFI VDLGAWYQVGAVVVLPPTDTDTEGLITQHKIWASEDHETWKGPVAYGMWPESNRQRMS AFEPSSTRYLRITTEADEKNPWIGIAELNIYGTLYTIPRDPALGVWGPTLDFPIVPVS GAQEGSGMLALWSSWADDQFHSTPGGKTVMTRWNPLTGEVSKRTVSNTHHDMFCPGIS YDGTGMMVVTGGNDASETSLYDSVNDEWVRATEMKLRRGYQASTTLSDGRVFVIGGSW AGASNVDKDAEVYDPATRNWTMLPEAKVSNMLTEDMEGPWRADNHGWLFGWKDLSIFQ AGPSKQMNWYSAHGNGSVVAAGRRMDDEDSMSGNAIMFDAVKGKILTLGGSPDYDKSW STNAAHIITIGEPGQKPKVQPAGGGTMHHERVFHTTVVLPDGKVAIFGGQQFGIAFNE ENVQFVPEIYDPETDTFTKLQQNNVVRVYHTVSILLPDARVLNAGGGLCGNCTANHYD GQIFTPPYLLTPSGQPRPRPEIISGLQDHAVVGSTLRFRTSGPISTASLIRLGTATHT VNTDQRRIPLDVTATTFFGNTWKTTLPKDSGILIPGYWMLFVMDRDGVPSIAKIMMIG LDNRQTIQPAEEQSSAIDEQKCEH PEX2_076660 MSLTSAIKSNIQGDVWPGLPKRFQSFLFFRVRNQVDFKNRLKTF IPKITTGQDACEMGEAIKKAKKEAELAKRSAKLQGLPGINIAFTSTGLEALGAFVNAN DQTLVKKDRQLSTVFRKQQLRGGLFEKGMYADLVGEGWDNPQELRKEYQPTSDNERLI DGVIMVTASLKRDLDSKISEVKQHFLAEEGVPLNPDTYVLSQNPSIELTLVREGKVRP GAMKGKEHFGFKDGISQPIIEGWDERQPVGKEPKATKSGLIVCGHEGDPMGQPAWAKD GSFLVFRDLQQLVPEFDEFMEENAKHAPFTQDHPKPAEKLAAYLMGRWKNGTPVDESP HDDSDESLFSSNNFDYHPIEEHKKCPFAAHTRKMRPRADLEHDHAVIIRRGIPYGDEV SAEEMTDRKSSEEKERGLLFVCYQSDIRNGFNFLTTRWASNHHFPDRKSNFVGGEGPG IDAFVGQRLDHHPPRSIGLPDGKYPTEARMPLDSWVVQRGGEYFFVPSISTLENELTG PGIFDQEKLARLREENA PEX2_076670 MESPPAIAALFVISFDIRAGYVVSWKRTVPGVEVEGVVEYKSLP SGLHNVTEDLVYFVHEQYAGISAFLNHPATEAERNANMFAIGVLVPLSTGRLGKSWRH APRLKELTLKYAENMSDMQHLCDYWDTYRIGGTDPSTPDSPLDSPLSLRFRPGERLDH SSHNRAFSDAMVLETFRPALTPFHPASSLPSFTECFGPLIFPLHRAALLRKRILFMGE APVHTSCNYVYDLSLLASLPNSLLQLLPNDRIPPLRPRPLFNVGIHDIPYLSSFDPSR SIIDLETDPSWIACSTDTVLTMKPDLFDVLVTLPPPYSQQTAERAFPKISLVHKTGGK PNQTQQIELKATQRDARRYSMLRRGLRQFSNDRPTSPEQGPSDSDSTYSSSAVVEPIS WTRLAYTSFIWWASAGENRDGLSEEEEEQQIEQDSRLLASVESLSRSPYNSTSQPDPP RNPLTEDQQPLEVALVAYFRRLTSQIFVTLAGAIARYDSDLDDDAYNDAPYIDDDDDA DASLSMSRQSIHGDEGSSPLLRQRSSVSGSASHESRAGHRDGSTHETVTITVADMAEM GLDVWSATDRVFVEELVSLWWGRGAKVDSARIRCCGISVL PEX2_076680 MESPTRPILSPSKALHPVSPERMNQQTIPASPSLPSDLLTLHHK STRGVSEVQAKVAFLNGLSRGGNPANSAANNAALQRAIMGREEAESALATAQEDLSEA QTRERRISERLESLLEELHGTKERQAHERSIFEKEIRKARKEAFRAGSTLVKLQEELK HAKSEVRALKDDVGAEREAKDKAKQEAFERAYALAGLTEELEVLKEKFRALETDNHSS TLEVRAHEIRKEDFGRLSIAEGDLAFLTTPRRPKRAAAGSVRSPAPVREEDHAEATPP KRPRLSDCAPKTENEQPESEMAAEVDEDLLEEIKEELVFERRRRVAAEDMVHFLNIEC QFERCSCRLAESQGRRYIYDADYYNKFQKPQIEAEEKARAQQASIKQASIQQAHPHRA STPEASPPPPPPPVHRSPVHQATTPLVRSPQHSVHQEEPEPSRTPLAAPPVPVHQHTA PTVKAEPTDLPKMQMPAEPLVIFSPETGTFTKFPSPLRDNIRPLRLDFFDTPDLAEPQ PDVHKGHADASVPPDQQVDSFTPSGEPARARMPRPIPSDGVAEHSRAPLSVEARPSRR VERDPHGYRQPINPPKRAPSRQEPQSQRTSHPGVPDTPIDREQALAQIRARRGRTHSK QRSVSASEPGRAARASAGSNPTPARGPRRLPNPNLRADSRTEKELGERRDMSAPVRMF RR PEX2_076690 MHEIVTLQLGQRANYLATHFWNLQESYFTYDGEQSDVDHDVHFR PGVGADGSETYTPRTVIYDLKGGFGTLRRYNALYELSEDSTAGQGLWDGQEIVQKQTP IQQSEYQKSLDLGTPAPRLTSESVRYWSDYNRVYYHPRSIVQLNEYDLNSQTMPFEDW NVGEELFKDLDKEHDLLDRDLRPLLEECDHLRALQLFSGSDDAWGGFAAQYMERLRDE FGKKSIWMWAIEDGTRTQRHHQFKKDTNKARSLCSISPLASLYSPIIDIPQKLPGYLN VDRQSEWQKTALLASAIETVTLPSRLRPYQHFEASLAGEDGTHTIFELQSSINKIKVN DGQTKEATNEDGPTKAEIEFDIDFAYNGEYNKGAHIFNQVQVTRGDEPERDSESIEGR DVGYLRKIRRYNSESMLQSFHTPLSMPILDSFPHDMFPTPETGTGVNVFTALTASTRT AERIKAISATAARLVSVDEREALVNGLGEIREYYETGWSSGSDDEDD PEX2_076700 MRGAPIILLYAATLASGMVITPRHAGEAHIAVRGSGDDHDGGGP SWGGDHGDHGDGGHGGGGPPEETTPCETETPPVETPPPVETPPPVDTSTPCETDTPTI PPVVTPTSTPCETDTPTVPPVVTPTTPPVETSTPCETDTPTVPPVVTPTTPPVVTPTS TPCETDTPTVPPVVTPTTPPVVTPTSTPCETDTPTVPPVVTPTSSPCETDTPTPPVES STPCETDTPTVPPVVTPTSTPCETDTTTLPPTLPPYTTPTSTPYVTDTPTSPPPSSTP CETDTPTAPPVSSTTGETETPTTPPASTTPCETETPTGTPPPVIVTTTYTTTTCPITW STVTSGSSTYTHPVTQTSTITVTSVSTCTEACTQPTAPPTEHTIPPVISTTSVVVPPP ATEPSVPATELTVPGTETTAPATEPSIPATETSVPATEPTSPGTETTAPATEPSAPAT EPSIPATETSVPATEPTAPAQSSPVTSSTSAPPASSSPAFNSAPALQKSAAAFIPGLA LLFALL PEX2_076710 MLSLVVLPFLVFLLGFAGPASAAGSAVLGVDIGTEYFKAALVKP GIPLEIVLTKDSKRKESAAVAFKPTRESDAPFPERFYGGDALALAARYPDDIYINLKT LLGVPFNDGNEEAVKAYWSRFPALKLETAPDGRGSVALRSNRLGEAQKKEAFLVEEIL AMQLKQIKANADTLAGKGSDVRDVVITYPVFYTAEEKRSLELAAELAGLRIQALVSDG LAVGLNYATSRTFPSVSDGEKPEYHIVYDMGAGSTTASVLRFQSRKVKDIGKFNKTIQ EVHVVGAGWDKSLGGDSLNDLIVNDMVAQLVEDKKLKDKVTPAEIKAHGKTMSRLWKD AEKLRQVLSANTETSTSFEGLYDENVNFKYKLSRANFEKMAAEHVARIGTPVEQALTA AGLQLSDIESIVLHGGAIRTPFVQKQLENFAGSSKKIRTNVNADEAAVFGAAFKGAAL SPSFRVKDIRTGDAATYPISLKWNSDGKQRNQKLFTATSQVGPEKQVTVKNLEDFEFS FGQHVTQDEEQPILSVQTQNLTASVAKLKDNFGCTTANITTKFTIRLSPVDGLPEVVA GTVSCEVEPEKKGIVEDVKGFFGLGKKDEQEPLGEDGEPRESITLEPETESSTTSSAS SKSTTTSTKDAKKDAKKDAKKDAKKDAKATPQVKLEVIPISLKSVALGTPRPSVAELS RINGRLLAFDNSDRDRILREEALNELESFIYRSRDLADNEEFIKAVKPDQLTVLSERV SAASDWLYEEGDNAKTADFQSKLKDLKSIVNPALKRMKESSSRPARVQLLKDMLKNAE SMKELIKNQIDNDEQIYSSSLSASSASSTSTSTSTETTTSTPAPAASDEGLDDLDEDA YSASSSSTTSTKSAAAAKPTGPKYSLFTPADLTAITQAYESTNPWLETQLSLQETLSE SDDPTLTVVEVDSRLREFERILNRMYERMTANAGQQKKSSSSGKKGSKEKKKPEAEKG KGKDQPKEKEDLPKDEL PEX2_076720 MKSNFLIALFSLAVAAVAAPTGPGGLGGGGGAGGSVSGGGGGGG SIGGGLGGGFGGGFGAGAGAGAGAGAGEGI PEX2_076730 MEKPDIVESPRKRQKTEDAGSTEVAVAFSGATETPSATVSDAQA LKEGEVGITEFVSNDTEGFSGVLKKRYTDFMVNEIMTTGEVVHLRSLDTPSNPENSTP TTSEPTPAPKAPEVEPQATQQTQSTPAKEAETPAEFKVSEEDHTLLEEYFGPLHAAEI IALHKIAMAKPKGRPSEFGNVTVAVSDRDLRTKIHQAIRRIFNSQLESSTDADGNMAI TAANNRFKRGATAGRANGRIQGHRTNWEELGGPYLHFTIYKENKDTMEVIGYIARSLR MNPKALQFAGTKDRRGVTVQRACAVRVQVDRLAQVNSELRNAYIGDFEYRKQGLELGD LQGNEFVITLRDVEIPGVSLSDPQAAIAKASEVISTSLKNLYQRGYFNYYGLQRFGTF ATRTDIVGVKMLQGDFKGACDAILQYAPESLQAAMEGKMSTANIGADDKDRAMAINIF QTGGSVTDALQKIPRKFSAENNLIRHLGRSNKDYLGALQSIPRNLRLMYVHAYQSLVW NFAASERWRLYGDRVVEGDLVIIQEHRDKESASEVEIPETVDADGEIIVVPQGEDSAV AADDMFMRARALTAEEAASGKYTIFDLVLPQPGYDIIYPENEMKEFYRRFMESEQGGG LDPSNMRRKWKDISLSGGYRKIFSRMIGDKYSFDVKLYSKDDEQFVKTELQKLKSEDE NADTTMTDETPADKLAVVLKFQLGSSQYATMALRELTHGKATAHKADFGGGRQTE PEX2_076740 MVRLLGFLPFAMLDADTPDPIRVENTPTSVASAGQDVAITTTPG GNNKNLALEASSWVDPAATVTGIYPGTVSWPSASDLTTPPAPSGTSTVDGISSEAIPT STLDASSHNPPLSSTQTAWTALSSLNSSSVTSTPTTTTISASSSSSETTLAASDSTAF SGGPSKTTKIAIAVPVSVIGLALILALLFFLSRRRRREKERNSLPPPYDIATGHRSAV STQELMISPKSSTPEPRRSVPTPAMSSTATSMPLPMPRIPIISISPSTESRGRTPTPD PSPGSGSVHRMPMTHGPGDSETELGVAVAVPMDQRRSATEQDLRGRVASVTSSRGPSQ LARMPFEDFSDDEVGIGVAVSGSDDDDAVSDVSDLDGRRREREFDEVSVVSSFDEVSP IGEQERRRFRGV PEX2_076750 MGYSQQARHVDLVIVGGGPTGLLSAVLARSLGLSVYIVDAKDTF LELGRADALNARTQQYLEVSKTLPLLEPFGLPCNTSSTFENGQFTSQQNRWWTSLTNC QRPNFLMIGQPQVEEALLHQLDVPVTYKNSVTSIAEFDSGVVVTTDHGKTVVAKYAIA ADGARSFVRTALGIPFLGTKPGMVWAVLDTFIETDFPCCPEIITFQKDGQSRVSWIPR ERGMSRFYVLLDGEITQKRAEVSIQEHMAPHKIEFKKTEWFSSFEVKERVASTFVSRD GEGRIILAGDAAHVHAVNGGQGLNTGIADAFNLVWRIAFAAKGFGGPVLLKSYDEERR ATAEKVIDVAAKLVRSTVKTALEYVNLIEKSANYITGMGVSYPPSSALVRASSYADFV AGSRCPDLWVKTLPPTSLFIDATKESQSLFSSTKKRLYELFEYGRFKICFLGKEPSLQ CLEQGIEMQQKVEFWHVHSGDKRVTNLIHEFQGDWVGEQATVVVIRPDTYVGYVGPDW QQYLDSVFA PEX2_076760 MSAAMQQLLTTQSTSLTLTAATPLFDSITLRKAWEVLDVIYQYR LPTPLTTVDRSDEGKLKFLYIIYSQVKSSSEIQMILPAFPFKSPNRVGKTLGALPDKG EELAMAHLNGLCAAISDIYEPGAKLTIASDGLVYNDLLGVPDAEVFAYGESLRQMVKN EGYKHITFIRLRDLVHWKTDTPLDAATFEKFAGDFRQRLIDNFTPLDYDCRESILADE DVCTTYRGYIKFLTKDLEHTFVEGEVSKRSHKQKLEAIAKKMIARGKAYAEAIRKNYP DHVRLSIHPSCGSTKISIRVLPLATFTTTPWHSAPCFTVDGQVEYGLRETFDRNPDVE LVQKNGQPWYYRYKSPLYSWSQPVEFEPLYPCGLMIRPVGTMSAKDVDMRIVRELAQE ISPVILRGFEDTRDRELFVKKAEEMGTPMPWKFGLILEVKDHGSDGQGLNNVLSSEWM PFHYDGLFKIEKSQDVDGKEIVRSCPPKFQFFTSMTPSPKDTGFTLFSTSHLIWQYLP TEYSVDYLRTLSWTVETTAFDQAKVSGLPLVEDHFAHHRPCLRYHEPWPQEKTNFTPT KITIQGTPDSTQMCRVLDSLLHDRRVALWHCWEEGDWLISDNVTTMHTRSSFTGNSDR CLRRIHVD PEX2_076770 MDNSFLPYHEPGTVDILIVISFFFFLSLAKWVSAKIIQAGIIGQ IAVGIVYGVPLANILKHHWQETFVTLGYVGLILIIFEGGLGTRLDLLKQNFTLSMVGA ATGVLFPIGLSYLLLYFGFGYGAVETFIIGASLSATSLGTTFAVISSASESVNLAETR VGSVLVSAAVIDDVVGLVMLSVISDLGKLSEEGNNVNLGWLIGRPIVASIGMAIVTPV VTKWVFAPFFRRFIEYRFARFDHISNIILMTLVLSAFISIAGFTGTSVLFGAFLGGVF LTYIPSKHPEGPFVVMSREEGEREADKSPTFVHTFDKYLLGPQQYLMEPLFFASIGFA IPFLKLWTGKRIWRGVVYTLLMAFAKCIVGMWIPIWQLVLGGKSHKKESPAQQKMKGQ SKREDNNMRHKKQSEQREGHTWNRAWLAATLLGSAMVARGEIGLLIIEIGRNETPYVS DEGFITGVWAILLNTIIGPVTVGLLVRFKAQEIEGGEWGVQDSSMPVSRQVIGHQAV PEX2_076780 MYPRYKSQGEIQTAVDQFSACIPCRLSPHEADDLDVILLGHSLG GIIAADVARLQQGAQQKHRILGVVGFDVPFLGVHPRVIATGTMGLIPKKDPADEEKIA TEQESLGLEPVLKPAPFNPNFDPPFMNDVRLVDRGFLKGIMHFVNKNADNLSRSIVDR IVSPFKFAGCVNNYTELRRRYRHLMELEVAESSPWRVRFVNYYTASTGRNPRKHKTKA EKKARKSAKKDEKSARKAETEIDLDEDATKIHSHEYSETSSLSEDTEVTTSMSNMTIT RKPLKATISHSSLASKRANDYKDTLLVDHTSAALSSSTSVDQIDSQSLSGSISLSTEN GSIITTEPSDPNKQHLRKFILLPSHHWKYNDNSHWEPILMENMDEVVAHQSMFIPHGA NYDHLVGGCVGLIEQWIENDLTRRFLQESLD PEX2_076790 MASHTETENGESTLEASDQVTPSTDLEKGATDLPLTLPEEEMRP GAKAGLSLRQFWIVMFGLNMGMLLTALDFNIVATAVPIISSEFNAYSNSSWLGTEFLV TFALVLPIYGKLGDIFGRRNMFVVGTLIFILGSGLCGGARTMNMLIWSRVVQGIGGGD HCTDLVPLRDVGKYISFTGLVWALADVAGPLLGGVFSQYVTWRWCFYINLCISPISLI ITIIFLRIPTPKVDKERIQNFDVIGSITLIGGTTCLLLGISWGGNNFLWNDSRVIGCL VGGILLLMIFVVWEHWAKDPFMPPVFLRSRAIVAIFFAEFFYGTNLLGMMYYVPQFFQ LVYGDSATISGVALLPMMLGLAIGNPIAGWLTSKYGLSLSNAWIGAALAVLSSGLITR WAAGTSRAEAIVELIILGIGQGAVMEGLLVGAQFSVEPMHIGIITGLVIFVQTVGNIF GIAIYAALYQNVLRTKLHHLALTVEQIETILSDIQKVKMQFRGEMHQSIIEVYAESLQ NGWWWLFACAPINVADMVKGLLEESRLLLVF PEX2_076800 MVSRADFFLQTAVDSLAQSPIIQENVLRDLQAAVWCVFSLYHSG AITKAVVLLAQAYSLACLNGLNRLDDPNRSMLATIQFSLLEEEECRCTIWALFILDRQ INYLVGRHFVIDDMLWCVNYPLDNRSLQNGLRADREGYDRDLAALASEKINVPIGACL TRLVCKANVILGRIVTYKNIKPMPICADGAQSRLAEFHELQSALACFWLSLPPYVHNI AEVPPENASQTFWLLIVAHTCSTILFYITEVERRSPGGANLPTERENFICTYKSVDKV VAGLRQVSSLAIDAVLNPMLAPSYFMCCRFILAQWRLSQQGSYCLDLNLVLKLLEQMS QEQAQLPRIYKDIIDQEASSYPLPARILQIKWELNIPSLDTTQRFKCIAGVLGGLAIN IVATQDISRPNGVSTQWLFKIAERQTPSVTIARIQGSGHIFRINGWFRWVLDQVIDGT DAAFYRRAIARRGAAGRSSTPILGYDNYVIDPSDFFMKLQLPELVLLVSRLPATYRLH EIEVLWLDITMPGIENGSFPLEFPTRNTSGGKADLALHGEIQGWTGRSGYVPTAATGD PEGPSTEVYVLHAHDTARYNPSAEHNSLAYYRCYGACH PEX2_076810 MSGLRNTMKGGWHPEGKEGGKESWRGDFKGINQVAGWMGKGKES ESSSSGSKQTSEPQGGIRGSVSGWMGKGKEGDSSVSGSGQGGLRGQVAGWMGKGNESN SNRSDHVSQPLSSLKDPSSFGPPPKHINYHGAAAVPNQTTPDRRGVGAPLSQDQINAQ NSHRQQAAEADEQFQKPAPPPVPYRVNTSGLSTDNLPPPPVRRLDSESSASTSSISKS KPPKPPPRLPARNGSPSSDPPPAYSSAPVLSHDYINQDATSRLANAGISVPGFGIGQE KSSSSAHTPVNELQSRFSQMNTSGSPSAPTLPAQASTNIDSQSAGSTSSLHNFRERHA DSIDSGKQKYGDFRERHADSIDSGKQKLSGYASRLTGSSPAAPSPPARPASNTSMNLE PAEPARGTSSVQDFRERHADKIDMGKEKWSGVTSRFNTFVEDRKFSAEANKRIPRPPP LSRPISMAQSNSPAASPAEPDIQTQAQRKKAPPAPPPKRAEFRASPVDASSPSVPGPP PIPHNTKPR PEX2_076820 MHDFNVPTKFGQAIVRIAFPPSSLLAFPPHELPVTIPAPHVDSP TWKQPFNIPNDLYNQVLDVRLPITIASVYAITVVLINRINKSRGYKPYAFSNTRLFKL FVVLHNVFLAVYSAWTFVGMFQAFRSSFPDSDDPHGLIGVVDSLCKINGPRGYGNAAI YSPITDEWSIPNPAYHLTNGMPDPTDAGRLWNQGLAYFGWFFYLSKFYEVVDTAIILA KGKKSSTLQTYHHAGAMMCMWAGIRYMAAPIWIFCLVNSAIHAMMYTYYTITALRIRV PNAIKRSLTTMQITQFVFGTNMAAAYLFVHYTIPYPSGSAALQQLTNAASAAASATVE VGSISWLKKLAFRAAGAEGLAENVGTSFHAAPVQQSGYSQQMVTCADTTGQAFAIWLN VCYLLPLTYLFARFFVRSYLNRKDPGVKQPTHMEAAEKAGMDALKSLSREIRKAAIEG ENSEVTTDDEVLKAQVQKIATKVIPDSPVRTRSAAASKAKTTSASVSRSESEEGFAKV PAKKGAKKQKAEKESSSSPSTKGENPFGVLDSNA PEX2_076830 MTRIFNCLLVLLACLGASTIADDQAVTQWPLQDNGLNTVVQWDH YSFQVNGQRIFIFSGEFHYWRIPVPALWRDILEKIKAAGFTAFAFYSSWAYHAPNNAT VDFTTGPRDITPIFELAKELGLYIIVRPGPYVNAEANAGGFPLWLTTGEYGTLRNNDT RYTNAWTPYFTEVTEITSRYQVTDGHNSIVYQIENEYGNQWLGDPSLRVPNETAIAYM DLLKANARKNGITLPLTVNDPNMATHSWGKDWSDAGGNVDVPGLDSYPSCWTCDLSQC TSTNGAYVPFQVLEYHDYFQESQPSMPGFMPEFQGGSYNPWGGPEGGCPTDIGDDFAN LFYRWNIGQRVTAMSLYMMFGGQNHGAMAAPVTATSYDYSAPISEDRSIWSKYHETKL LALFTRSAKDLTMTEWVGNGTQYTDNSAVRAYELRNPETNAAFYATFHSNTSISTNEP FHLKVNTSVGALTIPKYASTIRLNGHQSKIIVTDFTFGSETLLYSTAEVLTYTVFDKK PTLVLWVPTGESGEFSIKGAKKGSIKKCQGCSRVKFIKEHGGLTTSFTQSTGTTVLEF DDGVRVIVLDRKSAYDFWAPALTNDPFVPETESVLVQGPYLVRDAKLSGSELAITGDV VNATTLDVFAPTGVKSVTWNGKKVHTHSTEYGSLKGSLDAPKSIKLPAFTSWKSNDSL PERFTDYDDSGVAWVDANHMTTLNPRTPTSLPVLYADQYGFHNGVRLWRGYFNGTATG AFINVQGGSAFGWSAWLNGKFLTSYLGNATTSQANLTLSFTNATLHTSTPNVLLIVHD DTGHDQTTGALNPRGIMDANLLGSDSGFTHWRLAGTAGGESDLDPVRGVYNEDGLFAE RVGWHLPGFDDSAWGGEESTKDSTTSVLSFKGATVRFFRTTIPLSIPAHTDVSISFVL STPAGTTTNYRAQLFVNGYQYGRYNPYIGNQVVYPVPVGILDYTGENTIGVAVWAQSE EGASIGIDWRVNYLADSSLDVASFDTKYLRSGWTEERVKYA PEX2_076840 MSPRNPDIEVEDIDKSRTDNVEIVANADRPNPQKEAPAYVANLT PEERRKAEKALVRKIDMRLLPMIIVMYILNYLDRNNIASSRLAGLEEDLGLVGDQFAT CVSILFVGYLLMQIPSNLMLNKFGKPALYLPIAMILWGIISTATAAAQGYAGLVVIRF FLGFVEAAYFPGCLYFLSVWYTRKELGFRTAALYSGSLLSGAFSGLIAAGITSNMDNV LGLRAWRWLFIIEGAVTIVVAFIAIFVLPNFPRTTSWLSEEEKQLAVWRLEEDIGEDD WMDSEQQTFLHGAKLAFTDIKTWVLMLMILCIVSSASVTNFFPTVVKTLQYGNIETLL LTAPPYCLAVICAFGNAWHADRTGERYFHVTAPLYISVVAFIIAATTTATAPRYIAMM LMVPSFYSSYVVALGWISNTLPRPAAKRAAALAAINCVSNASSIYASYMYPNSQAPRF VPAMSVNCATAFIAIVSATVLRFILVRLNKQLDRGEEAEGAVPGEGSRRGFRFLV PEX2_076850 MSYYSRVNRHQRHISHPCKRQKIPNSQFASRPDLPPSLTSHVCA FFLLLPSYIPCLLRYLLIHFGLDSPTK PEX2_076860 MAALVQTIPQQSGTVPVLQTRPSSSSGTFSSPTQNQGSRIQTMS WTSFNAGNSGTYRAGHPVVAPYAYNPNMAQSTVQNRQSWTPHLRPEHRTFSAPTTPQV PVNPTYTGASPRSPHPAAGSVSNPSNPSSRSYVSKDDSALPSRKPRSDQPLRPLSTAN LPSPSIMNISSPKPSPNRYRRTPQRAEAAAPSSPVTAAPIPTVIIDDFGGPRPPRQNA HNRVASVDDSSHVDRAQPERYRRRSLGNMDATAYPNLSLDFPTSTSQSPSGSYDFITF DTNQRPPSAHSQRDSVGSVHSTHSSASSAREGTPSDSNSITSKTGKAEEKRASKPSPL SQPVSTEPEASKPNPPAETQKKPTPPPLDSPAAKRLNDLKNDTKRPGKSRLRRAFSFG SASELLKTSAQSNAAKREAFAAEQARREALREQLGPEQAAIAEQQELSGLGESIYSHQ GHFFSGSTDNLSVSSTASSASMMLRKMGKGMKRSTRSLVGMFRPKSVASISSMEGAGP EVSPPQITVVNVEAERENVTVNPDAADLSRGATVFPKVEGNASEMRRSASIRERAAAE NSQARKSIVGGDRERAEILAAVRKGILKKTHSDLGASSPAQGLAGGDSPHSSAPPTPD ESSRSPAQQNDPVKIAGEDYFLSNAGRFSSSETKSAPITPSAVGGRNIVFSPRIQFHE TWPSGEYDRRGEIATCNRLTPLLAQQIREEINNFKMEMEVHENSKIYTHFI PEX2_076870 MPHPVSVPPTGLQALILCGPGVSLNTFTSNPEEYPKCLIPVANR PMLWYALDWSKRMGITDVTLVTPPSSLAPLQAALRQNPHLTSLPSPSVIAPAELEMTT GTAELLRLPEVQTCIKSDFLLLPCDLVCEIPGESLLEAWMVSGGEKNRRNGLSMYYQT QGREESVKGEAADFVAVAPLEQNEAPTVSHTALRSSLSKLVLSMPMATLKEKLENDKG FLVRHSLVDTHAQVKLLTTYRDAHIYVLPYWVKDMARLNEQFQSVGEDLVGWWAKSEW QQGLSEKLKINEIFQQKSRRQSHGLTLGESESHDGESLDGESLEEEIDLQSMSTTKAT PAKFRTASSDPQFASRVKAPTSHTVKSPKSNLPPMLAYVQKGTAPFVRRVDSSAVLLS TSLRLAKLESIEEVGRAASSAFAHASKVANTASIAQRCTVTRNDCLVDNNTTIEEKCV IKETIIGANCHIASGARLTRCLIMDGAVVGERCQLTGTIVGRRSKIGRETVLKDCEVQ DGNVVPDDTDAKNEKFMIFEGIDDEDDMDGSEDDQDY PEX2_076880 MSFPQDPRRRRGGAGTGFSSTGGRSAIGYWLPLALTAGIATISI AAWIWSERNDDEDEDDRPHGDGHPHPPPVGPGGDYPPSYATGDYARSAGAEALPGDAS YDHGMMARMQGALRRTPSPQQIFDGASKRVVAGVTAAGAFVGGALTSIREDNKGEGDY EDHSRWSEEAQTRAHERSQQGAIAPTMSGGLPSRPANTAAKDKKIVAIVVSSVSSDDS DEFSSEHASILSHLPEHVDLETSKIFVLIYAPELKHAIKKGGSSPTSPSMASSYSNIG TEEGASVAELASGDLTAVEPRQDDELEGTSRFFKTLYTQAQALVEKDSMIMPFSTPGG YVHLARHLFPELVYVQESLTGDQGEPAIHISGWVRQVIVVVGDEGGRGGLIDSDDESA LGEKEEKWWKKEGVTGLGKRIDVVDVVRVGDDWRRRVRGLD PEX2_076890 MMATAISKPASHTQKMKRPPPPFGQAAVNGIKGQHLSSSPTSAA KRLPPGASVNATSNFTNGVAHVASSTTKGPLNRTRNQSQRPVDQSSRSGRPVTRTAAT DQAHRVGKKAPEPYVKTTSYILKKYSKCPPSLIVHLHPTHFRFEQQDGSFPYNSEMKV IIEHIRAGTVPHDLIEELLRGGVQFYEGCLIVRVIDHKSASAQARKASTTSSNENNTP FSLHNYNEHITPSAFVPYPKQNQLTSATQGTKVDGTPIANQQTDGLAIGEQTDDKISN VTQKNAPMKPRVFTTVLHPTARTLQAELTLLVTTPDPRANKAPAQSSSSSSATPGGSQ PDRSHIAKRQKMLVEPEDLLECESKMTQALAPPLFLDPVHSIEAVQELFKHLESPLHC DPPPLPKRRKRTVAELAADEALAAEEERFMLIMDERLEPTASGPGGAAKAAAVDDAGG VAPFEPRFSRFKTLETIRMQHEEKAKREHEMKLKQELAKRQQQEQERERRRAIEQRQA EEHAKEESRRQQLAAQQAQAQLAAQQQNRHIMAQPNGISQGQQSSPVVRNQTPHATSS PLVGNIMPQAVPMTMTASGSGSPPRPPSALQHAHPNVMSHPMAPSRSQQGPSRHGTPQ MTQGTPAMSHATPIMRNVTPTQRMGHGSPTHSMGQTPVMNQGLAATPQMNGGMSFTPQ QQMMLQHRQQALLQAQQQGHIQHNQFTPQQLAQLQANAHAQQNIQSHQHQMLQQQQQQ QQQQQQQQQQQQQQQQQQQQQNHQGVPKITQQGQQAYQAQLMRAQYAQMQIVKQQQQG QHPQMQGQQAQPHQGNPQLTNQQQQQMLLAAAQANGGQMGQNGQPMNQAQRYTQLYQQ RLLRMRHDMSTRYMAQYGPPNQYPSNIAQQYGPGLEKTAKAWVSEVMRRERDGGQQQQ QQRAALQAQQMQAQGSMHSMGGMNN PEX2_076900 MASPGWHESAHYHHGLPMERRTSATPLRSAFRMADLTAGDGMMN MPCGNMDRQEQMPLTDYLPGYEENVDQLWIPQDMPKTYQEPQFPYQASMPQYNQMARN YYHRPQQAGYLPESASNPCLSRPIFTQPTERIPNSASMTNMLHWMPSHESLVPQTITP AQVQPFPSGPVTPPSSAYSDFPTNIPTFKSHTPSTPHRSVSMGTPSGSDTPVSRMSGH NDYQEEFQLSPVYREGMMQRHRQPSRKSSKKQLLRSNLSLENLPSIIKQVQFKCKEPG CKGRFKRQEHLKRHMKSHSKEKPHVCWVPGCHRAFSRSDNLNAHYTKTHSKRGGRNRY VATLDETSQDFDPDFRGQLTPDGRPIYGSKLEDSMPDCGELSVDGWDD PEX2_076910 MTADTAIVDTMIVDTMTDETAPLLGSHTIKPAQHPKKLLVSIVC AIFLLSADFGFFMSTAPQIAVYEEIICRNYQATLHGARNDTLIPPEVNPCKSEAVQGE LALVIGYQNTFDVVPGLLLSLPYGVMADRWGRKPLVYLSMLGILLGEVWVRIVCLWST VIPLRMVWLAAVFKIIGGGDQVLTAIALVVVADVFSEDERATALFRLQSCVQIAEILA TPISAYLMTFGPMVPFMLSICIILVGSMPAFFLPETLRNAKGKRVNREGPEQESEGDQ SQPPRKQTVLQEVSRQVREFAQSTRFIWADSNVCLMVFVMFVTVMSRQCTNLLLQYVS KKFDWSISRASLLISLRGIFSIVTYLIIMPILSFLTAEHLNLRGKRNDYFLSKGSGVL SIIGFAIISMAPTPAILIGGQVILSIGSAFMITTRSLATSLVQPDHAGTLYSAIAIAQ GLGTLVSGPLFANLFRLGMHLGTAWMGLPFLQASLFFVIAVIAVWHIRLGPSPRANDE EQDPLLS PEX2_076920 MLALAAMSLITGTTANLETCASSTAFSCVSSSTEPTCCFNYPGG ALLQTQFWDTSPSTGPDDSWTIHGLWPDNCDGTYQSSCDSERAYSNITAILQDQDLGD LVDYMDDYWVDINGDNENFWSHEWSKHGTCVNTIDPSCYSDYKPQEEVGDFFQKTVNL FKSLDTHKVYPLSALHDMRPSFLTVSQALAAAGITPSTSKTYTLSAIQKALTSMHGAS VYVGCSSGKLNQAWYFFNVKGNAIDGTYKAVDTLTTPGCPKTGIKYVPK PEX2_076930 MNEQPAPPTGPSGGRRDRSTAHRRRKETWRSRRRQHAAQAAAAA AHDARVAELMVRAIQQQVDQEGLQPSAARDARVAELTVRAIQAQLEQEFSTRGGSARG GRSRGRGRGRGRHVRGGGGSGRDADREGGRSGYDRMGGHGPVHGAGVGDAGQQNPPGN QLPTHQLPGHQVVREPAPGDGPRLWTRFHTLEEMAENQELDEEVYDSAEDEDFELDAA PDDSDLSSDPDEATEPAKKKRKTDTQSQIPKDAELDSGDEATIRKAREKKEKKTKGRK TKGKRARDSDDDDGDIDMDDDEGGTGGFVRTRAMKQQIQEEQRKPLARIDGATVDVDA LWEQMNAPQGLTSLQPPQTQQIPESAPEPEPTKAQDQGARDVEHKTGHAAHADQMIKI KRTYKFAGEWITEEKVVPKHSAEAKAFLSSGENVEYADEDAAAANAARNLRRPLRKIS RFDPNPTGTIKKSWEKQLVTDDKDARGPKINTVEKSRLDWASYVDSAGIKDELRTHSK AKEGYIGRMDFLGRMEDKREDERRAARLKGV PEX2_076940 MQHPHPQAQAGTRTALPKNSCVAIMKRGLAKCASSDWDCKCSGA ANIANCYVDCSDDDPDSSAAKQLSVNDCATANAYDQGETTVAPSWTLPGSNAAQPTDT DASVTISGSSSTTAGPTKAFNGNEKSTSPSEGAAVVNDAGSWLALVVLGIGAAF PEX2_076950 MTSNSSLTGSGGAYSSDMEESNFLKRNRVIHFARLGLSFLIFGV AIAVVACEAPPFRHYRNTSQWASAGLALWPLNFDLRPTIAAISCGCIIAILNLVYIAV ALLPSPHSRIKSLNNYASASAIAGFLTTLVGILFIIYLPSSNYPAGFSKNETLHSWTC KWKTGTSKTQTPRHFDRDCINTRAGFALLCVLIGMEALMGLVAAVGMWFQRDVSRRRA EQFQLEKLEIATKQVYHN PEX2_076960 MPISKLQGVKLPASADFHVHLREGPMMELVTPTIRQGGVNTVFV MPNLVPPITTVDRALDYQKRLQALEPNVNFLMSLYLHETVTPETIIEAKKRGIVGVKS YPAGVTTNSSSGVVDYTQFYPVFEEMERQNMILNLHGESPSGGDVTVLSAEERFLPKL FELHSKFPKLRIILEHCTTAAAVEAVKKCGPTVSGTITAHHLSIIIDSWAGDPFCFCK PVAKTPADRDALLRAAVSGNPKFFFGSDSAPHPAASKRGGEKIAAGVFTQPYTTQVVI DAFEQACDNGVLKEDEITPEVVEGFMSKFGRAFYGLPEEQKEFITIERKGEKISEILQ SDTIDVVPFRKTQETWSITWS PEX2_076970 MDDATTSLLTEHFSYTPLSLIDDIINSINNLIYQAISSLESGLL NTPPERLGFSHDSSGPSIAETDEDGNVVYPEAKLEIENGLHQLETLLEANVDKAFDKF EIYVLRNILTVPGDLLSWVRLKHYEGLSFGSSPDTPTPETIHAQRKKLFETKKLTRSL KEECARNDVVISQLQSILSTVETAKADGVSKTAENKPVDLSFLTSTPAARQLRVGVDA GPNAKPTPLTTNTTFILSQLPALQTTLEQLRPKLAALPSATVPMETKSKRDERKEYIE SRIRLHLERAGQLAMGDDGNPVVAGRRIDIREAHALESVANMLTQDKSV PEX2_076980 MSQGSPLRVLVIVSHRSSQISKAQNNPEALLPKAIRLLKASHLY SPQEVHPATKLVAAQKWRTRVFFVFDICHTAYDAKLGHLPEQNKLPVAVVHLSKRNTA YVANAWLSKRVNRDIALFHNANGFGAVPPFVEDHTVGKPPEYMNPRDISLLQASCV PEX2_076990 MPGEPQPAQKRPRLPFKPPSRTTSAGPSSSAPKAKGKAKQTSTK ASASTIVPKTTKSKPTTQSKSATQSKSTGQSKSTSIGKRPRPDSPAVNANAASESEID SDSIGSSRSRSRSLSQEPNYILAEITTTNQPEDVTSSDPKIPSKLLTRLLHQHFQNEK TKVVKDANNAVAKYVDVFVREAIARAAYERAESDGNTGGRSLGDGFLEVEDLEKMAPQ LTMDF PEX2_077000 MSDAGEDQVAAIGRTDSDESLILMTSSLPAVVSFVQETPGDLFD APNGAALIHACNCQGVWGAGIARAFRERYPAAYEIYRNHCLIYRDHPVTKMITDLRDE DLQPSLVVYRPLGTALLIPPQQSDFILHRRRHWIICLFTSEKYGIRVDSEDMIVNSTF AALQDLSRQLQLLSQQASETSNERPQSLYSSRFCTGLFNVPWERITKLINTVGLHVNV YYPFEASNRRARPVRMAHPDEIEKD PEX2_077010 MDHSKKKPSLPRLTTNIPLLQKPSNASCNTLPKTLDANAPPIIM PERPARTSSLFKEDKHDEENIIPVTRFAPESMPIYLSQKNVDDSPLTKVKKLYYEDAF TARGSHNSPKDRVTHESVVVVELKTNTKSKDDASKLLSDFAHSLAQVYQRPETSMLVT IDQNADLLFGNTSGSAYLLKITALSSLIGPLTNLRNTGLIQSTIKEMFGIAPDKGVVI FTSVNEDNLATNGTTARSEIDRLERIDSSNSPSILKSISRSMSRRMKSSSGNSAPISL TSVMSPDVATPTSTSPVAFASGQPSPPKPHQADEKKPIESPKGEPIQQVSSKDEKSER SLKKRESLKSFVNRRLGELGELTTKKPFTATKGKKD PEX2_077020 MASNNPSETDANMTTGQEASPVPSGSTSSPKKSPQKRDQRSVSP EYDPKAGENRLRPAGDIINRITWDSAFERSNYVIGFVDRFEGQLEIIMGSWKKETTDE EFIPQHRVLYIRHTNGEIVWDRRRRIDKIFLSGNSAFSELAFLA PEX2_077030 MPTRNPSTLTASPPEEPNERAPLLGGHDSGYDDHPKATSFPHRR LLLLVCLSVVAADFGNYLGYAPHIEILESIICRQARGSDLFGVDDNCKSPQVQGELAL INGWKDTFDQLPGIFLALPYGFAADRIGRKPILALSLTGLILEEIATRLIFFFNAVLP LRLIWMAPAFQIIGGGPQIATAMAYAIVTDMVPSHQRASVFFVLSAATLLGEIIGTPV AAFLMSWSPWIPSLLGLFFMSLGLLGTAFISDIPFKSSRGNNQQDLEEEEGEGEGQNS TLVHDPRWKSAILRQWNHLRNNYMASWSVNLFYTVGAFLLASIGRQALQLIVQYASTR FSWSIARASSLITLKGIINLVTLLIILPWLSNWLNEHLLLSPAAKDLRIVQGSVWILT LGSAIMAISTHPAVFIVGVSFLALGWGFYSALRSLAMEMVSPSQVGIVNTAIGFAQSI GSMVSGPILAAAFRQGLQEDGIWVGLPYMVAAGLFFLSGCLTGCLQIAPGRSGA PEX2_077040 MSLSTTIKTPNVTYEQPLGLFINNEFVKGVDGKTFETLNPTTEK PITSVYEASEKDVDIAVKAAREAFEGAWKKVTPSDRGRMLTKLADLFERDMETLASIE ALDNGKAVTMAKVDIANAAGCLRYYGGWADKITGQTIDTNSETLSYTRHEPVGVCGQI IPWNFPILMWAWKIGPAIAAGNTVIIKTAEQTPLSGLYASKLIVEAGFPPGVINVISG FGRVAGAAISSHMDIDKVAFTGSTLVGRMILQAAAKSNLKKVTLELGGKSPNIVFEDA DIDNAISWANFGIFFNHGQCCCAGSRLLVQESIHDKFVARFKERAAQNKLGNPFEGDT FQGPQVSQLQFDRIMEYINHGKNEGATVALGGERHGTEGYFIQPTVFTDVTPEMKIAQ EEIFGPVIAVTKFKDEADAIRIGNSTNYGLAAAVHTKNINTAIRVSNALKAGTVWINN YNMISYQAPFGGFKESGIGRELGSYALENYTQVKTVHYRLGDALF PEX2_077050 MSSWRSLNVGVIGGGIGGMSVAIALRRAGHTVTIYEKSDFAGEV GASVSCAANGTRWLHEWNVDIAKGDPVVLKKLINRDWKTGEPVSVYDLDDYEKRWGYV YNMFHRQYMHAMLKDSALGEGEGPPATLKVNYRCRDIDLKTSTVVFENGEVISHDLIV GADGIGSAVRGILGITPEKRASDQSCLHTNVKTEDAIRLGLVNYSEDSALEYWGGQEG KWDKIVLSPCNSGKLLSYYCFFPREQGDYTTQAWGAEDRPVDELLAPYPELDRQVFEH LKIGVEIKPWRLWVHDPYPYIQRGNTCLLGDAAHPMMPHQSQGACMAIEDAAALGLLF GKRYFSGDIAQTLAVYEEVRLPRATRVQAAAAKAAYNINERIGFSVNKDVPTYKVEDA KKVLTIEEMNAYDMHKDIEEKLAAKRGTTYTEKFLCGLPIGLELPNGITIGGVGA PEX2_077060 MRLNILTTSMALASQAMAALSESPVERRYPTGSFSILAYGVALE GVSVFYSDGLAYVGNSSQWVSGSTTTDVTFIFKNSQIIATATNKDYTFDTDTFFYIRP TPNKVLPVGFTGNDVDTPDDAKADQFIFYGRYLMWQNENGLLCDSFRLKDTDVDGIYQ LYWDTSNIYPPGFLIPTVKSSN PEX2_077070 MTVDLQTPSESQSHDISTPHLEFDKLEAGSHSPEVTHRTHPRML RNLQGERVYVGKAASLSFLQLLRDTVTQHIGPSQFSHNGESEDMLEAEAHHDLLNFSE ECCTVDEKNQFIQNYHAATSGFFNLSFSDDISSAFTGPAEPKTDREKTRAAIIDLMVA IGAQSCPNDRRTLQVERFYFSRGQRRTFANMLEDPSVDLVRVFLLMSFYMLGACRRNT AFMYLGVASRAAVALGFHADFPGSITPDESDKINEREKAASAQQADVLLCKLNSWCEY LPRSLRTSSSGHKDESTFRKHTIGNMHVACSYHFAVILVTRPFLVSALSVRLARLHQS LSTGDPSEVPEEDPAHSRLSASDLLLRNMCILKAFIFAAALVLGFSMFSQHDVDSEID GVFRGALTILRMLASQSAQAAHYLEIITKLEAAISKQRQQLALQARQRRSQYVSRIFS LNDSPATPRTQSERGEEEEARSATPLLSQSVTSYAWLHSNDGTAPAVSPPMIDGALFD WEGMDLPLWDSFPFLAESTTI PEX2_077080 MQPGKTTAGLLPISAAATQKSGSGAPAKKTPKPPAPRLKLLIRR LPPGLTQAELESALGDQWKAGAGNVDWLQYKPGKVSKDPNKPSRPSRAYIHVVSTECV SLLSDAVRQASFQDARNTLHDPILLGPPSLEFAPYAKTPGSRSRKDARQGTIDQDSDF IAFLESLTQPITRPAAVDSTADGEDKKKEMIMTTPLVQFIKDKKASKLKDGSGSKSKH SRSDKESKQEKVQAKKLLQRGDKDPSANSSDKKPRGEKTTKDSGKATKQGPAANTKGS KSSTTSSTTKDTIAVPERKRERGNVTVATKILQRDLGLSTSGGRRRGKGGSTDTASPK NESSRDSAILTTDLSKKETTRPPKTASSAANSPKPKGGESSSRPNTATAPPAILPAKS TKSGKAKHPSTAKQAFLKHANPSQGVTEALLQSAFALFGAVTKVEIDKKKGFGYIDFA EPEALRKAIAASPVSVAQSQVVVLERKENPGIEKSRKGRESFTANKAKAPTEATGSAS GAGGNVGSNAGSSRGSRGGRGSRNKGPKGSAGASEKTGGTDAE PEX2_077090 MPTMSDEKSPVLESQGDAPNLRQKKRPFNLLWLFVCVILVGAWF TRSRSPFPPLSIEERVERILSQTPLIDGHDDFPILVRELFHNRVNDRNFTEAFVKGKF PGHVDIPRLKQGRVGGTFWSVFVPCPENGTDFSDENYAASVRETMEQVDIMSRVQQAY PKVFSSPPNGTTAMSAFREGKIISPLGIEGLHSIGNSLAHLRIFYDLGVSYATLTHNC HNRYADAAVLELPGGGIKKSDPLWHGVSEEGQKLVFEMNRLGMIVDLAHVSTETMRDV LGAGKSEWTGSRAPVIYSHSSAYSLCPHPRNVPDDILELVREKNSLVMVNFSPDFISC TASDRANGIPDLDPVHATLERVAEHILHIGNLVGFEHVGIGSDFDGIPTVPQGLEDVS RFPNLIKELLKRGVSDKDASKVAGGNLLRVWKKVDEVALEMQAEGALPMED PEX2_077100 MTQPNITLYTTQTPNGIKISIALEELGLPYKVEKIDISKNTQKE DWFLKINPNGRIPALTDTFSDGQEIRLFESGSILEYLTEQYDTDYKISFPKGTREYYE MKSWLFFQNAGLGPMQGQANHFSRYAPERIEYGVNRYVNESRRLYGVLDKHLAESKSG YIVGDHVSIADISHWGWIAAAGWAGIDIDEFPNVKAWEELMAQRPGTEKGRHVPAPHT IKELIKDKAAVDKQAAEARAWIQQGMQADAKAKA PEX2_077110 MPRRLDADPHFNQVQIIGYIGRCMAHSNTTTMGPYIVANMFILL SPTLFAATIYMTLGRVIRRVRGEHLSPIRVSRLTKTFVWFDVLSFVVQGNSSSLSVLG YPQWGKVCVIAGLVIQLISFSLFWVTAIKFAKRLRHSPTPECLKPGVPWQRAMHMLYG VSALILLRSIFRIIEYVMDNDGYPLKHEWTLSFQSQNMQRILSSESSVQSASPNIMGL SPSLCSAKAFSYPHIPGAKVLSLQASQVSNVSKYIPEWYYYNHGGVKAQNLNFCNITV TYKHTAKNDTINVGVLLPSDSWNERLQGIGGNGYTAGLTSITAYGMIAAAAEGYVAIS TDGGHTTDDPADWGLLENGMPDYDTIYDFAIASLGDAAIIGKSLAESAYGSKPKYSYW TGCSQGGRQGLALAQQYPEAYDGIVASAPAINWPQLSLGGYWAQFVMNQLDEYPFSCE LNAVTNAALSACDSADGVVDGIISDPDSCAFDPFALVGTQIGCSDTGSQLRISTATAK IVNATWTGARDSQGNFLWYGFNPGTALTGVNTPANTKCNNETCTMVSNQLYDRWAQVF IEHNLNFTLTNITHQTYDHLFRKSVQQWNSVFGTNNPDLSKFRDAGGKMLTYHGLMDE VIPSNGTRSYYEAVTAGDSNVHEYYKLFEAPMMGHCYGTKGGYPSTMFDSLVAWVESG NAPTSLPVKYSPKDGKTYDRILCPYPQRAKYKGTGDVTSADAFYCAE PEX2_077120 MSNLFQKITAPNGVAYEQPLGLFINNKWVTSSNGQKIVSINPTT ESEIVSVYAATEEDVDSAVRAARAAFESEAWHGIDGTGRGQLVMKLADIVQRNQTVLA TIESLDNGKPYNKALGDIDEVCSVLRYYAGWADKHYGQTIETSRTNFTYTVREPIGVC GQIIPWNYPIGMASWKLGPALACGNTIVIKASEQTPLSILYFANLVAEAGFPPGVVNI INGHGSEAGAALVQHPEVDKVAFTGSTATGKQIMKLASKTLKNITLETGGKSPLVIFD DAPLENAVKAAHFGVMGNMGQICTATSRVFVHENIYDSFMDAFVAYATSANVIGDPFD NKTSQGAQVSKQQFDKILAYVQAAKEEGATIVSGGTVAENRPNNKGYFISPTVVGNVN SNMRVYREEIFGPFGVFIKFNDENEVVKMANDTDYGLAAAIYTRDNSRIHRIVPKLKV GMVSVNATNNSDFRVPFGGVKQSGIGRELGQAGLEAYSNIKAVLMNIT PEX2_077130 MATQTTQTQLDSLQPYAHPPETEEPLNYADLTIIDLGEFDKPGG KEKLAAQLKDAAHNTGFFYVTNFGLSQDEINRQYAIGREFFALPEDVRKSYRAPLEEG IYNGYRPLGSIQVLPGLWDNIEFYNIMKFLPQYEREHPEVFHQHWDEIERFHRHVHEH VGYKLLQLLAIILELPEDQISNGHLYESNCDSGLRYMMYRARSAEVNEQFKDLYSRGH TDNGTITFLFQQPVAALQVKKYEDSPWEWIRIPEGTLSVNIADMLSILSNGYLKSGVH RVTVPPKDQQAQDRLGLLYFIRPSDRLTLKSFDSPLLRRLGYYEEGKNNEIDIPAPEW TRARIKKNWSRSPTDPNAGTQMAGFSVKHFHD PEX2_077140 MSVPSSEFTFSASPRSTVIFTPPSSSRDSSNEGNIPSTPQSTRQ TLLLFQDLSLNDSAGSINTPRARSPTAWLSPGSSPSTYAQQHNATPRARRNLVPYSRS SQNSGGSRSIFSSTTSSYVDAREERTESMISDGGTDRIHIPGAFPAQSSRGESHVSDD ANESEDGSESDQYSDTAEGTEDLPSDIHDEPLPGAPVYNHRLQTGLKEVKGELASLAS MMGLSELKQDQSTDLHSLYERTKKMSMFECPETRIVGFIGDSGVGKSSLINSLLDQNS LSRSSSEGAACTCVVTEFRHVDSNHTGPFTIEAQFMTAQEMKELLDELLSSFRRFHVT SSFQELKSQEEQHSCRDAADRAWETFRSLFSTQPRLTMEFLSADFDGAYPELLEQLER WAYAGLTLRPGGPDALEHSVIAGDLTECKESLDLLTANNMNDGRPALWPFIKLIRVYL KSPILNTGLILADLPGFSDLNFARVRATERYLAHSCDEVFVVADISRACTNPSIQDVM RRCRDDQPRRVILSKSEVISPEESGRGTTPDALQIRRMNKEIQAIQKQIKLTEVRLRK VSGAKQAELAVKGYELRDKENELTFQIKQFLITRRNNLVAQELTRKHTDIRVFCISNT FYSEYRRNGNSQAEAYVDLSGIRELRRYCQLVPAESQLRLASAFLEHQVPALLRSIRQ WALSGTDSVTAEKSAILRRVLENTREALRREFISSQSYIHLARQSLDDVFTERITEVI RNSRARWTTQSIEISLEWAGWAPNTYAAFCRKSGDYKTGAQSYRCWNNELVQPAREAL NAEWEDILDWLQAQTGRLVGDTRTTFEELREEIEAHIELAPHALQNLQQGMKSRQECI EDDIQDSIQKMIHNFEYDVQDIDNLKLDSNWLPRLIKRDMLYGHASSFISGLMQPAYA AMNREEGGGSDSRRKKIMNDHLTHSRLFAEFSNLARSEYTNVVTGCFNALQESVTEQI ESLVRDFHAVVTVEGQASEAEQAPALAEALRSRFGRIEEILQSTMSVLQERRRG PEX2_077150 MSLAGLTGATDIEYIEKRGGYSPYYEAINDDLARYTLLALGCAA AAYYIWQLVFRFSRHLRRLASFTDDRQRYFVSTHDTFAWIKEHIIYAALFRNRHNREL QLSSAINMGTLPSRFHAFLVTGVIAMNVTLCCVTTPYGADKDTLAGIIRNRTGVMATV NLIPLVLMAGRSNPLIAMLHVPFDTWNLLHRWLGRIVVLESLAHVFAWAIPKAQEKGW SVVGMALGKSNFLLTGLVAACALTALLLHSPSPIRHAFYETFLHLHIAIAAVSMGFLW VHLNGMPAQAFLLASIILWALERATRFLIIVYRNCGRESTTATIEALHGDAMRITIRM ARPWTFRPGQHIYLYIPAVALWTSHPFSVGWSETEDSNSDEKSLPLSSKDILSAPQKE TISLLVRRRTGMTDKLFQRAANSPSSQITLRAFAEGPYGNIHTMDSYGTVMLFAGGVG ITHHVPFVRHLVAGFANGTVAARRVTLVWIIQSPEHLEWIRPWMTSILAMDRRREVLR IMLFITRPRNTKEIQSPSATVQMFPGRPNIDTLVGMEVENQVGAMGVLVCGNGGLSDD VRRVCRKRQTHSNVDYVEESFTW PEX2_077160 MDDAQATAKWANRVLRPLTSICRRIAKHKETLSMIVTESKLQET TEGSDLPTLEAEQKPESRQKFSGSDADLDENDPAWIPGKKPERRRPRHKYSSKAEETG GKKRNRLSIHSPEALCTLPGAIEVATPLITGRRWEMPSSAQSERPTGQVNPNIQTSQP QVFRDRYSLHKSPWQELLDQSGDPGFADIAHNLDRVFQNFLCNTMISKQEVTPVSESS RRGARSLLSMVARSLPEFIASEQEAQDELDKDGDEDMCDAYFTELEAFYAPQGTGWKP LREAVRAQGIYLVSTLIQNHWITDSIACALIEKCRSFSPEESDSLLSTLLSTRKNYPY PQALRPVVGYDPSDPIRLLRKYAHHGVASRSYVFDELAKLLLRGVLPPEWMGTKLWTS WMTRATISLSKEDEDCPAATRLIEAVILSASDVRPVTAAQSSTPKYPAKGKTARARAT RVASTTAWEISNIARPCPLQVEDALSNHVISLMAAVCGMHISRSRASDDIDCADGTKA SYITNYLQIALQRELGSNYAAQRSHNTPHQLLRRGCILLATSLVKCNNKILLNNNHYV MTSTASVDECAEIIASHSDMVRELALFVHQAFRCLKKRAEDEIEHTSGEIRDMISQLG RVADAPALSTLLGRVAAEAAMEFAEATGDPDDHMWAMDVQEVAATKQLQEETAQMSVE EPEQSSQGTGLFRWEDSIGEWVASTPATKGKPILVRKAKTPMRMLSSPVPCIASSTDT DTSSPGSARFQDNASSRTSSPPPMATKRTFEDTEISSIRPRKRQRPTPVVVVDRGGYN PEIHFPTPTESNSTMESDARRGILRERSTNLTRPRTPATQQARKVEVVIINHKESSPR QPIVRPTLERAVKQVHRTVERRRSTRPSVSSTRRIVEPPTPRQTVIPCYQDDDSDDEL SFF PEX2_077170 MAQTNEELEHSKEAPQASQEIANGNAPEGAEEDNAGGLFQISVK LPHAPHKIQVMVSSQEQVQDVRQSIVELPGTFQYTCFHLEFNGKRINDFVELSEVPDL EADSEIVLVEDPYTEKEARMHVVRIRELIGASGDRVDNLHGISAGLSLHDAITADAIK ASESEKEHSLSKYDLTGVSPLQTILPTAQAPLPKTVKSISLSAWNPVPYNLRQKGHLL YLVVATNEGEQFQITAHVSGFFVNKNSSIRFDPFPKPTHPKKGSAHSLLTLISQLSPS FNESFEALQEYNNEKDLLTTFPFQNAIPNSPWLIAPSTSSLNAHQPDITRSQENYLIS GVDNAETLRDWNEEFQTTRELPRETVQDRVFRERLTSKLFADYNEAAARGAVLVARGE VAPLNPTEARDAQIFVYNNIFYSFGADGVGTFTSEGGDEAARVAVGKDVLGIKAVNQL DIEGLFTPGTVVVDYLGKRIVGQSIVPGIFKQREPGEHQIDYGGVEGKEVVATHADFV PVFEKLSKALRVKQHPVWDKENQRHDLEGSVETKGLLGTDGRKYVLDLYRVAPLDAEW QEEDGSDVYPHRMSVLRLELVESYWRHKMSQYVKAEVENRKAASAEAAKEGKTEEETA EQERVDISGFNLALNPDVFSGQVPQTAEEKEQWAKDEQEVRDACDHLRSKVIPDLLKD LHDGDVGFPMDGQSLTQLLHKRGINLRYLGKLAQQSAEKGPRLQALSILLVQEMITRA FKHVANRYLNNVPAPFVAPCLSHLLNCLLGSDVNATPKAEIDESLRAIFPEGDFSFEN VTPESLRAELEKQVTIRFRFSLEKDWTNSLRHLQLLRDISIKLGLQLGARDFAFTKAQ VKEQVVVPVTNGSTQEEPKKKGKKKGGDNKSPTRAAPAPAKPAVTFTADDILNVVPLV RDAAPRSALAEEALEAGRISLMQNQKQLGQELILESLSLHEQIYGILHPEVAKLYHQL SMLYYQTDEKEAAVELARKAVIVTERTMGVDSADTILSYLNLSLFEHASGNTKTALVY IKHAMDVWKIIYGPNHPDSITTMNNAAVMLQHLKQYNDSRQWFEASLSICEDLFGKDS INTATILFQLAQALALDQDSKAAVGKMREAYNIFLAQLGPEDRNTKEAENWLEQLTQN AVSIAKHAKDIQARRLRRNPLNPRVSSMGTRVQPQVGQSAPEITAPADPSGLDSRSID ELLKFIEGSDASSSRSKQKKRAATSNPKLRGSKQSNKA PEX2_077180 MTPDPTDQNDSDEGKGKGQGRNSDSDPNFKSTILKMLETAATTA ASIAILGAAGYWYHQYYKYLILDKMDNAFNPGDPALEVAGVVSGKHKYRHEEHWVVRN EQPRIDDIVAGKQSGHYYLMIGEKGTGKTSMLLEAMRKINGNSCALFEAHADLEIFRI RLGKALDYEFHEDYIGSLFSIRGPRDTTALLDIERAFNKLEKVALTRRRDGSTPLILI INSTHLVRDDHDGQDLLEMIQQRAEQWAASGLVTTILNSDDYWVYERLKRYATRMEVI PVTDLPKDKAMSALKKYRQQFHNETLPSEVLEQVYDKVGGRLSFLNRVAKSQDITRTC DEICQAEKTWFLNKCWILGMEMDDDVMDQQKYASAAMVLAKALVDKEKAMESNYHEEQ GHILPEIPLHEAREIMTRADFIQSYDHENIFTIDSRAMVRADSVPMQRAFQEICAIEN FDKHLDGTLQRIGDIESLGRTRELTIKDLWDSGKYRVVVRDNRGRESGTVEFAVAERE VISLSKFGNPKMPTKTPKKRTVSWSESTRPARELATTATAQTSRLACIPSLARFLLVV LSSLIVSSVLFTLTSTFTVGDLGPISKHLEEWWEVGGLIAWRAVEVGLAWVLGFDGRD VASFLFLTHLPTYSLLSFFYGIRPTSALIAYGVTIVSTALPFALLRRPSSVHNLSHTS ADAVANRNILQDKTTTVFTTLLATSIFSVVLYVSYATWLPTQLVIHFEGLPDISAAHA GPAGLPVLFLTLIPAGWAARDFLFVSSTGYSTTPTGSASCCEGEYLAASICRNTWGKL TTKTRVLVSRSIILALVVLINTIVQVAGTISDVSVEGAATWGAVWAFATLTAGATFGW IEAVDGV PEX2_077190 MADRIKLQYGCPAYIEGVDTSYGYIPSLGAGIAYCALFGLSLIL HTVQFAWKRQWWASVFSVGCLVEVIGWAGRTWSSKCPYSGTAFLMQISTLIIAPTFFT AGIYILLGRFIQLLGPKSSFIKPNLYLWIFCTCDIISLVVQAVGGGMASGEADKIGGD TATGTHIMVAGIVFQLFSITIFVVCAVDFIRRTMRNRLLQSVNGSVMPLFAAMILSIV CIYIRSIYRTIELVQGWDGYLITHETYFIALDGAMMVVSVGIFNVLHPGWMLPSGKSD SFKMEPASVDRSDKYGGPPTHW PEX2_077200 MPPEVISEPNPQALPSHLPDYLEKLSVKLDHENLDEKTCDALLK FRRAACYIAAAMIFLQENTLLKSELTFNHIKPRLLGHWGTCPGLVLVYSHLNYVIRTM NLDMLYVVGPGHGAPAILAALWLEGSLERFYPQYSRDSTGLHNLVSTFSTTAGFPSHI NAETPGAIHEGGELGYALAVSFGAVMDNPDLIVPCIVGDGEAESGPTATSWHAIKYLD PKESGAVLPILHLNGFKISERTIFGCMDHKELLALFSGYGYQVRFVEDINDIDADLHF SMVWAIKEIHKIQKAARSGKPIMKPRWPLLILRTSKGWSGPKELHGKFIEGSYHSHQV PLPKAKTDKEELALLQEWLSSYKPEELFTSNGDVIDDIKSVIPTEDKKKLGQRVEVYN SYIPPKLPGWMPFCAEKGSNASAMKVIGGFINQAFKDNPSSIRLFSPDELESNKLDAV FEGTNRNFQWDEFANARGGRVIEVLSEHMCQGFMQGYTLTGRIGIFPSYESFLGIIHT MMVQYAKFIKMALETNWHSGVSSMNYIESSTWARQEHNGFSHQNPSFISSVLKLKPTA ARVYLPPDANTFLTTIHHCLKSKNYINLMVGSKQPTPVYLTPKEAESHCRAGASIWKF CSTDDGVNPDVVLAGIGVEVMFEVIAAAALLRKLIPELRVCVINVTDLMILENEGVHP HALSTEAFDALFTPDKPIHFNYHGYPTELQGLLFGRPRIDRVTVGGYIEEGSTTTPFD MMLVNRVSRFHVAQQAISSAAKGNEKVRVYQQELNAQLEGSIVNTRKYIVENRDDPEG IYDTPQFHNFHKPAESFWNIE PEX2_077210 MDVIKQVRLVLRVGDVTPHPDITTTSLGIDTPLKMPKSILAVNA GSSSVKITFYTFENPPRTIADASISGITAPPPTLKYQQGDKKHKEEIKEKLSTPQDAF KYLLQRCFSDPDLSEVASVDDLEYICHRIVHGGDYRDAVEINDETLDRLKGLEDLAPL HNFSALEIVRLCKVELPKVTSITFFDSAFHQTIPEAVRTYPINQEIAKANGLRKYGFH GISYSFILRSVAQFLNKPVEKTNLIVMHIGSGASICAIKDGKSVDTSMGLTPLAGLPG ATRSGSIDPSLVFHYTNEAGKLSPASTSEMHISTAEDILNKQSGWKALTGTTDFSQIA VANPPSKAHKLAFDIFVDRIQGYIGSYYVKLNGELDGVVFAGGIGEKSALLRRTLVDK CQCLGLAIDDAANDKGPGDEETVKDISMGTGKGPRVFVCQTNEQVLKWHITVHVRGAD FPGAASSLV PEX2_077220 MSESASANTPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENK EPTIGAAFLTQKCSLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDVTKPSS LTKAKHWVAELQRQASPGIVIALVGNKLDLTNDGGEVSEAAGAAQSESESAQDGEEGS DEQDDVQDAVSGDARKVSTREATGYAEEEGLLFFETSAKTGTNVVDVFTAIANAIPES SLKTGRGTGAGTGQTSLGSRSAEDARRTLSECADPTANSHSIQSANLPSVDITTSTSR STPSLAIMAAINKIAPNSPSRQNPSELETAIAGALFDLESNTQDLKASLRPLQFVSAR EVEVGHGKKAIIVFVPVPLLTSFHKIQQRLTRELEKKFSDRHVLFVAQRRILPRPKRS ANSRTTQTQKRPRSRTLTAVHDSILSDLVYPVEIVGKRTRTKEDGSKTLKVILDEKER GGVDHRLDAYGEVYRRLTGRSVAFEFPQSGAEF PEX2_077230 MSRPFPYTYISCPCADTPVPDPARKRRSRESPQKSPQKPPPERV DTDQDKKLSAKEEDQEEDEDEEQTFDPRSPRSNFSLYPPEQLLYCEECHQIKCPRCIT EEIVSWYCPSCLFETPSSMVRSDGNRCGRNCFNCPICTAPLAVSTIENATGNGPQQGP WVLSCGYCLWTTLDIGIKFDKPTNIRSQLQKMTDSTAPTGLDRSRQASRTFGDLKHPL SSFASADEQANARERDEEQATPKEDPAAPPMGTDARFAALKSFYRTQIAETSNSPNDR LSSEFGFSSPGALNRLMSLYTSSSRLSGLYGGGKKPKSKPPVMREALSASEGLQVAPE NETDMITRLQSPECGWDGMASIDQRATQSPDARFVDDLLPLPVLLRTKRAKRCKSCKH ILVKPESKPQSTRFRIRLIALSYIPLPTLRPLAPSSSSVLPAMALTTDLNSLPPLRPI HILLTLKNHMFDPVRITLATPPVTPGHVATKVTVLSPQFEIGANSDVWDEALQGASAP LTSDSRSAVLRGVPEAGKVWDKGRNWTTVVLEVVPGTLPGSSAEGGDGNPNTETNDED VLAVAASRQDEDVLEIPVFVHMDWDADAQLDQQNVGKGSKPDDKITRELAYWMVLGVG RIQASL PEX2_077240 MIPLQTFRASLSRRCFTPTTTIRRYASQTPGNPMLEVFNRKVKH LQKDRAARNVEESRKVDYLKDEVAMRLCERLLDIKRDFPNVLDLGANSCNIARALTSP NVDSVMPEGIITPPLSKKISKLTCVDTSHALLHRDENELFNKEIDIQRDVIPDLETLP YAPNSFDAVLSSLSIHWINDLPALLEQVNTILKPDAPFIAAMFGGDTLYELRGSLQLA DMERRGGVSPHVSPLADVKDVGSLLGRTGFKMLTVDVEDIVVEFPDTFALMQDLQAMG EGNAIMNRDSAPLSRDVLLANEAIYRSLHMEEGARGIPATFRLIFMIGWKEGEGQSQP LQRGSGDINLKDILEGGDLDKP PEX2_077250 MSQINYRTINIDALDPESSANFPMESLLPATLPQAASASDAANA ATQVRQMLRGGDPEGALRTVLDTAPLGGDDRAKEVHLATVIDVLQGIRQGEMTRILEG VCSGDGGAERADCLMKYLYKGMSSSAPGTGTQSPKKSVSPQDTGFSQIQARNLGEGGG GQQMSVLLSWHERLVEIAGTGSIVRVMTDRRTV PEX2_077260 MAWTPPDPARLMVQNASHRPSQSIDGDTLRPRADSFASSADTMV RSRANSEVDPAHTSKDAYDDVSLSDALKPDPRNESDFEVEDNRFAFSPGQLNKMQNPK SLAAFHALGGLQGLERGLRTDLNAGLSMDEGCLEGKVEFQDVAPAAQKTSTEKSSSNL VTSAPAPSGNGSPFEDRIRVFSLNKLPARKSTGFLKLFWAAYNDKIIILLTIAAVVSL SLGIYETVSEGSGVDWVEGVAICVAILIVTNDDREVKVTRSGKTDMVSIYDIMVGDIL HLEAGDSIPADGILVSGYGVKCDESSATGESDQMKKTPGQEVWQQIVGGKATKKLDPF LISGSKVLEGVGTYVVTSVGPYSTYGRILLSLQTPNDPTPLQVKLGKLADWIGYLGTA AAGILFFVLLFRFVADLPNHPEKNGAMKGKEFVDILIVAVTVIVVAIPEGLPLAVTLA LAFATTRMVKENNLVRVLRACETMGNATVICSDKTGTLTQNKMTVVAGTWGSNQDFSQ RTEDEDVESSMTISAVSQKLSAPIKDLIIKSIALNSTAFEQEKDGSIDFVGSKTEVAM LQLARDYMGMDLVSERGSAEITQLIPFDSARKCMGVVYRVPGAGYRLLVKGASELMVG VCTTEIVNIDISKERPDVEQLSEAQKKNLLEIIDNYAHKSLRTIGMVYKDFATWPPTE AKHSEDASAKFEDFFHGMTWVGVVGIQDPLRPEVPSAIRKCHSAGVQVKMVTGDNVAT ATAIASSCGIKTEDGLVMEGPKFRQLTNAEMDEVIPRLQVLARSSPDDKRILVERLKI LGETVAVTGDGTNDGPALRTADVGFSMGIAGTEVAKEASSIILLDDNFKSIITAISWG RAVNDAVAKFLQFQVTVNITAVVLTFVSSVYSSDNTSVLTAVQLLWVNLIMDTFAALA LATDAPTEQILDRKPVPKHASLFTLTMWKMILGQAVYQLAITFMLYFAGDKLLSAHLS SEPELRAKQLATVVFNTFVWMQIFNEFNNRRLDNKFNIFEGMFRNYWFLGINAIMIGG QIMIVYVGGQAFNVTRLSGTLWGVCIICSIACLPWAIALRLIPDYHFGLVFNAVVGGM AVVLRPLSKGCKAIGHGIKSFFRPVKRFYRRIVTKRKSEDAVELNTPPTESVDPEQAP ESLDLSKQKLSPERPATPPHVVVPPITITTSP PEX2_077270 MAHGHHRHSRIGNLISARRHREAELDEQNAGPDLSDQTPILPAT DILPDENCDSKNSMCLEHGTNESDSLLEKRAETTSAEPTSIETVLQIVDASSQTPFQS TATDFPITISDSAYAIGTLPGSDGLTATASASASVTAAASVSIDLELLTSPTALPLSE TSVSSSANSTQITLTTSTNSTSRLPSASRSASSIVINSPGWTSTRLIAPSSSTPSVTT TSSQAYNYWSYSGHTSSSSSASSSSASSSSSSSSSSTSSTSSTDYTTSSSNVYVGSES TSSATGTGSAPTTSQTQASGQESTSNPDTPKIVGGVVGSVAGLALIILLLFYYLRRRG YFMGKTGNPAMLGDAAAGAGAGAGSREIVERRESNDPLFTASYLAPAFMKRWRQSTAT VRSGSTIDSVPSERGFQKISGRKLPPVFTHGGDGYGGGLDGDSPTGAGLSATSPAMGP MGSPSFYAPPPSSQYGSPLDSNFTREVEEPTPPTRPNLVHLPISNTVNVGTPITVTPA HPVAQPQSAVPFAPSRPDGLGRSLHSLDGSRSSRFTEVMDQ PEX2_077280 MGESRFGFSPIPRHLATNMALLTPIDLNLTKIEQCGTGAALCQV FDSIFMDVPMSRVKFNVNTEYAYLQNFKVLQNVFARHQVNKPIPVQSLTKCRMQDNLE FLQWVKKYWDQHYPGGEYDSVGRRKASGAPGSVGGAPSSRAPSAGSARRGVTPTTGGV RPRVATAASGAATAALQQEISTQKEAIAGLEKERDFYFAKLRDIELLVQSAIEADPEL EKEEDTLVKHIQGILYSTEEGFEIPAEGEEVAADELETF PEX2_077290 MSPRETNATSARRRPSLSFRPRPRTASHAAPMSKELALDTLEQP YLMEICQDDHAPAVEEIDPFPNAAPRRRGAKSFSSLKHPVDGLVALGRRLSVSLRHKP SKQAIPLAEDIKLVDDDEDRYYHHSSHANHKRVASGSWDVRSTKPHWHQGYSVNRRPS LNSVSALHSFYAPTASIPAPIPGRGQAPPVLPNHKSAGAAARAAAAAQNEQMEAARVA KAELENKLLDLRVPQDSESGICIDMRDRSDVSDPDLMAMMRLDPVALLPAEITSHIFS YLDPDSLMDAELVSHTWLCASSSHVWRHVFRNAYGRRPASDTASKLKLSSGLGRSIPN QDWKKKFLVRRALDQRWKDGKAAAIYLQGHEDSVYCSQFDENKIITGSRDRTVRVWDA HYPWSCKKIIGPPAARTFRRGPVNNPTSQATGTAPFMTITPPWPTLDETTEITAPLEE ESIYHSASILCLQFDEEIMVTGSSDFTCIVYDIKDDYRPIRRLSGHQAGVLDVCFDDR YIVSCSKDTTICVWDRNTGELLRQLNGHQGPVNAVQLRGDLIVSASGDGVAKMWNVIS GQCVKEFTSKDRGLACVEFSDDGRTILTGGNDRIIYQFDANTGELVNELHGHTGLIRS LHLDSANKRIISGSYDMSVKVFDRDTGDLSINFPGWTTSWMLSVQSDYRRIVATSQDS RAVIIDFGYGLDGIDLLEE PEX2_077300 MPYPEEAEGFQVDGPNSFTQFHKRHFKLKPFGDYDVDIKIEACG ICGSDVHTISGGWGEQKFPLCVGHEIVGRAIRVGPKVTLIKEGERVGVGAQSYSCGKC KQCRNDNETYCQVEPMDTYGSKWADSGIVSQGGYSSHVRTHEHWVFPIPDALETNSVA PMLCAGLTAYSPLVRNGAGPGKKVGIVGLGGIGHFGIMFAKALGAETWAISRSRAKEA DALKLGADGYIATAEEGWEKEHLCSFDLIINCANSSEGFDLARYLSMMDVHGRWISVG LPEEEGQVIKAQNLISNGVLIGASHLGSRREMLDMLQLAADQGLKGWVEEIAIGEEGL KEAMLRMKKGDVHYRFTLTGYEKIFG PEX2_077310 MLASMKKVTTSFIPKWHLQILRIDLNHLDIACGMTSPSVTRYFM PRTDTPRIEKIRADPSWDWFLSQKGNFGKGTHSANSLLRRVFIYYQDWSIPEFWPNEL DGAKSEEIKNNESPTVQGSDHPVNTKDDKVSDGEGKSDDKEVSESEKIDSEAKEGEIL GSEKHGDSDEDCGKSEESDDENRKPRGEIHEKSKQSEKTDKEADNDREMVIANALDLD IRNYMTNDWLVYWAQRLYDLDLPDKIHGISFARSMELDHPHKCHAWALVDVISCGHDR PSTAELIALVSWGLRGMFGQMESLANGIEPEDVHILSEVFPCLTKDVVPVYYTVTLMV DSKFNLHRS PEX2_077320 MSLTPLRPGVYAPTMTFFDAQTEELDIASIRRHAVRLAKAGLVG LVTMGSNGEAVHLSREERMTVNRETRSALDEAGFSNVPVISGASENSIRGTIDLCKEV AASGGEYVLIVPPSYYRTAVGNDETLYEYFTAVADGSPVPIILYNYPGAVAGIDMDSD LIIRISEHPNIVGTKFTCANTGKLTRVATALGAITPTSPLAPAQRTAAVSKPNAKHQY IAFGGIADFALQTLVSGGSAILAGGANVLPRLCVRIFTLWSEGRLTEAIEAQQQLSAA DWVLTKASIPGTKGAIQSYYGYGGFPRRPLSRLTEAQYQTVADKIKSAMDVELSLPDV A PEX2_077330 MAPPNVLMVGTGEYTTGFVGGGASGSDKKVGVVGLSLFDLRRRG KVADLSMVGVSGKKFPGIRDHLHRNISQVYNNLDTSFASFPADDQTDPDAYKTAIDAL PKGSAITIFTPDSTHYPIALYAIERGHHVLITKPATQRLGDHLALVEASRKHGVFVFI EHHKRFDPAYSDARAKAKTLGDFNYFYSYMSQPKSQLETFKAWAGRDSDISYYLNSHH IDICESMVPEYKPVRVTATASQGTAAALGCVPETEDTITLLVEWRRRDNPAKIATGVY TASWTAPQNAGVHSNQYFHYMAANGEIRVNQAKRGYEVTGDDQGLIHFNPFYMRYAPD EEGNFSGQTGYGYISFEKFIDAVTAVNEGRVTLDQLDARPLPTLRNTIGTTAILDAGR KSLDERRPVEIVSEGDKWELK PEX2_077340 MMRRKEIYTNITPIPASVPRQLALDILHSHSEIITLNPLVLSHH PVSAPRDAVADEYYSTWYKITERVQYVPGLGKIGSGKISFKGCFHNVAWGLETHMYAP MGIDLKSKWRIAGNQDDEAPESRDGRANDAPQTGLYLREDIEIECNRTLISFVKGQLK AASKVLVARLIKKAELLDAGVLQAMMEDGKLTTYNPADRSSRIIMEPLYSSRRQSDQM SRSDQMSRSSSSRAPRSPTDSVHFGSIASGYSSPVQYGQEHSKNFAVELPADFYHPQS GSEKYQELPDTSRYSKSPRLNKGHAELSAMEETLEERSEGVVPYNAYRPKG PEX2_077350 MPMTDDTDDSGGVILDGPFDPDAQATVTDFIDYTEYLPADLIRS LTLIRGLDDRYLDSAQAVHQLTQIYGQLPDLSSDNRPNPIALRKDISSQVDRAINARE SAYAEACRLYDVVDRHFDRLGCIRQKLEALPKPASEEPSPPPEPTPKRARGGKKTKDA TTRITLRLDNNRNRRDKNRRRVLGGDGAFDPDSPLASTEQSDLENELIKSAPKPARPP KKEKARRMSLGNGPSTAQALAQLKPPPADAKLGSEDLPWLRLTEWEMTRLRKKMKKNA VWQPSEVMIHRELALANRGWEAYRAAKALAEETGGEFIDCDNIEETRRGEAAKDLEET KLSNRGMKLNEAKKLKREQLAREQALMEGEGGVLLKPLPSPAQAPPAANRSSRKRKRE EAIPEATELVAPELPKPTPVAAPVATPVPLAVPTSSAGASRRRSSRGAVPVAETNDLI PPIKTHMSPAVEAKISPPLPSPTGSGPSNPPLAQPVVTTPTPPVTRPSSRRSVAAASI EGGNLITIPTVIAASGRDRRIKSATPAHKTPIREPSHAPSVPGPARRRKRPAPGPIST GQDGGAAVSYGRRKAKPGKKRLSIRDSQDVRVDEDGVLEQIDANEPRYCLCGDVSFGT MICCENQDCDREWFHLNCVGLTEVPSRTAKWYCPQCRVKLHKGEDGIIKGSSRR PEX2_077360 MNVRERLKMLLEGDEGLKDLDTHLNQARAVQPAPGADKADPVES NKAKQGVSGHALPVDFDPENGMEYRGQFPLSYIRTHVVGELAGSEDKLLTIEQDGRPH GRTSKMRLDLSGLPKDDWGGGGVSDRDSDEEQVTAIPVSQSFTSAVTMARFPFKYLQG ENSKRVNEQFYKRDKFWSRTWDLYYLSVPRVVSQTPFLLIRTSQAQALLEEINSALNL NLTLTGVGKEGLVIEIDDEKLPQPVYLGRSSSRDRKAKLEGKVPSPPENWGPWAQLVE PHVFEDFERKIKQSIATIKTKKNTQKQAAQDARLKKWQECLCRVQAYFGLRPVLQPNV TQPSFANGQIEAIDVLKPVKWAFQDAPIFISIDLEWMDCHGSLGSLTEVGISTLDMLD LEDVFPGDYGEMWVKRIRSRHLRVKEYRNWVNDTYTPGCPGRFRFGESEMIPYAEIAN VVDAAFHPPYMVPLEEEKIAAHKYQKRTVILVGLDLHGDITHLQRAGSQVFINLNETS SVIRETVEVAELYRVNAGENQNRGLRALLGLLNILSPDLHNAGNDAYYTLHALLRLML RAAGEKPWGYESAKVGNDEPNVKRSDERKGEPPVTANTKNQHQDERDGPTFEPAAAMY EETDNNTDEVPVWSH PEX2_077370 MTSRGPLTAYLLASTPNALKRATTHPFLASAGRGTLPKSQLSQW LSQDRLYAQSYIRFIGLLLSKIRLPTQNPTSPGPHLPTPEHNAITVLIDALVNIRTEL EFFEKTANDYGLDLTAISAEEGGCTLTSCGRGSDITTSGGISTTGSGSCPGITGGEDG CIPSSNDNDPSSAPGHGLCASQGECQMPSDGGICAPGPQFNESGRGVEPSAVGGDVGG KVGVQDGGERCGTIFFCASRTTRAYIDMFMSAGSSGVSILEGLAVLWATEVCYLKSWR FAAGCMREDGKRDYTKDADGGALREKFIENWASVEFEGFVDRIGDVVDEMAGQIKGAE ELEITRGRCLEWWRQIVWLEEKFWPSVAE PEX2_077380 MPLTNRRRARRKEIQLQETSDAEAPDSSPSRPSAKKRKVERRTS PPRNVKHESGDEAEDSAGEHEPATNQDLVDLVISYLNTPREELRVSRDHSNTKTENTQ RIQAYAKIAGRNWTYYVKTLHVNIGREPDREQRAVEQSSPVTIAARALPDVHVDLGPS KFVSRLHAEIFYDGEETPAWHIRVNGRNGVRLNNVIVKRGTDAILSCGDIIEVANTQM MFVTPGDEANIQPSFIERAQRIASGQEPDPASASWDASQHAHPQQSQNDEAVPPSSSG GPSLAPAPKFLKRQVTPPPRSPDTAGQRTAKQSPLYNRGMMMESTEEIDYSKDSSKDL KPPYSYATLIAQAIFSSEEEKLTLNSIYNWIMDKYAFYRHSQSGWQNSIRHNLSLNKA FQKVPRRTDEPGKGMKWQIAVEYREEYRKKQTRKGGTQSSAPSSPATKEPPSSARGTN VSKLDTSFSATARKSPPVSSPGFSSFPVAPVEAYTPERGSRLGRGLGSDHPLRHMNPR DYDEPSPLPSRSHNHNSSISTQVQNSSNNLARAYGMSDNVAGSPPVLSSSYYNEEPSS MITPAPQRQQPRLPPPSTAQIPSKFMPMSSPAQFWKFADIGSTPARPPPDMSPLKGEV EDRIIGGFPSSSPPPPNLVSPSKPGTSNGLGSSRTLPPLQSDSGDMGSNGHSHVRNEE REEEEDDNGSGFDLARGFEPIGSYHRQLGNAARAAAT PEX2_077390 MTENHTPSTTQATLPTPVAEAAPIQATPTPSASVTATAAAATAA VNSPSMNGAGEQLPCQWVGCTEKSPTAESLYEHVCERHVGRKSTNNLNLTCQWGTCNT TTVKRDHITSHIRVHVPLKPHKCDFCGKAFKRPQDLKKHVKTHADDSEIRSPEPGMKH PDMMFPQNPKGYAAATHYFETPINGINGQYSHAPPPQYYQPHPPPQAANPHSYGNVYY ALSQGQEGGHPYDRKRGYDALNEFFGDLKRRQFDPNSYAAVGQRLLGLQALQLPFLNG PVPEYQQMPASVAVGGGGGYSPGGSQPPGYHLPPMSNVRTKNDLINIDQFLEQMQNTI YESDENVAAAGVAQPGAHYVHGGMNYRATHSPPTQLPPSHVTATASTPMGAASAHSPS VGTPALTPPSSAQSYTSNRSPIAMHHAHRVSPPHESGPGMYPRLPSATVADSMSAGYP TASGAAPPSTLSGAYDHDDRRRYTGGTLQRARPEERAASEDRMDISQDSKHDGERTPT KSAMHISESLIDPALSGTSSDPDQEAAKRTAQAATEVAERDVNVAWVEKVRLLENLRR LVSELLEAGTAEYGAQTSSASPTPGLDAMEGVETGSVRATSEQPREEPKSASEGVFYP TLRGVDEDEDGDSKMPE PEX2_077400 MASFPKFSTVFTWTIYLIPLYIFILDPLVRGYFPSLPIPSEQTD DLFDEFSAHGPGINLTDDSFISPEDGVPFSCPSAEGYRVHLLSREPLIIYIENFISET EANHILDMSVNKYTPSIVYDGQTERVDPTKRLSDRALLDRDDTIRCLEDRARAFQGWR PDLYIERMWAQRYNASGHYRHHYDWAGSLARGGDRFSTFMVYLDADCEGGGTNFPRLR MPDGEGWCRFLECEQRDGKGKEKGKEKMGITFKPIKGNAVFWENLRPDGTGYRETWHA AFPVTKGTKVGLNVWSWYQPKRRNRSGR PEX2_077410 MASGLIFDYRTLLRTAPLITSTCTLWYSLDQDFFLNVFLHPDHR TRSNEFLPSYFRAFFGFGTLRVVALLTLTLTGGGYNILTERRSGLGSSASLPWYTAGT LLAASHLLFVPAIAPKVQAVIEDTSRGSSTKDLEGWMTIHRVRTFTVDLALWVCFGVG MAIVE PEX2_077420 MATTTSPVSGENEEDPAPMNDFKYSPDDDHHSPTHDPSTTDPKS LPAQKRRRVGRACDECRRKKIKCDGKQPCTHCTVYSYECSYDQPSNRRRNPAPQYVEA LENRLQKAEALLRIVLPNVDLDDPQLDVHATEQKFAAAQKSRQATEDVKPSVVQETAQ EGADEGLLETMVDNSGCLDRDDQGHWDYHGHTSGVLFVRRLRKQLGAADISGPMSRSR PSITAHMLDSPKSMSESPQDTTLPPTHDLPPREVARRLCHNAIDHACSLMKFVHIPSF FASLDRIYDTPPEQFTNQENAFLPLLYIVIAVGCLFSDDESGQGTLDVSGYEGAIGQG FQYFKAGRQLLEITDCRDLVSLQAICFMVLFLQSSAKLSTCYSYVGIALRSALRLGLH RSVAADFNPLERELRKRIFWVVRKMDIYVSTLLGLPQMLSDDDIDQEYPMEVDGDYIT TEGITQPPSNYTPLMAGCNAHTRLSNIILKVVKYIYPVKNARYRSKSDQRYMVSHSKI REIERDLQNWMEELPPALRPGTEVSPQLERVRQLLRISYAHVQMVMYRPFLHYVSGGS QARGVDKRSYACAAACVSVSRNIVHITTGMQKRGLLNGSFWFTMYTTYFAILSLIFFV LENPDSPTAKDGVLKDAMEGKNTLAGLAKKSLAADRCSQSLNCLFKTLPEMLKNRQSS KTPVNLKRPAPSNIAEPEQKSSFEKTLPHRSSTFPVQMMSQPAVNDDQNRRQRSLDNV QPVSNRSTDINHQSTWISNTPDLLTETMTTPEHISSSGMTPSIPTQEPSSLAWAQQFT NPSNLPDLMPMMFPSDDPFAYPTQPMSTLEDDHFRHDPTGMPSQYPFDSASGMGPATP GEPSSAGVSTPTFDFTNMPNYPIANTPGIKSSVPSHLRPSHSRTSSRVHSPISQSQTP SEVISSPDLVSIPNQNFVWQGYNFQPSNPNDMGTESAPQQPEMPGPNGIPDFVMGMDE NMGMNMDLGISFDDLFGNNAAYRPSNGASAEDWAQWMNANSI PEX2_077430 MTFYLVTFCCAFAALGSFLFGYDSGVISSSIEQEAFVRQFGSPT LSDAIAGSIISSYIGGAIVGSVLAPYISDYYGRRMVLLIGGLLATLGAGLQGGAVNIP MLIAGRCIAGLAIGQMSATIPVYCSEVAPPHIRGMLASMQQWMIGLGFVVAQWVGYGC SLRGGAFSWRFPLSFQGAPAIILICGVWLLPESPRWLIEKGREVEGRSVLARLHLNQM ATNIPLVEHELFQIQRSLASEKQSVVRSWRQLILSPRWRHRILLACGLQVFTQCSGTN LVANYGPRLFTALGLTTSTSLMVIGLWGALAQFWYTVFMLFIDKVKRRKLLIPSLLGM GAALCVEATLARYIDFSDPNASPHALRAAIAMFFVFSFFFTAVGMISWIYQTEIFPTP IRARGSAMATATNWSVSLVFTQCSPIALTKIGSDYFYCFAGFNWAAMIVVWAFYPETA GRSLEGVEEIFSPQLDDGQSERSFVNESTPVAITPRSHIRHKGLHPLSMHPTYDTVSI GSSHSGSGDEIGTKEV PEX2_077440 MAILDITKDLPTLFKRQVQASPDAIALEDETTTYTYTQLDQEVE ALASRLRTYGVSRDTLVGVLLPRSAHYVIACLAALRAGGAFLVLELAYPADLLADVIE DASPAVIITHKSEADKIKAPVPVIALDGPVTEINGDAKEPSPLPADDDLDRLAFVSYS SGTTGKPKGIANPHRAPVLSYDLRFAVQDVQPGDRVACNVFFIWEMLRPLLRGATVVI VPDETSYDPAALVDLLSAKKVTETLMTPTLLATVLSRYPRFGTRVPDLRIVWLNGEVV TTDLARKAIKILPNARLLNCYSACETHEIACGDIRDMVDSDSIYCPVGPSIVPTHTYV LNEDGQEVETGTSGELFIGGPLLAREYINLPETTAKAFAPDTFDSTPGARMYRTGDLA RKLPSGFLEITGRVGAMIKLRGYSVVPAKVESDICQYLAVSQCVVTAYGDGLDRQLVA YVVPDKEASADRPLVVINESGHSPSARRALENRLAQYMIPALWVALDQLPTNEVSGKV DMKNLPSPRSSSPSGSEQSAGKDPIGLNDIAAIWEAVLKVSKSLIKAEDNFFDLGGHS LSLADLSSKLSRRFGFRVPIPRLAENTTLSGHLDTVRAVRDGHAEEVQANLPAVLLSD ATLDEDIKPTNTAITSIASADTVLLTGVTGFLGAFLLNDLIENTSAKIICLVRFSDPE QDDQAGGVARIRRNLLDMGLWRDSILERLEILPGNLSRPRLGLGPDEFENIAARVQVI VHAAATVNLVYPYAALRGANVGGTREILRLAAKGGATVQYISTNGVLPPSGEKGWPES TILDVEDVPKKLLDGYGQTKWVAEQLVLKAGERGLPVKIHRCGTISGHSETGSANAWD LLTALIVESIQLGYAPDVEGWRAEMTPVNFVSKSIVHLATQTQTDQTVFHLGDPTPVD TRSVFDDLKELGYETQPLPWDEWVALWFEKRGSAKGGDGSFTVDILRSGMPTVEFLRD IVVLDNALTRPFRAVIERPKVDSLLLETYTRHWFARGWLPRPPSRQNALNRSSAVPVK GPLSGQVAVVTGASSGIGAAVAAALAKKGCAVALGARRLDALESTKRKVEAHGVKCIL RSTDVTSKTQVEALVQAASEELGPVDILVACAGVMYFTMMANTQMDEWERTVDVNCKG LLHALSSTVPGMLSRGRGHVVAISSDAGRKVFPGLGVYSASKFFVEATLQALRLETAG AGLRVTSIQPGNTSTDLLGMSTDAEAVKKYGEPSGAKILDPSDVANSIVYALTQPEHV SVNEILVEPRDEPI PEX2_077450 MKMSVRRFALAAALLLSQASANTPVASSPPASSQAHVATEACQT QLGFSSVKSVPTTTITRTIHQRTPVVVLTTTLETVTVTPAVSTEIVTDYETTIVTSTA DAITDIFSTTSTEFDTATLTLTPAPITTTVAEVLSTIATSTSTIATSAGFTPIADTLP PTVTAKRSLEEDDDCSPWVDDYKYPQAVVCHEKNIIKTTTVSTVTGSPITVTVAIPTT TVTITNTITSSSVVLPSDVSTTLSFSTTSTTTETTVAAGETSTVTSTSTVLAGTTTTS FYAACATNNIAGNPLSSDFGSAAGKYIYSLEFTNVPGLLLTVGNTNSAYDCCASCIAS STCAMSYYYAGPSIKYCYMATTNTCSPASNYGKASLQTGVTGVQVSNGNCGHVIGINI PEX2_077460 MGSFLVRGWIVAALFLQTSLATTLIAEPTVTPTPTAVATHHNHN HNHHHHHHWSYVHRPLPSRKPCPARSSSAVLASSIAPTSTPYLSGVPSPSSQPYWSHT PRPQSSSVAIQTPSAPVSTPVPSPSIIVSVSGSLPTGVGSSSSQISISTSGSDDSTTI VASIPTSTPSGALQSSVASGSSTLELTTSTVFSTRTATITACPTTVPNCPASSKTTFV TTETILVSTTICPVTETAGPTQTASASLSVTGGAGDNGNGNGGSDLTTSTVYSTRTAT ITACPSSVTNCPLRSKTTYLTTETLVVSTTVCPVADATGTNVAVATKHTAPPSVTGAI GGGDNGAPKLTTSTIFATRTATVFACPESVTDCPLRSKTSHATTQTFAVATTVYPVYP VYPVYTSVPAEDVDTTTVPVTVASPQATGVTPGSQSGSGGVGSGSDSGTAHTTTIVVE SCSDDDTCTGYTNTIVVTQINTAESTAAPALHTPYRASGAGASGAVSASSTHSWFPSS HPSGLATATVSTATSAVTPVYTGAASVGTQSSMMQVVGTMMVILLAIYI PEX2_077470 MSTSLDQAGSNAPDASNVRWQFIDSSNNSRTNLTQVKRHVMQEY MRQKKGSARQSESEEEAPRPKRGRPKKTRGAQRKTEKKAKSDGENNSQPHARRSTRKQ STRKEELNVEVNCDVLVSPISSEPALIESNDMPSFPPFRPSSAHSQDVPLLLDGFVDV HSQSSPTSDLYFNNFPWPSPSTMPYQFMPSPTTMISDARIDTFNTLPIEVDQNGHRIF DSYVNDMPASYGSHYRSSMAHSGYTSAFVPETMKGEAQTPIFHKDRAVSVLQEHRADN PHDISDVAIVSCLSAAALEDCDPRPGHKEISRVHMRAAREMIRARGGPAAFSNTRIGM MINWQNYILPGYETHGPSFFYEYNQHAPISSESLATLPHPIPTPRSMPSPPYSTSSAL SEVSPSPEPRTMLPPHPEANPVDEIKFQCEEFFDFLRRCEQLALYQRDNPQSSYITRH TAVQETSILHQILAAPPSARFTRPDDRKQMVARLTALMTLNAAMWDYRNTPARAAIFL DTIEKSMVDSEVGMNGSVDAMLQTLLECSDGTLDGWPTSADSFASTAPVEELPDFSQY FPTATSPSARPWFAGRMLKVANRLSSLSWYRVNEFLFSCLTLRVQESSTALWEADLRR EILDAPTTYVMRSLTE PEX2_077480 MFNPDLKALDIESSWNQTIYLSAPLVAAMAEMEAAAGANHANGS AAKKSTTNPYETNPDHIPSNDPFISQSAQYGRYTPRADDFTPRFMNWYRSDPAVNSFW EKVAQQYCTPEHSLNISGPREAFAAGSIIIWVDRQLADGAAAESYSSVNTNELSAAQK AEDSLREIGVTVPVVYFCGTIEGRNVTIESRIAGVSLEVAWRYLDAEQIDIFKNQCRQ ILQRLGTIDSPQDEPSYICRELNSQIPPSVETRERDILFTEKGKEEELSLTHNNFVPS NIIIQDNRVVGIAGWRQCGYFGTVRAKKVHRLFRDLGPASQNGVTSSEKSATWTDLYD DAYDPSKGIPLVANQDTPLPSVKTEPTNSTLDKFPASDDLDTHSLGFDGTADYATSKT VANLKHGLTSRASSSDRSSPANSIKAGNKRPPTSTSKKGTAKKPAAKKRKVNDPDADS VDGRRSNTPVSRASKTPAKKQSSVSIAGSPPPEEKKKPQKKKKKGPKPAAAQEDDDSD SFDENAIFCICRRPDNHTWMIGCDGDCDDWYHGKCVNIDPRDADLIERYICPKCVSEG KGCTIWKPMCRLVECRKPARAKTKPPSKYCCDDHGREFMRQQTQQLKHRAGQTNGLFE DLGSMGGILTAGDLKAAIMGVASTQEFRKLGDRIISPPPRVDQKELAVAGVKTESKPQ SGRWLGVDVHAAGLEYSHDEIAKIEKLRTQRDELLHRKDMLAARTTFLTLLKPRAKGV VEKLKQHEPKGGWKDICGFDSRLSWSDEEFDEWRLSDAGKKALAEGTAEALAVSSSAG TDADGDTAMNGDGDDDITFWTRGVCTKKRCERHKQWVKVQQQDILFEEETAEQDLAKC EEEGRSVVERGVMRRWAEKDNQA PEX2_077490 MENTAPPSNKTTAKTDWNLKNDWKHGIQSSEAAVFRCGVVLGLS RLRMRSNESNEYIGQVPRCILTNQLRSIHPSSEPSAFIIHPRSFEAFAPRTLLNELQS SFHDQPGLPTDDAIRRLDSVQLLPVHNFTNAAQAIVKVSEALHEIQKNREKQRTPTDT SPSTNNPIILIVVGLDTLTEGVIRASNPARGAAILTATLRTLTRLSRVHASHLSIILV NTNGLGTMNPEWDKNQPSTGNATTYGNSAARQPLEDGIHSIFHSDIPSLFPTLLMKTL DQGIDTYLLLSDLRGAQIVEVIKDRVGTSLGKWGIWNEQ PEX2_077500 MSVLASPLELLPNELLDQIICYVSTKPPSFEQLHHTPNLKLTES PTKDLKHLELCSRRLFQLVRPQLFTHVRLNLHDEPDFHSFIIKSDLCQHVTSLVAIVD ENPDRQVDPFWWRRVFRYLDPRRVLVIGPPVFIGNTLASPINDGHHWAFDMPLQILLL ERECKPRDSSQLPDLENCTSLLASRPWTSMTFNESSSLKAYNHYEYFLSCVPSVLAEW GTSGIRGSTRTLQRPIDLPILLRGLTYFSYTAVFPFYNHSQIVLDAVVKMRCLQRLDV RLAPPQDNHITELEQRGSMDPNDPWMELVTSYSLIGYTVNNLPCLKEFRCGDLHVEAM RQDLLAVLDDVIGDGGWVHDGRGVWRRA PEX2_077510 MAPQLHQRPPFRAEHLGSLLRPDQLLDTKLAFEAGKIPESQLTA SEDKEIKAIVETQQKLGYAAMSDGEYRRHMFWGTFFPGLDGFEEVNDVDVDDFRPYAP DIAAFLEAGHKPGESVICTGKIKHVGSTYIDQFKYLASQVPANEVGNLKITLAAPNWY HLRYREGKAYPKSVYTNDEDYFGDIAKAYQAELQILYDAGCRNVQFDDPNLAYFCSDK FLNGFKEDPLNVYSADTMFEKYIKQYNDCFTNLPADMHVGVHLCRGNFVGSRHFSEGG YDRIAIKLFQELNVHTYYLEYDTPRAGGFEPLKFLPTHKNVILGVVTSKFAKLEDKEE MKKRVIDAAKFIAEGNNISLDAALNQVGVSPQCGFASHREGNAIDWDGMINKLQLVRD IANDIWPNQA PEX2_077520 MNFNQFDYLQQVTKQTMTDFPPPGTTIKTRGFREVCEVDGRTYF RKRGAREWTEDTSNPEELKPPVENPHLYLYLVQEEQAPGEPNYWALFLADENEPDYGY VYQVTGDAEDMKYDPSAEKINVVDAGLTSNVYTLAVVSQEQARAARLVKQAAEEVLPP QAENRKSVTENCQGWTVRVIKRLVKEKIVMPQKLELARSLMQGV PEX2_077530 MPRYKEGDKVSYKPVGGAQSRTSKSVGLILENLGGRSSSDDEPR YKIENMHTHKSSNIKEGNIEGPAE PEX2_077540 MGGQVSKMMGKIFGTKEMRILMLGLDAAGKTTILYKLKLSNQDV TTIPTVGFNVESVTYKNVKFNVWDVGGQDKIRPLWRHYYSGTQGLIFVVDSSDTARVE EARSELHKIINDREMKDALLLVFANKQDIPGHLSPEEVTQQLQLTKLKDKLWYVAPSV ATDGTGIFEGLAWLSNNVKTQPQK PEX2_077550 MPGEGHKFERHASVTQQRRLALQYERNAWLGPPSDTIYAGVSSN FEDHYTSTIAIAIRDTTYLLDFIEKQFSNGQASAEQATDFIISELKDYSMHHLEKIVG ISMPEQVAKHCPRLCPRLWAELDIVPLVMSNATLIDRVSIEQASESRNPKSNGWDEKT IDEQAESMARKGVRLFGPENTPLLQVGFLGLVEVDTAYHVRLADLSDFQKTVSDRTWS AVQRYATELKERNVKVAFFSSTPQGGGVALMRHALLRFSHCLGTDIKWYVPKPRPGVF RVTKTNHNILQGVARSDERLTPENKKLLQEWIEENARRYWTRSGGPLLAPSEGGADVI IVDDPQMPGLIPISRKLAPDRPIIFRSHIHIRSDLVAQPGSPQAEAWEFLWDNIKHAD CFISHPVGPFVPRNVPQEMVGYMPAATDWLDGLNKTMRDWDIAHYGRIFNSACRNADM PTIQWPEDSYIVQIARFDPSKGILDVLVSYEKFYNKLVLEAPNMVPPKLLICGHGSVD DPDGGQIYDEIIDYLNTKVPDIRHLICAVRLRPCDQVLNAILSKATVALQLSTSEGFE VKVSEAIHKGKPVIATRAGGIPLQVTHGKNGFLVDVGDTDAVAQRLFELWTDHDLYLR MSEYGIHNVSDEVSTVGNALDWMYLSTKLSRGESVKPNGRWIDDMAFEELGIPNKHDK LRLKRAVEVENMG PEX2_077560 MPPPKAAKGEYIETDTGNKISRRSQIHGTQHIILGGKTVIQAEA VIRGDLFRTATLPPSTTDANNPAAPAAPNPSTPSVAITVGRYSYISKSAILRPPSRLH RGIHSFYPLKIGDHVFVGEGAVVEAASLGNHVHVGKGAAIGSMAIIKDYAYVLDGAVV PPGMVVPSWCIVGGRPARIVGEVGEGYGVEGAEGGMARERYRVVGRP PEX2_077570 MLRRISTQFKRSKDPKDSKDTKDSNGDTEPKTTDKSSKRASKVS PTRKSTSTKEENHVVKRAEVVAVFEKYAQAIHASNEPLPNQTSDGAYLKHDKSSGLIN DIKSLGFRDINTVKDLIASKTSGELVDDKTYLMERIIQMVADLPGNSKNRTELTSVFL DELWNSIPHPPLSYMGDEYKYRSADGSNNNPTLPWLGAANTPYCRTIAPLTIQPSGLP DAGLIFDTLFARQEFTPHPNKVSSVFFDWASLIIHDIFQTDYRQQHLNKTSAYLDLSI LYGDIQEQQDLIRSHQDGRLKPDCFSEGRLQALPAACGVLLVMLNRFHNHIVTQLAEI NENGRFSKPRPGLSEEDTKKAWAKRDEDLFQTGRLITCGLYINITLYDYLRTIVNLNR TNSTWCLDPRAQVEKAGATPSGLGNQCSVEFNLAYRWHSAISQGDEKWIEQIYYDLMG KPAEEVTMPELLMGMKKVEGLLEADPSKRTFARLKRNEEGYFDDGELVNILTHATEDV ASSFGPRNVPKAMRSIEILGIEASRRWNVGSLNEFRKHFGLKAYETFEEVNSNPEIAN TLRHLYDHPDYIELYPGIVTEEAKEPMIPGVGIAPTYTISRAVLSDAVALVRGDRHYT IDYNPRNLTNWGYNECRYDLNVNQGCIFYKLATRAFPNHYKPDSIYAHYPMTIPSENR NIMKDLGREQDYSWDRPAFIEPRVNLASHQNAKLLLENQRDFRPSWARSMSELFGKGE FDTKQREAIGKALNTEEFPKLVKTFYEDITERLIAEKGGQLGKINQVDITRDVGNLAH VHFASTIFGVPLKTEQNPHGLFTEHEMYMILSTIFSALFFDVDAPRSYALNRAASAVS TQLGQVVEATVKADTNSGLFSGIRDSFRPHDNALREFGTEAIRRMKEAGSSASDITWS AIVPTIVGLVPSQGQVFTQIIEFYTAPENKAHLAEINSLAKTDSAESDEKLYRYCLEA IRLNGTFGALREAKEAVTVEEDGKTYTIQPGQQVFASFNQANHDPSVFPEPTRVNLNR PLDSYINHGQGPTTGFGEQITKIALVAMLRVVGRLQGLRRAPGAQGQLQKIPQEGGYH VYLRGDGTAYFPFPMSLKLHWDGPFEQKKAPSS PEX2_077580 MTILCSWVQPALHESAFYIGPSREGGWQDKNLQSTSRSLQVCEN NTEYGAHSTSNRSGLTGGPPRLGTFLSQHRPFVLTFGVRFCFCK PEX2_077590 MAYEHQGLSGMPKQFVLCFDGTGNKFAGDESDSNVLKIFRMLDR SKSHQYHYYQPGIGTYVTSKSLTSHGRIQRIKSAYQKAKDSAVGSSFDEHVMGGYKFL MRYYSPGDEIFFIGFSRGSYIARFLAEMLDYIGLLEAGNEELVRFAWKTFAKWQQRSD DSEEEREEKKKLFAYMKAFRETFSRPISRIRFMGLFDTVNSVPRFESAWMQRTKFPYT ARSSAKVIRHAVGIDERRAKFRQDLISGARPKEKKKHRRRPHLPTNHLHLFHQDHKEK SEEETPDNVPAIRVNDNPEEEEQDEEKARPEFTTPRYEPSTGETYYHAGRRGSHNQAS HTSSQPPSRAGSERGTMQHNKYRAPSPRRRLAVPKASMDDLHSVRSRESFHSVHSNTL SVQIPIVEIDSSDDEDDQDIHEVWFPGCHADIGGGWTLSEGESWALSHAPLVWMTQEA QKAGLELDERKMKQFQCLEEYDGDYSPIREEINTRRPNRCVEPHAENDNAFRSMPDEK ERTAASRDFWHALHTSSTKGLLHDCLMFNQGIPSMSVITWRIMEWLPFRRMDLQPDGS WKPISWPLPRGEVRDVPLDAEIHVSAIRRMQADPKYRPGNVIVGGGGRGIRVAPEQYG MGEWVVFKHEGDLVRETYVRKNISKCKEGEE PEX2_077600 MESRPEEMRRGTNSGVVPEGKEDVMIGFSQAPPRTRSPLARSHL RSRSLAGIPGMPSMTRAYSSPGLDSQGRYIFVNGRGAPVDTKRYPLQMRPDDYIDRMG SLNISETISEHAELETIPKLPSQPASSPILMPQTFPRIGRLRPTSPLPFQTPISPSLH SSPILGSKYNESYPNPSGSVSSISVPSTPTSLRSRSPSISSLETIPDIPDAENEALEE ERIAQLKAAADAADEATSANRRRGASDAPNSFTTMRGGSGGYVARSDKRKRWSVCGAE RRQDLDLETIWED PEX2_077610 MASSPHIGVIGAGISGLRCADILIQNGARVTILEARDRIGGRVH QSTVGGHAVDLGPNWIHGAGENPIMTIAEETGTVMYDPEGGRHVSYSRDGHPINDEVA AQVQDFVWNTISEAFKYSNQHGESIPAETSLFDFFCERVQQTNFSDEEKQLCLDACRL WGAYVGDQADKQSLRFFRLEECVDGSNFIVASTYKRILEHVAKTAVAKADIRLNEPIT SIKAPPRNNQSQTKHQVTVTTATGTTYDFDQVVVTCPLGWLKQNTSAFTPALPPRFEQ AIKNISYGRLEKVYVTFPRAFWHTDKTNTSTTNTVFAQFLDPSYTPHPAHLEWNQEFV SLASLPEPHAHPTLLFYTYGDGGAEIINRISKLDPSSSEYRDTLIETMRPFYSRLPGY SAEHPDCVPVALLATQWQKDVFAGNGSYCNFQVGIQEADVDVEVLRSGDGIGPVRGLW FAGEHTAPFVALGTTTGAFWSGERVARLVCREAGIGGMGIKDDSLPSAGDGA PEX2_077620 MSDPEPSVAISSSPSLPATDSNPLNYAFLVHSQKTLTQNLPPRV DNKLLARQKRRRTSPEDHAILESEYQQNPKPDKAARTSIVNRVSLGEKEVQIWFQNRR QNDRRKSKPLQPHELLAPRSGMVDSSGQPFSDDNASTEPGSSSGAEQFDINDHREEMA PKPWHGGLLHFSDDSDPVTEDKDEHELPQSTQTSVATEAAGETPPTTQEEFSQLVNDH SEDSLLQVPKRKRSVSDIRGEGEYEQQAAITQNIQTTPTKSPPSLRLSMSFDGEALLR KEGELTPSPPKGRNALRIAMSSDGKAVIRGENEPSPSKNRVAMFSVRRTKMSSLRRSS SAVFPATPRAGVAEKDRAFGRSRDPRNWESFCDTDARSALSTPTSGPNGSPGLFQSRS QRSLPRSASGRHGLAVHGDPNTPISQSMGEKRRKLARTVSSLGRLETGRNKANMNPSK LSKPLFSKSGKLDLEQDPGDSDKENWAPGTRSTNIRRRNTSHIPRPVLRDANRNRGLG ISTNGKRNRPLQTGSQGKAPPELSAEVSAFMAGGSGDSQEDDLDCVQGLLSLSQGAWR PEX2_077630 MAEDTKLKKNHMAIVLGIGLGVVLLIVLSLILTIIINRRDRQSF LSSRKNPSERLRKLDKVSPTCTLEKWWTTTKGNLGLSEAVDGQFVCAVCLEQVDRTQE IRELRCLHVFHRECLEKWFLGDHFNCPLCHRAYFVADTPPRNDYLWMV PEX2_077640 MDELLRSVRDIFDGFSSDPTAQLQELANQIFQNTSRPMRTHKSM SVEEYCSSFTGRNLRWEALGSIFAICGMQLVITLENDPDIMQGSDDPRAKDQLLEQMT VISTICLGFCDQTSSANELLAMLQYNDAMLRTQQYGDSSYQAWRRLGDLVSTIYAAGI HLETNGIENCPFFLRQWRRRCFIAAFYMDKMIATFVGRPPLMNGRFCTLAAPLDLSDE VLTAGGDVLSKAISELDSAGWNTEGKLHPVTPTRVRYQLAIIREETLEVVLGTREQHN LIQKSEEIQAKSRAIWRSTPDQLRYDRRSNDHYHDGWLTIVYFYLDYLYTCFLLYRAV VKHTNTGQADLCDVSRRVLAIVIQINSFRTPMVDLDRHFSWIVLTYGVPSASVLLLEL LQQSHEPGPHAVPLPRAELIRNLSVFISFLSWVAGPGHGNYHTCKEAEKKLSRILDQL LDPQPVQQHVVDDVTTGLDNFLNWSNYNTIWDFNTDYMPLTEGFAP PEX2_077650 MSSVIVFGPTGGVASVAALTARENGAKVFLAMRDTQKQIPGLST EQEQEGGFERIQADLTNPDSVAAAVKASGAKRAFTYVAFGTSDHMRATFTAMKSAGIE FVVFLSSYTIAGEPKDVAPADIIPYIHAQVEVSLDEIFGLENYVALRPGGFITNLLRF KKGIEAGEVRIWAPGFQFDCITPGDIGRVGGTILVQGPKNGQKKVYLYGPRVIAQGDA IVAIGKIIGKDVKLTLIDEEEALGQFIAGGIPKPFGEYMIRKSASTSNELTDRAYYQT GVENVELYTGKASTKFEDWVEANKGLFST PEX2_077660 MSSSSKVTLFPWDPNSDVHLKCLVSQRVECNWDQGKVETKWKKQ QLKGEKCIYWIENEMLQDTATTINAVPRQPTKESFIPIGHISLDSKNPDVEHIELDLP AVNIFWIKTFYIRRSIQGRGIGRAAMDEVEAMAVREPLLAKILMLDTVQKDDQKREEF ANVTYGGIPKTINQEWYARRGYRLIKTAQDYYQDPDKNGKVWDTRTVFMRKDIAGDQ PEX2_077670 MRSSILAAAFLASLGAQAKDSTSTTVAIFSPTWDNNLPGITSFA ASVAGINAKATTYHVGCADGVAKSDCDIATSWTITQGPETVNFAAQYIATSSGSDGYD VTITETYDCSFKSWSESASCTMSASMGGTVRGGSTASSSSSKATTSVATSSYYELDVT GGVKSFTAPAATETPGAAAAGPAGAFITAAPVVAAAIAALL PEX2_077680 MGRFGSQTSSYNRLVIVFVAIGSMTYGYCSSIISSTIGQPGWYA YFGLPVEGEPGYATITTPAISTANGVFSAGGAVGALFIMWSCDFFGRKANIQFGAFFS LFGGALQAGSNSLAMFQAGRFICGLGIGILVTVCPMYLSEMSSALRRGWLVGHHAIFL VFGYMLSGWVGYACYYATGPLSGFGWRFPLALQCLPALVLLLGSPWLPRSPRWLISKG KFDEAQHVLERLRESPDDPNNLAAKEEFFQTKEQIQLEAERLATYGSVWKAVFTRKTY RKRMAIGFLTQWGAEFGGPLIINNYAVLLYTNLGMEGGMPLLLSAVWLTTAGLIYNPL GAWLHDKVNSRRGMYMIGFVGIIISTSCLAAMTAQYAGTANKVGNGFGIFFMYLYLAF QGTFCDTTMYLYVSEIFPTEIRPIGMGFSLFGQFASILILLQTAPMGFGNVGWKYYLV IICWSAFFIPIIYFFFPETAGLTLEEIAKNFGEEVAVNLTGATDEEKARLDHQLVTGE NVQSSVRPELEKETKPSSTDEVEQNVSKAESTHTKYV PEX2_077690 MMAQSPFDTPFDQLPNPKQVWLGKPGSHEEGLGKLAILTPEVVA AASASEIRCGRRVTMGWEMTKLDFPNLNRQPCHHQIVSLLGGVAFDDIYTMNPQQSSQ WDGLRHFSQAVPGQSERMFYGGTTSEEIKDRQNDRIGLQHWAREGIAGRGVLIDYATW AEKKGIKYTTFSTHQVRFADILEISEENNITFQKGDILFVRIGVTKEWDTSMTNAQKQ AYSDNPSPEHAGVEATTEVLRWLWDTGFAAIASDAISWEVYPPQSPDIFLHEYVLAGW GMPIGELFDLEALARTCQELQRWSFFVASVPLNMPGGVSSPPNVMAIF PEX2_077700 MMHSMSLLERDSGDASIDRSVQQWNYACQSLCIIGMTAFFALRV YTRLFILNGFGKEDWTCTVAWFLGLCYSIIALLMGHYGGGLHWWDVPDENKIPYQKTI YVTMVMYGPTAYLTKVCLLWIMTRVFSPFRKCVIFIRIFMGIMLAYYIPAVIVKIRIC SPIAKFWDQRIDGTCLDQTSIILADAVVSVVSDMIILILPLPLTWTLQISTKKKMRVM VILGAGGVAVTASIVRLALIVITGQSKDVSRAFMRINMLGNAEVSIGIICTCLPSLSA LIIRMYHEYSSNKATRESDYKMSSMQNQGTVSRSNNQMSARVTADSDEDGLMYNAQGN PKVETIIRGNSEQGGPPKLAFDGIGVTRSVDVSTSVGPQ PEX2_077710 MAPTVEISIPNATLSHTSPPYTVYHITLRLPLRSFTVPKRYSDF ATFHSALISQTNAPPPAPLPSKTWFSKTVSNDRLREDRRRGLEEYLRAINEAEDGRWR TSPAWRAFLNLPTAVASTGTGSTTTSSRLHAAITDPAATNAPITDPTLWLDYYRDMKN HLHDARLQLSRRDQETTPQKQHESSAQAKGSLVRAGTMITTLDDGLKNLGRGDKGSQS QSSSLGGGEIRRRKDLLINARKEKDGLEDLLHAMATKSRLDHTVASIQDKGALINAGN VAGDAFSGRRTPTRTGRVLGKETERTRELDNEGVLQLQRQMMQSQDDNVDELRKIIIR QRELGTQINEELEVQNDLLRLADEEADMFVHALFSLLALVLAHFTNDISRLKSKMDIG RKRIDKIS PEX2_077720 MPNKRKAIMSPPSLKRPRISYDDYDDDESSTTSYERPRHNPLYG QKSAFPGLDDGGDELPYDDPEDGLEYLRMVRSEANSLPVLFSAPTQTTDSSNLASDTK RDLKPLEPNNLPLPAGFYEDEAYIAPAEDVDENKAVHSPSKLDELYPDTQKSYNNLLR HRFLLLRSTLRCSPPAGAINTLDNDHPISLPRKAGSAHKIWRRLLVTVEPRMVQLASM DMDSVLEVLEILARMMSDVVRGDDTQRVRRIGAWAWGLLGKCREVGQLSTREVGVVRN LGKRAATILRKVQELEMDEYEEEVDSSVVDPPKEETQQGNLIEDKKDTKEEVQQGSTV QDKEATKQETQPEPTAQGEEETKEEARLEPIVQSEEKSNQEELAEPAEAQDSSMPDAS LEESDLEAAKARLQARLQNNSDSAEMPASCDEEEEEDDWSIQTHALLDMIITVVGEFF GQRDLLQEREVWD PEX2_077730 MSKLVDSSEVMTSGRYVHLPTEVLVLIAEYIHENWYNSDPHISQ CTLQRFCSVSRQWYSAGIESLYSRPQLDEGNSFLLFTKTVCPPIRSRERKVHLGSLVK VLNLGALVHHSSNSLTARLLGQVKKSLKTFVAPRVSFSINSLAPLAKCKELSYLCLSL VAEPIPLSAIKKAISSLDKLRFLQLSSSMFITDDGFSENWPPNLGYLQVGGQFDLEKM SFFRWPPNLIGLTFCGCEDLDTSVLEEILINEQLCTSLTELTIHRLNREMFKEGPSEI LSALVALKSLRIPVDLLYDLLILPAFDPMGSPMSIRQLELTAPYDENFATEIDPDEIC KALKMNLSRVCYLGISPGCLGVIPETTHAKIDKWVWKNIDKCPEEELDSLLDLGLVVM DKEPI PEX2_077740 MRSQTLWRSFGLLQRQTLSSHKTITRRAIQTASSRPVPNFAFAF DIDGVLLRASKPIPGAADSLALLKEQGIPFLLLTNGGGKHETERVAEISEKLGIPLDA TDIVQSHSPFAELVKGSDESSALEHKCVLVAGGDGDNCRRVAEQYGFKNVVTPADIFM ANPAVWPFSNHFSDYYKTFARPLPTPIDFNDPLKSLKIDAVFVFNDPRDWALDTQVIM DLLLSSQGRIGTLSEKNGRIDLPNHGYQQDGQPHLYFSNPDLWWAAAYPLPRLGQGGF REALEGVWAATTGGPSKGIELKKTVIGKPYQPTYEFAERQLLRNRLRTFGSSAERTPL ERVYMVGDNPESDIRGANSYRSEIGSSWHSILVRTGVYSGGEPAWIPRTIADNVHKGV QWALKSSNWPAA PEX2_077750 MADSELPHRPKPEAQDASAPAAEGETKSASKNALKKAAKDKAKA EKAAARAAQEKAQAAAQEANDTAKDLYGALPETEDVLPATRFSELSEEHYEKEVTVVA RVDNARVQSAKLAFLMLRQQGQKVQAVIAAAEPISRQMIKYTGGLNVNSIVQVTGIVK KPEIPISSASISHLEIHIRKVYMISEAAQMLPMQVKDAERPPPENTEEGAQVDAEGAP IVTLKTRLDNRVLDLQTETSQAITWISSGVSQLFAEYMIKSGSRWIFTPKLVGAATEG GSGVFEVKYFKRNAYLAQSPQLYKQMCIAGDMENVFEIAPVFRAEDSNTHRHLTEFSG LDFEKTFRGHYHEVLDFAEDLLVFILTQLKERYASQIAIIQKSYPKAGDFKLPKDGKA LRMNYMDGVALLKEAGVDVSEQERFENDFSTAMEKQLGQIIREKYDTDFYVLDKFPMA VRPFYTKADPNDKRFSNSYDFFMRGEEIMSGAQRINDIKELEESMRAKGLEPNQEGFE DYLNAFRQGCPPHAGGGLGLNRIVMFFLGLPNVRLASLFPRDPQRLRP PEX2_077760 MSYMKKNEDEDQVMIKLDRTSVFQDARLFNSSPISPRTCRTLLT KIAVLMFTGEQFPTNEATTLFFGISKLFQNKDPSLRQMVYLILKELAGTAEDVIMSTS IIMKDTAVGSDVLYRANAIRALCRIIDGSTVQGIERLIKTAIVDKTPSVSSAALVSSY HLLPIARDVVRRWQSETQEAASSSKSSTGFLGFSSSSQSHAISNSNFMTQYHAIGLLY QMRSHDRMSLVKMVQQYGVAGVVKSPAALVLLVRLAAKLAEEDQGLRKPMMQMLDGWL RHKHEMVNFEAAKAICDMRDVTDAEATQAVHVLQLFLSSPRSITKFAAIRILHNFASF KPHVVNVCNPDIESLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMADITDEF KVTIVEAIRTLCLKFPSKQAGMLVFLSGILRDEGGFEFKRTVVESMFDLIKFVPESKE DALAHLCEFIEDCEFTKLSVRILHLLGSEGPKTTSPTKYIRYIYNRVVLENAIVRAAA VTALAKFGVGQKDPEVKSSVHVLLTRCLDDTDDEVRDRAALNLRLMGEEDESASAFIK NDSMYSLSTFEHQLVMYVTSGDKETFATAFDVATIPVVSHEQALAEERTKKLTSATPT LKAPSAGPPKGKAAGGMAEAASASATQKYAEQLLQYPDIKAYGILLKSSAPVELSESE TEYVVTAVKHIFKEHIVVQYDIKNTLPDTVLENVTVAATPEEEDVLEDDFIIPAPKLP TDQPGVVYVAFKKLTGENSVPITSFTNILKFTSKEIDPTSGEPEDSGYDDEYQVEDLE LTGSDYVIPTFAGSFDHVWEQTGANGEEESETLQLSNMKGINDATEQLISALSLQPLE GTDVALNSSTHTLKLFGKTVSGGRVASLIKMAYSSKAGVTTKITVRAEEEGVAAAVIA SVS PEX2_077770 MQVEVDPNEDTEWNDILRQHGIIPEKPKDPEPLIQEALIAAQHR AHENRLEDKDLDELDALEDDEDEEFLEIYRQKRLAELSTLQQTSLFNQVFPLQKVDYA REVTEASNSAFVLVNLTSMGGNVESRVLTELWRQLAAKFGDIKFCEIRADMCIEGYPE KNTPTILAYKDTEIRKQLITLQQLKGPRTKIEDLEGLLVEIGALKEGDVRLKKRDDED DYKKDDDLNVEDYDDDWD PEX2_077780 MDYSFYDPRSQPSGFSLYGLPTPDQPHAQPDTFAPLNDYQNFPG FDPSFPVDPSFVPPPHSPPESVKHSASSDAANSQHTRLTSFDGDETQFADQILGRSSS EEKESAPAQSKRKAQNRAAQRAFRERKEQHVRDLEDKVNNLEQASDTLQADNERLKRE LARFTTENEILRATSQHANRGNASTNETPEPTVTGPMKFSPTDFHATFMADEPQSPHH RLTVCPITGEKLLDAGATWDLIQKHELFERGQLDIGDVTDRLKGMSQCNGQGPAFKES QILKAIEESAAADRDELI PEX2_077790 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAAMIVDASKKDKDAEDQQRRQALPAAGQMGASG AAKPGAISSQRRKVVERGTLDTPDTNRAAGVFGDRLRSASKGAALGYGPSGGRSKRDE GAEAQWY PEX2_077800 MAFLFKSKKNQQSQQSQQAAALPSATRNVHTSEGAPSTGSPNMA NGVKGDGTTSQTPTPSGSFSNSLHSATSPTSPDAVRPRQRAESESQMQRPQQLPNGIP PPSPSSSLYPWSQRRLNFSSPQTNPFPRYGAAINSVASKEGDIYMMGGLIDGSTVKGD LWMIESSGGGLNCLQVATVSEGPGPRVGHASLLVGNAFIVFGGDTKIDENDSLDDTLY LLNTSSRQWSRAIPPGSRPSGRYGHTLNILGSKLYVFGGQVEGFFFNDLIAFDLNQLQ NPANKWEVLIPNSHEGGPPPGQIPPARTNHTIVSFNEKLFLFGGTNGVQWFNDVWSYD YIANSWTEIDCVGFIPAPREGHASALVNDVMYVFGGRTDEGVDLGDLSAFRISTRRWY SFQNMGPAPSPRSGHSMTAFGKQIIVMAGEPSSAPRDPAELSMSYILDTSKIRYPNDS QAGGRVPEPATRKTSVDKPGISSGRSSREAQNAGPDQQMRRGPTPSRESMIQGTAANR PGEFGSNPNLGPGSRLPRASIAQAPAGPPPPGQAPSPGPRGNGPPAGANPRSKTPTKN DRGYTPTVDIRVSSEGRGEESPIMKEVPQDSQGPPSAGRRTPTQHQKPSAKAMEAGEA APLISAPGRQRSLRSHRQRGSVDSGEESILGRQNSIEGSMEARSLRNSKSLADEPRSP RLTPHQEALIKELEVTKARNAWYASELALARKCGYVPNATSSPALDDRANEALSDEDR PLVEAFLAMRADLSKMQATVDRQAAIASKRVAEVEHQRDMAINEAAYSRAKLAAHGGS QRGTPQPDASRDGDDERPTDMGRRLALALASMSELKAKLDVQNTELLQERQAKELAEE TNEATRKHLAELEMQSNTLEAENLRAELHQAEALCREEAFLRAEAEASLQQLILDKEE LLAQIEDSSTRLKGFDSSFDGLREAVSASASKTALVEKQFQEERERREGLERKLLQLR SEHEERTTEVENLTRRLRDAEELAEIHAKEAETHKIAFVSGLERASSTDLDHSFRSRA DQRVAALEAQIERSTTLAKANQAAANAASDKLRRAEERIAGLEAYQEQASREGLQLRR QLQTAMKESQSAATTHRDLKTQLETHQREAGALAVQHAALKDLLGERGINSDTGRSPR LDSPGSRFGTPEQSRLRELEQQLSASIKAHDDLKNGFENREQEADRAFREKLEQLEND YQSAVHYVKGTEKMLKRMKDELSRYKAQNAKMQSELDVAQKSLENSDSQTSGSSAEWD AERSRLELSMSDLQERTSSSISSLESQITQLKQDLDQATAEKQLSRNEQERLKQDLLN VVEKSRTELDQLKQENTHLETRASDAERKVNMLLEQVQTSVGHYRRQSHHGQGPNGIS RTHSNASSINTINGGNGRARADSNVSQDSTFPDNRGSMALDSLANELDALRSHWESTN RNYRLSSQLDLERTPTKDNSDGPALMSDSLAEWRRRLDEDDRAGFDVQTKPLNTGAAH PEHSNVI PEX2_077810 MRDPTTDMEQNSQETPNGSTASRRNPNKSVRVAFGPDLETTIPT RERSPAPLSGHHRSLTAVEHKQPALTPHGRPTSSSGDNGAIGEIPPRRPSPRRSESSR PATLKRAKSDYGPSRITFDKVAGDDDEDFAMRHGWQEEYTSSEYLKILHSNFYMYFTE KRHETNGIPRDPVGSWPSQDWRMKDRLKTVSAALAICLNIGVDPPDVVKTNPTSKLEC WVDPTSTTGGGQNKIMEQIGKKLQEQYETLSLRTRYKQYLDPSVDETKKFCISLRRNA KDERVLLHYNGHGVPLPTQSGEIWVFNKNYTQYIPVPLYDLQSWLAGPSLFVFDVSHA GNIVQNFHTFVEKHEKENIETKKRDPNAVIQNYGDCILLAACQKTESLPTNPDLPADL FTCCLTTPIEIALRFFILQNPLRTNISIDDFRVPGRLQDRRSPLGELNWIFTAITDTI AWNTLPRALFKKLFRQDLMVAALFRNFLLSERIMRTYKCHPISSPELPDTHHHPLWKS WDLAVEMVLSQLPALIDQEEGRRQYEYQHSTFFAEQLTAFEVYLSSGPTEKNPPDQLP IVLQVLLSQAHRLRALILLSKFLDLGPWAVHLALSIGIFPYVVKLLQSAAQELKPVMV FIWARIMAVDHTVQNDLLKDNGIHYFISILNPSSPIPVGNASEHRAMCAFIVSIFCKN YPQGQNVCLSGELFDSCLRHLGDVENPLLRQWSCLCLSMLWCDFPEAKWMGIRCAAPA RLCELNFDPVPEVRAAMLHAVTTFLGIPDLTDQVAQIEETLALAVLPMSADGSVVVRK ELLVFFSTFVRRHQNKFLVAAFEELEEEKRSVVHRWESENSQTSRSEGQNGVLVSHAK MPQLSRNTTFGTIWKQLLALSVDPHPDIAQDAAVIVDYIHLALLESPMAPLTNKLQNE ILEITGLTKLQPRERIEAKKTAPPSTPPMSGAVASKQEGYLSLGFRRTASVAASLKNL AFGNLTTGDLSSDNSNPPSPSTSRMTITPRGRAPPEWTRPPEVNDHVAPATAYHQAPI PTSRGFEAKGLDVVPIIPLKSRFLDWSTEVIPDFESLESHTYYYLHLLTISKYFREPQ MKPNEPDEPGSSDYNQRLWRRGRNEKIIAETQPLKGKAGASRWDNSVALLSNGSQPHK MCFHQFEDHLAVADDRDTISIWDWQSHKRLNQFSNGNPVGSKINEVRYINEDDQALLM TGSSDGVIKLFRHYENNKNIEVVTAFRALPELIPSNRNAGLVLDWQQGQGKALVAGDV KVIRVWNAATEVCTNDIPARSGSCITSLTSDQVAGNIFVAGFGDGAVRVFDQRLKPTT SMVKVWREHKQWITNVHMQRGGLRELISGGRNGEVRLWDLRMDSPISTIYATKDTLRT LSVHEHAPVFSMGTNRHEVKTFNVDGTYLSTFEPYSSFLHHNRSSPIASTAFHPHRTV LACAALNDHHVNLVSC PEX2_077820 MSNIIHKVKDAVTGHHHDESKTTSSKVDDARDTYGSSHNTTDNA PSKHTSTNTGSNAYGAGSGAGYNTSSTTGAGGYGSGTGTGTGGLNSGSGYETGTSTGA GGYGTGTVHDSRKGPIDVGSGTAGNYGSNTAGGYGSSNTGSSTTNAGPHDSKLANKLD PRVDSDLDNRGKHSGTTGSSNTYGSNTGRSTGETGYGSNDTYGSTTGHSSGQTGYGSS NPVSGSTGHSTGQSGYGSSKPLSGSDTYGSSTGQTGYGSSKPLSGSDTYGSSTGQTGY GSSNPLTGGTSGHSAGQTGYGSSDTYGSTTGQTGYSSSNPLTGGTSGHSSGQTGYGSS KPLSGSDNYGSSTGHSTGQSGYGSGNPVSAGDNYGSNTGRSTGQSGYSANDSYGASGG SSYNDQTSKAGKTSGPHSSDLLNKLDPRVDEKQSFGSQRNY PEX2_077830 MRPPRLLVVLFCLIFFPILLTFFSALTSPDVATPNTLASQTTGL RALFSFNIPSSLFPPSAIISLTDDNSTFFLARPAAFGPLLPTKGLSGQLWVGSGFGEG GLAGGVEGELGCSDIPGWGEGTNHKKQDKPPRSVDQTIGISGVSTVNDQSSNRPRSVS QADTTPQNDRDDSTTPPLTNDGTDDHLHHPFPDSGASHPGMSQKYGDYVPTKPPAHAD IQSLQETAEIQGKVVLLSRGGCGFLEKAKWAQRRGGVALIVGDDTRGGNLVTMYARGD TSNVTIPALFTSHTTAHLLSSLVPGHAGGTAILGDVLKSSQGKLAGQADANKERVATT TATTIPSPTASSHLTAKERPAPSSHSRGGFFRAIGAFLGLCDKNGGSRHLEDSRRPPS SGNIDWIDLGSRDEKEAPSSAWRKPTDLNHRSRAKVDDAFDSHKADMSPESFEDDDFV IGVQDWRDPDLMPPKSSTPPAASTSVPGKDTAKTTSADKDAASSPNGLQGGSITPGSG EYQSVDKSSAGSHDTRLKGSEKESVGTHGKSGSSTKGWFSSHFGWGDDTQKDPQPSSS PSLQKSTAPSQQMKNNPHTSSGLGAEPSEHEGLWVTMTPTSMSTSPFFDTLLVLVVSP LLTLTVVYALLLLRSRIRRRRWRAPKSVIERLPVRTYHTITTTSSSSSNSTRPPSPGP LSPSSPLLGNESRPSASRSNRSRSQTFSGTMLDSSAMPKEEKCNPQSGSTLWRRKYTG RQVECVVCLEEYIDGQSRVMSLPCGHEFHVECM PEX2_077840 MATQAPAMTTQTATFTKPNRPLTWLITGCSSGLGLSLARTAQSN GHKVIATSRQPSRTPELVSEITQNGGEWHTLDVDSPTAASELVSKLESAGHYIDVLVN NAGYAILGAVEQFSDEELRSQMETVYFGPSRLLRAVVPRMRERRFGVIVNVSSGAGLE GRESMGAYAAAKAAMDGLCKVLAKEVAPFNVRLLTVWLGVFNTQFGTGCRSPANPLPV DYSGSVAAKTLDVLLTGKLVADGDKDKAAKAMYEVVVGEGVGVGHESEGFLPLGRDMI PRVELVRDRLVHALDVFGDTAGNVYVDKE PEX2_077850 MDSEIPLPPPRRIRHRSPATANPSATGIAVSSFQRAQRLSRFDD RSSQPSSDPALFSSDDIPASGLENYHATVSGAGRKRRYRGTWWGEQVLDPKRKRADFK NKRNVDSGVWMGSDESGAESLLPSEDGSAWGEDLRKSVLDPRKPGSSTPFFTETENMP AQTRVAFSSPEESDAHRFAREVVSDCLDKGHESIDLGDFHLGTIPAGLLRPLQHLTKL PSVREAPVSENVFTSLQPFLSIYLPNNSLSALHNDIFELTNLKVLSLRNNKLTEVPST IRRLTGLEVLNLSVNQLACLPWELLKLMQQGELKHLTVRPNPFLPIEEAQIAEWHYKP TNKKETENDEDASPPLQFQDQESSLDEGWIPLHVATGPITYMDMEGNPMGDSPSRNRL ALTLPGPPSPVNYAPSLREVALRAVSKLPYLEQTTDEELAEYPALIVPLLQRAREVRA AGGQPCSVCQREYVIPRAEWMEWWDFTPCENGMKMPRCPGEKLRPLPFRRFGCSLSCV PRTC PEX2_077860 MKFTTVIASLCLVAAPFVAAEDITTSTATMTITKTLVRVNSVTP TPSSSASSIASSSASASSSNLITSVSTSIPLSRASSTTSAAAAATSTGAAANIGAGMP AALAAAGYIVVMVGQAL PEX2_077870 MTSQAVVWIALGLRRNPSTYEVAGASLVTAGFEVPLLALSLSPK PRQWVPITQVTTSAVRLALLVFVTTAILFETRQRKKKISEESRPFLNGNHTRYGAANG NDSDNSSVDSKSESDSDTGKNKNDAAARRKRLRDEKLQSLGGWWDYLKGFSIFLPYLV PKNNLKVQLCIATSIFCLVCHRALNILIPQQLADVTDSIFANKTPYASLGTWALLQLI RGGAGLGLIESLVKIPIRQFSYRQITNAAFSHVMNLSMDFHIENDSAEVMKSIDQGGA LNNLLEVALLDIVPTVADLAIACVVFYLKFNVYASLLVVIVSIAYVAAEVFTSNWNMD ARREVTQTQRNETRIMHQAVQGWQTVTYFNQFSYERSRFGEAVDLCLDASARFGRRRA VGKSLLDLLKPICFVGLSSLIVHEISVGRSSTGDFVFFIQYWSSLISPLAYLSAQYRW LVSDLVDAERLLFLFQSKPSVNDKEYAMPLKPGNGRVSFHHVDFAYDSRLKTLKDVDI SIEPGTTVALVGMTGSGKTTILRLLLRLYDVTSGQIEIDGQDIRDITLSSLRQTIGVV PQDPVLFNASIIENLRYARPSASDEEVREACRAAAIHDKILTFVDGYNTTVGEQGVKL SGGELQRIAIARVFLKKSPILLLDEATSAVDSNTESDIQVALDRLRAKRTTFVIAHRL STISSADRILVVHEGRVVESGSHQELLKKEGGRYQNLWQNQFGGIKGGKPAL PEX2_077880 MPVVAGPPAQAGPGGAPSTFDKMKMGCMMGSTVGGIMGFIIGTV TIFQYGAGPNGVMRTLGKYMLGSGATFGLFMSIGSVIRSEGPQNDAWLRARGPPMMLP RQSPLRPMRQ PEX2_077890 MTAVASPPSVESGSRLGWYSSSNGGEGALSSVNADDVSRMFMPR KHVQRSNSSSSLGSNSSISTSTVTAPSQDTHAAQNSASESENWSSSKKKHSKNIWPSS KSEPVSGVTNARSQAVPAFSSGPSASSTMSAMHQPSNIVPSQQMPQSSQQNGVRAPTG PPSENPAILILLPMNGTFDRKQINVPYYPEVLRIGRQTNAKTVPTPLNGYFDSKVLSR QHAEVWADKSGKIWIRDVKSSNGTFVNGHRLSPENRESEPHELRESDTLELGIDIVSE DQSTIVHHKVSSKVEHAGTYGTTPSILDLNFGDLDPASGGGLLPSPLSQPLSHMRGRA GSNASSRSAQSVTNNQLNALHQQRQMNYWNSPISIEQVVKRLTSELKSAKQQTTDLSQ ADEFLTTIMKPGYAEKEKIVKPSPLENNAHRQMNGRPKMPRVDSFSRFSDPPAPPPQQ PLPEKPDALSRSASESFSPLKRSDTEKPKSGTASPVSRDSSQILNLIEALSSAKREIE SHGTRVKELENMLFQEQAARKLAEDKVNELEMRSLEVEEVKVENAIVPPSENGVDGKK EQGGTEPTVQVNGIHPSETSTPNDSPADAPVEDKSAELQTRLESMMEEMEEMRKQMAS FKDRAEQAEDETNESRKSLNEMIETLRQERAEKASATALDKKTDTHDREPSNGLSEKS LTSDATLTDSKTKTPSMQGFDPVTSQNKDLDAAATALATQRRRYNYVEEASPYASMFG VVLLGVGLMAYLNGWQKMDK PEX2_077900 MTKGPLETKLQFEKKNKDLIRLPKYAKVEKRPIPHAPVASPYAG ASVPKIVYVSKTTPFMSAVKRVQKLLLQAEKRATANINLEDTRKNEKQILEELSKVSE KREEVFVKATGRAIEKALNVAKWFEEKGTEYTVRVNTGSVVVVDDIVEDEEMKAKEEQ KRQRQEEQTPTNQGDAGSKPESKSAAKKRKRQAAAAENDTELPESRTRWIKMVEVAVS LK PEX2_077910 MSPDPHYEPLDAPDGSALTWIFDHCLRYADNYEISLRAAYELNC HPSKSTMAPSFIPRSPSIFSRNSVVSKNSRNSKSSFDAPSYDTNAEFRACLSKTVAEL ASQPCSLPPSFIISFVRRCFCLELSEVDFAQALTALDYIRDLQARWKKEIDAAFNRLN VTSQDMSDPQHSEVACHFPNVLVWYKEISDKARMIDFLYTQVYVGLRRWVRFIPSLKQ RVPYLTFISQVLVNQMMLEPFDKANCLALLNTLLPPLHRDSSTPTQQLSTAILGNHRD TFFKFITTFESDRSILEPIIKQGARPGDENGWPALYDVVDRYLNAALEMIDECTLINH PSQISKSGVQRRTDSGISFGPDFGPCPASSHSSDAEKPLPQFPEPNTGTSKHGSFLER FASLSGWGKKKDPKREQQREQQREFERSLKKMQSCKDFHSRASSAKSTKSTKTSAKFN ATISFDLTDDKRRRLIDEAKARKAVDAAEAAQSIGQAI PEX2_077920 MRASMGSHISWRWYAAVGALLPGWIANASPAVNVALQASFDSAP YLVELLESAAEENSTSYFPLLDRIADGTFEDLTTEKELYDRFLTVVKDDGHIQTPESL ASFKLSLSVRSSAPRIEAHFQFYNTSVEQSLMVAQDAVCPVWVHSEGKQYCSSAMERA QQDVVGELDPRELPFDRVLGDASLPPAVLYADVASPMFRDFHETLSDMAKQGQISYRI RYRPPQHWISRPLFVSGYGVELALKRTDYIVIDDRDAEQLEAKVTESLPTDPDETKED APDDLRPLSSSEVTRLGLNSASYVMDSSDPLATLIKMSQNFPKYSSIVAAHNSTGEMA QEIRHNRLKILPGGSNAMWINGVQMDTQQIDAFSLLEHLRRERKLIEKFRGLGLSADD AVKLLSHPILTEAQAGGEEQRYDYRDDLEGNRVIIWMNNLEKDSRYESWPGDLGAYVA GSYPGQLPPVSRDLHNVVVSMDASNPEHMMLVAGNLNAFIKRGIPVRFGFVPTSSSPE SIAQLKVAHYLSDAYGIESLVQYFEEFASKGKTGFPDKSCFQSASKDRDLVDKHEVLS LDQILKNEKYDALVSQTAAYQRRLSLTGDAPQFLVNGIPVSREGNWMQGMSMQISRDL KLVQQGIVQGIFEEDAWLPEFFLAGAFEGRNTFLMPEDPKSVQIVDIANILPSDKDVL SNIPHVLSDKGALESVHVIVVGDFESEAGIKLLTEALNLRKENGDVEILMLHNAASDA EDDVPKHLVTLHLSLAKGETIDQVLAKIKSGDLEAEAQEISTIQALHQTLAKELGFNP GTEGLVVNGRAVSPIEKANPLSVAEMSQLIAYERVKRLDSVAAAVGELGLADKISNSL DFAKLTSLVALSTISDVPEGIFESTPDFRMDVSGKWRADYSVITVSNSDDPTIHVGVS LDPASEVAQRWLPILKVLSELSGVQLKIFLNPKEELTELPVKRFYRYVLESEPSFTDE GALARPQASFTGVPVEPLLTLSMDVPSSWLVAPSESVYDLDNIKLSSVKSGTDVDAIY ALEHILIEGHSRDLTTKSPPRGVQLILGTENNHDFADTIIMANLGYFQFKAQPGLWQI NLKPGRSEKIFKIDSVGGLGYRPQTGDENNEVALLSFHGRTLFPRLSRKPGHEEEDVL ETAVQPGPKMDYFSKGLNFASGVLSSVGVGSKSGGEQHADINIFSVASGHLYERMLNI MMVSVMRHTKHTVKFWFIEQFLSPSFRAFLPSLAREYGFSYEMVTYKWPHWLRAQKEK QREIWGYKMLFLDVLFPLSLDKVIFVDADQIVRTDMYELVTHDLQEAPYGFTPMGDSR TEMEGFRFWKQGYWSTFLRGKPYHISALYVVDLNRFRALAAGDRLRGQYQMLSSDPNS LSNLDQDLPNHMQHHIPIHSLPQEWLWCETWCSDEDLDVAKTIDLCNNPLTKEPKLDR ARRQVPEWTVYDDEIAALASRIAGEQAAAGAEFVQTGEERKDEL PEX2_077930 MGNTSSQPEPFPEIPEEFGDIVPETPNSSMADGTKKKKKKHVSS ETKSSSPAIAETNGTKRSTELDSNMSKPSKRKRESEPERKQKSKKHRKSEKPVAESVS PENNASPSAIASQIPPTLSQPTPSKPKPKSSAKKARKQNGEDFKTPASSIPQLTPDLT AEELPAVEQLAATTQTPALDSPNPEGSTTPGGRAKGVRGSRTREKDNLKIGFYTPEEV RKIEAYKLHFCTAHGIASTKFDEMVQHSERGANGEFPVSSDVIVKSDFWNEIYALVPD RDRRSVYRFMRRHFQASAQRAHDWSKEQEDELIELHAKHGPKWAYIGKLIGRSDDDVT QRWKNKLEHQGTMNQGAWSEEESRMFIAAVESTWLTMKPMLGDKSGKDMYELDERLIV WGNISKEMGHMRSRQQCADKWRKIVRQVMIMRANGHPGAVFDPKLAAKRNAHWNLRLE AQRKSSQFVNEDSDNDETIKTTNPNLNLNGEAAPPPTANVNVESDHAAHSEEGEPDLP EPPKKAKKSKRKHNEEPASGPIKEAPSSPATPKKSKEERKREKKERREKERQEKEKQE QIEQEAQEDKAARKERKRKRKEEKKQKRLEEEERFAAEEANKAPSSDAEAPEPFKKKK SKKQKQASSDSPVPLAEPSRPIVEASPSPAPPNSHQSGTEQDAVVDETDSDGGDDDSS EVNVKYETDSDEL PEX2_077940 MGAPLVLLPSDEVPQDHLPTNNSNPLRLGPGLRILSQPSSKGSN HVLTATQAGLLTTDAKRNTVSLLSFPNRRYIPTVNDFVIAQIHHSSVDFFHCMVTPHT AHALLGQLSFEGASKKTRPMLKQGELVYARVQSVGVGAGAEVELTCVNPATGKADGGL GPLAGGMVFDVSTGMAARLIRASSSSAENTDAIEGLVVLSELGKKLESLGGFEIAVGR NGKVWVDCSNAAESAVKVTIAIGRCLQETDQQNLHTHDQKKLVTKILRDMKLVS PEX2_077950 MGDAANSAPAEAERFAIGISFGNSCSSIARISPEGKAEVIANEE GDRQIPSILSYIDGEEYHGTQAKAQLVRNSANTVAYFRDFLGKEFKSIDPTPCHQSAH PQQQDSTVAFTIRDTESETPNTVTVSEITTRHFQRLRRSASDYLGKDVNAAVITIPTD FTDAQREALVASAKAAGLDVLQLIHEPVAAVMAYDARPEATVTDKLVVVADFGGTRSD VAVVASRGGMYTILATAHDYDLGGASLDQIIIDHFAKEFMKKHKTDPRENARGLAKLK LECEATRKALSLSSNAQLSIESLVDGVDYGSTVNRTRFELLAGKVFSQFTALIQQVVK KAELDVLDIDEVIFSGGVSHTPKLASLTSNIFPEKTKILAPATSITAVNPSELSARGA AFQASLVQEFEHEDIEQSIHPMVTVAPHLTKAIGVEFTSETETTFQPLLSAETALPAR RIAQYFSPKEGGDVLVRVCEGSREIKVTKPEPKAKEDKPAQDEDDSDFDSEDEEEEET REIVWKTEKVVAELAVKGVKAGSKIEVMVHVNPDLGLQIAVREVGSQNAVRGAVNGSA PEX2_077960 MGLSRATLGFTGLFFMAASILLIFLTLLGGTRNSNPLNQIYFLE ADTGNIPNAPSTSRWTFWNLCGVTDGRNDCGSTHVDFPFDPPSHRNFDTTDNVPQQFI GTNHYFLMSRFMFPFIIIGLFFAVLSFFTGMLAICTRVASYLSGFLAWIALTFQIITT CLMTAVFVQGRHAFQSNGQSSHLGVKAFAFMWTASVCLFLSCLLYCLGGAVGRKDTSS GYSGRKERRRGFFSSQRSNSVKSQKQEATNYA PEX2_077970 MATWAYPPLPADQINREADTALARELEWLLHSLQDSLASLKEGL QECAALLAPKEPGSTLVLSSLRSESVKGFVTRVGTKVVKGDVQLRLASLPPPRGAPST RLNLSQSPEAPDLVLRQLVSVRDLVAQSLDIVDVSTWTGDPLNAGFIFSQLHLLLETI SEARQMLKGEGDETRGKWWDTSATENMFDPPLPSHLSFHLSITDSALVLLLRTLESTA LNHAPTAFSSEISLTGFSLRDRIFGSRAPTHDEAGCVFQWQGEEVRVKEKVRVESQDP SLMAVMAKLSALEHEVMRCVAALRVLMGDEDTESET PEX2_077980 MLSRSSASGATRSQSCELFRTFAYPSGSRQLRGPANRLTPTQLR TLVQKTSPLVAPSGLAHRRNTSDYTASQCQPQRRFVSGPASADSPSQPSQSIESNDIN TLIDRIGENEAEMVKILEELEIMSEEGEADEIIDLISQRDEHTAESWVHKIKQRFGDE VPEGLLDDAELQIYARLYGEPIIRQEVDLMVEEEEEDLSLLREDGQGGWEEVDLEESA VAEDDVPLVYDMDVSSEERETIAMQRTREVAEQLGGEVMLEEFENEAVPDSAPRMHPL TLEGKFGTDPTTIHLPKDTVTGPISVILSEFSNKHISETARRLFGGPGLPHSSTTAPP RAQLPQLPIALQASQRQMGEMEANAYLAALYPGIYASTLSVMVEIRKRLGVDWIRRLL SQEGGPNVLDASGGGAGILAWRDIIRAEWELMVPDHPAGAPIPFGRSTVLTGSDALRV RAAVMLENTTFLPRLPDYVHVREKPTIDDSRDAPKRKQYDIIIAPHSLLGLEEEYERK QHVENLWSLLNPNGGILILLEKGRQKGFEAISGAREMLLKRHIASPGSTEYDNFLEDP DQREVIKKERGMIVAPCTNHSTCPMHNSSGATKGRRDYCHFEQRYIRPPFLQRIMGAK DRNHEDLKFSYLAVQRGVDLRQEQAIRQGPEATDAAFEGFEDEESDIPNKPAFHALSL PRAIYPPMKRRGHVIFDLCTPAGKIERWTVPRSYSRQAYRDARKSHWGDLWALGAKTR IPRNLNLGDKHGEGKKERLARRAATRDSMRDPEEEGDFENEGEEDEDYVHDSDIPDVP VKKRGQHIPSWKKHNDKKKLRQAYNKRSVDE PEX2_077990 MAASLSSSVTSLQSSLQILDNSISTLDAGVKDFPRLCKVLQTTR HFELLPEPTLREAQQSLLDEITPSIAHLLTLSSNHVEKLARREQGLKAKCDLQEGRLS STPESRSSATRSQPQGSSLRGRMAGSGATSSSNAAASKAAELRRLVQKKERLKFAVDR LELQSTQKQRQLRKSMAAQ PEX2_078000 MTKMAGLFRPKKLDLSGFVNTRLIRDNNKRMAFEQTEPERQALR YMIRNTSLSGRVRAQAQLQLSQMHAYTRPTQIKNRCVASGTARSVFRDFRINRYQFRM QALAGELPGVRKASCFAIMNGVPRDLLPDDIVFAEDLQGLGFVITKDDKIRYIVAPDQ GPRYKVNRSDRINKVHIEALHKAIRTSITDRLLGMGMQFMKIPKGSKQQVPIMVSSNM TTAPRVVVFCGEVVEDLGIFSYRDACDDGISFGSILGFAKGLLGENAQGSPNALILAN PGHTVWYNAGWFAMTPDSVQGQHRTSAVERKRPLSVRNTVGSIGEHVQNIFEQVLMRG NFRVGARIDVIGLSEGGHAAMTYLKNQWSFWSPHISSLSLINPETILDTSTKTDDLKD PESFAWFMKYRCRGWIICDKPIGTRVPDLNLPHGYNTYSSGEGTKSACMITRGVAHIL TWMKIMHYSPMAMENFDVVPGKTDSGNNDILTSSINDIPEVPGGKIEVHSLDVMNQMK GFLAGITFTKEMVTFFNDNLHPSDEKDNESDDSSDCSSFDRVENEDEIDALPDVPKVL PDASLDGSRDALSVPGVLPDAPLDSSSNILPNASPAVSNVAPDGPNVLSDAFANALSV PETSTHYDDVIVSKTDPFDLKDLQDIREDDEEE PEX2_078010 MATNLPSITVVASSRAVISGRLTSATVVISQTTGKITAVFDSVI PASEFPVDTPYTDYSPHVLLPGLVDAHVHLNEPGRTEWEGFYTGTQAAAFGGVTTVID MPLNAIPPTTTVNGLKEKIKAAQGKCWVDVGFYGGIIPGNAGELKALVQEGVRGFKGF LIDSGVDEFPAVGREDIKKVMAELADEPTTLMFHAEMVPPITASVGDEVQTSDAPAEP AGPLEAYATFLASRPSSFETCAIESILSLAYLAPNLPLHIVHLSAMEAIPLLREARAN GVKITAETCFHYLSLAAEEVRDGDTRHKCCPPIRSKLNQDSLWEELEKHADEGVIKTV VSDHSPCTPDLKMLPTHIPGHCTSSKEEESGNFFSAWGGISSVGLGLPILWTDLSRRK GLTSSPEDENTKNALQDVVRLCCANTAAQVGLQSHKGDLVVGFDADICVFDDSAEWTV EPSTMLFRNKCSPYQGRTLRGMVRETWLHGERIFSREHGFNSQSPHGKLLLEKRV PEX2_078020 MVLLLTTDRILAAFEAIPASRHEELDLPTTLEARGPIAHEQLIR LARYLQTDPEYKERASHTPTVLSSLLRGTKVYVPPPPKKPEPVRGLNTTSSLHFLEHI ETIPTHFLTFFTIQSAEYLASKARLLAATEQESYNRLLNPNYQPNADHADPYTSPYTS DGRIEEDTLTISLVSSIFISVLVTGFSVYAALTRFPTPQILSSEAIKVLFGLFAALSV AVAESFLYWTYLGKVDRARVKEKRVREKKVVIGAVGEEDQGVDEGVEIGAEKEEIWGK GVNGGVRRRVREKWEKGRDGEDVKSL PEX2_078030 MPASTETQKLTPPPSTVTTQTNPRGIPVAPFIDNVSDYVSSREE VEPTLRSFQEMISKYQFMEVNTQRRGQGLREKIPDIKKTLEMVRFLQLRKESNPDSDL ETNFELNDTLYARAAISPADAEEVYLWLGANVMLAYPLGEAETMLDEKLSAAESSLQN CEEDLEFLREQITTLEVATARVYNWDVVERRKDKADGKGEEETKK PEX2_078040 MKPTSSNRPFSDRVPDRFKDGDDAQFDFTAPPRGMGSRDGNVHY MQQSLFSMIAAVGSKSDFHARFDESSDSDGETEERPQIEQTSEKLSSRTPIPSLGSLQ PSKRSESNTLVLEERGRRHQRTRSDNKLLQRLPRLDSEGGVNESSNQPDSILKMPPLR RTRSATPRAAPVLSRMVEAQSHFDLTSATPYLPPNPNKPMEDQSQSSASALSTRLMKM FGFARPEKVLVEYACSLLQSMLLQGYMYVTEGHICFYAYLPKKSNVALKSGYLSKRGR KNPAYHRYWFALKGDVLSYYADPSNLYFPSGHVDLRYGISASLAERKDGDSKDFQVTT DQRTYLFRADSATSAKEWVKALQKVIFRTHNEGDSVKVSFPIANVIDLEESPMADFAE TFKVRVLDSGETYAIDEYFFSFFDSGQDAFNYIKGLVNAASATTAMKEPQGEHSIRHQ PESNRTAHKRQSVSSVRVSDQRQGDSPRKRSSSVGNENQGSADSFAEQGTGSSPIIQS MTETTESASQILHRSDVFQSPTMHTLQRRTLDVGENIRGHSDDTTPSASARLDLDAAA GSPSGTLGGNETTDDTRHATGQSNTMQSSRPSSVTHLNELVKAGAYPLQRAAGFAEYL KSRSKQMSTLLATESMGYIEKVSGMWIGGQKHYGEREGPLLEDQNVDPEDNEGAFNYG DRFRAHFALPSSEKLQATYYAYLHRVLPLYGKIYISQKKLCFRSLIPGTRTKMILPFK DIENVEKEKGFRFGYHGLVVIIRGHEELFFEFNAADSRDDCAVTLHQNLESVKFLVES GLLADEEQDEVEAAKAEHRMLQEARLDSPEEHDARPSFTEDSSEIHPFFDDPRASIIN FKPPEPLRITCLTIGSRGDVQPYIALCKGLLAEGHKPKIATHAEFEPWIRQHGIDFAP VDGDPAELMRICVENGMFTYSFLREASLKFRGWIDDLLSSAWIGCQGSDLLIESPSAM AGVHIAEALRIPYFRGFTMPWTRTRAYPHAFAVPENRMGGAYNYITYVMFDNIFWKAI AGQVNRWRNNELGLKATTLDKMQQNKVPFLYNYSPSVVAPPLDYPDWIRITGYWFLNE GTNWTPPIELSNFIAQARADGKKLVYIGFGSIVVSDPAALTRTVIESVQKAGVRCILS KGWSDRLGDPASMKTEIPLPPEIHQIQSAPHDWLFSQIDAAAHHGGAGTTGASLRAGV PTIVKPFFGDQFFFGSRVEDLGVGICMKKLNVSVFSRALWETTHSERMIVKARNLGIQ IRNEDGVATAIQALYRDLEYAKTLARQKSLASSTPFSPTPTAKTSPDGGDDDLDDIEE WTFVGDETGFDISKRMRERAASDADRLGSNMFQ PEX2_078050 MASSDSDSSSSRSTSPELTTEKEKKMTKHLKAQESSEDETSDSG SDSDSDSDDSNEMKPSSSSGKKVVVSGPQPYKPPAGFKSAKKQAPPSSKASSLLSNLN GKQVLHLTAPASLPLSKVKEVCMAKIMQGEPIISHDGVNYGIPVEALSEADPATKSLL LFDEKTQTYCTAAHGVPSYHVQEMIDLPSTSKNTDAVVAEMRKYVKPARLQPKNLKMR FRPVGTTIPPPETLGCSSESEAEVPSFKVPKGEERKRKLDHDEAEDEAPQAAALPRKK SKKHSQEKEEDDSLSRKKSKKSHKEKEEKKRKKSEKA PEX2_078060 MVKRKAEKQQAAGPVSAVAALAARRARQQQAQSANVPKDAPQAI VESEPPSKKSRQSLEGPGATETNEEHRGIRTKAAKKQDEPLPAETTPERSVRVTRPQK SEVKPEAENTLKHPEDEQSEKDQVEDGGEMDEDDIASVVGDADGYESPAETPAELQNF PLSKARLNKSSIVYSDESTLCIRIKERTNLALLGHYDLWVKRGVVSLMGAKLHPSSQL HRVYAPSTHSLPVIKCVAGVDGDAEVEIKSCTSGISRLRDLSPLYQRIWAGENTAADK ITLKGAAKDSKRTFSVLYTSADDSLNRHIRPLHLDKKWSASMKALSQREGQLRVLICG PKASGKSTFSRYLLNHVLSPTPETENGYTNTDGVAFLDLDPGQPEFAPMGQVYLARLR SPFFGPPFTHPSVDDSHHGEIMRAHHIGAASPKEDPDHYALAVMDLMDQYRSLLEKHP QCPLIINYPGWIFGQGLEVATWLVRSLGLSDVVYMSEKGPSEVVDPLGLAAREARVPL TILPSQPTDFVSRSSAQLRAMQIQSYFHMSYPSDLSTSLWSDVPLSRTRPITVDYAGP RQGILGVMVMGSQINPDLLHETLEGAIVGVVAVESPKAIMSGMATSEPPNDESHEDID MDSANPGPGSPADLSIANSIVRTSEDLPYLFVGSGSCTPLDPKASNCLGLALVRSIDT SSRKLELSTPIPSSHLEKAIEQGHGIVLVRGQLDNPNWAISEEYYAARAAERRYQQST SGLKKAGDSGSDQAHELDPAEHNRTLALLRERVRRASNVPWMTVVEDNSRHQREVAAR REKSLWKLRKKAYPGSDSEADY PEX2_078070 MDPYDSDSSVDEDFTDTGVLLGYAAEDVIEDVISHLGGWPKWLD EASPAPGDFANCKVCNSPMLLLLELHGDLPDHFPTDERRLYLFGCPRKPCNRKPGSIR AFRAARKVTVGGQNKQVEAKAEETQPVAPEQPKQDLGASLFGATNLTSNISSNQNPFS SASGPTPAGSNPFATPVQATAPTAEKPAVSANTLASSFADKVRISSPSSSAVTKKTPE SSTPVPWPAQSAFPAPYKNFYLDAEYETLSRPSSPTVPDNVQIEPMEEEGAGGAELKD TFESELDKDFMKFSMRLEHNPEQVLRYEFRGTPLLYSTGDEVGTRLHAHNAPGAKVTT LGAGSIPACEYCGSQRVFEFQIVPHAITMLEEGRPGVGLGKDDAGMEWGTIIMGVCGN NCTPQELGVTGWREEWAGVQWEELR PEX2_078080 MRASMPRHVPFFLYSVLAILLVSFGNAAEQEQLRGAVSAAPKRV AIIGAGAGGSFAAYQLRKLADEADIPVNITVYERESYVGGRSTTVNVFDDPAYPIELG ASIFVQINYNLVNASRDLGLTVSSADHARPREADESIGIWDGSQFVFTLKNSYSWWNI GRLFWRYGLAPLHTQNLVKSVVGKFLRLYEEPFFPFSSLTEAAVAVDLINATVSSGDI FLQANSIDSLFAREIIQASTRVNYGQNLQLIHGLESIVCMATDGAVSIEGGNWRIFDG ALRASGANIKVNTTATEISRNDDGTVRVSSKLNTAIDSEHEVFDEVVIAGPLQYSGIS VSPPLEYTPDEIPYVNLHVTLFASPHRISPKYFGLQGSDARAPETILTTLPEGLDLGS NPNGVGPSSFWSISTLRTVDHSVVDSKEDTQQHYVYKIFSPERPTAKFIAQILGLESE TVGSNTTIGDLLTDDISWFHEKLWNPYPLLYPRVTFEETLLAPGVWYTGGIESFISTM ETSALMGRNVATLMFQSWQKQGDWTDSDTSSRDREL PEX2_078090 MSMASSGKPTPKGNIVVTSSCATLGGAFAGMAYTTAKNGCNGLV KSGAVQLSSSNICVNAVAPGPTTTSIFATSELAEEGAEYKLEKTAEEIQNESATIYQR SGVGEG PEX2_078100 MVAFDKCETRPAHIEAILNGLDRYNPETTTVFQDYVSQQCEDRS FDCYANLALLKLFQFNPHLIQPDTVTNILAKALTVFPSPAFSLCLALLPTNTQPFPTT TEAQSASQTSDFVESVQKLTRLSTLLESAQYAQFWSTLNSDDLYADLTADVAGFEELV RIRIAVEVGNTFRSITAENLESWLDVRSRDALDKFVVDVCGWKVDGAVIRVPTNKENE ARSEVKSEHVGIEQFGRVIRRGFELPA PEX2_078110 MDFILSLTHFCEVHGPTSIICSQVLPFSCPQCHPEPDHSPQGTP ASHESRFDHESRSQKSAESKSPRIEDHPYFLKGHPATPEEKANRGGANGDTCASCSLS LPENVSKQLPPGAPGSRDAKGNTTSPVLRSRELVYSCGNNHSELDDETDYHTHASPPD SLHSASVASDASCHTHIHTYLSQRGPPNPADYALLRRSSIRTLSCELLPRGLSSGPIC FGDGVAGYTIAYIFRLPDPMARGKRRSYALVALAGRDAGRAFRACPIIWRAFGRIATS IVHAAERFQEDEKTRDEQNNPGRQPGRQYPPVSSFLTGRGMDPAGRRAAGQIRARNLA EIVGNEYIFTELHAHFVALLQQLGSMFGGIPLNEERFVCSTVGDEESASPSQPVLVSK ARQRKDDQKYDGNDIDMSNLEISAGPKAIPIAPRRPVMA PEX2_078120 MTSEEDLACTQFIISPPPPPTPAVIDEAAETRARLLEVQRTAAD LTKDLLKDYIWQREAFRLEITKEDGITSLQGRTTFGDSIEDEWVIVYFLRELTKRHKD IWVKVVDGDGEFLLIEAAGTLPAWIEPDVADNRVWINQGELRIIKPKQEAKRQVTEKI SLPEARKIIRDEPGRLLRSTIIQEEAFYRLRKYPQQISENLHSAIITIPRKAAFLLHQ KPAYISPAVEAFYVRDPIALRPLRAKDASDLVFKPDDLVDVSVRFTRVGYAQLKSQEF PVPNTWAGKLPSTEDHKAYERAEAGMKLACGFEMLLYDPQNQDKPAVREMKFLLEDVE TGDEPLPTDQEIQETWDKREDDEKWLDINYEDLETELKGRGEGKGEDAGKFGDSGAQE NLQRIVARFEEFLNDNSAGFDGADFIDDFESDSDVEGDDEDEEVDSEGEDKDASFNEE EFSRMMKEMMGMPSGSDSIRKRVQDLDSDGEDDTEQIKELSRRMEAELQGTGILDLNR RPQELSSDEASASKGKAAKISSEDDEDENININLAKNLLESLQGQAGASGPAGNMLSM MNMRMPKYDRP PEX2_078130 MAFPYIDTPRTEYDGNATYLTTGFRSAGRHHLSALDSLENSFQT PSKDNDVIKGFDKRVTPRAGAASKSTRSALRHLPATAPEKGEFTPLMKSATKNNLLRN MSAARGTDGPKTPGYQRETHRSNVHTPGLPAMDMSDIYEEDRTMEEATPVPHAASSSA QSTPLPGYSGRNGGVLGDGGNNLSLKDQAMAIDQLSKVNFSLKLRIHFMEEQLQKAGP EYNNEALKENRELKVLRLTMQRDISRYRKSLQQAEKDLEDYQLQLVELKEKSWREQAD QTVQREMDMMREELESRDVELREARDELRQIKDAQSQETDKLRDDIEDLEASSREKDR LLEEREEELEDLKRNAEDNGAASELQLELDRAKEQMQELHDSLAQAKLESRESMDTAR QAIEQKNRAEEDLRELQDEMSNKSFTTKGLSRQMEDKTEKLEEEIRELQRENDALRVE LESKTNHVTVLEERYQTIQHGLEDNANQLVEDLDVARRDRDQARQDLKTTSARLQEAL DELQRGTDEKELLQTRHHALTDESGGLQIELDRAQSRIRELQKAVQDATSRAQDEGHN IRWQHKAELERLQEEIENLHHEIEEKEGRFAVDQSRWESSKRTLQSEKAWAEGQAADL KRTIDTLQEAGSTYSGKESRLQEAIDSEKKRYTQEKAMLNRQIQDLKDDLDKTRRDLD EKRDELLTAKQDARVSKREEQALADKVRALEDEVIVLQSSLADEQEIAKGRQNGTSDL DKNLEKSIAERQKLRDQLANAHVEIFDLKASVQEIEAERIELQAQLDRVQNADDTTRF DRDKLELRKTITRLDNELKVLRDAKASLEDQLVSESERFAAEEGRLSAEIDRLQDKLL AGSGNRDRELTSAKTKVQRLERRAQELEALLEQHQQPVMESEQSGTHGDLSLLRHSLE EARKREKILLQRESKQKSSAQSYKSRVDELEKDLHDAMMNKFESHSPHNSPSNKLHEE LRSLRKQVAEAHRSLQKVNAKNRELERAAMKEEDQKDFHELLRSSTLEAESLALKLSE REARLNELKTHVRRIREERDAHKREAETVTKNLDALQRRHDEILEKTSLSKSTNKTKH EKEIRGLGKEIIWLRARLQREEKFRRDLAWSKGLMELGERVRVACNDADLRMISEMGV QARDRAPVRTPRHKLKSAISLVRAAVRMKKMSSDWKRTKKLGEGLKRAKTEMLKRRES SNKSLLGQ PEX2_078140 MSNTDFLGRAIDTVKKAIENDNDGEYEKAYQMYYSALELFMLAL KWEKNPKSKEMIRSKAGEYMDRAEKLKNHLAEDRKKPSAVGANGKVAQGSGKGGKEDD DNGEDAEAKKLRSALQGAILSDKPNVKWEDVAGLENAKEALKEAVILPIKFPHLFTGK RQPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFNM ARENKPAIIFIDEVDALCGPRGEGESEASRRIKTELLVQMDGVGKDSKGVLILGATNI PWQLDAAIRRRFQRRVHISLPDYNARMKMFMLAVGSTPCQMTQTDYRQLADLSDGYSG SDISICVQDALMQPIRKIQGATHYKKVLDEGVEKVTPCSPGDAGAEEMTWLDIDADKL LEPPLTLKDFIKAVKNSRPTVSGEDLTRNAEWTQEFGSEGA PEX2_078150 MDAQKQIQALSEEFQGLQTELEVLVDARQKLESQQQENEGVQTE FAQLDDDSNIYKLVGPVLLKQDKTEATMAVNGRLEFIEKEIKRIEGEIDATQEKSEKM RSELIQLQSQAQQQAAASASA PEX2_078160 MLISANTLLAPGDGDVGAHAPPSPAAFFLRSWSDSPSFDLFSSK HLYKVVIDGWGIAGPNSPPQGDAIAAADTPHMSGFAEPNSKTAQGFSELDASSLAVGL PEGLMGNSEVGHLNIGAGRVVWQDSVRIDQTLKKGEMGKVPSVVKSFTRAKEGNGRLH LLGLVSDGGVHSNITHLFALLQVAKDMQIPEVFIHFFADGRDTDPKSADKFMQQVLEK TQEIGIGAIATVVGRYYIMDRDKRWERVEVGLKGLVTGEGEDSSDPLQTIKERYEKKE NDEFLKPIIVGGKERRVQDDDTLFFFNYRSDRVREITQLLGDHDRSPRPDFPYPKNIS LTTMTQYKTDYTFDVAFPPQHMGNVLAEWLAKKNLQQCHIAETEKYAHVTFFFNGGIE KDFPGEVRDMIPSPRVATYDLDPKMSAAAVGTKMAERLGEQKFDFVMNNFAPPDMVGH TGVYEAAIQGVAATDKAIGEIYEACKKNNYVLFITADHGNAEEMLNEKGTPKTSHTLN KVPFVMANAPEGWSLKKGGVLGDVAPTVLAAMGVEQPEEMTGENLLIKS PEX2_078170 MASRSPPVGTPLLKSPVPETSFKDAPTPAPQTVPFPSPQTFEII PPLHGILSRLLSAKGQQTGSSDATGDTTGVPGASQAQTQQTPSNIPNGGHNGNTTSSH AVTEVSVLGSSARPPLDVKNLPTETSSVRIRIQKARTVVEGLPDVHRSVDDQQEEIAE LEDRVRRLRSVISDFGNRAGMLQENNTRTVEA PEX2_078180 MTYALSESHRDQMHNSLVSSDPEIASIMEKEIQRQRESIVLIAS ENFTSRAVFDALGSPMCNKYSEGYPGARYYGGNQHIDAIEITCQQRALKAFNLDPAKW GVNVQCLSGSPANLQVYQALMRPHDRLMGLDLPHGGHLSHGYQTPSRKISAVSTYFET FPYRVNLETGIIDYDTLERNAEMYRPKCLVAGTSAYCRLIDYKRMREIADKVGAYLIV DMAHISGLIAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVRSTDKTGKDVLY DLENPINFSVFPGHQGGPHNHTITALAVALKQVDTPEFKQYQEQVIKNAKALEEEFKV LGHKLVSDGTDSHMVLVDLRANSLDGARVEAVLEQINIACNKNSIPGDKSALTPCGVR IGAPAMSSRGMGEEDFKRIARYIDQSISLCKKIQSELPKEANKLKDFKAKVASDSVPE ILALRKEIAQWASTFPLPV PEX2_078190 MANTAQCYYCFESLLASFEDREPPTLAAVEALWEQHEQTKKLSS LEEQVEEETDQQQSVNEQVEDDDSNQSSQSSSQPKKLQLRSISRLQSQFSASSSAATS SSSASNTSSNSLQSSSTNITEPSAVSNTPQLRSPDQRYPLFVTWNTLSRSGHKSLRGC IGTFEGQELAAGLKSYALTSYVVPIEYPSLATMHKQRAFDDTRFETIPKSLIPSLSCS LTLLGSFEPCKDAMDWSLGTHGLRISFIHRGRRYGATYLPDVAVEQGWTKEETVESLM RKAGWDGAGGSTARRLLRGAAGGNSGATKPWDQVSDFRTVRYQGLKASASYAEWQEWR EWVLSLDDGEEILEGAD PEX2_078200 MAAQPPSQKPKVQPCRYKTGKTLGAGSYSVVKECVHIDTGRYYA AKVINKRLMSGREHMVRNEIAVLKKVSLGHQNILTLVDYFETMNNLYLVTDLALGGEL FDRICRKGSYYESDAADLIRAVLSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLIA DFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKSGHGKPVDIWAIGVITYFLLCGYTP FDRDSNLEEMQAILAADYSFTPLEYWRGVSQEARNFINRCLTVNPQSRMTAHEALQHP WVNLAYDSGKVGSGEDLLPTVKKNFNARRTLHRAIDTVRAINKLREGGGFMMDGVMSV DPKPERVNGDEIIEENQHAPPPAPVNAGGPTQMQIDSRGNARGQTEEQIRAQERRVKE MVAGLWSRTPR PEX2_078210 MPSRKPSKYGNKFRSSAASSNPRRSKTVQFNSLRSTEATSQDEK FEAIRLANSIDETLGFPRFESGETRAGWLVNMHSTSIEDSDVPGGRAGVDYYFLQDDG GSFKATVEYDPYFLIAVKKGYEMEVEEWCRRTFEGIIKKFKRLQKEDLDLPNHLLGYR KTYIKLSFANVSNLLEVRRTLLPLAEKNKKNESAMDAYVEMTSATAGIDLFDDEIINE QRPNGNLQASDFIVDIREYDVPYHVRVCIDKDIRIGKWYNVEADHGVISLTCLEDRLQ RADPVVLAFDIECTKLPLKFPDATTDQIMMISYMIDGQGFLITNREIVSEDIHDFEYT PKPEYNGPFIIFNEPNERGVLERFFEHVKISKPTVIATYNGDFFDWPFVETRASILGL DMYMEIGFRKNSEDIYLADNCVHMDCFAWVNRDSYLPQGSRGLKAVTVAKLGYDPDEL DPELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTIIPLNADETLRKGT GTLCEMLLMVQAYQHEIVLPNKHKNPPEAFYEGHLLESETYVGGHVESIEAGVFRSDI PTHFTVDPTAIDELLKDLDHALKFSIEVEEKKSMDDVVNYDEVRAQIVERLLDLKSNP VRNEVPFIYHLDVASMYPNIMITNRLQPDSLIDESDCAACDFNRPGKTCDRRMPWAWR GEFLPAKRDEYNMIRRATANERFPGRYPNSPMRSFGELSIEEQAGLVKKRLQDYSKKI YHKIHDSKTMEREAIICQRENPFYVDTVRDFRDRRYDFKGKQKSWKNKADGLQSSGGS AAEIEEAKKMIILYDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGAHIIQM ARELVERIGRPLELDTDGIWCMLPGGFPDTFSFTLKNGKKLSISYPCVMLNHLVHAKY TNHQYQALVDPKTFKYETHSENSIFFEVDGPYRAMILPTSKEEDKNLKKRYAVFNHDG SLAELKGFEVKRRGELKLIKIFQTQLFKFFLEGSNLAETYAAVARVADRWLDVLYEHG TTLADEELIDLISENRSMSKTLEEYGSQKSTSITTAKRLAEFLGEQMIKDKGLNCKYI ISSRPRNTPVTERAIPVAIFSAEENIKRFFLRKWLKEDPGDMDPRTVIDWDYYLERLG SVIQKLITIPAALQKLRNPVPRVAHPDWLQRRINTKDDRFKQKKMTDLFTKSEKTPLS STNANILDHRVQHAGDLDEAISGSTQKSKSPNNKVSQKRKHPENASKTALDPFASLPA VMPSMSENYEGFLKYQKQKWKIQKQARIRRRQLFGERTNVASDSLSSFFRNQAQMLYI STWQVLQLCDTGVPGVVRAFVLIDQKIHALSVRVPRQFFLNLKRDSLPDIDVPDCEVE KVNHTLPNGHPSVYLFKLSLSEEKYLEEADKMDILFQHPSVEGVYEGNIPLSTRAVLK LGSHCTFDEQQRGVLGDGLDKGFDLSTLLHASSEQPYLMDSSLVYHYLYHIVSGDRQI FAIFSTSKNEAHIIVLNRTRDVQGLPNVDKIYTELLTRKMQAASGDESQHAFDYQDNI HFKTTQVMTRRKAHLEVGDLIRKIRNDEAHPAVLVIQSQQKDRLCHDIPILKECPVLS VKPEASDMEFPPLGWQAFVARRLVTRYLYLSSWIQHLTMLARFGDVPLCNLESEDPRY LIDISYARRLQQNNVVLWWSSGPRPDHAGYERDDILGALDKVNMPSVNVPGAYSTVCI ELEVRNLSINTILTSSIISEMDGSDTLLAPSGDGEDTGVFYSEKAFASAGVVVLREMV KHWWTEACAGNNMADIMVQHLIRWVESPVSCLYDRSLHNYVRLLSRKSFQRLMAEFRR VGSHVIFASPTRLLLQTTKTEVGNAYAYSQYVLKSIRANPSFHFIDLEIKEYWDYLVW YDEYNYGGKGCREVTEADEQDLETAMHWQLSRFLPMPMQTIFHDWIVEYIELMHGLKR PELQDGDLSSTPRPTQIPIGRTNEGDDDEITAILSERFSKPLKKQISGLIRRQRDEML HPELASDYAFPVLPGVLVGPKDKRNPSLELTKLLMQVLSLSKTTTLESRLLRRELLAL FEVREFSKEGRFENPSASLKLPEMSCNSCCLIRDLDLCRDEDVLPGASSEASKSTQPW RCPFCHAEYDRLATEEFLIGQVHGFVVEWQTQDIKCSKCSSLKISDFMEHCSCSGTWT ATVDRKEIEKKLQVLESVAKFHKLQLLEHIVEEVLERF PEX2_078220 MAEDSRDPSSLPEAGSNTQTPNDLADSQKPKYNPSQSQTGKLWD AFGNPEEPANALAKATYKPRGKNPKDVPYSEIIGSFPVSEMTTLHKRPCARESLLTGI GVGFGVGGLRGVLKGRYYLWSAGNWAVGMFAITSLASYEYCRWRRNNELSGMAEALDL MNQLKAKKQREKDVAEEEAALRARLAEEERKSKSWTNPSNYKFW PEX2_078230 MRSIGLLGDVPTTSVFSMMPAGLPSSFSPKRKREPSESDCYSRS ASPTSTASNDSFQEVPLQDEDELESYSPRTAVTGRFGELAIRSDLVLDSGTLTGNPRR GSLALPAQKACEPDSHELKNMPEALPATSSESNDRQALRFEPPATQDPTPLPNSPSKK KSATFSRKNLNPSSPSKRKQRLSPPLADTPSEEDPLVWHDSEITGHNPSDPTDDGYGI NGIGFKPTASIAWERSQKRQKQVAEWKNREAREARERRRERRRDGVELDNLHGMNEGA IQKRVKFNF PEX2_078240 MADFLLFEGPMGYSLFKVAHQGDSVGNALKEVQEGVNDLAKFGK MVELSSFLPFENNKQALGEINDISEGVASETLVSFLELNLPKPNKKKKVILGLADKAL AGSIKSAFSFVDCETGDTSEVVQDLLRGIRLHAGKLLKQLRDGDMDTAQLGLGHAYSR AKVKFSVQRDDNHIIQAIAILDQLDKAINTFSMRVREWYSWHFPELVKIVSDNQRYAE LALFIKDKQLLTNDRLHDIAALVEDDEAVAQSIIDAAKTSMGQEISESDMENVVAFAE RVVKLAKYRKSLYAYLVAKMSIVAPNLATLIGEVVGARLISHAGSLTNLSKYPASTVQ ILGAEKALFRALKTKGNTPKYGLLYHSSFIGRAGPKNKGRISRFLANKCSIASRIDNF SESPNTKFGEALKSQVEERLEFYSSGAAPTKNEVAMKNAMDAVLADLDVDADQSDADM EDAGVAEAKEEKKEKKEKKEKKEKKEKKEKRKSVGGEGESEKKKKRKHDTDAEPSKKK QKA PEX2_078250 MSATQLLNPKAESRRRGEALKVNIGAGEGLQDVLKSNLGPSGTL KMLVDGAGAIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDITGDGTTSVVLLVGEL LKQAERHISEGLHPRVITDGYEIAKTEALKFLENFKLERPIDRELLLSVARTSLSTKL NGALAEKLTPDIVDAVLAIHRAPEKPDLHMVEIMTMQHRSSSDTQLIRGLALDHGARH PDMPKRVENAFILTLNVSLEYEKSEINSGFYYSNAEQRDKLVESERKFVDAKLQKIVD LKKEVCGNDPNKGFVVINQKGIDPLSLDVLVKNGIFALRRAKRRNMERLQLVCGGTAQ NSVEDLSPEVLGWAGLVYEHQLGEEKFTFVEEVKDPKSVTILIKGPNGHTITQVKDAV RDGLRSVYNTIVDGCVIPGAGAFQVACAVHLQSQAFTKTVKGKAKWGVGAFADALLII PKTLAANSGHDIQDSLAALHDEATDGNTVGLDLVTGEPMDPIQEGVFDSFRVLRNCIA SSTGIASNLLLCDELLKARQMSKAGAGGPGGQEE PEX2_078260 MATPKSAPLQTPANRNVNNVVLGDLSFKPWNQSIYPEDLVAKDA DRLYVCRWCFRYSCDVDIFEEHKRACEHRITPPGTKVYDHGGYAVWEVDGQNHKLFGQ NLSLFAKLFLNHKTVFFDVATFLYYILTFTDPDDSDSYYVLGFFSKEKLSWDANNLAC ILIFPPYQHKQLGKLLMGVSYKLSGWDSNGGCIGGPEKPLSELGQKSYVRFWAERVAR FLLRGKPSDSSIETDQQKPSSTPKGSRKRPLRETITVEELGLGTGMLTEDVITALKSM GLFEPQATPKKRKSTRTAAEDITTPPGQMATICKSDVWEWAQAHHLSLDDPVREEGFD GLWPPEIVPDGDGHSVTSEED PEX2_078270 MLKPFQIRNLQVSTQQEPEQVADSRAPAGVVQISATDYDDLASN HPRARLTYMDDDDDDDETITVGSALELSQRLEEPLDTNTQLDPVEHSLGDISPMHIFD IRRSNSVTELWKRFEHNVPKQDSAGKQNGTQATSKAIVLEEQHEVPVPHITTSPSVSA DQPRPLMEAFEAELAEMLNTAEPSESRVPEPNSPPTTEPSANTNSGRSPHPVEILATQ VLDQLIHGATMVQSEWRSKIPELQRQLQNAQRQFETAQRSLPENVEASLRTLLATVEA HLRTAFNNLPDGGRQMAEDAFQAGRPVAENAADGFRMMASELNEVGRTLFAAFESEFG RAASTASTSTSTSEVPNPGPSGPFFNTATNNGSTAPGNMYPPKSSANTSSTATNEKST AAPGQFNPSHTAQAGVPPIQSHHGQPIPLQSNHWAPPSWPAPFWNPFQTYHAPPPPCP PLPPTSMSNFTYWPQGPPAPAPRPPPAFNAPLNATHASQIKSGESKNNPATKSLFIGN VGFKVTDKMIQDVFAAKGFLVKVDLPLEAASGRHAGFGYVHFPSDYPAFAAMEALQGA VIDGHSINLEPMYHPPIESVRPAQFSPNTSTTTHDTQADLSQAEMSVVNNAPSELNST TSGPFASPLAVKSQKSPSQPSKTDNRRKSVSFVDLTQDVDHVQAQTESSALLDSPSDD PAFSARFPSLLPETSAQHKAPLSGRGVSLYSHTESRFPPVSQWEAQLLANRQERRGTE PTAGDPKYPKGPSTHSLPLHPAPKALGRSQPASRLDAVANPSSVIDAGHAKEPARPMV NLSSPQNAAKLKRRATEHKNHKPNHRHATETDRQNSLKHSASMRHLGDRSHGSTETDT WARLSRRERNGASSHEQIPGSFPVEDATRAELPSALDTSVSESDDAAVERCVSALVDM GYGAGQEGGRSRMAVYAAMANGDLMDAIDMIEEERSIYERRPSQ PEX2_078280 MINAWHSDSSFCTQLIDPLSAPEWHLTARRRQILCDQSLRQLIH RPLLLKWFKKKSKETEQPPEAHSAESRCRAQGLNVARNTIGLISESITNGCYSRLTLS FTL PEX2_078290 MVATFIDINPRTPLLKQLEEAPEAGYCVLVNTFHIPAGKMDEAF AAWKIDAEMAKRQPGFISTQLHRGVNGSDMMLNYTIWESTAALKAYYDLPEFKESLKN YPDGTESRIVLYRKQHIGGICLS PEX2_078300 MATTVGIAGITGKFARLVVKYLLNSPDVQIRGLCRNASKLPERF RDSPRLTIVQGDSNNIEILRTFARGCDVVICCYLGDNDFMINGQKLLVDACDLETVDR YIASGYCLDFTKLEYGQHPAKDPMKYVKAHLDGKKNVRGVHVLIGAFMETFWSGYFGI WNPDEYKFSFYGSGDEVWESTTYDTAAKYVAAVAKDPSAIGLQHFLGDRRTIREIADD FGEVYGKKPQLECLGSLDDLYSTMQATFKQDPSNIFAYLAMFYQYYCINGQTYLKKDL DNTKYPEATPTTFKNFLQSHKLEELRDTYQNAGSDA PEX2_078310 MQIWRRSFATSVGRLTHSSINSRPSIVRCAQILQASKNGGVSSF EDQEIKVNGFIRSVRKQKRFAFAEISDGSTVEPLQAILKPAQAADLSTGTAIEISGIW KACPPGKEQSHELQTTDVKVVGASDPETFPIQKKYHSPDFLRQIPHLRIRTPFNSLLS RFRSECMFQLGNVFHSHPDGAFTQVQPPLITSSDCEGAGETFTLAPRGAATPSTENEH FFRAPKYLTVSSQLHLEAYAAELGNVWTLTPVFRAEKSDTPRHLSEFYMLEAEVNFMS DLDSLTGLVEHLLRDLTRRLYNTTVGQEILSAKRSGEPGQESSAEETGSLRQRWIDLM DGPKWHRVTYTQAVEMLQRAVAEDGASFEHPPTWDGGLQLEHEKYIVEVLHQGRPVFV TDYPKSVKPFYMAPSQVPDGSNTPGETVACFDLLLPEVSEVAGGSLREHRLPDLIQNM REHGLIKPRQIPESGDPASGESLYPHLTPDEDLGHLQWYADLRRWGSAPHGGFGLGFD RFLGYLTGVSSVRDIVSFPRYFGRADC PEX2_078320 METYYGHVRTPADAIILFEACRIGLLPRVQRRLSEKERQSIRSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGGSVSQGSASRGGKTPE SRGSDDDRADGTDEGPDGYRYKPDGLMKQSFSITTSNGQHLHLISYYSRSHPSAANLQ QPTSDPALRHVRPQKGLYPESTVNDQQNLPVVTRGPMPGAAYPITPHPLGAYPRVTHT QPYPPAYAWPPTPLATPPTVSVQYGPGPSYLPPVGANGHSHYGPPHHQPPPHQHGGGL PPPQHGMAGPYDRQPHMESSLPPAGPPPQQPSYMNRSPRSIHDHAHAHAQAHAQAQAQ AHAQAHAQAQAQAHAHAHEQRTPPVYGHTLVDPRMASPRVPVQPLAQSNGHAHSPHPV KQEHSAALPSINPIAVSPKSSDPASSANAVPGISSLMNGAALAPLSATASPGGPAQSS NGTSAQSSFAEGPRDIPNEKIGFGGEDTRALRQLDRAFIA PEX2_078330 MLSMHTSFFTHPDLNESEIREKDSHDSRSDIPIPSLGIRGSVIR GSTSSASTGVSTDDASVDSLDWNGDKSPSVDQDYEQMKGYSHFDTAQLDDIMEMPKVE ELDELDDDLLSIKQADVESGAPAAVPRKRGRPRKHPLPAPGGQAKVTKGRSKTGCITC RRRKKKCDETKPSCQNCQKNAVVCEGYPLKEIWKSGRQRQADAVPRSLPLLIDGIETD VDRRFLDHFVYGFSRVLTLINDDSNPFKEILLPMATQHRGLMHSLMCLSGSHLSGLDP EPKLRERKYFHFHHAIQDLKDNINASSSAKSPDEEDRLLVEDPIIASTIALSLNTICE GETNGEYRPHMDAARYLLLSQQPRNEKFRQFIVEFFQYHDVSNSLTSLDRRPNLLHND LHMPDCVPGVSAGMFLGVFDGLFNYISQITQLRDRIRERFNEGYEPAVDYQTLSEAVS VDMAIRAWETSHEPDTPNWCLAQLYRQSTWVYLYRTIRPSRPSDKIAQVVDDGLDYLD QLPHDASAFSIVLMPLFLLGCSAFLVEQRQRIKQGFENLKSYSNLRNIDPAFKVVTRV WEIMDTRMQDSWDWEKIIRDMNMDFLIT PEX2_078340 MEKDEIITELSAKQLVLPADVQDTAYNLSLRAVNPVCIKVRNLS LEVNTTPPIWETSPSQIWQRLRGQRPSRTSKTVLDNVSASMPSGSLTAIIGSSGSGKT SLLNLMANRMSLSRADTCGTTTFNDNPDIAHIRSAYVMQEDVLIPTLTVRETLRYSAD LRLPPPTTPAERHAIVEQVILELGLKECADTRIGTTAHKGCSGGEKRRTSIGVQLLAN PSVLFCDEPTTGLDATSAFQIIRTLKRLALDGRTVVVSIHAPRSEIWSLFDNVVLLAR GAAVYSGSVERSLAHFEECGHVLPPFVNPAEFLIDLAAIDNRTEALEAASNLRVERLR DVWRSKQTVATDTEAEDKGEEERPKPEVGSFETRAVKQVSFRRQFRVLTSRTFKTTIR DPMGVAGSLLEAVGMAVINGWIFLRLDESQAGIRSREGSLYTASSLNGYLILLYETYR LTIDIRLFDRERNEGVVSVPAFLLSRRAARLPLEDLPVPLIFSLIFYFMVGYRLDAGQ FFIFFVLSLLTHYIAVTFAAVSIGVARSFPGASLVANLSFTLQSFACGYFVQSNQIPV YVRWLKWCAYTFYIFGALCANEFIGVNGSELGQFYACPYSDDPLDPACKQYTGRYIME SLGMPSRWIWRPIVVLVAFAIGHYLFAALLLQYNRFAIDVAQARRTEGDPTSRKSKLA IRPTEETRKVAISLDKYALDIRKRQNPWETAGILQILRPITAEFQSGELNIIMGPSGS GKTSLLNSIAHRLHGSMGTQYRVQGNMLYNGAIPSESVIRSVTSFVTQDDDALMPSLT VRESLRFAAGLRLPTWMTREEKNRRAEEILFKMGLKECADNLIGSELIKGISGGEKRR VTIAIQILTDPKVLLLDEPTSGLDAFTAMSIIELLHSLAAEGRTLILTLHQSRSDLFA HFSQVLLLARGGYPVYAGPGTQMLAHFAKQGHECPRTTNPADFVLDLITVDLQQADRE LVTRKRVQGLISCWKDTPLELGLNRQTSQIATPAELGSLKRQMLPFRMTFPLVLHRSV VNFWRQPPLVMARSMQIPGIAIIMVLFFAPLKNDYAAVQSRMGFIQEFAALYFVGMLQ NIAIYPSERDVFYREEADNCYTASTFILSYTAIEIPFEIISSLIFGALAAFADNLQRT VTMFLISAFNCFCIISCGESVGIMFCTLFSHVGFAVNVTSTLLSISTILGGVMSLNVN NVLQALNHLSPIKYAIANLASYSMHGQVFHCSDAQRLANGSCPVDSGEQVLKLYNLDK SGPMNIMALGVCTIIYRVVAYAFIKAMRSHRFMEQLREWRARRKAR PEX2_078350 MMFTKTLGFAALFSAMASALPQDMMVRRQGMVRRDGGGVTITNN LAQDVYAWSVAGDVGPMQTITSGGGTYSETWRTNPNGGGISIKLALDPNQNDVLQFEY TEAGDTIFWDMSCIDMQAGNNKFTEFGFSVIPSGESSTCPTAICKAGDTACSAAYLTP TDDHATHGCPIDTALNLSLGQS PEX2_078360 MWVNSKAKGEKAKRRKKEEKKKRKKFERKKQNSGTKSERLAPRA YSSSCRALERAQTGERLGA PEX2_078370 MADPSMYNTMGQGSGEDPSNPQYMAQAPSQQYPAGYPPSAAPPQ PGAPYANPAPNQWPAYGSPQQPGLASPGIAYNAPQQPMGAAGAPGMAGLASQMSGLGI AADAGARTHRKKHRHAHHDIGGGAAAPAPGFNAGMDQAGLQQPQQQQQQSQFLNTGLN QHADRPVSPAVGLVSGQSVADIPGMPSGAGSVPTSGRIDPEHIPSIPRSRDLPAQYYF NHVYPTMDQHLPPPAAIPFVAQDQGNSSPKYARLTLNNIPSASDFLTSTGLPLGMILQ PLAPLDPGEQPIPVLDFGDVGPPRCRRCRTYINPFMSFRSGGSKFVCNMCTFPNDTPP EYFAPLDPSGARVDRMQRPELLMGTVEFTVPKEYWNKEPVGLQTLFLIDVSRESVHRG FLKGVCAGIKDALYGDDQPSEGAEGDEPSRKLPVGAKVGIITYDKEVHFYNLSAALDQ AQMMVMTDLDEPFVPLSEGLFVDPYESKSVITSLLSRIPKIFSSIKNPESALLPTLNS ALSALQATGGKIVCAVASLPTCGPGHLAVREDPKVHGTDAERKLFTTENPAWKKTASK LAEAGVGLDLFMAAPGGTYLDVATIGHVSSLTGGETFFYPNFHAPRDLLKLRHEITHA VTRETGYQTLMKVRCSNGLQVSAYHGNFVQHTLGADLEIAGVDADKAIGVLFSYDGKL DPKLDAHFQAALLYTSADGQRRVRCINVVAAVNEGGMETMKFVDQDAVVSVIAKEAAS KTLDKNLKDIRASISEKTVDIFSGYRKIFSGSHPPGQLVLPENLKEFSMYMLSLVKSR AFKAGPESSDRRAHDMRMIRSMGCTEMALYLYPRIIPVHNMQPQDGFANEHGQLQIPP SQRASFSRTEDGGVYIVDNGQAVLLWLHAQVSPNLLEDLFGPGHDSLQALNPNISSLP VLETHLNAQVRNLLQYLSTVRGSKSVTIQLARQGMDGAEYEFARLLLEDRNNEAQSYV DWLVHIHRQINLELAGHRKKEESSEGALASLSAMRAPYW PEX2_078380 MATIHDDDELLLARIGYKQELRREFSKWSTVSYAISILGVLGSV PATFGSPLSAGGPATAVWCWFIGSCMAMCIGSSVAELVSAYPTAGGMYFVTKHVVPPD QVPIFSWIQGWCNLLGQTAGVSSVAYTVSQMLLACVSMNSELVDGKYSYAPTALETVL VSIAILCILGVICSLTTKSLHRIILWFAPINIGATICICIVLITLTPNLQPASWVFGH FTDGSGWGSKVFSFFLGFLSVAWTMTDYDGTTHMSEETHDAAVRGPVAIQTAVLVSGA LGWLLTVSMCFCLTDFEGILASPTGLPAAQIFLNAGGKRGGTVMWAFAILVQFFTGCS AMLADTRMAYAFARDDALPFSKFLSKVNPRTHTPVNAVWFVVIFSVGLNCIAIGSTQT ATAIFNITAPALDLSYVSVIIAHQIYKSKVKFIEGPFTLGKWGTSINYIAVVWVLFIS TILFFPPQLPVTPANMNYAVCVGGFIAAFALIWWWVAARGKYTGPRTNDIIREVPTED DGNDGNESEESGEITV PEX2_078390 MVITRAMAAGTRPKAQPLPPKPSKAEKAAKAARLKRDKEEKKAE REAAKRLKDANKAAAKRVKDAEKAAAKAEKDEIKAAANAAAKAAKVTNTKNSKGTKCA TAAKAKVIKVTKTIKTTKKAKATKGRVIAATVKASANQYDEDEDEEDEDEESEEDHYE TQPKRRKLETVTPPNLYVEMGPPKEKRPALRIGEWEYMRKADVPAGVENEDNRPLPHI YIGGTAPQPRWVITQSESIKAPRRIGSTSNFGDLQWTFEEGDFKKWTGLEYPALYELA YLCLEHTLTDTEIREQIYESLAEGPLPTTVTVGGQWHPALPPIPITRHFATGSSQQTS RKSSDAAFYFRDYERPNMAVRARGDHMAQPYPHALDSNISNRPRLEEWPTASRSASPD PESERGSEQGSEESQSDESTKSSVSEEAHLEQNTEATLESTVTISLGNERNSKKDSEQ DSEHDSEESQSVDSRRSSVTGGSDLELNPEGTYSEPTTPPPLGNDGKTAQIDSISEEG SIFGDADDVHVEPNLARDSREQSPNSLFSGSGSGSGSEVSASSKTSEPSKFNAADDNS AQSTDSLSPVSHDGLFSPEQIERYYKKKQKDFERLGGVEHHEVSERTRQAQPSPSPFR EALEALEDGQKELEIEELETRGVTEPSKRMRMESPSTPSPPAARRKTAAEIMVEKVMS FARAGREKRDEMRAAAAEKISDKPPVALLKKTTGNRPGARSQADAPSPEIPPNLQDAF LEQIKAYLKDPFQRARHFPDPLAVRRTRVWAGEELVDHKIKSTVDAALPPSAERHRPF SQWQDPVSASFIELFDNHADHARLDWNNTCTCTVHQGLEIEKTEQGARQEKAKTSICK RATLKMFTFTPLLGAQSSSSRASQSILELDGGIKILVDVGWDDTFNTLDLAELEKHIP TLSLILLTHATPAHIGALVHCCRTFPLFTQIPIYATNPVIAFGRTLLQDLYASAPLAA TFLPKASVSEPGASSAGSATVSGGDAEAAGSTSRILLQSPTAEEISRYFSLIQPLKYS QPHQPLPSPFSPPLNGLTLTAYNAGHTVGGTIWHIQHGLESIVYAMDWNQARESVVAG AAWFGGSGASGTEVIEQLRKPTALICSTTGGDKLAPSGGRKKRDDLLLDMIRSSLAKG GTVLIPTDTSARVLELAYSLEHSWRDAANGDKEDVLQGAGLYLAGKKVTNTIRLARSM LEWMDENIVREFEAAESTDVTNGQRTGAQEKSSNKGGGPFTFKHLKIIERKKRLEKLL AEPGPKVILASDTSMDWGFSKDALRQVAEGPNNLLLLTESFHKDMKAQESKPSQNSAT IGSMIWQWYEERRDGVALEKGSDGEHIEQVHSGGRELSWTDVQRAPLDTGEQLIYQQY LATKRQLQDTSQTLGQEALETAADALDDGSSSTSSEDSDPEQQGRALNFSASLAHATR SKLAVSDEDLGINILLRRKNVFDYDVRGKKGRERMFPYVAPRKKGDEYGEFIRPEEYL RAEEREEIDMQQRRTDAETKLGQKRRWDETAGPNGRKLSGGAAGRKRPHIDGKKIEDD DLSLASDGEDADIAAESEDEAEGQSFEGPAKVVYHTQTITINARIAFIDFMGLHDKRS LEMLIPLIQPQKLILVGGMKEETSALAAECQKLLTVKVGATVSDPAFDSAAIIFTPTN GEVIDASVDTNAWMVKLSNTLVRRLNWQHVRSLGVVALTAQLRGPEPADIGEIETSGK KVKQLKDEAASSAVAPTLEQPDTKIIDKVEVYPLLDTLPASMAAGTRSMARPLHVGDL RLADLRKLMQSAGHSAEFRGEGTLLIDKSVAVRKSGTGKIEVEATAQSSLARPGGRGI GSFLAVKRKIYEGLAVVAGS PEX2_078400 MAPRYKDGDAVVAINGKWVAWTHTAFAYAAFISALIVGVALHYH KIVQNEHYGYPIEWFPSVSATIGDRYPERSFFQVFIAITSGPRFALVFLWYILTSRPN STLPKLVAGVGIFRTLTCGGWTYVTSTDDHDWHDIFMISYLVATLPWTLGCLALSPNN HRAVKYRKIMASLFFGTLVPLIYYFIQHKVHKVPGAYTKYAFFEWSLILFDVGFDAIT ALDFESFELVVRDVKGVSRGQLKTTADAVLEKEKGKPVGNTFGEGFFWAEIIDAASDV YNGFVFWTIVTALPVLVWYFPLWHMGISGYEVAIVCCTSPLLYIIPSLRSAAVKNLRL LHLLSLVGLLGYKFQDPANRLFVTGFALSTTCAAWTASFFAERANTPRLEARIMAWGI GLIMSVVAKFACKTNNPLWPIVHAENGGWNKLGIFLALFAVLRSQRGSNTSGGDYLPA GGKKGSSILAGVGFGALMFAIVSLLTDSSTMISWVWDGYPVRGPLAAPHGALTIFAMG AGLVYGIFHPRIAGSWTAFGVGSIGAALLTCYHHWTGFYGALILAFYIMAVAPVLILS SVRHSPASTFGIGFIVYVALLLFHVWVVAYAFVPGGPFLREHTDWLMTTTMLSIGAGV FSAATSNSTRSRKAHKPVNPNSRKQRSYYIYVLAVLQLLSVSIAYLRFPTNDYTPHHK EDKVVTAGIWTVHFGLDNNMWSSERRMRDVISELELDVIGFLESDNQRIIMGNRDVTQ YIAEDLGYYTDFGPGPNKHTWGSALLSKFPIVNSTHHLLPSPVGELAPAIHATLDMYG EMIDVVVFHSGQEEDPEDRRLQSEYLSKLMGDSPRPLILLSYLVTKPLEGNYNTYVSE RSQMKDIDPTDWDRWCEYLLFKKLHRTGYARISRDTITDTEIQVGKFVIGEPEPENEM RIPEEMVPVGRRFPALFRGQGVRGHRYHVFDEPRYWQ PEX2_078410 MSAATDKARFFLEKSVPELKEYERKKIFTKDEISSIVKKRSDFE HKLNARGAQPVDFVRYAEYEMNLDVLRKKRVKRLGIRSAGFNGQRRIFFVLDRATRKF HGDINLWVQYIEYARKQKAHKKLLMIFTDALRLHPTSADLWVYAAKHVLDDHGDMTQA RSYMQRGLRFCKSARTIWIQYAKLELIYIAKLVARQRILGLDEESQKPKPIQEATLDD TDADMIALPSLTAEDINPSTGADGEVDQVALQNLNSTPALSGAIPLAIFDTATKHFNN DDRFGQEYFDMAWEFQDAPCLRKILEHVIETMRANKPSSPRTQICYIKFPTAGIPVTS ADFPRALGSSLARLREYPMGKDLARQVINWLQPVQATEDLDPSLQKVIAATVRNAERA AQE PEX2_078420 MTPSPPDEALHFRGKTLTPESPRPLHIPEPSNIPVLENQMDPVF NDTSTYERSVYKQVPQIHDGWLHGGLKDGGGQSQTQDAGSFHGSQPNRGMQNEMIASA YYPPNPASGSENPSHQSSGFSHPDSTYSLAAPDAPVSQAFATGSEVDHAHNPEQSRMP DRLIAEERGGHTTGGVNFQQLLDNLSHPNPGATIPAVSSAENSSLHQAPAGESLKSQG IPAHLQAQSHDSIQAHYTPNVEVAYHQLPSAHDAAATASPAYSAQPSNIQPQTQSQPF NIASGEVSAVNNLLPPISTYPKPPSTGAESQGSLQEPLLASKKGRVDKQGRPIKLSDD DMPWGPEVQKKYDEFLHDERIYVTEGLWDRFPMGSRLFVGNLPTERVTKRDMFHIFHK YGKLAQISIKQAYGFIQFLEASSCHAALGVEQGAVILKFQSLNDLPDPLKHPKLPVHR RHVVPGHRSSAVPVLAELTSEPQSTDTTGLSPMKQVGFHSAISGMNLLIAADATIIAR HDRLPHDLFGDLEMGIGRATEARKDLIAASEGAPVLHVLLALLIPETDVTAATAQDPV RDVPDVQILVLEELDRNFVLHVENAFRNRGLRVDVLVLGPRIPLGAAVHRQFIEGVLA VVRLARPNQVSRKIPLQLFDRTAGLDNVRFLDYPELEPNMSAELLSHQSQAMQRGAAP AAFAPNPGFILPPAQPMAVPPPGLPALSNPPNIANLIGSLDGPSLSTLLSALQRPPHS QPVSATQSPFSSPNPPPADLASLLTNAHRAPPMQTTAQQPLPHPPFNLQPVNAPVITD PTLLSLLAKGLGGQQQQSQGPVGPQVQNLMQHLAKWKQ PEX2_078430 MGWLPWSSGDSNKNAASDGGRIAPDRTSRARCWEGRDLFFACLD KNDILDGIKDDKKARQKCANEVAEFEAACSQSWVKYFKEKRVMEFNRDKTIERIQKED AAKVKELKEKGWNSK PEX2_078440 MSARRRPPAGSRTELPPLKIVRKILLLQLAYYACATVLILFTTV VYGAPFSLDLVFGWDSLRGDTTIGWMLGLYYDQLANYDSPSGIISVIFLLVFVSRSKL VPDFALTIHFLHLIATTLYSHSLPSNLLWWGLQFASAAMMTFLGMWACQRRELEPIKF GGHGVRTQVASSSQASAGDEQQESSFGRGRGRERSVQEYELDDMKHTGEHAV PEX2_078450 MSTSTITQQEHSVRVINEDQLPPLPPAANDASFQGLARGGRNGT LKLRGIPTFSDLTEKRKWMKEHMAAAFRYFGKLGYGEGVSGHISMRDPILKDHFWMNP FAKHFSTIKASDLVLLDADGFVVDGGAQLPVNEAGFLIHSEIHKARPDVVAAAHTHGI HGKTWSSFGKPIEMLTQDACNFFGRVGVYEDHGGIVLSAEEGKAIAKALGKENIACIL QNHGLLTVGRTVDEAAILYSMLENACQSQLLAEAAAANGLPKKIIKDDAAKFTAEAAQ NPHNFYTEFQPEFDLLVEETNGRFLQ PEX2_078460 MAEGDPSYIDYEAFLDPDFSPSSFANTLVTSTNNATDTPLDLST PLSRVLFDLQEIDTHIHALTTKSALPLLSHTQSQTAAADNILQEAGTQIASVTQGYER LQKEVIQKWEVADEARVAAENSLATVRLARAVARCLTLGRQLESQVSEITGRGATGPT PSSGPDGAASPSTGKDGFRALERVAYTILNLREMFSATTQGEEGYGLDRVKVIRTLRG EFVIPAENMVKSRAQQTINRFSLSSNSASANGQSSMPSGYKQARDARARLATAATTLY LLSPPPKGETAAANYKPELLLSTLQGFMHTAIMTSVNSLARALTQLPTMDRTLIDTSA RCQDIVALENILKNLRPPPHPLLASTTTTDEPANEPQTAASNKSNLLQPLLQALDTSS LPSYYWRSLASSLAPRVQEIVSRGGVSSRTLRSNRDRLRIEIKECVLRASQVSATSLL AEKGRPGTDTVVVGNWEREAAVMVSSVVGPLGR PEX2_078470 MGNRSLEATSTQHLGTQVPGTNNQPCPKPRCEQLAYLTRNKEPR MYLGTAILTPGYRNPIRRSTSASTLYEYLNTVDVPEESDDSHTVHHGEVDRCISVAEE GGWGPIRLG PEX2_078480 MASFLPVNNSAPEDHAMDGEATPRAIPNGVPVTQDVSSTEQFPD GVSHHSRGSDASSRTTLTHARTPSTSDEQMHDSEGEQDDSDHDHDHDQNIGSNGPPSK KKKGQRFFCTSFPPCNLSFTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQHAQT VHVNEEIPGDSLAATGTRFQRQVRTDRVRPPGRARAGTAGSIGGHSRGHSRNLSTSSI ASTTSTFSQPPELRRRPPPLIMANDPAARSRLAMDSMGEPPSTPPAQIRGAPGPSTAG SPYTPSNMFAATGGSPQYASPMSGTTHGFWEGKTAARRLSVPTSSNPFLAQHGNTYPP AYHTPAGAPYPNAAGVFASPTSTHYSVSRDEGTLSAAEAEMRRRTWHPSSYTGFPRPG TSGLNQYHTPDNVPASFGANGTAEHPPRLPGIESFDKVVQRPMTPPTRKASPMQLDGH HRPPPNPGFGSGFNYTQPAHRPPPPISGPGHRRGHVSWDMSLHHNLTGLDIRDRRPST ASASQWSQQTLADLQNVSSRPSTSYQPAFGPTAERSPEEYRGHRPSLSTGSRTRTSPE DSSSSEGVHTPSTASLEYHPAIVHSSGYIESHDSSLPSDHPPPICGRESSHARGYEAH NDREPRPDVFSDSPARNSGMGRLEALVAVATSENKGAAKLFL PEX2_078490 MSKSRVPLYLGLAAAGAGGYYLYSAGGNPSAAKHQMKIDAEKAR EKLPGTKNAEKFGTDVGKEAGANIDDAIARARAEGKRVPELAQEGKEKLDELRDEAKN KFNANRDKINSRVDEIDRDVEKKAAEAKGAVSGWLSGKK PEX2_078500 MPRTSRSSCVAQPAIQAFTRATKAGITPQLPAKKITSLPVSPSK KRKLQELENFDNGSRQAPIEEEGTPSKTLRLDELSVSSPRSGHYVSPKKASPTKTPSR TPSRRVAPVKKAPSTPATPSKQRTLNFEKVIPVHEEAPAIERPAFFNDILNLHSSFVQ AFSIHMAHNGANTPADLREFLGSVTRLWNKRKVQMKDLQRLVWVWEQSSKARHISYRL ANYGLGKICMERTVQVNVQGPALQDSFEETLDLLWEKAPEALRNASEEDQATLFIESL GLSTIHESLTPFTVFQKGQQRLQDLKGGLLRLKAERMRSESAETTPLERTATVSRRQG LLDRIKNKELRQSKLPPPPAKKELVRRAAADRVEEVAGILALLRPAGYVGNGIKAMLA AQRKPFKLDVMVEHVRDSVRSEIPREEVEMCLEILSNTKVAGDWISMVTVGQMKSVVL KSCKDVSAKDIGARAAQLEVDWEKSASCVQTTFL PEX2_078510 MSSRPDLKVDDEVGFIGFYRGLPTPDNNETIRVFDRGDWYSSHG ADAEFIARTVYKTTSVLRNLGRSETGGLPSVTMSVTVFRNFLREALFRLNKRIEIFAS AGSGKSNWKLAKQASPGNLQDVEEELGNVGALSMDSAPIILAVKISARAAEARNVGVC FADASVRELGVSEFLDNDIYSNLESLVIQLGVKECLVTMDVARKDVELAKIRAIADSC GIAISERPVADFGVKDIEQDLTRLLRDERSAGTLPQTELKLAMGSASALIKYLNVLTD PTNFGQYQLYQHDLSQYMKLDASALRALNLMPGPRDGAKSMSLFGLLNHCKTPVGSRL LAQWLKQPLMDLAAIEQRQQLVEAFVVNTELRQTMQEEHLRSIPDLYRLAKRFQRKQA NLEDVVRAYQVAIRLPGFVSALGDVMDEQYQTPLETEYTSKLRGYSDSLAMLEEMVET TVDLAALENHEFIIKPEFDDGLRVIRKKLDKLRYDMDMEHRRVSKDLNQDMEKKLFME NHRVHGWCFRLTRNEAGCIRNKKEYQECSTQKNGVYFTTSNMQSLRREHDQLSSNYNR TQTGLVNEVVNVAASYCPVLEQLAGVLAHLDVIVSFAHASMHAPSGYVRPKMHPRGTG NTVLKEARHPCMEMQDDISFITNDVSLIRDESSFLIITGPNMGGKSTYIRQIGVIALM AQTGCFVPCTEAELTIFDCILARVGASDSQLKGVSTFMAEMLETSNILKSATSESLII IDELGRGTSTYDGFGLAWAISEHIVTEIRCFGLFATHFHELTALADRYQKAVKNLHVV AFIGNGNEGESEAETEEKKKRQVTLLYRVEPGICDQSFGIHVAELVRFPDKVVNMARQ KAEELEDFTSATTEDGQAQLPAPSLDKYSQEEVEEGSALLKGMLLKWKAATEGKEMTA EQKRQVMRDLAQADEKLQANKVFQGIKAL PEX2_078520 MHPFSVLTLGIFVAGYITARWDLVTRLYELAIFAWDHGVVTRTA KGFLFLSVFFFLLVLPINHLASKEGQLVEDDNMLMSDGLLRVFWPYDLPRSSSPGVIV GWRNSELDLFVLTVLEDVESKNVDNALRAGILFRNSPHPIVRIFTLCGRSQMHVLGST NSRESPTCFNPSHLDVTTISSPKAPRIFCPPEANLSVQVIMYHRPHPTRMEYMSLDPI SLALGDKATKTEGWGPSSDKIELEEDGDKAGPKLLVEKLKLHTVVKHIPSQKEQALPL IINQVNCAYEMGQLMHKNSHLIGIRAKRSMSVGERVVESATTLWGFIVLCIAHVFWQW LWPVVTRIFVVGLVCHRSVAEIVLRVLEWRARPDAAALKDISATAQQVDIRLQQFCYW PIQYVKLRQRKDNWESVTTSHPDYIRFYNSLWLVANDVIIGIALGSYIIDNANWVASQ INTVLTGWTVEGLQRTISWLMDWPAGLKLNNELAAFLGDLFLWVIENWAACIANLQPY LPAVIYIVGCSSFAGASMPIALFSDLLSILTVHIYSFYIASARIFNWQLTIIISLFHL FRGKKRNVLRNRIDSCDYDLDQLLLGTILFTVLFFLLPTVVVFYLTFASARMLIISMK AALDTCLAFLNHFPLFALMLRVKDSRRLPGGIRFELRNEPDKNPAETDSSALVSYIHL ESIPLPLRAMFDQYFQLGHRLRKHYLAPRVIFCLMTGRFVPPIHRRNLYSMQYSMLPA RRAGMVEVWTLLTQPKKGNSGSSGSSSMGGGIGSLSHPVAKVPANYGQGDLRRRGHR PEX2_078530 MAASIAPECNDIKEKYDTCFLKWYSEKYLRGNTSSNDCEALFKN YKGCLNKVLKERGIDAMVDDARKSGSSETDAEFLKKS PEX2_078540 MDSAEFAPFQDKLTSALVQVTRTVGQLSSEDLNFHRTSSAEFSE SLDEQSARIIALTSAVIKAATAGTDVTGPTLHDEESVEDNWRGVVDVIDSLLEKADAC LDEFTGVIKKLTPSQEEQAPIKKAPNFPTIYDYGPSKIPKPQLAFDRKPDNTDMGPFK PLLKSKPHAIVPLEKCLKTKKMEGAIGYPHPYEAEILASEYPKPVYQISPPVEYLPFE STTATFVDTLDGVKEMLKELKKAKEIAIDLEHHDVHSYHGLVSLMQISTRDKDWVIDT LQPWREDLQMLNEVFTDPKILKVLHGSTMDIIWLQRDLGLYVVGMFDTFHAASALGFP KRSLKFLLSKFVNFEADKRYQTADWRARPLPPAMFDYARSDTHYLLYIYDRLRNDLID SSTEEASHIDYVNERSKHEALQRYERPVYDVVNGHGPGGWYDLLWRNSGNLPKEQFAV FKAVHQWRDEVARAEDEGWQCVFPKHMLFKLATIMPLDMGSLFRTLSPMTPITKERSH DLLEVIKQAKLAGIDGPEWRDVAPPKHLAKVLEAAIMESVNFPIAERYETSQFWGNVL EARESPPPLAYAVAAGAEALRLSLPLPQMPMTVSETRDQLGTANQPAPAPKPTPTPAP QEPEEKKYFTVKDLGGPRKRKVTPINNPEQALSITADLDDTSNDADATQKKSKKSRKE KKAKKAKSDSKPESEAPFDYGTADAVLNAPSAATAAHPRKKAFNPYTKAMEAPSGARK TKRETPGKSLTFRQ PEX2_078550 MSVATMLQPASRQSRASSSSSSSFQPVARQNTMSSHDTRSLRQS KRMSVTALYLSMSAKDRDLEISDDLARAQKHLRDLKSRISSQSKKNFVLEKDVRYLDS RIALLIQNRMALEEQNEVASHLDDTADPQEGFFPNDERTQKYGNLLFLLQSEPRHIAH LCRLVSMAEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDNTPEYSSLLRQ NTPVSRMMTTYTRRGPGQSYLKQVLADQINALIELRDVDLEINPLKVYESMIKQIEEE TGSLPDYLARSVTAEAAAENAQVQAIIAPRLTMLTEIANGFITTIIESVDEAPYGIRW ICKQIRSLSRRKYPDAQDQTICTLIGGFFFLRFINPAIVTPRSYMLIDATPTDKPRRT LTLIAKMIQNLANKPSYAKEPYMASLQPFIQQNKERVNKFLLDLCEVQDFYESLEMDN YVALSKRDLELQITLNEMYVMHGLLEKHSSALAQDQYSHLSVLLQELGGAPPQVPRKE NRTITVPLFSKWETALDDLTAALDITQEEVFFMEAKSTFVQILRSLPQNTSVARRPLR LDRIAEAAATLKNDAVMVRKGIRTMELLSQLQDMGVIDRSDEFSLLRDEVEQELVHLG SLKEKVLEETRKLEEVFATIRDHNAYLVGQLETYKSYLHNVRSQSEGKIRGGKTPKNQ ELGPYKFTHQQLEKEGVIRRSNVPENRRANIYFMFKSPLPGTFVISLHYKGRARGLLE LDLKLDDLLEMQKDNLEDLDLEYVQFNVTKVLTLLNKRFARKKGW PEX2_078560 MAATESQLPTDPKYDQYDFPTTAPEAQPGHPGHTTPEQDAKVHQ LRAELEKLGYTERLDTLTLLRFLRARKFDVEAAKTMFTASEKWRKEFGTDDLARTFDY PEKEEVFKFYPQYYHKTDKDGRPVYIEKLGKIDLNQMYKITTADRMLQNLVCEYEKLS DPRLPACSRKAGKLLETCCTVMDLKGVGITSVPSVYGYVSRASEISQNHYPERLGKLY LINAPWGFSTVFGAIKGFLDPVTVSKIHVLGSGYQKELLAQVPAENLPVEFGGSCKCA GGCELSDMGPWQESEWARPAKWATPKEEAPKAAAEPVVQNEEKKDVEGQEVAQTSA PEX2_078570 MAAPHYRKVELQSPADFTYLYANTVALSRRKLDLYFPPSATDND TPDPMRERVRELIDEYINKTFESASSSISINGIDSTSPQFPFPAAFTAPTEQVEYEAF DTDLASRVTSLYAQLESLNTTVAQQRRDAPRRAAKEYAAQLRKMIEEEEEEYENEDEI ENGGKDADNDTEMGESADMQPRSNNENKNTIPGQETDGMDVDSTPNGTGSARSRRPRG NHDPAWTLQVALGTEEEQERWKSGDIASVYEDALRMLQRLQGEANTNTEAGVEGNALA TTVGKAERAGRAAEVVENMNK PEX2_078580 MENSPSISLVDNTVPQQSTGEGATRRTSTLNQQGNLTKHLSRAS VQSQLTKRKYAKWQPERLGIAPDTNDSLSRESSQVRGGSISASSAGEGSGGRDVETAE FAPSRVSTINGSSSGQVNGTGEQINNREDSKSRSEMDILYENQRGWFVFGVPRYSHSS LLNFDPSPWMTQDRRASPVDITNAQLPDPSWEWTWKTWYVDMSGDTDEQGWQYSFSFS SSAWHGTQPWFHSFVRRRRWVRLRTKAHARRNFGRSNFEKSHMLTEDYFTIHSSKPRS REQSTAGLSRVESGFLNHASMTVDEEPHVDEIGDIPSLMHALKLASIDREKIDALKKF IEEGGEELYYLNDKIPDIMPMFLFQTSRWQFVTYLSGVIRELSKTPTDSDKDADAVQR KKDNLTRAAESCKNHVTGPDVFKGDHGESGTELLDLTPVAKHDTLMAKRPVLEERARS MRRIFRGIPKAAEIDHEGHIY PEX2_078590 MLLRGCPCLRRRLSAVLDTVATGPDEPLLFLYPRWAAPALQRRR ISSLKPIDAPAGVGHTSGAQLRAAVRPSPSLSRRSRQWISSASFSRPSDDSNLQSSPV VVGENSKLGEGEETGPDGMKESGGSRLSTGQKQSVNSLADAEDATSSQPLRGFALTRA KRIQKGRLHNKPLNAKPSSPTQRQLFLRNTSIRDRKKLRFREHMKKKYQSRSAKLFDN AWFDTKDILDQVHRDIRKNPKKSWNKKEILVPEETLALFSGVTRYTLKENIWYVPLHN GCRVHILPASQSKGLLRRVVLSATDHIMALVEGHFTRAQNLQAMGDPLVDIHKPPVPM CVSRGAMQRSNLPVPLIRGNWHFGETSDSSSNLDEILLPRPVIATVKEFNEHVEDVTS SRQPTLDEKAMSLSKLPHVIRIKLHLKALFMEETYSNYISTAALNNALEFLCRHEFLD TARALCSCTEHVATTDTYNILLRAAARRQDVSVFRHFLRTMPRLHMRPNPETWLALLS ALVDPSEKAQLIEHMVQRGQMSNLSTIHSALQETIQDSLLVHLDSGKDIDSFIGLMTN TWGANWFSPSVVGQMFSVLARLKNFDSIDRLMEICIDNNLTVDSYPLSHIVQALGANI HVALQYTLRFFEHPLFEVTSQNWEDLFLAAFRHRQYNICLVIWRYSCMKRSVTYKMKQ AVLTSLCRNASFGKPTKLNKDLWSSDAGKVIIGIDLHHESYRLPDSILDDIPSEFRHN PILYLIGWKPRGEQRNLQIRLANALIKRDLILGATRYVPRHPSPIMLDAASIVDNEWR GVPRPLTWKMQNAIQVPIVRKDKDRVQDKAQ PEX2_078600 MIAYSASETYPQASITPPPVPSHAPIQALQELGQFCIQGDIESF TRTLNSSSIASILHDNNIVDLSGVMTQAIKLGRTCFVKELLRHEVPLFPIYISEAINA KENAKDILESLLENGWDINQPMGAMHPPILSDALDDLEMTTWLLDHGADPNRRCNIDF TPLSYAIEHASLPIVNLLLNRGGDVTKGQVLHHAVARESDAVEVLKLLIAQGAPINGI MYRDHQPSWDMYLFMGETPLHKAVFLRKVDVIHYLLGEGAELNVKDVRNRTALQCADE DIRREITKWSQY PEX2_078610 MSNAPDLRYVRYENSRENEYVAAMRQLISKDLSEPYSIYVYRYF LYQWGDLCFLAMDDKDEMVGVVVSKLEPHRGGPLRGYIAMLAVREEYRGRGIATKLVR MAIDAMIERDADEIVLETEITNTGAMKLYERLGFLRSKQLHRYYLNGNSAYRLVLYLK EGVGAIRTALVDPYGLPPPVPGLPDACGGHSHANMGSLI PEX2_078620 MLRRRTGKDEVPPSKTASGTKPEKEQLHAEFVDTKVTQLVIKPR SKRRNGFIFMLGGIFGIFIALFFANQQEVISLDSLMDLNLDSWIEAIPQGIVSDVKEF SQHERDTVSYDSFAVGLQLRAMGIEAKHPVVMIPGVISTGLESWGTGESSRQYFRRRL WGSWSMMRALVMDKAEWKNHVMLDRDTGLDPPGIKLRAAQGFDATDFFITGYWIWNKI LENLATIGYDPTNAFTAAYDWRLSYLNLEVRDKYFTRLKSYIETAVQVQGEKITLASH SMGSQVVLYFFKWVESEEHGNGGKDWVNKHIDSWVNISGCMLGAVKGLTAVLSGEMRD TAQLNAFAVYGLEKFLSKGERAEIFRAMPGISSMLPKGGEAVWGNSTWAPDDQPGQQL TFGNLLRFHETNSSLTQHNLTTPESLQYLMDQSEDWYRNQVLNSYSHGVAHTKREVEA NEKDPRTWLNPLESRLPLAPDMKIYCFYGVGKPTERSYFYQEEPDPLVNLKVSMDTTI TNNEGVDHGVLMGEGDGTVNLLSTGYMCAKGWRMKRYNPAGTKIKVFEMPHEPDRFSP RGGPNTGDHVDILGRASLNDLLLRVAGGKGDLIEETFVSKIREYADRVQIFDEE PEX2_078630 MALIPAPGATSHASQASVQTPQPPLAHLIHIEVANFLLACGHPQ ILTVPPMDVSPGPLGKTAIATGLLCSLLMPITRQFFLPFLPVAGWLIFFYGCQFVPSD WRPAIWVRVLPAMENILYGANISNILSAHQNVVLDILAWIPYGLCHYGAPFVVSLILF FFGPPGTTPLYARTLGYISMIAVFIQLAFPCSPPWYENLYGLAPADYSMQGNPAGLAR IDKLLGIDLYTSGFKQSPVVFGAFPSLHAADSTLAALFMSHVFPRLKPLWVTYTLWMW WATMYLSHHYAVDLVCGGLLATVAFYFAKTRFLPRVQAEKMFRWDYDYVEIGDSSHDH GYDLASLDGDLNLDSDEWTVGSSSSVSSGSLSPVDDHYTWESETLTSNHDIEAGR PEX2_078640 MSISSLTGLMSSYMPLSDRSPSSDDEKDPRSQLRPDVETGNNTN RRDDSDRKSSRFIDGRTVSDAIIGLSDGMTVPFALTAGLSALGDTKIVVFGGLAELIA GAISMGLGGYLGAKSEEESYKATLKETKTQTMTDPASVSDTISDIFEPYELPFELVAQ LKNHLSDSPMLPSFLMNFHHTMPEPSGSRAIICAFTIALGYFIGGFIPLIPYFFVGPH EAFIALRWSIATMVIALFIFGYVKTCFVSGWRGRRNIRKGVIGGIQMVLVGGIAAGSA MGLVKGFQMLADAHEKHQHD PEX2_078650 MIIYWGFVVLTALAGLASTYPTGGAQVWGQLRENIKHVIYLTLE NHSFDNIAGYWDFHPEIDNLRNLTFCNDYTNPNWTVYGEPLAICAEPYATEVPLKDPD HNFGGVTYEIFRGWNPTKEDAPNMGGFVERQSEKYNATPGDSAFVIKALNQRKTSTLV ELASNFAFFDSYFAEHPGPTNPNRQFATSGSSCGFVDNTDQSAGFWNNVTGTTCAVSI FESLSKKNISWKNYYETDIIDGFMYKWVQDNAMDRLVHATELYHDLEVGTLPSFSYLN PECCTVDSMHPTSSMAAGEQMVKHLYDALRRSKYWDNAVLIINFDEHGGFADHVPTPV NVPQPEDGIIFKGKSSNHNFTYDFTRLGVRVPAFIISPWVPANLLIHDQGTMYADNSA YTHSSILHFLQELWGLEGLNNRVQWAKTFETAFTNKRRDDTPKALVQPTWYGGSGQPE PEPFFLLNQDESYYARRQAEAKAKAKA PEX2_078660 MGARTLLPLILLLVFVGIVAAVGFVVYSIVQDVGKNTRAKMERK NIALTRDGMKVQVREVKDEAYKDRTQSVLFNMWNHTSFPAYKSRLWDMTGSSSTEQTK DAEKRKFRSNTTSLLAIYPGHIFCLYFHFIYKFHRML PEX2_078670 MHLSTISVLLSVALAEAASFVTPIRHKTKKEPDYPKRCYPDPCK GVTYVNSTAVCGDPRLGPKHLPKFFPLSNELETYSRFGELCPIEFLEKWTVNASDPKG YWIYPDSDGFAATSKNESILGNFTLRVGQKLDRFGSEYGKFLAPLGAPYIERSLPPSN LFAPPNSSFPYNYHVYEVTKSFDIILGPIAAWFEQPGFGSQLLAQSSVADLVEGGFLK RLELKDYDEAEEYSAGYLAAPEKPST PEX2_078680 MVVHYNPLTKEPYLQLPAPCANIIMTPHREHQIEEVSAAMTEFL NDSRVYSWLQGPPYPFLPEHGVEWVKMKIAEQEEVVSTLQKEFETPKSQQGDSLNIQE EPVFFDKFPFLCIREVTERDPATGAPLQDVWIGDFNLTRYAFYELQPNSPELALAQKQ NKDLPAGHKDIVWDYLSPTQQSRGVMSAAVRTVVQDWAIPRMNLHHLKSSYFVGNTGS SRVLEKNNFEEIGTFKDWAPASPAKGLGPMSIVVMEWKGLL PEX2_078690 MADLLELLAPHLDPTQSHDVTTDKYLTRLSTLSLEALQTTEPPS LAQSSHSTLLSLQALSNRSHRAFVTSADNLSTLCTSVPQLTREAQQLRDAIPKLDEEA VGFSTKYSKITDNAALERRKKAMQLSRNVDRLSDILELPSLLSKAVSAASVNSGTGVS STTYSSALDLHAHIKRLQTLYPESPLILDVASQAEDAMKDMTSNLITGLRTQNLRLAA GIRTVGWLRRVAPDLDMIQSDGVVGTGEGALGAIFLVCRLAHLVSTLEALDPLRELAD QETQRRLGGRDKSDTASWSGGQQTDRYLKRYIEIFREQSFAIVSLYKNIFNSEQSESE FAISGLRGADAHSKAKSKPAHSDDPLQRLPPALATFPMHLVQLLTDTMRSYLPNVRDR SSRESLLTQLLYCAASLGRLGGDFGMILTELGEEEDTDDMAYEWEEVMRKHRALAGRL EQLTSRVPVN PEX2_078700 MGKPRMIILVRHAQSEGNKNRDIHQTIPDHRVKLTAEGHRQAQD AGRRLRDLLQPDDKLHFFTSPYRRTRETTEGIIESLTADTPAPSPFQRHTIKVYEEPR LREQDFGNFQPCSTEMERMWMERADYGHFFYRIPNGESAADAYDRVSGFNESLWRQFG EDDFANVCVLVTHGLMARVFLMKWYHWSVEYFEDLRNINHCEFLVLTHNPENGKYTLQ NKLRTWSDLRKDRERENASKEQVPAPVPPAGHVGLTDHIPIRRKWGGCPDGCTHGMTA EGKQSVRANLLNSMRHEHSYAQPKHTDDYAGSFGSKLHKSISIDEVVSSSEDSSAQNE TSRKPSARHVSNPQHTLRDDDNGDTKQYDSSSEARPNVNPLHPKRPNFHGLNRNSEDH LPHLPTATSSSSAHLKYALLHLGGRDGGGSMSGANSLAPSDDEGDDHAHHRHLAPTSP SLTPSNLSHANQQHFEFPSSEQTQHSGPSQELEDDGDDEMSGNRTEKMKKARLHHPHH HHHHHHHHVSSSTDQSEHRMANILGDGDESSNHSESQTRGQESSSTDSPSHLHIEELS LEEQQKQDQSIQGSVY PEX2_078710 MPSFFVPGHHGLPTPPHVNGGGRMEDPSFYPVGHAGFPPRYHQS GNEFIEQYSQSLCYAKPNSMNLHPQSAHPMNTARDHHMMNQQPMFNPMVGAGLPSIRS NVQLPPMDASMPPQYRRQEVAPMQQQPEQPRKEEKATGGVAAHLDYEMDRMSDFVAEM AQGMYDLFETNITIADIDLVRSIYPGSSVPPQFRKYVFQILSSTRLPSSTILLGLFYL ASRVRLLSAQRAFTKTDSSQVYRMLTVALLLGSKFLDDNTFQNKSWAEVSNIPVAELN HMELEWLFAFDWKIHDRIYDKQDGFASWRAHWDTWRTKATARAQESRQTLAPLETKVI RGQAVTKPLMSPEGPIPPQYQRSSQIENSWLNPTASEYSPPSALSSGPTTPDYYSVGP WGYANPPPPPPYSRGWNAPSQYMAHPAPRSQPPSYHHTPAYGLPFAQSLWTGHGSSCG CTYCAKHLEHYMCNNAFTSMQQPMIAT PEX2_078720 MMVQSHLMWLAHVLPAVALTFATPSQATPSSAPLSGCGSENLIE DVAFETIDLDDSVWTVLPPNGGAAVDGYLHLSAEAIQSQTLLAQTVTGAVAEESYTVS LDFRQTAGSGVGSTTCAINLLVNGADIGTSTLTAGSWKTITKQWTAASSEVDIFILID CPDAAISGATVDVNSISFKKSCGSSTLTSVSIPIKTPSDSPVTTSAPLTSSVSPSGNS SSEGSGSSSHVSSASPSSTVGPITLTTTITGPVNPASSSAIAPSASIPRAPTSSEPTA LTTPASVDPVSSSMGGTATPDMTTSTVFTTRTATITACPSTVTNCPATDKTTHITTET IVVSTTVCPVEDAITTAEGSTHTSLSGTGNDYTISTILSTRTVTLTQCPATVTDCPAR DQTTHVTTETLVAGITSIPISPTVTTTAPAAVHTSPVPGQTTTIVVGNETNTSTAYSV STVTGTATTEGGVVNETGVSHIGGNGSESGVSAGEGEGEGSTSSGSSVSIGNNAGYLS NLPSITRTRVGSATPSSFTDIHSATAKVSPVAASTPTAAGADAVAGTSSGSTTEISSS ASASPVFNSASPLTVSNVLSALGAIAALALFL PEX2_078730 MSPPLLQYLISGTLPDHCEPTSPFLTAVSSHLHICIPTPLAAVS SVLGTLSIVSWLFAQLPQIYKNFQVQSTSGLSIFFLVIWCLGDASNLVGALYTRQAGW QVVIASYYVFVDIALVFQFFWYTHYKARQDDSYNSLSHSHGSAPQDIIQGVPPPGDDL DHQTPTPVNMDNKHSDAKDVGFHAGSVLNSTLGQAASYSNEKLSSSRRSVRMGSSAQS PPFALPRTMLMASLLCAVMANAAPTDKPHPPISEVPREAIFEIIGRVFSWMSTILYLG SRPPQLYKNYQRKSTEGLSPLLFMAAFCGNLFYSSSLLTNPNAWSDFSPYGGGGWADS HGNDRLEWIGRATPFFLGAFGVLGLDGFMGIQFLMYGDGPEHEDDESFISGDGDDPKR GRGRWRHVHGWMRGWIPSSSPRRESRESSVPQEGQALLGAERGRYGTV PEX2_078740 MKQSIITSLVALAASCSGVQATESLISLPVGLCAGILGDAECKQ QSTTNGLINVPVNACVAALGQAKCDQATSSSSDSESLINVPINVCLAVLGEVHCKQSS DSNGGLINIPIKLCLAVLGEADCKDGSTSSSSSATPVTSVTGLSIPTLVAVTTPSWAA PSVISAPSSSAVGASPVSPISSASGSPRPSGIAVGRSSSARASSATTVTVTHTQTVCA ATSACAAATSVGAKASSLRRVTPITAAGPSPTGAWTTATPSSVPFNAAGRSTVSGVTV ILGAIVVAALQI PEX2_078750 MDNMDKYNSKWVSFHIRDHIKDGEILVQNTVIEGGEFADPTNRR KSLTEEEIDEIKISPDGVGEIGASSRRGSEGRLDLFHDNDKICELHWENRGGEYPNLV EVLDKSDKYTIQHGGWSAEDGPLGHVFVDVMEKKK PEX2_078760 MAAQINDLDETRDKISQQLSPTPFACTSLTRLSGGTANFVYRGT LSSTGQSIIIKHTKDHSASNPDFKIDVTRCHFEEAILQALDGLTRYTNGKISVKTPRL LDFNRETNTQVFEDLPDSIDLKNFLLSKVSHDLSESSGRALGSALGSWLKSFHHWTTE EQQTEITKLLGENKIMKDLKFWVNYTMLLDTIENFPSILEGDRDIFEKIRDLAAAELE RQSHDDGYGVIHGDFWTGNVLLPNVPLTHQSDTKVFIVDWELSQIGSRALDLGQMIAE LYETKLFKNLDGGVWIIQGFLEGYGALNDEMAFRTAIHVGVHLICWGSRVPGWGTQKQ IEDVVKSGRDLIVHAWRKDKAWFAQGTLSCLFKG PEX2_078770 MFMKEILSFALAISSALATAVVPCREPALRKEWRQLSVQERNGY VKAVQCLATTPSRLGLNTTLYDDFAYVHNKLNSRIHFVASFLPWHRYYVHVYEEALKQ CGYEGSMAYWDWTLDARDPAHSTIWDAKVGVGGNGDPKYTNPVGKDEYECVSDGPFRN LRPAYLQDDRIPHCLSRNFNNGTEYIGNMLASEYTPNVINKISKLRDYNSYRISLESG PHGAVHSAIGGDMSPATSPNDPIFFLHHTQIDRLWWLWQQEMPSNRTYDYSGIRTQDN FDGKTPPAATLNDLLPMLGMAKDLPVKQVMKTQTSLLCYRY PEX2_078780 MADPYNTYSHSTPAPSGVGYYPPEDQPQYPEYGHQQPYGNQETY HNSGSEQYNPGSEPYEPTPNPNQTYAPQQSSYHLAPEPYGSSMERSYTPTGQPDYLGP VTPTGYEHVQDKIPENAGYYNDHPADQPRYTPSGSPHPPAVHVSEPEDSQRNGEQRSD TDTDTDRGMDRGIGGSLAGGVAGYYLGHKKEHGLLGAIGGALLGNFLEDKVKDHKKND DDSEHEHDHDDHHRRRRHHHHHHHHGHGHGHRSHSRDSSHSGHSGHSHSSRHTSYSRH RHEDEY PEX2_078790 MTRPQMIRADTLDLQDHDTPSAKDHTKQPEHPDPLGSGRPAPHQ ELSIRHAEQDSQAETKGGPDKNNDYRGDKEVYREPDDEEDDEDENHYDHEDGDLADGE SDDMMDDDMMDKISSSPSIDDEDIDFEFVYALHNFVATVDGQANAAKGDTMVLLDDSN SYWWLVRVVKDGSIGYLPAEHIETPTERLARLNKHRNVDLSATMLGDNNEKSKNPLKK AMRRRNAKTVNFGPPTYIDASDVDYSTDEDSEHGDFFNDDEDGEEEDVQEQAEDIVVE PLRPKSQKEGSTEHADGNGSEKQDLQRSSSDRRPSSEEFFEAEGKHTQFNCSRVVFGT HFYEAEPTVSRSRNGTVRNTDSFFKDDTAEPKKISLTPNLLRDIRDENSTNTLAEWKE PRGSFESIEKGSNSQDKIKEKEEKKRKEKKPGMLSGLFKRKKSKDDIDDPERSSADSG FRTSPQPKTSMDSISSKSVSPEQQRPSKPQKASNNKLHKQPPGIMKVDPVLDPTMAEF ARANEAINQSQGNKSIRQVPLQEERESSYEDCEIVLQSPISQSSASKDPFANPLESID SLESPVDRPSSEAQWREPNDTGRTTTRSTSVTSPPQKFVPPLVARDESESPVNISPVE AYSPVSARGSTNNVSHREVRSLSPPSPPSSPGQDVNNLKGSTTAPASFDTLSVDTPTW SDSSLRSYMDEENDIRDLFIIVHDKSNVPPAGPDHPITGRLFKEESKRLKEMNNQLDD MLVNWMSQRANSSTSTRGIRSPPV PEX2_078800 MAHSERAGLASGLAGLRIETKFHERNKHKWANENSGRKATTVGD GIESDHTNQSHDYARRHDTDEDRSLISDLHSATPPISVPRHDEQHHPDDADNFPHKPD DVQGSPLDNYDSYLHTRRPSISFNPKVSVDSGNQISLEEPLSMGDVKNRPPQRFESRS SGLRNALSQDDEHVERNESPSWSPKRSLDRSLPTGESRARPFTRFENGSTAGSELDRP TSLTSQSTVSPVTDEVRTPPDYSSHTLLSPFCVPSPTQSFASPEDRSSSWSGGIATPF GSKRSSTLDRSSSLRNPTRHSSRRSTNSSGKSPASMFLSMWNNVEEPAPQPDDEGQMV GDDYVLGKQIGFGGFSVVKEAYKAERHGETKRLAVKIVKKQITGRSEHENDEVQAEFD HEVRVWRYLSHPHVLTLDAVYETEYATFCFTKLAIGGTLFDLVRQNRSGLDTQSAKKY VYQLACAIRYLHEDARVVHRDIKLENCLLDPIESADGTTSSNLVLCDFGMAEWMSIDN GGDSPDPYEDAADRPPPQQMGPAGSSTSVAGSLEYASPELLQSVAGVVHPSVDIWAFG VIVYTIIVGSRPFQDSFAPRIQANILSGTWNSNAVFGDTMDGHLRQDRQCALDLIRGC LEMDPNRRLTIRGILASSWLREVHETDEPSPDAVWRL PEX2_078810 MSPRLMGLPTQLAQFSARSFQQASPLAFLLPQSQQTRNTSILAS LSDNPTAYNKRIRRGRGPASGKGKTSGRGHKGQGQHGKVPAGFNGGQTKDIIVHGERG GVNIFSVDMATVNLDRLQYWIDQGRINPKLPITMKELYKSGCISRPIDGLKILGDGAE TLKQPIHVVASRVSASAITAIEGAGGSVTTRYYTRPSIRRILDGETHSFLSTAWARES GSEQLYKLAGVRPTAAWMKWSTVVNNRQLKFRLPDPTKRKDIEYYRDPAHRGYLAHLL KPLEGPSLFFRSSEERKSTAGVKKEKVLPENRLW PEX2_078820 MSSTVDFHSSFSTLGVPTIDLTEDHPLKALESQTSGSSRTVPIR NGLPTPPHDMTGLTYNAMPPIAYGGKPNGVPSHLYSHSRPQFDSISSSMMAMKPQSHA AAKEDCATEPTAQKKSTGGTGSQLRIPSSINNSKGSLAEFAAQMTCLFWFEKTSKLQA IEDRQHPVPSLVAEAVPTVGFQKWVATILSTTQVSQNVILLALLFIYRLKKFNSGVKG KKGSEFRLMTVALMLGNKYLDDNTYTNKTWAEVSGIAVHEIHIMEVEFLSNIRYDLFS SETEWSRWHTKLGLFGDYFNQASMLPVESAAMLRVSPPRVQSQSPSAKLPSPSTDAFR SQPPPNWYMPPNGLPYSLPPQHLGGEAALGGSRKRSRDENDELHPTKRLALSSATSAP SMTVPVTAMNAMPTLPPVLTPTPAPVAPMNVSRLPPPLPTSYPAPQTLPPTAPSHLPA IMPPIYNRPANWQQMPPLSVPPMPSSMYTTPSLPELGRHHQSPFGVSSATVSPAGSAY SVHTPQTHLSPSFFLANRNSPYRPVRSVNTLLIPPPSSSLQQQRNVPFDHMHYQSLGK GNTSRKTGLLPYIQPDAWNQAPYLHPIYPPTGYSS PEX2_078830 MLADYCPSPCSVFETFRACGLCGLIHPLYGVPLPFDPSTLGAEA QSLEPEISLKDVN PEX2_078840 MAGSTLAIRKMAPSIMQLSSMTRRSMSTSQALRAKPMRSSQSVS RIARRGYADAAPSPAPKPKKRFRALRWAWRLTWLSAIGLTGTVAYSIFDLRQPPDQAP PDPSKKTLVILGTGWGSVSLLKKLDTENYNVIVVSPRNYFLFTPLLPSCTTGLIEHRS IMEPIRNILRHKKASVQFYEAEATKIDYEKRVVYISDDSEIKGDISHTEVPFDMLVIG VGAENATFGIPGVRENSCFLKEVGDAQNIRKRIMDCIETACFKDQTEDEVKRLLHMVV VGGGPTGVEFAGELKDFFNDDLKKWIPEIKDNFHVTLVEALPNVLPMFSKQLIEYTES TFKEEEISIRTKTMVKKVTDKYIQAEVTKPDGSKELETIPYGLLVWATGNAIRGVVRD LMSQIPAQAESRRGLAVNEYLVVNGTENVWAVGDCAIANYAPTAQVAGQEGAFLGRLF NTMAKTEALEQELETLSERQAQAKGDEERNQIFDEIRERQKQLRRNKQIGPFQYSHQG SLAYIGKERAVADISWLSGNIASGGTMTYLFWRSAYLSMCFSTRNRVLVCVDWVKARL FGRDVSRE PEX2_078850 MAPWVYSCVWAEENDKQSRFHLGASLGGYRNPEGQGSRWVKILQ RARFDVLRDERIDTAGITFESTTLMGRGGRPIPFGNCAETYPLVIILQNRAPSEEVVI TTWGGNVDNFISDSTPNTALPILERASGLNINLPTGAKRPSPADNIAEPAAKRAKGWK PEIPQKPSHSAKGKGEQQ PEX2_078860 MASKKSKLPNPKRVNYPPQTIFLDKAEPPPGSPRWRVEPLNLTK PTRVSNIYCSISIKILADDQQWAPTDPLGFSSDPALLNYDEWKGEYGAQKVMTDTYGL TNCVPVFMSQDWTDTFFESNGRYYL PEX2_078870 MSGRILSHRLGPLLRNGHLARHIHNAGSRTGGLLRADGGAALRG RAWPVGANAIHNVPAVRSISFARMLPKLALKLVRVPAMFGGATIAGLAYFQYQATQAG NYAMDVFRQASETAGNTASTLFQGLQDMADQTQRGWNKTTEDIDIPDWLQKIIRPDEE SESGKGDSSGGGKKPKQSRAGTAAAAAATTTAFGYNKSGEEDLRPGRDAAEDDQMMLL TRKMIEIRNILQTIGQSGTLSLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTRR PIELTLVNTPNAQAEYGEFPALGLGKITDFSQVQRTLTDLNLAVPEKDCVTDDPIQLT IYSPNVPDLSLIDLPGYIQVAGRDQPPELKQKIADLCDKYIQPPNVILAISAADVDLA NSTALRASRRVDPRGERTIGVITKMDLVSPERGHDVLSDKKYPLRLGYVGVVTRIPQT TALFSRGSGNITSAILKNEHAYFTAHQNEFGPHSDVSVGVSTLRKTLMQVLEQTMSSS LSGTRDAISQELEEATYEFKVQYNDRPLSAESHLAESLDAFKHSFKAFAESFGRPQVR EMLKAELDQRVMDILAQRYWNKPVEDLDPPPLELDPLKDLPKADAESLYWHRKLDAST SSLTKLGIGRLATTVVANSLNNHVDSLLASSTFASHPGARKQITDACTSILNDKFFST SDQVENCIKPYKFEIEIEDPEWAKGRDNVGKVLKEELRSCESAMKHVEDSVGKRKLKD VVSFIDRVRKGEVVLEGNGEGGAGGFSAALLERGREGLFLRDRADLIKMRLLAVRSKQ CATQKNKYYCPEVFLDVVADKLTSTAVLFLNVELLSEFYYNFPRELDSRLGRHLSDAE VEMFAREDPRIRRHLDVIRKKELLELALQKIEGIRQLDGRTRKSERAPPGKETRSRWN LF PEX2_078880 MCRFLIYKGRNEIRLSKLITEPSHSILTQSYDSRLRLDNRRPVN GDGFGVGFYTDPKLGPEPCIFTSTLPAWNCENLERLAAKTCSDLIFAHVRATTEGALA ENNCHPFQHQSLMWMHNGGIGGWNYIKRTLGSSLADKWYLGVKGGTDSEWAFALFLDL LEKEGVDPSSDPGPEGFGQALLRRVLMKTIARINEMVKEIPERYNVTGVETRSLLNFA VTDGHTVVCTRYISSKTDEPASLYFSSGTKWKEGETKGHFKMERHDKGADIVLVASEP ITFERHNWVSVPTNSVVTIHKQTVMLHPILDEFYGENLNHDRSSCFAVSKGLVSTAPG TTIPPPNTKEPCASSATSNAIGKPNSGIESLRSGHVTACAVSH PEX2_078890 MRKTLLLVFIHGFKGGDDTFGTFPEHLRVLASRALPAVEVATAV YPKYETKGDLKECVARLRNWLQDTVIDLEVANHTASPTVDPSVHVVLIGHSMGGIVGA EALLLLAAEQPIPRNPTSNTQPGFTDGTESIVEPGTFMFPHIQGVLAFDTPFLGIAPG VVSYGAEGHYRNAATAYNAFSEVAGLFGYGGKGNVSNQGTTHAPLQEPPKVLPSTPDA AATPSWQRWGKYAMFAGAAGAVAAGGAAMYTQRQKLTDGLGWVSSHLEFVGCLARTEE LHQRLVQLSNVKEERGIGCVNFYTCLGKGATSLVENTSANKTSFSQKIIRSRNRTFCA LPAEVERGEESQSPGLMWTRAVNDQATDETNAHVTMFLSKENPAFFEMLTEACAALVK SIDKGWYDSSTDLAKKDDIKQPRGTRDTKTKPDGDFMDGDDVVVVD PEX2_078900 MGFGQFDTICEKVPVPLCSLVGPASTISGSTGIISNCYARNIEV ANTIIFEGAASFMHIMALSMTVIMILHVRSKFTAVGRKEIITFFYIYLALTLFSLLID AGVVPPGSDPFPYFVAVQNGLISALCTCLLVNGFVGFQLYEDGTALSVWLVRVPSAAM FALSFVISLATFKSWGSMGPQNTTGLFVVLYLLNAISIAVYLAMQLLLVANTLDDRWP LGHISFGVIVFIIGQVLLYQFSDVICNNVQHYLDGLFFATFCNLLAVMMFWDSITKED LEFSVGVKPNTWEVKEHLSEEERRTTVYPDNNSEYAGSLYHHRSSTYGHHNY PEX2_078910 MYQWIYFPGKGANNFLQGIFDHCHSKVPHKSYTWHRACTCSIIP RGNRTGKLQRKEVG PEX2_078920 MMGANILPLPAQLSSRSASSNPTPHSEFTGPPSLGVSISNLITR SARRHTVCIENQNPKRSHRDSIFKKLAGPRENAKRFLRLRSSGIQAPLQRPSRSVRPV SEIIFSPISVDLEDEMRSDSSSTEVLPRPVPEHHTVTTDSTTPFTPLRNEKIVATGSG ISVGIALTEPVLYLQGYDQQDPTSKKSAILRGQMHLKVTKCVKIKKISICFRGHAQTD WPDGIPPKKIHFHDKKDLFTHGVVYFNHGDTALMQNDYGAHYYHHAKPISSVPGKEGV TMTTRELFSNRNSTATLAIPTSRETKRLSLQSNRGHSRSFSKNEPPTSQPQPQRNYRM FPVGDYLYSFEFPIDGSLPETIKTDLGSVKYDLEAMVERSGAFRPNLLGAMEVPVIRT PAEGSLEQVEPIAISRNWEDQLHYDIVISGKSFPLGSQIPIAFKLTPLAKVECHRIKV FVTENIQHWTADKSVHRLQPAKKVLLFEKRADSSSVSTYPGSSMRVTAGGGIDWDHRA AAARGQEIVDRNRTNLLGNLSNDSGVGPTEMEFNVQLPSCHEMKGRDEGQRLHFDTTY ENIQINHWIKIVLRLSKVDERDPTKRRHFEISIDSPFHILSCKATQANIYLPAYTTPT EELVPPTQEFECGCPGAPLAPREQVIAPATSDREDSNPTLGPIARRGSTGHTFSRSFT NDSGGLARPPQAHLATPPNDRDTPIPPRPMHLLRAPSYAPPAFEDVPPAPPLVTPPPE YNTIVGDDREAVLEDYFSRLSFYEENEDDDRGRGRVDVPLTPGGRVNRSMDVPREWGP LVYFGTFETDYADWLNIVNKKRLCYILNNHILQFSDIDLSNIQTPQAANMAVEVVPLT EADIPGAIEVIQQAFADDPYFKWAFDSSKFNKQRNYDSLAARCHWGINNALFHVAKET QDTDSKSHSTPLTPTPILGVSCWLAPHSPTQPESWYSWFQSWTLSFNQMLNNIRYFGR GGLRTNRYWIWKQRQAEAQAAIWDDPRGYYFCNIVAVSPEAQGKGIGRLLFEAVTKRA DDEGVKCYLESSKSVPNVAIYERMGFHMSKEMECRDGVDACMLYCMVRDPRKQ PEX2_078930 MASYSTSYSNPDSLTELSSIIERTLIDTGRLFRKSGSMPSRTHL QRSIPLYHDSFQNALDNLSEQIFIAKAFLERDYEALKATSPAPLPIEDVAMSDVNQQV ESDIQPLPTEKVEMDAELEPMPKKEEEEEALSASQSAPAEIRPDTQSGDDVVVKKEGE NAAPDQSFPGTNEDLTFDSVLNDAGGTNDFGLSLDFNDDDMGNQAFLSGSNFTASGAT GGADRLNPTQPLENTPDVPAGGGAFDMELQKTEGDDGNFLQGTSGEDFMGPAESNFDD LFMDTDNFGENGGEFNQLEGDSLMNVNELDDNWFN PEX2_078940 MAENAQASTPSSLPPAPQPAVGQPQQYDAPQGNGQGNQSHMPPP PRPPVIIPQNTNPIPTAMTSPMSGVAMMSPTSAGGYVRRAAPEPNKRALYVGGLDPRV TEDILKQIFETTGHVVSVKIIPDKNGQFTTKGHNYGFVEFDDPGAAERAMQTLNGRRI HQSEIRVNWAYQSNSTSKEDTSNHFHIFVGDLSNEVNDEVLTQAFSAFGSVSEARVMW DMKTGRSRGYGFVAFRDRTEADKALNSMDGEWLGSRAIRCNWANQKGQPSISQQQALV AMGMTPTTPFGHHHFPTHGIQSYDMVAQQTPQWQTTCYVGNLTPYTTQNDLVPLFQNF GYVLETRLQADRGFAFVKMDSHENAASAICQLNGYNVNGRPLKCSWGKDRPPTGQFDN FSPQQGNAAPFNNSPAGFFPQYGGPANPMNQGPAPAGRGWDQQPNNFNGPAMAGQGYA QAGNAGGYGRGQPMSPSGNWEQPNNFNGGYQA PEX2_078950 MDDDERTADLAVHELSPRTRSGSASSGHKRSFSGSLLSRLSFLR VSQVAYTSLDRQRLDMDHDGDEDLRSGMPQNTGKGGTTSSRAMSAALAQHRTRRRRGS LRKTALLGTRLESRDKRATAKAAEALEALRAEPSRLSAADLQATIQPKDQTHSDNGPA RYSPRESEAFDDDRDDTQQPTWFRAANTQKPIRPPISRRRQGLTQHNVQEQATDDEDL VSFPRLHSNSNSTAAGTGTSPNIGSTTGLHISPPSSSSGSFYSLQPQPEPTYLPVHRH KSSPLVTHPVEMKSSPNVDVDYSETEWWGWIILAVTWLVFVVGIGSCFGVWSWAWDVG ETPYAPPELEDDPTLPIVGYYPALIILTAVMSWVWVVVAWVGMKYFKHANISGEDI PEX2_078960 MSPQRGAPDNEGELTSPHSFVELMSLQRLEDALISFPDSTEPEK IERFRSLATPFNPGQGTRSFGGHVYAQSAYAASKTVSQGLVIHDMTGTFILGGRLDTP YVYTVRHIRDGFMYSTRAVDARQAGRICFSCICSFKRDEKQRLFQHQPISAQTRFHSI LSAKQPEDQPPSPSVDAEWWIEAVRQGNISEHEFPGLDVRKTEMKDYNHAEDVKQHPE RYRQLTQYRLKGSPDDDPAASLTQIREREENGEYDNLYACAHMYSSDKNSLLLIPRAL GIDNWTEMASLTLTVIVHQHGEALRMVDWERIGGSDVAGVSELPMKWFVQEGWTPRTA ENRGTHESHIWSPDGTLLATSLQDSMLRLRKLGVANL PEX2_078970 MLYELIAIVRPGSLNEVREIARNAGTQVIRSGGVVRGYTNWGAF RLPRVTTKHQARYTEGHHFIMRFDSSGSVQSSIRRTLGLDPRMINFSVVKLGDKLEEI KDIEGKVEWNNVSTITEQI PEX2_078980 MSSVARAIRPFASRALAQKPLASACQASPAFRFPRGTMSFSQSP LSQLKKYTESHEWIELADGGKTAKIGITDYAAHSLGDVVYVELPEIDLEIVAGEPVGA VESVKSASDVLSPVAGKVIKANSILEDKAKTINESPEGEGWIAEIEVADVAELDALLD EAAYQEIIDA PEX2_078990 MDNRGSFFFFLLVFYLLLSSQSRPPLIDEDRARQRELDHERQVL RLLNGSKYGDFDPPANRWLPFSGLRANDSYAWDLFPQVKGLARHQLQSAVSNAGLEPP DGLEDPTVSPTLNLTKLLLPVYRNSTGKLRGDWVRRTEGADRREPLNTTAIAEEHEYF THEFSHNITGNGGTFYFDLEEGGGEEIQVGDGILREMRASLTVESEDFWGSTWYISLF GLHFPDTGSIVLTTSSEKFGGVFSLPHFMLSSDTYELSHELLLKSLSDTISEKQNRPP TLFPWSSLAGTEQMEFPAPKCEHIIYLQQHPVMLGDATADRLLLERMEQELRYPMGAP IPDPPLMVMSAVVFSPDCGYILQTKGTPEFPPSDSLYVTGPKHEEYSKYAARLIFVVS GVFVAQIALLLRQIKEASTPSTRSRISFYTIALMALGDGFVLTFIVLELYAAVSFLVL TTASFLAFLSVSYIGMKFMMEIWAVQEPERREQDRPPNTSVPATRPGTLPLSATAPAV RDSSATPIILSPDQDAPDDEMDSPPPATRTAPPTPRQIRSDIGTMYARFYLALFGMLI LSIWAFLLPRRLGSIYTRFLAAVYLSFWVPQIYRNVMRNCRKALRWDFVAGQSLLRLF PFLYFLTARGNVLFIRPDYTSASIMTGWVWIQAWILVSQDVLGPRFFVPRGWAPHAYD YHPMLRDLSGADDDLEAGGGVLSIASLRGDERDLVSDSKDDDKQRKDRKKAIFDCAIC MQDIDVPVLPAPSASGGSSVTDGASSILSRRLYMVTPCRHIFHTACLESWMRLRLQCP ICRESIPPV PEX2_079000 MVHKVLFWSGFGIAVRLWQLGIEMRPILAKESLWVYPLFAGVGG SFGYWLQGVESRQLKMLAQRREAILEKRRRRDEKPEGGVLAAAS PEX2_079010 MASNEAMGKGIEDVHSVAKQPIDIESVKRKKFKTDDLPLSAAQH AVIDKLLHSFKKKGGFDSVRKQIWADFNEGELRTDFTNKLVALAESEIDREPALLSRE RGKAATLIEGAVDRGDVYKSVEDSIDQLASKHLDFILESVREIRCQDVGEEVAARELE LGNKTDADYEAYVGAQREEREKVWREEERKQREIEEEEKRIRDEERKKKRELERQKDE EDRARRKEIDEQRRADRERQREEQRILDEQREREREERYERRRREDRERYRGWDRDRS RTRDRDRFRDRSPAYRSDRGLSPWPRDSKHDKSSTSNAPTPVLVPLVDEKSLEEAALQ MLLKEGEELAAKARQKPEFDFEEAEAIENGLKPTVSTSGPTKGTNDSRFSNTSTRAAS LSRDADARRGSFADRGDRPRHLTRDRSRSRSRRRRTSRYDTDRRRERSRDTSVRSRDR DMDARRGYRDFRDDRGGGSYRPSRRSRSRSTGRRDRDRTATETEITTIIALGAVAPLA HPYADVLEAAHARALVLATALGHVLDLLDGDLGLGVVRLLLL PEX2_079020 MPKATTRSDAAASDSSPQTLNLTDRTAPRRQRANSVQSSDSDPT SSSGSSSEDDSDSEKEDEQHSEQEMNGTGESTIHAHGSDPSSSLPHIAGRPKPRIHRM KGDSGLLSRLNAFLPKMKNANEDLQRQIEAGEAGDLVLDNADENGEQYIEMDLGLGVL EEKRDGDSSSDEETDDEGSKGTTGAGKTPQEMNDSDIIGKLMGGKSKKSNTDKPSIEE MV PEX2_079030 MSTGVDNQNHNSKFDYIDLTTRHRSISRSDGSQSIEFRQSSNKQ PVNMGQTQRSRYLKTGAIIAFIFMVLVWLSPSQPSASGLTNEQTPSSSGASTSRVCTK PFDSSKPLIQYALMIDAGSTGSRIHVYRFNNCGPTPELENEVFEQTKKKEGGSGLSSF REDAEGAALSLDPLMDVAVKSVPEEYRSCSPVAVKATAGLRLLGPEMSDKILEAVRHR LETAYPFPVVSKEKGGVQIMDGSDEGVYAWITTNYLLGKIGGPDETPTAAIFDLGGGS TQIVFQPTFEQSKAGGMPEHLAAGDHKYDLKFGGRQFELYQHSHLGYGLMSAREAMNT VVVEAMLAQSPKDLSWVEQPISNPCIGPGMKKEVTLKFPADHPLGPQVTVSMVGPKDK SMAPQCRAIAEKILKKSGECKLAPCSFNGVHQPSLAKTFAREDVYIFSYFFDRTAPLG MPESFTLDELNQLTSTVCAGESEWKGFEGVKFENQDNALVQLRDRPDWCMDLSFMMGL LHTGYEMPLSREVKIAKKIKNNELGWCLGASLPLLSQESGWTCRIKEVV PEX2_079040 MNHTRGLISSTQALRQIFIAPVRTSRVGILAFRHLQNVPQTRFF QQSHCLELRAYRPPVEKPPMNEAIRASFVQVVNDEGDLDPPTRLEDVLESFDHTEFFL LQVQEGDYDNPPVCKIYNKKEVRAHEKAKAKSARESKVIFKQIELNWAIDAHDLSHRL KQLSTFLEKGRRVEILLTTKKRKRNPTVDEIKQVMQSVLDTIREAGGTQTKAMEGEPG KQLRITAQKNM PEX2_079050 MSTISRSLNLLARTSRSSLLRPTTVNPVHHVFSNKVAGRGLATA FERNKPHVNIGTIGHVDHGKTTLTAAITKNQASKGLANFLDYASIDKAPEERKRGITI STAHIEFATEDRHYAHVDCPGHADYIKNMITGAANMDGAIVVVAASDGQMPQTREHLL LARQVGVQKIVVFVNKVDAVEDPEMLELVELEMRELLSSYGFEGEETPIIFGSALCAL EDRRPDIGTEQIDKLMKAVDTWIPTPERDMDKPFLMSVEEVFSIPGRGTVVSGRVERG LLKKDTEVEIVGATDSGNPIKTKVTDIETFKKSCDESRAGDNSGLLLRGVRREDIRRG MVVAAPNSTKANNKFLVSMYVLTEAEGGRRTGFGANYRPQVYIRTADEAGDLSFPDGD MSRRVQPGDNVEMVLKTHHPVAAEPGQRFNIREGGRTVATGLITRVVE PEX2_079060 MKNRDDLDPMASDGTVVATPLQVQDAPTYLFTFGDSYTQTEFAV DGIQPSTTNPMGNPALGTGTTSGGTNWVGYLTTLYNASPVLSYNFAVGGATIDNSIVD TKVKDVTSQVRDFELAYSKKPISAPWSSDNAVFGFWIGINDIGWGHQNTQPSALLPTL MAQYKCLIEKIYASGGRKFLFLNVPPTDRSPMIIKEGPEAVKTYTTWVKAYNNGLQSM INDFKSSRTDTIIVLYDTWSFMPKILDDPQTYGFSNATSFNENGTSCIWWNHYHPGQN YHKLQAADMVQYLQPLGAGMECVDQEHAVITPPLSGYHRNSQLGEQPYSLRERVAHLE NLVEGLTKRLDQRDSRTPPSDGIKANSRPLATEPDELGPSSDQICNAPILQLFDNYLV SRQEDSSNNDKFAGVQDMSPKAEAVRTELMSLLPPAEDINKIINASFHWLVWHDHLPE LFDQHADILVFNENRCDNLVAPAEVAKALMCLCISAIHAPPEFDIGTLTVPFELQKFS DQCVEMVDRLIVRDDNFAATLPGIECQMLLHRVHLTDGRLRKGWLVIRRAIEFAQLAG MHLSTKVPRPSDSFYERRLKIWCSLATSDRFLSLILGLPYGISDQFFLPQMEQRLNSD LSAPEEYLLRIGSITGHMVDRNQDPSKMTLTATLRLDQELQDSWESMPSHFQAAEPCQ DEKREHYVERVPLQFMFKLLRALLHLPLMLQSLHKPQFRPCHTIAIQSAREGLVLYKV LRSNVKPYLCKMIDFMAFTLCLLLIIHLHGYSDEDPDQNKEQDEKDWDMVKKVIEILR QAATELGGSVAAESANILGAIHDSKDLKQDWSCISSCKITVPYFGTITVGAGTKFSKG RLKGKKQTSVVSGTTGTETSCPGQCPSQLYTPPMSDPESAPTANSNVLDGASLTPTMA PGYPDESWLSRSETGTNPHVGLELNAFSGLFDDFGQYMWPNHNVDLGLDQGWNLNWSD GVPPP PEX2_079070 MTERRPSGLERSPTAPPILSNGHFASVGAEGDAASYEHGVQVID GNKEFNPNLSKYLSLENVTTAGFNYHLISVFGSQSTGKSTLLNYLFGTEFSVMSELER RQTTKGIWLSNNKKQGEAGAAERMADNILVMDVEGTDGRERGEDQDFERKSALFALAT SEVLIVNIWEHQVGLYQGANMGLLKTVFEVNLQLFLKDKHTTHRSLLFFVIRDFIGNT PLKNLQKTLLEDLSRLWDTISKPAGLEKSTIHDYFDFQFYGLPHKGYQPDQFLTETKK LGLRFREGHRDPKRDALKGEFSEGGVFLPEYHRRIPADGFSHYAEGIWDQIVNNKDLD LPTQQELLAQFRCDEILREVMIGFDEAIIAFEDKQAESVRVGAPEVLGGLGVAMRAAR VKTLKSFETEASRYHKGVYQRKSVELQTKVDTRLKALFHGQLSAAHKSGIRDFGDSVS AAVKDGQKKGGSYDFAEIVTKEAQSSLEKFEEVARSTLVDGASWSNYKQELSLYKKEL AEVSARLRRDEMRRLATRVERWVQSRLGESVGLEFNALGSGRAGGGAPENGEKTTEKD FWDRIWNLFEETVLDAERRFTDRASSFDASIDEVDVGLWRLRRKSWSVLRAKIEEEMI EGNLLLKLRENFEDKFRYDEAGVPRIWRPTDDIEGIYTRARESTLTVIPLLSRFRLER TTAPPPLDRWIGHTPSTATPADEEDLAPIGGVDEHEGKSLEEEMTILSDTKRQELTVR FKKAADGVYVEAKRSAIGGMTQVPLYFYGLLLALGWNEIWAVLRNPAYFILLFAFAIG AYITFQLNLWGPMLKMTEAASQQALVEGKRRLREFLESSDTGRQAIAMSAGEHAGSSS RKEEYEMSDMQKRGSNANDDLDDM PEX2_079080 MSGIPNLPETFDDLPDKRRFWPGAAGSEEEGLGMLRLLTPELVA QAARTQIQSGERVCLNWNMENLSPPGFGRKSFEHRVKWVAEGVAFDDEYHFNPQQSSQ WDGLRHHNAPAPTPEDQDRRLFYGGTTAKEILDENSSRIGIGFWAKKGIAGRGVLIDY VSYAEKKGISVNALSRHMISLDEVQEIARECNIKFQKGDVFFLRVGLPGTWERMSAED RVAYSQQGMPQHAGIEQSERVLRFIWDNHFAAVASDAVSFEVYPTLNPEFDLHHHLLA GWGIPIGEMFDLEELAATCKRLGRWSFFISSSPLNCTRGVSSPPNCMAIF PEX2_079090 MHFSKTLATAATFAMTVYAGFPVASVSFQSWEKCDIGYPTLGEP KFSVDVAVTPATCDKTTVNRDWSINNYAFKARLDTKDTAFCQGVIIWNNEGCSGEPVH FLPFNHSPFAEGQCIPDILDPGFVSFKLACAGF PEX2_079100 MASNLPLPLPPRTPTPPADDEYASQINSGIPIDRNSLSPLKASF PKGIMDAESRDMLSPTNSSFNLATSPEDAETPIENGSGDMSSAGPFNFNTTVMAKSPV IKSNMGQRRGHKYKHSSISHQIFLEPPPRAPLALPNSLPMPTLKECRSSMSRDQKTRF WWSLCHMFVAAYTLWTAHGSLAMTALSHLILFDSLGALLCVAVDVLGNFEVWKRSTIR HPFGLERAEVLAGFAMAVLLLFMGMDLISHNLQHFLEKAGHEPHHQHDHDRVSLGSVD VTAVLAISSTLVSAIGLKNHARIGKAMRFGYIQSLPSVLSNPSHFLTLSCSALLLLLP LVSIRIYDWLDKLLSGTIAFSMCFLGTRLVKTLGSMLLMSYSGQGVSDVIKDIEADAA VFGIDDARFWQVHYGLCMANLKLRVNGSEDNLVRLREKISSLIRNRLGGGYGTGGQKW EVSLQFTIERA PEX2_079110 MTTPTMITVESLSTLLADDIKVKVAGIDSDGVLRGKVMAKEKFL GIAEKGFGFSSALFGWDMHDMLWTTDARVAPPESGYADFLAVPDLNSFRRLPWEENIP FFLVRFLDDERPVSADGRSMLRSLCDKLAGEGLHALAGVELEFMNFQTPSEDGYGSAG SQHPNLATFLEKNAPSALRPITAGMFCYSSTRPVVNKKYFYDIFNTSAEINCGIEGWH TEGGPGVYEAALKVSEISEMADKVALFKLLTKSLGVDHGITPCFMAKPMQGMPGSSGH IHISLADNDGKNLFARETPEANPQWSDIAHLSETGRHFLAGLLDALPDIMPLFAPTVN SYKRLVENYWAPVHISWGLEDRIASIRLITPPVCKPGATRFEVRIPGADLHPHYALSV ILAAGWRGVQKKLEIKVPPMSARAPGDRPELLPNTLDKALDRFSAPESIAREILSSEF VDYFTATRQHELGLWREAVTDWEFKRYIEIV PEX2_079120 MTSSIRIHVAILVCDTPIQPVLRQYGDYFTIFQELLRQAFKDLE ISKDFGDITVGFSEHQMVDNNRFLDLEKVDAVLLTGSKHDAWGDDQWIRDLTTNVRET VLTHKKPVVGICFGHQILARALGARVGRNEAGWEISVEKLTLTEAGKKLFGKDTLSIQ QMHRDIVFDSPVGFANLASSPKCEVQGLYLPKQVLSVQGHPEYNEGIMSCLLEARHDN GIFDDELYNTIRSTAAPAPRYKVLLSPPSGFHSFLSQTKYTTYAMSNQIRTICPSTHE VIFDQPGTSLNEAIKVAAASKQAFESYRTTSLEDRKSIVKRALDIIEQRRDDLARELT AQMGRPIRYCASEINTMRLRAEYLMDIAEDSLSDLPGRPEAGFRRTVKRVPVGPVLIA SAWNYPYLTTVNALVPALLAGNSVILRPSPQTPLFGNRLLEVFTEAGLPPQVLQIIHI GNLDVLDQVAQIPEIQSVSFTGSTAGGIRLREATAHHIKPVNLELGGNDPAYVRPDVD VKHVAEQLVDGAVFNSGQSCCSIERVYVHEKIYDEFVCAVQEELKSYKLGDPQDQSTT TGPVISTQAVEKITAHVKDAIAKGAVNSTPQNLSFEVASNPEIQKGNFVSPVVLTNVN HTMATMKEETFGPVMPIMKVSSDDEAVTLMNDSDYGLTASVWTKDITRGEELIDRIEA GTVFINRCDYPSPDLAWIGWKTSGLGCTLGPRGYDGFVKLKSYHIKDGSA PEX2_079130 MVGRLEGKNAIVTGAAGGIGLETTILMLREGASVLMADISDAGL NKALSKVNSVVPASTRTGKVEIKVVDVSNESQVEAAVAHLDAWGGLDIIFNNAGIMHP KDGDSEECPEDIWDRTMNINVKGVWYGSKHAVRAFRRHGKKSASVINTASMVALVGAA TPQLAYTASKGAVLAMTRELGIVHAREGFRFNSLCPAPLNTPLLQDWLGDDKEKRFRR EVHFPTGRFGEAIEQAHAVIFLASDESSFVNATDFVVDGGLTKAYVTPEGPATEAPKN LAA PEX2_079140 MPPDRRVQRIVRWPHVPGKRDPSHEDEAQVSATDESRPNIFDAI EQLDEISMPRSPLSQRAPSVSSSSGHSVTTGEQFENTIVHEEPNNQRRLSTATGTTPI SDALVTSVSIRNALPRSLSMLPGYDAESYQLLSHYLATTADCMANGSTPVNPFLVQIV PLAFSSDLLLQLVITQSAAHRAFRSRDESDTIAHSHYTKALQHFRRGVTDFIDGKESN PLMLLVGALLMCFTETAKGDMNGTIFDHLSAANSLLVKLLAQSDSAVPRDLKDFVIEY YTYTATVSMISIDARFSGQLFLNLDLEQRSRELLRTQYVGNLCGCWLELLLLIPCIFD LGRQWIMDDTQAVVPTADDIAMFASIQSQIIRWSPYPNVGTEVRLAGLIFQEAILIYL YTSLGGFQYTKDGMYNGMVENSVTEAMSYLGELSPSARINSGLCWPIALVGSCLLNPD QQDCLRRRLNAMIEKFGLGNMHRTLLLLEAMWRSPASEAGPWNICRAMQENQIWISFA PEX2_079150 MTQVDRVYSGRHLNDQSVYHSDDGSNYGEASIENEQKPDTIQEI RNGIVNERDLDLEKAVAAQPELEKSRTAKSSRSRHDPKLVNWDGPEDPENPKNWSNKK KWAAVITVSLFTFISPVSSSMVAPALPSLAADLKVTDEVVSQLMLSIFVLAYAVGPLF LGPLSEIYGRTIVLQLANLFFLVFNIGCAVSQTKVQMIVCRFFAGLGGSAPLAIGGGV LSDCFRAEERGKGIAIYSLAPLLGPALGPIAGGFIAENTTWRWVFYATSITDGLIQLM GLFFLRESYGPKILLDRAVRLRKETGDESYQTEAERQNKTLPEVLRSSLIRPFRLLFT QPIVQVLALFMAYIYGIMYLVLSTFPTLWTSPNYYNESTGIGGLNYISLGLGFWLGSQ ICAPLNDRIYRRLKARNAGVGKPEFRVPLLFVGAFFIPAGLFIYGWTAQYHCHWIAPN IGAVLFGTGNIIAFQCIQTYMVDTYTRFAASALAAGAFLRSLAGFGFPLFAPYMYSAL NYGWGNSLLAFVAIVIGVPAPVFLWKFGETLRKRSTFAAG PEX2_079160 MWTTTSGLRGKKLHLAITFTSVVGFSLFGYDQGLMSGIISGDQF TKEFPPLHGDSEHVAVLRGAVTACYELGCFFGAIFTMIYGQRIGRTPLLVTGGLLMIL GTVISTAAFGPHWGLGQFVVGRVISGLGNGMDTATIPVWQSECSRAHNRGFLVCFEGA IIAVGTFIAYWLDFGLSYVDSSVQWRFPVAFQILFAILVTVGALMLPESPRWFVMQGH DQEALQVLAQLNDSDIDADDVLADFNLMKADLKAMQSVEASSWGILFTGGKTQNFQRM MIGCSGQFFQQFTGCNAAIYYSTLLFQQNLHMTGKLPLVLGGVFATVYALATIPSFFM IERVGRRNLFLIGFLGQGLSFIITMGCLIDSSAQSAKGAIVGIFLFICFFAFTTLPLP WIYPPEINPLRTRTKAASASTCVNWITNFAVVMFTPVFSNQSDWGIYLFFALVNFIAI PFAWFFYCETAGRDLEEVDIIFAKAHVEGKWPYQVAQQLPKLSIAEITRMQNDLGLDT PDHQVISEAEKAETAMSSGSETKHEE PEX2_079170 MFTKQTLLAFVGALSLAAAKTTTEKTPTQAEIDAARATVLPYSP VSNVKGLVFDRFVNIWLENTDFETAASDENLSKLAKEGILLTNYFAVTHPSEPNYCAS AGGDTFGMDSDNFLQIPANVSTIADLFDTKHIAWGEYQEDMPYAGYQGMRYPLSGPNQ YVRKHNPLVLYDSVTDDAVRPRQIKNFTTFYEDLEHHSLPQHMFITPNMTNDAHDTDI TVAGDWVARFLPPLLRNEHFNKDSLVLLTFDEGGNYSHPNRVFSFLVGGAIPKHLKGT TDDTFYTHYSIIASLSANWGLPSLGRWDCGANLLKIVAEKTGYVNWEVDTGNAYINQT YPGPLSTKNYSSKWAIPATKGKCSAGHGIAEVVKKTYHGLQPTYDYTSPVPYDATSGN NVGIKYHRTLKHGKTESGITG PEX2_079180 MISLLDLPVEVVLLIIDSLFSEFAATEPGNSDASIATQKDLNAF SQTNKWLYNQMEPRLYKFNAQHCGQTSLAFGAFRGYDTVVQKSLAVHSSKITRDLRFP THGCQIFHSPLCWAAQGGHVPMIDTLLQSGADLHEGCVHDRCDAQLLGQAARNGHLDM MKDLVRRGLRPEIMWETTFANLMNEDEPGRKATLRLAAEGGHIPCVDYTLTTGEYENL TCKQYMHKIALIVPATVANGHIECALFLLSRSGILERTGFCNCGGKPAKSLASDLPKL TMVLEDPHIMEKYGAMLLYLGATTGCPPLFEEMVRLGVHIDTRFRGGNTPLCLAVIEA RAPEEVKKLLELGAEINMGNSQNQTPLYLATLLRLKGVMKMLLDEGADTEARGTQSRI TQTPLYLAVAKFGVPIYTNRPRRAPRSPSNEVLSLLLDNGANPNYTDPDFGITPLWLA VRGHSTIGSFSRDDLVRLLLEHGADPKLALRNQSILFWAISSCHYDTIKMLLDCGANP NDYARNFDGSKIRLSGKPLSPLAKAMKLKHYEMAELLLDHGADPLVSYWKKETSLLNA TVSMNCSFIGKMIAMGLDVNESVMGQTPLHMAVWKGNVEVAELLLRRGADPNLTVGGT SCYSSKSILWWASYVSHRRGAAMVELLCAYGARDLYDTNVYTDGLAPSFVPPVSGYL PEX2_079190 MAPSALEREDKARDAAFNKAMHGKSAKAQGGLAAMLGKDTTAQK AAVDEYFKHWDNQSAADETPEIREARKAEYATLTRHYYNLATDFYEYGWGSSFHFCRF AYGEPFHQAIARHEHYLALQAGITEDMKVLDVGCGVGGPAREMVKFTGAHVTGLNNND YQIDRATHYAHKQGLSDKMAFVKGDFMQMSFPDNSFDAVYAIEATVHAPELVGVYSEI FRVLKPGGTFAVYEWLMTDEYNNDDAEHRRIRLGIEQGDGISNMVRISEGLEAMKNAG FELKHHEDLAARPDPIPWYYPLAGSFKHMGSAWDFFTIARMTWWGRGLAHRFVGAGES IGLFPKGSQKTADSLALAADCLVEGAQKNLFTPMYLMVGKKPE PEX2_079200 MPPQIKHDLNRSGWESTDFPSVCENCLPENPYVQMIKEDHGAEC KICTRPFTIFRWKADRTSRQKRSIICLTCARLKNCCQCCMLDLSFGLPLAVRDAALKM VAPGPESSINREYYAQNNEKEIEEGRGAIEEYEKTDDKARELLRRLANSEPYYRKPRR IEAPTEEEKGEESTATDQPRINSRYGNGPGPVRTSESRVGNRLPGRGGRGGGRGGRPF PSTTQLPPSAADILPPADPNVTSLFITGVEDDLPEHALRTFFSEFGQLRSLICSHRSH CAFINYVNRSDAETAANHCQGKAIIQGCPLRVRWGKPKPLDNLDREERMQNAREGRQT VGSVGKGKQADRRAITSAGEGAGQEKAQPHVVAPPPGSGEVQYASMNGD PEX2_079210 MAASTAAAAKSAYRQLLRSTRIVFHNDLPVLTAARQEARQNFEK NRRPAVDTGMQINHAIEVANILRHNIVQGSRQQGDETAKWDIVSPELNIHDQIERGDN DSIKVGDQDVKIHKACSS PEX2_079220 MAAAQVVDISLPTLPQGWAGEKDFKIVGALSGATKRNVEPVGPH FLAHARRSRHNRTFSEDERIQAQNNVKKTETEEDDDISEDEDPMMLAREAKDWKGQDH YAVLGLKKYRWRATPEQIKRAHRKKVLRHHPDKKAAQGNSDENDSFFKCIQKATDLLL DPTRRRQFDSVDENADVEAPTKKVTGSKFYKAWGPVFVAEGRFSNKQPVPTLGDENST QEHVETFYNFWYNVDSWRTFEYLDEDVPDDGESRDQKRHVEKKNANARRKRKTEDTVR LRELVDECLASDERIKKFRQQARAGKDAKRLAKEEEMRRLKEEKENAKAAEEQRKKDA EEAAKADREKNKKAKEAAKNASKKNKRILKGSVKDVNYFAAGEPTAADIDGVLTDVDL IMGKIDVDELAALAEKLTLAGTDGAAVKTAWSGEAKRLVDAGKLKAGEAKVFV PEX2_079230 MMFDPDTIDIPKSYETLPLTDVKVSHHPAGAVEATPVIVVTLDR PEKHNSFTLEMMHVFEKIYPMFDVDERVKVVVLTGAGKMFCAGADLERGFSGMEKERN KDHRDSGGRLALAIHRCRKPTIAAMQGSAVGLGMTMSLPAAIRIGCQKSKYGFVFPRR GLTMESSSSFFLPRLIGYSNALYLITTGGTFPPTSPHFGTLFQETYPDPNQVVARALE LAMEVAENVSPMASYLSRELMWRNPGSAETHLVDSAVLYHMFSGRDSKEGIKAFFEKR KPNFNATLEGDAPHEFPWWSDVDTGRRPKASKAKL PEX2_079240 MGNQIAENVLGTLGAVCWSIQLLPQIIINYRRHDTEGLQGSMML LWAVAGVPLGVYNIVEEFNIALRIQPQILTTLSLLTWAQCLYYGKPQKYPIMKCCVAV TSLLLLLGGIEAGLIFALRAAKRHGLEWPLIVMAVLSACLLAAGVLRHYWDIYVHRTV RGISFIFVGIDAAGDLFSLVSILFGSTIDVLGIIIYGTELTLWVGIFICGGPGVSASN AIVYFCVQDRVRIPSDGKEAMAELMRN PEX2_079250 MVSTLRLCLLAVTLFFNFSSAFPLISREVQWSFTLFPSPSCNGT IGDPHVGSGSTGCRADLHSVASAYTLNSVADGCRIELFDNTMCDQNELSDIASQANAT QTCHIAEARRRYGSYQVTCA PEX2_079260 MTVNVEVLDGDTSPPAAVSFFDPSLKEVRRRVFYQWARTLLILC VFAFGVLSLFWGVQYNTEAKLPALKVWIVDFDGKVDPYRSNETIVGPTVTDASNRIVQ SDGLHLGYTIKSPADFNYDPSAVRQAIYHEHAYAAVIIKANATTLLRDAVTNGNTSYD PTGAIEIVLISARDETTYYNYILPNLAILQGMVLAEFGPQWVRSLTSSARNLSSVPPQ VINPGIGFTTVDLRPFAPAVAAPAMTIGLIYLIIIAFFNFPFMMPIHAQFMKPDGHPP LKVPHWLIWRILSSIMAYFVLSLCYSLVSLAFKIPFSNDSASEVLSANNANAYGRGSF VVFWMLNWVGMAALGFPCENMAMVLGFPWSALFLIFWVITNVATGLYATDLAPKFYRW GYAWPLHRIVDALRTIMFDIHSRIGLNFAILFIWIAISLAFYPFAAFIMRWKMQRGM PEX2_079270 MATLNPHLHAGLATRERAAMDADFQFRHNPHERRRRALAELDEA PFTWAHLHTVIIAGLGLFTSAYETFAINLTVTMLGMAYWQGEDSSSGKIPFSVETAIK VATLAGAAIGQTLFGWLADRIGRRRMYGYGLMVIIFTTLAQVVSSSSRIPTMTGLFIF WRVIMGVGIGGNYPLSSVITSEFATTKWRGAMMGAVFAMQGLGQFSAAIVALAVTVGF KQPLESAKDISHCTGACQLAVDKMWRLTIGVGAIPACFALFYRLAIPETPRFSFDVTR NIVKADKDVRKYLRKRRESGTREPLYTPPVQIGIPDFGPKASWSDFYSHYSQWKNGKV LLGTTVSWFVLDIAFYGLYLNNSIILGAINWTSANNVYEVLYRNAVGNLILICAGAIP GCLVTIATIDNFGRKRIQLLGFLMLSVLFVVIGLANLGQNDSGLLALYLLTQFCFNFG PNATTFIVPGECFPTRYRATAHGISAAAGKIGAIIAQCVFVPLVYRGAKMPGDAPWLN HVMQIFSGFMFCGFATSFFIPETKRQSLEVVCGEMDPPPRTQYAYVRDGILLQEVGPV GVSAAPKP PEX2_079280 MARMVTSPCPGDHVPTTKPKDAVNQKKARHASPSTSQDMLNPIA SPGQSTDVATEDFEIASALKLIAVSVTQQRCLATKSLIIHPITLALVAVSFAYSIGAV YHDPSGWPYIVIICVTAVSATLGIVARLVGRYKDEAEKVGTLNWLYGHDPDVGATTTQ EDPAFNSDSGVTFVLVHRFGGRIVGTLVMSIAYRGIQPHPKANPRTLPTGENYDAFIR AWTVQQGFRGYGVGAALLNEAVMIFYEHKWKGLRFADSHANSLRVFPCILHYDMDQSS TMWSSYLRKRTEAHRQSRAVLEARIIDASLHARDNQCPMADTNMRLICTQVKLEELIR SYFNVRLEKAVEAQTRWEKIS PEX2_079290 MTSLLKWARTTIKRGRSPVLRFPTSGFEAIRSSQIFEEERFEDF KKGRYYPINIGDVLVFKYQVLGKLGFGTSSTVWLARDLDGHQYVTLKVYTAGGTGQGE VQTYKVLSQGDRSHPGYAHVRTALDAFTIPHKRSGHQGSEHHCLVQKPMWGSFRDLMY RDPAHRLSEDLLKSGLRQIFLALDYIHNTCQLVHTDIKSDNILQELEEVSILDKLTDA EIAHPSARKSVNNMPIYASRRFKLPQKFGRAILSDFGSAVRGDEKRNHDAQPAVYRSP EVMLRTGWSYPIDIWNVGTMVWDLFEGKHMFIGINPNGKGYSTHMHLAEVIGILGPPL DLLKRGERSHEFFTTDDMFDRTLEE PEX2_079300 MVAPLAGETAISAKRAELSGKNGFKGLLSNKKTTCIGLFASLGG LVYGYNQGMFAQVLTMPAFQAATQDYANETGIKQGMLTSILELGAWVGTLANGYLADA LGRRLTVLVAVVVFCVGVIVQACTENKDFVFGGRFVTGLGVGSLSMVVPLYNAELAPP EIRGSLVAVQQLAITFGIMVSFWIGYGTNYIGGTGATQSDAAWLVPVCIQILPAVVLA LGMMLFMPQSPRHLMNTGREEECLQTLARLRNAPPDDMLVRIEFLEIKSLYLFERETA AEKYPDWQDDSFSSRFKIGLHDYMSLITDKSLFKRTATACMVMVFQQWNGINAINYYA PFIFKEMHLGGNTISLLATGVVGIFEFVFTIPAVLWVDKIGRKKILIAGAIGMASCHF IVAGIIGAYQGSFEEHTAAGWVAIVFVWIFIINFAYSWGPIAWIVTSEVFPLSMRAKG VSIGGSSNWLNNFAVGTATSPFLQKSNFGAFIFFGCITTIAVFYVIFFVPETKGRTLE EMDELFGSVGLAAADKGRKQRIEREIGLLALVGVESADEKHTEAGMDESKVEVSKHED VIPDPKN PEX2_079310 MSTTTTSTTSSVSATSTACGGSVWQIPTTDAACAAVISGNMTDV MDNCCKNAKVSKYDNDCGIYCLAQGQTVDKLQSCLTSKSGNYHNVFCNAALNATATAT STGSKSTSSGTGTSTHSATSTSTNAAIANQPISKSGVGLIALLFGSALMAVIS PEX2_079320 MSSIAKTVIATGTSSGLGFEAIKQLLQQTQPYNFILGVRDTEKT RVAYDDLKFDTSKHSVSVLPLDLLNLKSVQSFAKQALSELGHRPLNYLFLVAGFLANA DGPGPHGSQWCESYVVNHLAQHYLTHQFAEVLSTSQSRIVIVSSGAIRNVRGGDPRTL DVDLRANSGANARVVYSASKFTQLLGAHYWRRNLPNCTVVAVSPGLIPNTKLAQHSSL GLTMDMPDAKTVPEGAQNILRAVTADDLPADPEQIFLTSWGEWWPKDVYASSLDTALQ DKWCLGKEEIEKSEPVFDE PEX2_079330 MIASLFNRGALSLAVLSLLASSAAADVFESLAAVPQGWRYSRTP SADQPLKLQIALAQGDAAGFEAAVMEMSTPDHPSYGNHFNTHEEMKRMLQPSAESADS IRNWLESAGISKIEQDADWMTFYTTVETANELLAANFQFYTNSAKNIERLRTLKYSVP EALVSHINMIQPTTRFGQLRAQRAILHTQVKENDEAFRSNAMSATPDCNSIITPQCLK DLYNIGDYKADPTNGNKVAFASYLEEYARYSDLALFEKNIATFAKGQNFSVIQYNGGL NDQHSSGSSGEANLDLQYIVGVSSPVPVTEFSTGGRGELVPDLDQPDPNDNNNEPYLE FLQNVLKLHKKDLPQVISTSYGEDEQSVPEKYARSVCNLYAQLGSRGVSVIFSSGDSG VGAACQTNDGRNATHFPPQFPAACPWVTSVGATTHTAPERAVYFSSGGFSDLWARPKW QEDAVSEYLENLGDRWSGLFNPNGRAFPDVTAQGENFAIYDKGSLSSVDGTSCSAPAF AGVIALLNDARIKAKKSPMGFLNPWLYSKGRTGLNDIVDGGSTGCDGNGRFSGPGNGG PSIPGASWNATKGWDPVSGLGSPNFAAMRKLANAY PEX2_079340 MDLESNSQPLKPPLTDQNVQDVQDAQDLAALGHSQALTRKFDIW SMLALAFCVLGTYSTFAQDLSSGLTNGGAITILWGLVLVTACNLCVALSLGELTSSMP TALGQAYWVYRLWDTPLGRFTSYMCAWINTFGWWTLTASQVAFMTEFLLGMKTMFNPE WDGANKGWLNFVVYIGVVFVLTLINVVSCRKEKILPWLNNFVGVWFFGLFIVLSLVLL ISVGTKSDLSFQPASFAFGAWKNETGWGDGVVWFTGLVQAAYGLTAFDSVIHMVEEIP APRKNAPRVIWMAVLFGAVTGFIFMVVCLFCIQNVDNVVNADLPFMELMIETVGVKGA AVLIAMFIFNGIGQGISILTTASRLTWGFARDGGVPFSNYFSHVDPVWQVPARALWGQ SIVIGIVGILYLFANTVLEAILSVSTIALTVSYAMPILALLITGREKLPPGPFKLGRI GPWLNWVSIFYCIITTIFFLFPGSPNPAPSDMNFAIAVFGVMLVIAVSFWFIQGNRTY LQTEDAIASMVYAHHLEMNQPGVDEPVPAVQAPVVVDEK PEX2_079350 MCIMACNRSNEIPNLSIDQGTYLSQHLSPQSRPADYFDRPDPLA ANWSYDNAIDLFSINPTDMEPVSFDFADSLTNLESKDLFSDPFASSGISGFSMPEDAA SLSSVRLSPSHQHLNTKLISLKEFESDDQTWPSAVARQSIDSNTFETQSTNQPTNYPS SQLPTQSKTRSSSTRWSSSPEMKEEEIATLQPSKPTASTSRKTRSFSRDSTRSSTGGQ DPQMRNAAKRAAHNIIEKRYRTNMNAKFVSLEQAISPSGVQKHSKVGAGSLKKSEILT NALTYIDGIQQENQALHKELALLKQNLLPGGIWRHAKNPRL PEX2_079360 MKFSLATITAFVGAISAANLPNAFTLVAEGGKTVLTDGQNAYIG ANTTDHEILILRGGSPNGLVSYTAKNGVPTAFQNLYIVEDAVSPLGLTLPHSGAVPEG GNITGFSVNDQGLFTHGGKAWFAIDGYGDNEVKEIYWYGAHSSTYRGEKLYVKELKNY SA PEX2_079370 MGVKIGVFPASGALGSSIVNHLAKLVPESDLILSARRPEKLHDL KQAGATVRRADYDDPSTLDTAFEGVDVLMLVSYASFEIDHRVKAHRLAIDAAIKRGVK YIFYSSLGFGGDLSDQTIAHVMGAHIETEKYLSSLQDKLSYTIVREGIYTESFPIYTA FFDPKNPVDEVAIPHSGSGPGVAWVKRDELGEATAKLISSYVNDPTSFEYLNKAVLFS GSREISLAESVEIIGRAIGKPLKIREISVDEYVKLPQIGDNHTYKGVNLSREWATAWE AIRRGEAAVVSPALHDILGREPESFETTIKALVR PEX2_079380 MSSNPGMTPDQIALIKATIPVLVEHGNTITTVFYRNMLEAHPEL NTVFNTANQVNGHQPRALAGALYAYASHIDDLGALSSAVELICNKHASLYIKPDDYKI VGKYLLEAMGEVLGAELTPEIHDAWGTAYWQLANIMIGREKQLYEHAEGWTDWRDFKI VNKVKESEEITSFYLTPVDEKPLPAFQPGQYISIQTYVPALKYPQARQYSLSDQPKPD YYRISVKRELGLSPAAPGAAAHPGYISNVLHDTFNIGDKLKVSHPYGDFFLTPVDSET GNPIVLISAGVGLTPLTSILNTLISKSPTTRKLHFIHGARSSGARAFKEHISELSKQF PSIRTTFFTSHPSEGEKEGVDYDHAGRVDLTKLKDQDLFLDDVKTDYYICGPGKFMTD VESGLKARGVSADRIKMELFGTGAIPH PEX2_079390 MRFKKRHSSDTSTLGGQLGTSTHGQLPMLRLPDQLRHNLLCLIG EFVGTFLFLFFSFAGTQVSNTPMPAPGSPPNTSNLMYSALCFGFALTVNVWAFFRVTG GLFNPAVTLALCLSGGMPPIRGLCVFPAQLVAGIAAAGVVSALFPGPLNCATRLGGGA SIAQGLFIEMFLTAQLVLVIIMLAVVKHKSTYLAPVGIGLAFFVAELVGDYYTGGSLN PARSLGPDVINRSFPGYHWIYWVGPLLGSLLASGFYHFLRLVRWERINPGQDYNEEEV ARKESLVGSENTITANAAPPLDPRRNIPFDENV PEX2_079400 MDSQPADPEAVAPVATDARADVGDMQLLARMGYKQELRRQYSTP QVFAIAFSIMGLVPSIASTLSFSLPTGPVGMADLASAMPTAGGLYWWTHYFAGPKWKS PLSFLIGYSNTLGLIGGMCSVDYTLALMILSCVSISRGDGWTASRGVIYAVYVGLIIF HGLCGSLGGRLMPKIQTFCIYINIALVVATVIALPIGKVTRGGSLNSGHYVYGHIDNK TTWPTGWAFMLAWLAPIWSIGSFDSCVHMSEEAMHASKAVPLGIIWSAGSAFVLGFLV LSVIAATMNPDVSATISTKFGQPMAQIYYDALGRDGALAFMAVLCIVQFLIGLSLIVA ASRQAWAFSRDGALPFSRFFRHVSKRIQYQPVRMICGLVVVSILLGLLCLINSAAANA LFSLFVASNYLSWGTPIFCRLVWGEERFTPGEFYSGSFSRPIAWVAVVYLLFGVILSM FPTEGPSPTSSNMNYTIVINGFVWFGCMAYYFLFARRWYIGPQMTVAESASTASDNIV VPSPSHSTAHYGDWEKSFESVIQTLSQGVGHSRPSQMPYGKAVFLGLRWSNDDLDLAK SQAALLETFRTQCGFDTDSLPIPSTSSEEALAAIDDTIFKLRQKYEMNSHINYPRHRC RLFVIHYLGHGVSETERKFEICLSGTRNPDAIRIQWSSIDIRMKADVLVLVDTSYSGS FLQSCHSLQRILQCNERTAEYLFSTGNEISDQNGPTYDVNNNFMTRLTQLLNTASLAP VTVVQLHEALCTQANDPSTKLRYTPQYMDCRKPSITLQHINNYARRIENPTIKTQIPT GRVHISISVLGNIDPGQVKHWQKSLSKMDADVSIEGVFALQGCSLCLLSMPISSWDSF LHPGADGLFVATLPERSKEQAYVDYVMLSDTEE PEX2_079410 MKFTLISSALFLATTAMASPDGIDVNSIVSDIEGIYSTAVSGGQ SIGSEIASKATSFAEAVSTGGAAAISSIESEASAAASEGRSIGSEITSRIATAVSAQT TLTDSAGSATATESTTITSAISTTSPSGTSGSSSTSDNAAFARPTAVGAFAAGMAGVL GLMVAL PEX2_079420 MWGVSSLAAVAAVVSTASGAIVPLHLVRVSSPHGISSISAPGES NDDYPFNWGLASYLAGLTDTLPTAIVQQDSSTTTSSAALNPLPTATESSSKAVDASMA TPSPKLASSSATLATSIRTSIPFPKAHTQSTRASAPSPSLISQNGHSHATKTCAAPSN KSPETYWLDEQDHNQQGAGFAPYAKTSLYPVYRNVMDYSVVNDGSGDQTPKLQNAIDD DGVGGSRKGQGVTRYPAQVYLPGGLYQLGSTLNLTVGTIIVGNPLNPPIIKAAPGFRG DYLIMGYDSYNGNPETSFATLVKNVIVDTTALKPDRQFTALQWGVAQGSGLTNVKIRM PKDSTGHTGIAINAGSTIAVTDVHIIGGAIGIKNSNQQVNFKNIYFESCRTAFSAAGG WTVLLQQATFDSCGTGIDMTSNGLGSLVLLDSTSTNTGPVIRFYDSSHDSGNRNSQFL IQNLKHDTSNAIAVDAQGKVVLDATPYIDTWVWGTVAPETYQTGASWKTKRPAALLVG DKYFTKAQPTYQNYSTADIVNVKTVSGHTVKGDGKTDDTEGLNAILAENANSCKVTYI PFGVYRVSDTIFVPVGTRIVGEAWSVISGYGDKFKDSDNPRAVVQLGNPGDVGVIEIQ DMRFSVGEILPGAKIIEINAAGDQPGDVGLWNTMAMVGGTADTSISGACTSQDPKDCM ATFMVMHLTKSSSAYIENFWGWTADHNLDSESLLTIVSTGRGILVESTKGTWLTGTGS EHHWLYNYSFHNAENIFAGLLQTETPYMQGQGEYQAAPAPWTAVAKYGDPDFSWCSAE DQKCRTALATNVDGGSNIALYNSAAWAFFDGYWNGLYNEPCNGKCQTNMMRVTNDPQN LVWYSISTRMTDVMVLDGKSNPRESDHKGGWEAIIQVYGQFTA PEX2_079430 MSEASLSSSNDESDPENTSSSEVWQDAPQTSPQDEESSPHIRFR SNASFMQGARHDPPAVGLREEWDHAHSLALPHRPTMPYSHESEDITDTSLQDREPQPG ETGGEPGVERIDQNKPLEDEKKGDEEKKDEKKEPEPPEQLSTREKWRAVTKNLRQKAS EFGDRLGRPQAEGDDLTSGKYHADWATGGAVALSDMTDDKHKSVEAKKQHQKGTSSEA HRLVRDLTQDHSQKRRKGRGKPYPHAGNEFQDEENETGADASLRYRSGGGGILSQLIK LQGGQQQGAGGQSRTSTDSSHSAESAPSGAPTPTGPTEKKGKQKPVKWYKKPQNTSTS TLIGGGADNFSGASTPVSSEILSQASKRRDKEGGGGAAAAGGGGGRNMRLEDEIRVTV HIAEIICRQRYIMQLCRALMLFGAPTHRLEEYMQMTAKVLEVDSQFLYLPGCMLMSFD DPSTRTTEVKLVRVAQGIDLARLSDTHLIYKNVIHDVIGIEEAIQELDSIIKKKPLYN KIIIVLVYGLATATVGPFAFSARPIDMPIIFLNGILVGLMQHVAAPRSVLYSNVFEVT STVVTSFLARAFGSIALEVVDGKPQYLFCFSAIAQSSIALILPGFLVLCSSLELQSHQ IIAGSIRMVYALLFSLFIGYGITVGTTIYGLIDNNAVSDTSCPAKGAFKSPYVQRFPF VAIMTVWLLIINQGKWKQFPPMSIIALSGYISNYFSTKKLGSNSQVANTVGAFVIGIM GNMYSRFWHGHAATAILPGIFILVPSGLAATGSLISGVQSADEIRQNVGSHGSASSAP GAGLQSGNSIFSLGFGMIQVAIGITIGLFISALVVYPYGKARSGLFSF PEX2_079440 MMTPTNSSDTLIPPPSPVHISQSTPKPTTVAMEMDLLPPAESPP PVVPKLLREASDITWQESLESKKGQVLLVRRGGRFRLLHQQQFKNSLLASVGYLELAN AADFAANVWNQIPVPKFAAVLMGIGGTLALGMVLVAIHDLRLSWTNVKLLRAERDHLQ RLRQYHGKNVELSRLIDSRLGVGLREIGTEVIDRIAMDVLMGLGSLLVGVGTLMAIGG ANPHVFKASNLLSGYIGNGLAAVFGLVNAIWSGYLFRRFHVHDTAVLAHEPSDDIRCR LHTRFRRFQWHAGINGLNGLVAGAASMVTAERWWGYVVLIPCIISLILCNYFWRKKLG YDRPLLGTTSPAEIQVTELIEDLQYVIAMQHALADLEHSLPQAIVQPNSVDSILRFIV RENMFETYCESLARNKTTCHLLAAFPSSNTSPDQITISLDALAHLPPRHLDLILEHAK QFLRTTGVRIFTYRERHLLELVGHAVYQDHKKATTATQDSAIEHT PEX2_079450 MSWLAGYGNRDEDDHDNLGVDWVLQYDFGDIDQAQAITEFQTLI HDLGEAGLRVQVRHGHGEALLVCIRVPRDHLGNLVHQSRIKDWLFGITHTLPDGDETA IADADTPSEEIRSVYHAVTWQKKLGGAGITPGFGKWKNITASFPLHDQEACAEMLRQW NRKTVLTTSDLDAIRALFGEKVAFYYAFIHCYSLFLVVPAGLGIFGWLYLGPYSIIYG IVLCAWCIVFVEYWKVREADLSQRWGVKGVGQLKVNRKQYVWEKEVTDPISGQVNYVF PGWKQFTRQLLLVPFASVASVALGALIVASFASEVFISEVYDGPFKEYLESVPTVLFS LSLPAITSFLTSVATRLTDYENYRTQDQYDLAQTQKNFVMNFITSFLPTILTAYVYVP FGKQIVPHLDILRRTGIRADLVSGQKEFEVDTSRFQQEVIYLSMTAQVLSFGEEVVLP YVKHVLKQKWQNYRDRKAEYSHRRKHSIATGQFLVDSPDEVAFMTRLRSEAGAEEYHV EEDIMEMCVQFGYLALFGVAWPLVPLGFLLNNWLELRGDFFKLTLECQRPPPIRSDSI GPCLLGLDLLAWLGTLSTAAIVHVYRGPISEVRLSSLLLTLFVAEQVYLGMRFTASTA LEKIFSDTIRREEAGRYAVRKDYLAASLARNSSSSSGSQGSPNGRLRHRVRFNERVNV YGSNEEGPSTDGTPSPTKEEPGNDVLRGSDREAQFWGAYSGDMADAGVKLIRALSTPK AGDGDKSSKEA PEX2_079460 MQTKQEEKLEIHQPLPDRFSFFSSETRQATAASTWDGLFPSGNI DHIFQEKHKSRPDPNQPIWWIDIRDATEQDVDAVSQALSIHPLTAEDIAIRERREKVD VYKNYYLISFQTLVGNKVRTERPGIPISTALYILVFQYGVVTFSPSGCGHVARARERI RKMHDPTILTSDWVCYAMIDDIIDSFEPFVRDAERESEAIEDQVFISRIDDAQALIPQ IDVLRKKITHIIRCLNGKVDVLNGFVKRCQSPDKHPVFPDGDLLLYLGDVQDHLVTTL SALGHIDEIIGRSQANYLAQMSATNLRLSLTINSGLSKVTLLATIFVPCHLVTGLWGM NVPVPGGGTHGLGWFFGILGSFAAFMVVCIAAATKYKLL PEX2_079470 MHRIHSWAKAHASSSGLPDQTPSQQAADPVNTEKPPQHGQVNVP VEDSTPGSATESNSKPGLLIRMRNGSGRFYHHTADAICHSWVNVLLIFVPVGIACEAA GLNPAIIFAMNAVAIIPLAGLLSHATESVASRLGDTIGALINVTFGNAVELIIFIIAL VKNEIRIVQASLLGSILANLLLIMGMAFLAGGLRFREQIYNSTVTQMSACLLSLSVVS LLLPTAFHASWSDTTNADRETLKVSRGTSVVLLLVYILYIIFQLRTHSYLYASIPQAI IDEESKPGVLAEFMNSSSDSSSSSSDESDDTTTSWTTAKRIKRAMKYRRHRKLSTSSK GTTSRNSFQKRGMASTSNENQASISNSIGSNEHAIELSDEIRYDADDDVQSSSAVRSR DFGLALSRMDSKASKKSKKRDHKRRKAQKEEKKAQIVAPEDSGPSSDPRPILNGSPSA SNVAGCEGAGDDPRKRRSPFGPIPSLLSNTVFSSQSPAGSPLIGTAASRPGISRSNSL PAHISRPPLAGNAVQFARGASRLTDQHDIVGPETASPAPEPAMSRTAAVVMLLLSTAL VAVCAEFLVDAIPDMIASSSVSEAFIGLIILPIVGNAAEHVTAVSVATKNKMDLSIGV SVGSSIQIAIFVTPLVVILGWCMDKDMSLYFTLFETICLFVTTFVVNFLVLDGRSNYL EGVLLIAAYIIIALSAFFYPPSKELSAIAGSGA PEX2_079480 MSYAPPYGDPYARPPPDRPPYDPYGRPPADPYARPPQDGYDRPP YDAGRPAYDRAPYSAPPPLARPPPGPPPPLPQGWVQEWEPNARRAFYIEQATGRSQWE TPYQQPAYSGYNDGSRSVPPPAPQGGYYAPPQGPPPVPYNNPSPSYYQQPEPEKKSSN AGKYLAAGAAGLAVGGLGGALLAHELGEDSDRSDREEAYEQGRRDEEEAHESDHSDGG W PEX2_079490 MSQVYTTDHSTSVLRTHSWRTVSNSAPYLLPHLKPDMRILDVGC GPGSITVSLAKHVPSGHVTGVEYVPDPLEGARALAQAEGVSNITFQEGNIHALPFQDN TFDVVHAHQVLQHIADPIHALKEMRRVAKDGGIVACRESAELSWYPESVGIAKWRNVT EHMQLAKGGNPHPGRMIHVWAREAGFDSGHVKRSAGAWCFGSNNERAYWGGSMEERAR SSGFAKNAVEEGFAEPKDLELIARGWREFVEDDDAWFGLLHGEILGWK PEX2_079500 MEEVPAKPGPSTPASSRKPRNSRRKACQKCVEAKSACDLGRPKC KRCRDRGMSCEYPAHVTPKGGPNAINQYAVVDASPFSTIADTTPLDSTLPQSLVESIG NASHTSSYSTIADSGPVHPDSTVALNFREIDLVPMIDAEEIRDRWLRPYISSSTGQEP KQLNAHTIEYLTCVLKSYLRNLLNSVAPPFIHSLQQTGTCPPTLAYCFTLVRTWLTRI PGCEPLVLEAMRGQMRDIENQDVSRSDFDSLCSFQAYLIYSMTIYFFPRTDTVEVPNP FDTQAQIQMQEIAFRSAKTGLTCRAEESQTRPSWESWIVASAKRRTLFTMYLFTNVYN TQVGLPNFIAEELRGTMAPESKILWEATDRTFWEREYNRHLSRWEDGMLEISELWKSA ETGTDARREKIERWLQSADEFGMMLFSVCAHIHGC PEX2_079510 MSSTIKPIQLYGSILGPNPQKVAIVLTLLELPFEVVSVPFTKIK EPEYEAINPNGRLPSIHDPNTDLTIWESGAIIEYLVERYDTKEPRKLSFTPQSAEAEL ARSFLHLQISGQGPYYGQAYWFKKLHGEKIPSAVKRYVDEAKRVTGVLDKWLAKQKEA NGSDIGDGPWLVGNKLSYADVAFIPWQLTAHTVYADEGFDVDEFPNEKDWFERMVSKK HIKAVLDSANEQRANLSKE PEX2_079520 MQYTKILAVATLFISSAFAAPLDVEARACAATCGTVCYTSSAIS SAQAAGYNLYSTNDDVSNYPHEYHNYEGFDFPVSGTYYEFPILKSGKVYTGSSPGADR VIFNDDDELAGVITHTGASGNNFVACT PEX2_079530 MAGKTDISTVTYRGPVQDNATQSLRSDEETATDPMSAPLKRQLK SRHLQMIAIGGIIGPGLLVSSGNALHEGGPAGALISFSLVGIIVFFVMQSLGEMATLL PVTGSFTEYAERFIDDSLAFALGWAYWYLWVTVLANEYNAISLVIGYWTDAVPQWGWI LIFWVMFLTLSNLGILAYGEMEFWLSLIKVLALIVFFILAIIISAGGIGPRAIGFKYW HSPGAFADSINGVAKTFVVAGTLYAGTEMVGITAGESANPEKAVPKAIRQVFWRILIF YVGTIFFIGMLIPWNDKRLLGTTSKTASSPLTISLEDAGILPAAHLINALIVISVISA GNSSLYVASRTLLFMSRNGKAPKFIGRTNRLGVPWVGLVFTNVFACIVFLEQSSSAGR VYSALITLSGVATFIVWSVIGVAHIRFRKALVAQGQDPSKLPFQALFYPWGTYLSLAA NVFLIFFQGYTCFLNPFSSTDFVINYILLPVFVLFVIVYKFWNKTRVVRLEDIDIWTG RREHVDSEEPESPKKAATWWSRIYSIVIG PEX2_079540 MGSITDQKRNLVIVSNRLPLSVKRVDGAYESSLSSGGLVTSLSG LTKSTKFQWFGWPGIEVKDPKDREDVQKSLAAHNATPIFLDSGLAHEHYNGFSNRILW PILHYQSGVVFDETPWQAYRRVNELFADAIAETADSGTLIWVHDYHLMLLPELLRDRL KQKGKSCAIGFSLHTPFPAGDFWRNLPVRKHLIEGMLSSDLIGFHTDEYKQNFIDTCA SLLNARTEIPNQIQYKNRLVCINKFIVGIDPDKFTDTLQKPDVQERIRSLKERYKGVK VIVGVDRLDHIKGLTQKLKGFDAFLDDHPELQNKVVLIQVAVPSREDVKEYQDLETEL CTIAGKINGKHATPEGTPLLYMHRSVPFNELTALYSVADVCLLTSTRDGMNLVAFEYV ACQQERHGVLVLSEFAGAASFMTNGSIPFHPANKTEMSEAIFNALNLDPAERKARHEY LRGFVNTNTRFEGLSCFLIVSC PEX2_079550 MHQKSAIDSTACPEGGLIGNLVVAGSFSAIMGGLGLMNSIGIYQ AWISTHQLSNINEGQIGWIFGIYNFLVFFCGIQIGPIFDIKGPRLLMWIGSSLLVLTF ILMGFCQEYWHFLIVIGILGGMGTSFIFIVPVASIGHFFIKRRGAATGLALAGGSIGG VIFPLVLLYLAPQIGFAWASRVVGLITLILLIPGCLLIRANFPPKAPSRPSLKVFLPD LTILKDPVLALTTMGVFFIEWGFFIPLEYIASYSIASGISPNLSYLMVVFLNAGSFPG RWLPGIIADRIGRFNTLILTNILCLISVLGIWMPADGNLVATVIFSIVFGFASGSNIS LVPVCVGELCPVENYGRYYTTVYTIVAFGALTGVPIAGEIINICEGQYWGLIAFAGCS YAAGLSCFIAVKLLQKKRGESLEKVEAPGAAL PEX2_079560 MLLRRPVFSHISAFLPQRYLRISPTSVRRITSKAQDQQTRSTLD VYKNIDAFLSDTSKKRALPWGFSIYRCSYKDESAWTRLLQHLRENIESDLQCNQRMDL LSRHQLVINDDIEKFNGATSHDIRDHFNAWVTGQLPQIVASPKELENLQSDDHNGLGH QYFLGPRYNFCLFVDDFCLESLELFEKALCGPIVKILSKPWGNLTPQERNYKIHPEWH DGETDEEYEMVGWMYMPIHSYVQWFDTLEVPSNWEAYYIRPPMMIDECSIVNVEEERL SSLRWKS PEX2_079570 MDKRTGESPMDFEWQTRAPGDVTSPFYQLGAQHDKKRSYPALHE DNCNLKLADNRTGTYSAFESPQKQALPSLREPNSQPFLFSQPRQQPAPPSPKAKFGQP AFQTPRKFDVDFSSGAENMSSPEYADNEDTPEPQYKSGKGTASLFNFHGRNPQSPGRG EIPRLTHHSNAALHRIQKKRRRDKELGRQIRVDSDDDSEQDRPSSREEKSMKATKQGK GQNSEQGRSRVSSWSEFFSMLEAHPNVPAILSWWAQLVVNLSLFSLAVYVVFGFVSAI RNEFEQAAQEMSDTILADMAVCTKSYIDNDCGRSSRAPALETICENWERCMNRDPAKV GRAKVSAHTMAIIINSFIDPISWKAILFFLATISTVTVVSNWSFRSFRHRLQQQHYAQ HPPPPQSMHPQLQHNPSFGYYAQQDPRQTQGVAYNEKQDQPLMLENTRAMEFVTERSR EREQHLRTPSPTKRRFT PEX2_079580 MPGSLADYLAKNYLTADPVTERPKKKRKKTRAIDTAGSGLIIAD DDPPDIRSLGNTGEDDEDRPFFDTSAKTAEFRRAKKSSWKTIGGHTPGQGGSEQEAAD AILADAAAERAVQQDPDDEDALMIENEDDGAGRMESGARGGLQTAAQTVAMVKAQEKR RKAEEAQYRDPSAVNQKSQETIYRDASGRIINVAMKRAEARRAEEEKREKEEQAREAL MGDVQRQQREERRRDLQDIKAMPLARTIEDEEMNEDMRARDRWNDPAAEFLTARRDAG ASVTGRPLYRGSFQPNRYGIRPGHRWDGVDRGNGFEKDWFTSRNKKTRFEALEYQWQM DE PEX2_079590 MPRSEEAEWWANAVYEAIREVPRGKVTSYGHIARLLGEPQRPRQ VGVCLKVLASPESGSHFNSNTVPWQRVINSKGMISHRSGPGSAERQAEALAQEGVEVT TDSMGEMYVDFSRYGWFPSELPSEGTDEQP PEX2_079600 MEGVDLTKAMLNKGKQMANVAASAANGSGGKKRRKGTDLKPILT NEANPAPGATESTGAAQSNPKASASRSSSSSSGEELEATAEEEDSEDYCKGGYHPVAV GEAYNNGRYIVVRKLGWGHFSTVWLSRDTTTNKHVALKVVRSAAHYTETAIDEIKLLN KIVQAKPSHPGRKHVVSLLDSFEHKGPNGIHVCMVFEVLGENLLGLIKRWNHRGIPMP LVKQIAKQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKAHVKEEANKEEKEK EDNRNGRRRRRTLITGSQPLPSPLNTSFNSFDFKHSSSNSHSSLSQMVNEPGEIPSMR ELLGVKEEDVKQNQREKTADLLEREVSGISLDKGSSSKSSEEELDVNIISVKIADLGN ACWVGHHFTNDIQTRQYRSPEVILGSKWGASTDVWSMACMVFELITGDYLFDPQSGTK YGKDDDHIAQIIELLGPFPKSLCMSGKWSQEIFNRKGELRNIHRLRHWALPDVLREKY HYSMEESMRISELLLPMLDLSPEKRANAGGMAAHEWIKETPGMDAVDLGITPGTRGEG IEGWATEVKKR PEX2_079610 MTSPFNRRPIPDYFIASPLVALLYPVHQILLRLRGPPRLPPPGT QPIRVVCISDTHTLEWPDVPDGDLLIHAGDLCNDGSARDIQAAVDWLRSLPHPYKVAI GGNHDSYFDVRSRLDEDRIDPASTGDSFAAVSSSTASIHSLHELNSASRIDWGDIHYL QHSAVTLSFTDTSTPSPTTPLTSSRSRSLTIYGAPQVPAIVPFGPEHAFTYPQYHDAW SGTVPPETDILVTHTPPQAHLDLSPIYSIGCPNLLAESWRVRPALHVFGHVHESAGQE PIFWDEAQRAWERLCASRRSRARFSRLASLAGFLRDLFDLSAWVDAARVVGYGILGVV WAQVWGGENLHGGWMVNAACMYRDSGKLGNPPRVFEL PEX2_079620 MSAGKLTGADVAEHNSKDSCWVIVHGKAYDVTEFLPEHPGGQKI ILKYAGKDATEEFEPIHPPDTLDKFLDQSKHLGVVDMATVEQEEKAFDPEEADRQERI SRMPSLEACYNLMDFEAVARQVMKKTAWAYYSSGADDEITMRENHAAFHKIWFRPRIL VDVEHIDMSTTMLGTKCSIPFYVTATALGKLGHPEGEVVLTKAAHRHNVVQMIPTLAS CSFDEILDAKQGDQVQWLQLYVNKDREITRKIVEHAEKRGCKGLFITVDAPQLGRREK DMRSKFSDPGSNVQSGGDNIDRSQGAARAISSFIDPSLSWKDIPWFKSITSMPIVLKG VQCVEDVLRAVEAGCDGVVLSNHGGRQLETARSGIEVLAEVMPALRERGWEKRIEVFV DGGVRRATDILKALCLGATGIGIGRPFLFAMSAYGLDGVDRAMQLLKDEMEMNMRLIG ATSVADLNPSLLDTRGLLGGHSGVVPSDTLGLRAYDPLEAPRFSEKPKL PEX2_079630 MAPKYAGMSGKPLGLTVSTIATMGFLLFGYDQGVMSGIIDSDAF GRFMPITHGDSTMQALVTAVYELGCLAGAMYALFAGDKLGRRLMIMHGAFVMIIGVVI QICAIKGHGATAQFFVGRIITGIGNGMNTSTIPTYQAECSRSSNRGLLICIEGGIIAI GTMIAYWIDFGASYGPDDLVWRFPIGFQIFFGLIIIIGMYYMPDSPRYLISKGKVHEG EYVLAALGGYEIDSHETQIQKQLVIESIEAAGVAAGAGYSDLLTGGKTQHLRRMLIGS SSQIAQQLSGCNAVIYYLPVLLKKSLGQDQFMSMLIGGINMIVYAIFATFSWFFIEKI GRRKLFIGGMTGQMVSMIIVFACLIPDQTGPSKGAVFGLFLYMSFFGASILPLPWLYP AEISPLRTRAKANAVSTCSNWLFNFTVVMITPVMIDSISWGTYLFFAVMNFVFIPPMI LFYPETAGRSLEEIDLIFAKGFCENMSYVKAAKELPKLTDEEIEAKAAEYGFESSRRQ GDMENAGEKGSPRSTSSDGKEVHEETENSKDLS PEX2_079640 MPQIPEKVILQGHDSIDDAEGQMEQIPMQQLRRDFKSRQVNMLA IVGALGTGLIIGTGTGLSRGGPGSLLIAYTVTGAVIYFVMTAIFATGWNYFLKYAIVL ANNLTAAGLVIQCWRPDLNVAIWVTVFAVVVISINVLHVGSFGEAEFMLSSIKIIALI VAMLTCLIVSLGRSPNHQRVGFRYWSEPGAFTEYLETGPVGKFLGFFACLVQSCFAYT GTEVVGVAFAETPNPRRNIPRAIRQTLWRISVFYILGVFLLGMAVPYNNDLLIGSTKA STGASASPYVIAMKLGNIGVLPDIMNAAILVFVVSASNTDIYVGARTLYSLAKEGHAP KIFTRTTKRGVPIYGVAATSMFSLLAYMNAAKSASTVFGYFVSLVTVFGTLNWVNILV SYLGFRRGMKKQGESREQLPYKGPLQPYGYNAFIPHFKLANFLTSYIGVAVYAINIVS WKIFARTRHVKAEEMDLITGRLEYQQIEEAERDNQTTNEKNEEKLA PEX2_079650 MSPITMYQSTSTFTDSSFSPSAKNDISKYRTLVPAVTREDVHYL NASFQPVMNLRVRAAIDNFLDQAVGTPDPKSGWQSIAQEAQGSLASYLNVPKDSLTFT RDTTEGLNLFQRSIPFQPGSNVVLLEGEHPNHVYGWLGLIEQGLEVRRIDTKDETYAD ANTFVPFVDENTIAIGLSSIMFHNGQMNNVQDICARFRPQGVHVLVDMTQHVGVSPIN LTEWDVSAAAFGCHKGLGCPSGLGALYINPSVLSSLKKTPPITGAGSISNIPSNLIAD SNVQYHSSTQRYSHLNISLIGAVSLNESLGLLCDEIGMKIIEAHLRALGRELALALTP LGVRIVGSKSADERAPHLYVLALLHPDWATHFRAEGIYYPTIAVEQGYPLDSITMWPM PEX2_079660 MIFQNTFSGASGLHRKDNCNIILSAFIYSIIVFVSPSTVTLLTA LQMQLKNHHGGNQHGMRTSPLIMVYALVTASIVFTKVFGICHRIFFLHKRDILINYYS LVKHIAHPAAFIPPQSSRGMFECVQDCSGSSFKSEGCCC PEX2_079670 MSSSLEAKIVVLGAQGVGKTALVERYCKNTFNPAAASTIGASFV TKRVLDSTSDTIVRLQIWDTAGQERFRSMSRLYYRGAQAVLLCYDITDQNSFQEMAGW LRELRKNITPNDDGTDSLIIHVVGTKSDIVADDPSRRRVPFERTIAYVAEQLYPTQAS TPPPTATAGMGGLGFGTSVFGGSGGSTSNASASAAALQSPDSKRSSAFWGQEIGWDCC HEISARDGEGIDEVFRVITRKLVEQRNRRDTELAMSIAGTPMANGVVGPFNPGVVEGT GSFRLGHGSNRRSWMGLTAPGVNIEGPEEERVMRSSRNKGRCC PEX2_079680 MSGVEVIAVVACVAAIVSAYSDGASMFTAIRKKYHERQSTRELE WSLARGRTDIQYQFNQHSRELGRRYEQGDSIAREHMKDIIITLQGALLRHLREAQEQG TTPDLMALQIESDQGRVRTLVILGDLYQRLSRPSPVPPSFSMPIDPNYRMMNSYGRGY PPNGPDRYWSPHTLDMGYPPGGYPVSPASYSPGQILPDVCISPTDTLGISRDYGASSP PSHRPSSGFGSVVSSMSDFFHPRPGHGRTPSFPSSTPEPAHAPQNRVYNPVSPSTSEP IPEFDVRPATPPIRKPRSSSIPHDVLVGNPWKHESHIDSDDEDAISYAPINEENHHLS PTIPPPTQPRHDSLSATSTASTDSTPSNPSTRSSTDRPTQAIRPLWPPSKTNNYLGFC KGAWKVYSGFRGFKIYSEPGTGYYTQQLWLRCTKCAFEAPMAPKSSSHNPQFEDSVRT HKASGIRYRFEFLAKSHVPCKRDSASRFNSNAPRGTFCCVFCCATAQGSTQVYGNLDI FMAHLAEQHRAVERGTLSVLPSMRCVVGRVAPETEYFDVNILPARG PEX2_079690 MRLSIRVSAAAALIILTLVLVNRSLKSKGESLQDLWHFDTGSFR TSLRPKPQSDTGSQRKWRVASDNKPALVYQTTEIVVPNQGAIVMAKRKEDDTAWVGAE LAEWRSIIYTVDDTNAPTHTPKNKGREALPYLQYLIDHYNDLPDIVVFLHSHRDGVIA GWHIDTMDYSNVDSVRALQKEFVQQAGFVNLRCQLSPGCPTAIQPFRQPPNPESPGEP HYAAAWKELFPGEPVPREVAGPCCSQFAVSREQILQRPHSDYQRMYDWVMNNDLPDEA TSNIMEYSWHIIFGKDPVFCPDLFQCYADVYGEEVIFNY PEX2_079700 MAKRTLDAFFRPSITTPKRPKIEPNESNTAIPTAEPNNIPIEDT RPPSQHPSYPFPIAQLPSHIEVGLEHATPAAAPRELNNQPHLDLLHFQPYIPRPTANE LFKFLRRELPFYRVQYTARRGDIETQINTPRWTTVFGVDETSTFIQEQDNNPDSLVLL ETNTKPPTPKTKYQCTPRPIPACLDLLRRQVEAANATTDNKHPGYNFCLVNYYASGDD SIAFHSDDERFLGPDPNIASLSLGGERDFLMKHKPFVPGGIVNRTAGGCMPDAAHAIS GLGGTSATRGSCTVSGGTSNSNTVSSLGSRPAATVPLQQIKMSLGSGDMVVMRGATQS NWLHSIPKRKGRAGEATRGRINITFRRAIVPGGTNNYYHYNVGSGGMYRWDEIAREMV LRDKKV PEX2_079710 MKEAIIDKTVSVIIRDVDIPTPEPGQVLIRVVVSGTNPKDWKRP KWRPDNAINQGDDIAGYVEAVGEGVRKFRQGDKVAAFHEMGSPHGSYGEYAIAWEYTT FHLTEKTSFEEAATIPLAAMTAALGLYQALKLPLPWSPADKPTPLVVYGGASAVGAFA IKFAQLSNIHPIIVVAGKGAPFVETLISREKGDTIIDYREGDEAVYSGIKAVGKETPI HYAYDAVSEKGSYVTLGAALDAPGKITVILPAEADKVKEQISIHRTMVGSVHTPPAEG QALGNKEFAAAFYQFIGRGLAQGWFSGHPYEVRKGGLGGLEGALKDLEAGKASAVKYL IKIAETEGVQQ PEX2_079720 MGEVAPASSKKQIILNAFVMNTPGHLAPGLWKHPRNKTDQYKSL SFWTDLAQLLDKAGFHAMFIADTLGAYDVYKGPGNVVPALASGAQFPVNDPLYLVPAM SAVTKNLIFGVTASVTYEKPYALARRLSTVDHLSEGRVAWNIVTSYLDSAARNHGLDE QIPHDERYARADEYLEVLYKLWEGSFRDDAVLADRQLGTYIASDGVREINHKGKYFSV PGPHFCEPSPQRTPFLFQAGVSEAGGQFGGKHGEAIFIGGQTPEGTRLTVDNVRNVAK QQGRDPNHIKVIVGINVIIAATDEEAKAKREEYLKYADDEGALALFGGWTGIDLSSYA DDEDFRFSNSPRVQSIVRRWSATVPGTDSLPWTKRRIVEYISVGGLQAKIVGSPTTVA DELEKWVEISDVDGFNLAHIVNPGTFEDIIEFLLPELRQRGLFREEIEKEGATAREVF IGSQRLPEDHPGSKYKWRAGETIPQYQTKEN PEX2_079730 MSNTVDTSKLALIPQGAAYGLLIGLSVVFCGVILIAVKVQKAYL AEDSGKSEMFMVANRSVGRGLCASAVFSSWMWINETVLCAAMTYRYGLAVPLWWGSGL CFQIALMAALGVMAKIRVPYAHTSLEVVRMRYGKIGHLVFIVLNLVNNVFGCASMIMT GSQLIHGVSGMHYVAATILVPLGVVLYTAVGGLKATFLTDFLHTAIALILIIYFTISV LTNSAVGGLGGLYDKVIATAADNYIPNNYQGSLLTFKSKDAIIWGLILKFGNLALVVM DTAFWQKSFATEVKATVPGYNIAALAVFGIPWGLGTVIGLATRAIHDTPIFPTYPGLL TATEVSSGMVMPYTIKALIGDKGIIGFFFLLFMALTSTVSSSMIAVSSILSYDIYKTY LNPKATDKQIVGVSHLTVVIHGVFITGISIALNYGGANMTWIGYLRPIISCPGIIPMI LTLFWSRQTRIAAIISPVLGFFTGLAVWLATTKYMYHHIDITTTSNPYPALYAAIASF FTPALYSVILSLYKPYQFDWRVFLRIELADQAEIQSPSDTSTLNESSEEDHTSDPKHV AGSVKPVADSQPESINNDPEQIGEISEKNPTKKASSSSSAEISLDDIQHPFDDETLKE LYRWLKIAWYMFGAIVLITFVAWPLPLYRDYIFTKSFFAGWVVVAIIWQFAAFGAVVI FPLYDGRQDIAIGARGIWKSSKEYLGRSKKD PEX2_079740 MPVESQNIYDNPRVLHSVRKSPSISTRSAGRTRMAYSGRYDPQP QVLSHKTIRNPSIRISGPGPRVWLWLVRLLSP PEX2_079750 MRKTANPLPPIIFRLVGIFALVGVILSIVGATQNFNISHTVVTT ETKVGLICYLIAWIGVCGLLLLVLQRNQSIEDGEHRLLLAVGVSLPLVLVRLIYSFIY SFGRKAEFNMLSGNITIQLVMSVLEEMVVVFVCLGIGLTLQVRPSAEYTQQRSVHSGE EDLVEMESGRPQGQTREMRRAQRPKRRGGPITRLVMAIVDEVNDRKQ PEX2_079760 MVSSHQTPQDPGPVNIPYLSANSSLRKEFPSLITETDHVMVSPA SLELDSDAVLAIVVTGYLVFLPLQCWSRILTHDRARNLLFYLWHALMLAGSICALVYA AKLPKTPSQYMFCFPDLAPFSDTSNDGWQASWRTSTWNNSVWDTFSNISRWNQIGDIC FNPCFNSTQILRKSSSLHSATADGDFKVATSRSFWKKVLYSHRYIYSLIILCLILNCL LLTYRFLPYRSRIPSAQIMVIWKQRKTIWKDFKDEVCGAMKPPSNLANDEEDPGSTNE RISVCHRMRHIFTCRFLKSFFHVLVDAAILFGILFSMIVSPFTIVAFVVWIEIQIYND GPSEETPSQVGQWAYLTSLALLLISAAILKLKYRLASSVELEREITALKRHLGDLEKM KETRSSSGSIELSTVTGQRKPN PEX2_079770 MILTETLSTQEFNEDGVNGIDADKKTSHIPLWQSIKKFPRIVGY CLALSSAILLYGYDLVIVGTVAAMPQFQLVFGQEFNGKYIIPSMWLSLWNVSSCIGVM FGSIVGGYYQDRRGRRITLAIGSFLSTIAVAICYISDLPDGMETRRGVFFAGKLFHGI SIGILLCVTQTYMSEVLPVVLRGPIIAFYPIFTLLGQLVGSIMVYTSLKHTGAQSYRI CFASQWPFSAVPFVLATFLPESPTWLLRKGRTDKALAAQKKLDTSRVNSQAVIDELQA SISAEDEEGETHRYADCFRGVSMRRTLVVAFANVIPQMFGLQLLANASYFMQIVGMSS SNSLIFLILGIGLGLIANVISLWALNAFGRRSLILLTLGIVMVLWIAIGIAGIFKGTV TVWYTAVSMMVIIMVSGFGSWPASHVVAAEASSLQLRAKSQGIGWFTSGVGTAVFAII LPYIYNADQGNLRAKTGFVMAGFAAVAVAVVWLAIPEMKGRTPMEIDRMFSLRLRTRD FKDGTKRDFRFLSRHIIPVISPKTMSDPDAPPGRNKPTKRGTRNSLSCAQCRYKHLRC DGRKPVCSRCATNTVQCVYPPSRRRGNPKSGKPPLSPVRDVYENSIDSSLQTSTVGSS SSAPTDGQIESSSNSGSSFLSLYYEFFHAAHPCALPFQNLKTRLNEPKVQPLLQVMCY IGSIFDISCPSQLLESCAQRAQNSVVQIRSSTRPLTPFDIQAILLYSIAVYWCNETES GVELLDEAIRMAVALGMSKKDFAQNYGEADSVLEESWRRTWWVIYITDVHIAGSTHTY PFRTSGIEITTDLPCEEEQYERGAIPSPRSLEDYENREFLGEDETDFSSYAELVGLTR GIDRALSPGNTVDGRIYTTMAASADTSVRAWCSLLSPQKSQLIRPDGSFDEVMFKAFF IMHTFTVEIHRPLSALTHSAIESVSRCAPLAPSEQLKCNNAKERDLHTVKCTQAINSI DELLTLPTNMKTHSPFIICMIANVTIAHLSACRFIFSGDRRAKSREKIRLTMGTLKRL SEHWTLGKRTYREIGIIARELLSIAKDPPAGLAAIDLSLPDPTSPAFPELGMLPDANF DFCALFDADAPSLTETGFFVSQ PEX2_079780 MQEPTYDPSRPMPSLEIAYSYKLIGIPNKTIVSLRVEFPPNGST PPHRHGGANVGAYVLKGTLLNKMNDAPMKKIEEGGSWFEAPGCHHRISDNASKTEPAT LIATMITDTEAFERDGMGALIQIDEEYRK PEX2_079790 MRAYLEKPRTTVGWKGLINDPDIDGSYNINKGLHISRQLYSNLT DLGVPIASEMLDTISPQYLAEFISLGAIGARTTESQLHRELASGLSFPVGYKNGTSGS VSVAIDAIQASSKPHRFLGVTKQGMAAVTRTTGNEHGFLILRGSNEGPNYTKEHIGRA KQALKLKGERENIMVDCSHGNSEKKHQNQAVVATNVAGQIASGETAVVGVMIESNLNE GNQKVPPQGASGLRPGVSITDACIDWETTVDVLQMLANAVQYRRFGANGSAIECAGKS QVRFDKQMTEGTALKLV PEX2_079800 MPNEEKFNSLRWLAASLSSSVAIVVMQITETTHPPAGATALLPA VDEAVWALSWYYLPVVLLSSTMILMVALILNNIQRRYPVFWISPPAPKPVLPQTSNSK PEX2_079810 MSVVYNTTEALLSPLPIDSGSWVAGLAVVTEASSPFLWTLTAIV GASLLAWLRKPWTDENGHKIPKGPIGLPIFGSFYSLTRYPELTLDYWAKKFGNIYSIW LGNQLFVIVSDPNIAKDLMVTNGNVFSSRKEMFIKSQTVFAGRGITATPYNDRWRKHR RIAATWLSQRAVDTYSPVLDRESLSLVKALLVESKGGLAPVNPQPHAGRCSLNNMTTI TFGFRADSIHHPLVGRALKLSREFMNCTGPMSNLIDFVPILQYIPTPLHTRAKKLHKG LVETYGGFIKETEQKLKEGKEVQDCLAKTMVEVRQKEDLDDLDMAILASAFMIGGVET TAAIMQWFSALIPAYPEIQKKAQEELDRVVGRDRLPGIEDEKNLPYCHAIIKEVERVH NPFWLGTPHVASEDFVYKGEFIPKDTVVVLNTWTMHFDPARHSSPETFDPDRYIDDPL TSADSVNVADPMKRDHWMFGAGRRVCPGMIVAEREIWLTISRMLWAFDMYEIPGEPID LKEYDGLSGRSPVPFRIGLKPRHDNVAKLLEKVEI PEX2_079820 MLIDCVADIANNVLYMVGLDGGLIPDDGDASNNYLMTLDLTSSF STSEGKNYKMTKIDTEVPKIKDMALWSNAENSTLYQYGGRFLENITSEDTIWTYTVKE KSWSKQDGTIQPSRLEYGVYTNAPAIQAGFWIGGYRASGTTASITDSTKEYATGLIQL NTTTGQYTSLDGPYEAVEEGSLSYVPVGDRGILVYIGGDLPSIKDGINATMSSSSWSY VQVYDIAGAKWYNQSTTGTVASRTQFCASVQHDESSSSYQIYVLGGADLKSKDTILDV NYLSIPSFKWYSAAPLDGPRMTLTCVTYGRQIFGIGGRRAWAEDGKAGCYDAPAFIYD AQSEATRSSFDPALSSFSLSSSTASDIKTSPSPSQWADSALRTLFGKSEATTNTTANN EASSTSEPQEVNTSDPAIRGAIAGGVVGGVAGLALIIGLLWFFIARNKKQKNAKLGEA EVVTRQVQPMPELPVGARDGRSELGGDMYMYSELPADNKREIPELGSERNR PEX2_079830 MGNTTSIGVVRDCLTSAVGGVAAHVAFQDTLLYQTSAVKPYNLN VPVTPAAVTYPQSANEVAAIVKCASDYDYKVQARSGGHSFGNFGLGGQNGAIVIDMKH FSQFSMDESTFIATIGPGTTLGNLDTELYNAGNRAMAHGICPSIRTGGHLTVGGLGPT SRQWGLALDHVEEVEVVLANSSVVRASDTQNQDVLFAIKGAAASFGIVTEFKVRTEEA PGLAVRYSYSFNLGTPAEKAKLAKDWQAYIAQENLTWKFSSNLIIFDGQIILEGIFFG SKEEYDKLNLEKKFPTSEPGTVLVITNWLGMIGHALEDTILRLIGDSPTWFYAKSLGF TPNTLIFDSTIDEFFDYIHKANAGTLAWSVMLSLEGGAINAVPKNATAYGHRDVLFWV QIFVVNPLGPISQTTYGFTDGLYNILARGVPESAGHAYLGCPDPKMPDAQRAYWRNNY PRLEELKRDLDPKDIFHNPQGVRVAS PEX2_079840 MTAMDSDVEKKADTTVEPAESLPSAHDDLAVGEINPLKRNLKNR HMQMIALGGAIGAGLFVSTGSALREGGPGSLLLCYLIVGGMLLLTIQALGELAVLYPV NGAFFTYCVRFISPAWGFAVGWDYAIGWLIILPFELTAASLTIKYWSETLNSGIWVAV FLVVLTAIQFFGVRGYGEVEFVLGMIKVTAVLGFIILGIVIDCGGAPNGGYIGAHYWR DPGAFTDFKGFCSVFVTAAFAFGGTEMAGLAAAEAANPAKSIPKASKQVFWRIMVFYV LGTFIVGLIVPSNADWLLGASGANTKASPFVVSIQNAGISGLPSVMNAIITISVISVA NSATYGSSRTIQALASRGMAPRFMAYIDKAGRPLYCIILQIAFGLLAFINEAPSGSTI FDWLLALSGISDFFIWGSICLAHIRFRSAWAHNGHTVQELAFAAPFGVIGSYIGLGLN ILCLIAEFYVSVATKDAESFFMNYIAAPLVILLFAIWVIYSKFSKDPKIDRGGWFIPI DKMDVHSFMRDSALDVDLPPRVEYPTWGAWFKAAPMRLLRSIF PEX2_079850 MKIAIIGAGIAGCAAYLELQKHLPQPSKSDESHEVIIYEAYNTS PSITANERKEDHTHSSTLIVGGGLGVAPNGLNVLKRLDKELLKDVVRGGASLDDNTNN NNNRPPHMLATSRHSLWTALRGRIPDHHIIHKRVTQVIARSDGRNSIRFADNSPIVEA DLVIGADGVRGIAKRALFPDAKEDPYPPHYEGLVGVGGFIPAADVKDLVEPGSMNFVF GGNGFFGYFFSESSVSAGKRDSPYHVSEPGDSLAWWSTYEIEECPDRKTLDMVDVTRQ LRERHAYWKEPVVKKVIQSLQVENMYPTWTLPALPTWERDGVVLVGDAAHALPSSSGQ GSSQALEDVEALALFLAHYLHALPDEVTPKAQKNAIKAAASQYVSLRQPHVNAILESA QKTQNSKREMGILKEYSMYAMMKIMGLFPGFLANQIRAAAEYNIADDVARIIASDD PEX2_079860 MKILYMGVFRNDTRPALQLCGEKDLSSFSRFTRQNYDEFLMLFT RTVAERTKPGQRQDIEEKAYTFHAYGRTEGVSGVIMTDGDYPALVAHQLLGKIVDEFL AKYPRTAFSDPSLRENACPLPQLKEYIVKYQDPGQADSIMKIQQELDETKIVLHKTIE SVLERGEKIDSLVQKSDGLSAQSKMFYTQAKKQNSCCVLM PEX2_079870 MDSIAATESQWLSQLAAMRQAIADLKLPQDLPQQSISYGSDIDL DIDDDYSSPGTNDDVWDIISSDDETSDDLDDLDGLDGLHLAPGSSYNRFWLEDKCQDL AMRNSTMDATELAQQVIATLAADSNDEELQMSLAEVVGFDDLDLVIELIAHRGEILLD KGPRLESQTDGLMAGKLQTRAEREHALQQRDFEHKNAALMPAQTRSEPSYPHVFKQHT SGNTLSASGKKYGLPLGSEQIEEPKYTEFAIPASKVGTLGKGRKLVEIAEMDGLCRGT FKGYKALNRMQSLLYDVAYKTNENMLICAPTGAGKTDAAMLTVLNAVGKNTSPNPLEN PEATEFTVQVDDFKIVYVAPMKALAAEVTEKLGKRLAWLGIKVRELTGDMQLTKREIV ETQIIVTTPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVIESLVARTQRQ VESTQSLIRIVGLSATLPNYTDVADFLKVNKMAGMFFFDQSFRPVPLEQHFIGVKGKP GSKQSRDNIDSVAYEKVRDMMERGHQVMVFVHSRKDTVMTARMLMQLAAEEGREDLFS CHDHENYSNALRDMKHARARELRDLFASGFGVHHAGMTRSDRNLMERMFSEGLIKVLC CTATLAWGVNLPAAAVVIKGTQLYNPQEGKFIDLSILDVMQIFGRAGRPQFQDTGIGF ICTTHDKLSHYLSAVTAQQPIESRFSSRLVDNLNAEISLGTVTSVSEAVQWLGYSYLY VRMKREPRNYGIEFAELRDDPMLVQRRRQLILQAARVLQKSQMIIFNDKTEDLKAKDV GRIASQYYVLQTSVEIFNDMMRPRSGEADVLKMISMSGEFDNIQSRDSESKELQRLRD EVAQTEVAGGNDTPHAKTNLLLQAYISRAKIEDFALASDTGYVAQNAARICRALFMIA LNRRWGYQCQVLLSLCKSIEKQIWPFDHPFRQFDLPQPVLRNLDEKLPTTSIESMKEM EPAEIGQLVHNHRMGNTLSKLLDNFPTLSVETEIAPLNRDVLRIRLSIYPEFTWNDRH HGASESFWVWVENSETSEIYHHDYFILSRKKLYADHELNFTIPLSDPLPSQIYIRVIS DRWLGAETVSPVSFQHLIRPDTESVYTDLLNLQPLPISALKNPILEEVYGQRFQFFNP MQTQIFHLLYHTPANVLLGSPTGSGKTVAAELAMWWAFREKPGSKVVYIAPMKALVRE RVQDWRKRLTRQMGLKLVELTGDNTPDTRTIRDADIIITTPEKWDGISRSWQTRDYVR KVSLVIIDEIHLLGGDRGPILEIIVSRMNYIASQSKGSVRLMGMSTACANASDLANWL GVKEGLYNFRHSVRPVPLEIFIDGFPEQRGFCPLMQSMNRPTFLAIKNHSPEKPVIVF VPSRRQTRLTAKDLINYCGMEDNPRRFVRMSEDDLELNLARVKDDALREALNFGIGLH HAGLVESDRQLAEELFANNKIQVLVATSTLAWGVNLPAHLVVVKGTQFFDAKIEGYRD MDLTDVLQMLGRAGRPQFDTSGIARIFTQDSKKAFYKHFLHTGFPVESTLHKVLDNHL GAEVSAGTIGTQQDALDYLTWTFFFRRLHKNPSYYGLEISAEDQNTMAAQATAQDFMV ELVGKSLNDLAESSCVLVDSATGEVDSTPFGKIMSYYYLSHKTIRFLVSHAKRDPTFQ DVLSWMCSATEFDELPVRHNEDLINAELAQNLPLSIDCMGDAPLWDPHTKAFLLLQAY MSRIDLPITDYVGDQTSVLDQGIRVIQASIDVMAELGYIPACQMLMTLLQCIKSARWP EDHPLSILPGVPTEKPPSGLPGTLVSLSSQPAGAIVALVKRLNLPFNFTRITSQLPQL SVSVASVSAKGIAVSLTRRNQPTTPDCKVHTPRFPKPQTEGFFLIVCSALPNGMDGEL LGLKRVSWPPVSKRNGNGNGNGNGKGKGNSGAGSSRGGPANDNKGSLLTVRSNVKFPE GILAESTSTSTARVNIRVISDSYVGMAWTVSNVEVSLDTGIETQTVEESSVPTKN PEX2_079880 MSHRRCIHVPFVVIGSDDTPRSCRGGYFLEMGIFFHHILRGLGF SLYMTGGRSRDRIDGIPEGEFMGWAHMVNIVRLPSGIEYYLDAAFGGNGPTSPLPLIS GQVTKNLGSQEVRLIYDNLPKQTRKEQKVWIYQCRYAADKPWNSFTSFAELEFFQGDF EVMNRFTSWEAVEKHRFVVVKFIRNGETAGLPLLEGEGLEKSGDVFVAGKIMMDNNVV KLNMGGRTRVIDSFETEEGRFEALKKWFSIYLG PEX2_079890 MARGVTAVQSRTRPTKDTISFPILTYSDALPRNDLRSLEVSQHQ AQPAMVGQWDFSQPSTGDGWFRKPPAGQDASFDFRITTPPDQAIQTTRSGRTQTEEHM IGIALGSPGLLTKDEPLPPPRFDPSIFAEGDSAHKPSKWKKIGGFFKAKNALTSLPDS TQESEAKPQSNDYQLPEKPQKARLRKDSIEEWPKIEIDPRNMPGRSIQAPQRSRTLSL GSKPPKVTPTVTPSAQGLLLSVDIPDVQMERYSVMFSEVVKKNQKPSLLVRRAKTLDN LRVPDANGFIKPPTPPPMPQRRATSPAQSSFTLFPTSQPSKAAQVLSTQNFSRGPLVR ANTLPVQSPSKMPPPQLHHGSNTGSQSSFESPVIPKLFTELSNTPRSSNSYDKPLPAI NLEPRTTPTPLKKSAQPEKKTPSPQKTRPQQSVQSHNTAATTPAAQPQIHPQQRKDSL PRFIEKQPQITSPQHKTTQNQPNQAPNHRRNNDSVRPRLRVETESRRQPPTKGILSPS TSRGSPSALNATQSKIDRIMSPLSASAGPKSGVSPAESTRMPFADAVETLDAAEEEHI PEPRRPIPKVEISIARSVSVSRAKRQVLVPIGMRVDHLDPEERVVHRRPMTPQITDAH RGHRPGVSQELRIECL PEX2_079900 MGSGQFDKAGILAADFSGVEAGSPGFALSQEEINSLITAYTSSD QAFASGFSVCGEKFVTIRADERSVYGKKGKEGVIIARASSCTIIAHHTEGVQTPNAAT VVENLVDYLNKPQ PEX2_079910 MGNVLFLIGLTLIIGVKKTMAFFTRPQKLKGTAAFAAGILLILF RWPLTGFLIELYGLFILFGDFLVTVGQFAGNIPVIGPYIQRGLEVLAGGRSNAELPV PEX2_079920 MPPPTPANDEAHQQLLNTLDISHVPKPFRNPHWKPSQRRNKNLK QIISEASRKEASVMATQANSGATTPGASGAVTDDTQTPAEGAAPNLSQATQNLSTLVL EKNARATFPSGPAVTYTNIESAPSFNPAYRHHYCDITGLSGPYTDPKTRLRYHDKEIF KVIRTLAQGVPENYLEARGAHTILK PEX2_079930 MESPHEHQQTVLLSRIITNVEKLNEAIMVMNKGLQEVNIQNMNV ELVAQMFKNYQSNVLFHLEATENLQDPS PEX2_079940 MTITSVHTPLPARIASNATEKRTQKPPPQLHGVQDFPFKGYHPS QPEGYQQSQAHPDTSAIVIDNGSHLVKAGWSFDKNPRFAIPPVMSRYRDRKLNRQCQF IGYDAYVDATTRGQLRNGFDPGSSVVGNWDVMEGVLDYLFLKLGVDGANGGVGRPILM TEPIANMAYSRKMMNEILFECYSAPSVAYGVDSLFSYRYNGGTDGLIVDSSHTSTHVI PVMNSKPMFSNSTRLNWGGLQSAEYLMKLMKLKYPTFPTRLTDAHMEEMVHKHCYVSQ DYDSELSGFLDWTGLEDRDRVIQYPFTEHIVQEKTEEELARIAERKKESGRRLQEQAA KMRLEKLVKKEQELEYWKDLQNNLVNETKKEIRRVLEAEDLKDEAALEKLIRELEKSI KRSRNKDLGIEETEEQPEEMTFPMLDIPDDQLDEAGLKEKRHQRLMKSNVDARLRAKE EKEREKARIEEEERLDRETRENDFENWIAKRRNNRQGILQRIKDRDRFTADLGNRKSL ASQMRMKTLANLASDGPKKRRRGGDDDDFGANDEDWGVYRTVAVGEGSDDEEEEDLNG MLNSVEKELLEYDPEFNENNTFAAQSDWTKSLVHAFLRGPWPFDPESQREAHQLHLNV ERIRVPEVVFKPSIAGLDQAGLIEIAADIINQRYSNPADQSLLLKDVFLTGGNTLFTG FDERFRKEVRGFLPIDATLNVRKASDPVFDAWKGAAQWASGGDLGRSSVTRQEYLEKG SEYIKEHELGNASW PEX2_079950 MGFSTTLARPLQLATRAMQWGSAVIVMGLTSFFINRGPRGQHTI YQEVIAVLSVVFFLPAFISPFMPSSLGRFVLAIDVIFSYLWLTAFIFSAQDYSTRRCY HAIPAGLNCKRKRANEAFIFLAFIFTFFGIFLEVAALWAYRRGNTHIPPRTEEDKVNS GTRPPLDAPAAPAGTV PEX2_079960 MAGWIGWVFTLVFHTLPSVLYSIITFSTITLPTWLFTLFSMSLT FTMNFTTIMLIFLVLVSTVSWFIRYRYLNMYSRLPPEPQRKEAQVDLFPDIQEGDSKP GLANYLDEFLSAIKVFGYLERPVFHELTRTMQTRKLIAGETLMLEEEKGFCMVVDGLV QIFVKSAREGKSGSQENFHMDDASSDEEDQNIHNRQGYQLLTEVKNGASMSSLFSILQ LFTEDIELRNSRSHNSSISSPSLAQTHVPDTFALSPGSTVRDAANLKTAARGSADTLP PVPPLNLGESHAMPRQDPGQTPQSENKENAQKKRRKSVHPDIVARATVDTTIAIIPAS AFRRLTRVYPRATSHIVQVILTRLQRVTFATAHSYLGLTSEVLGIERQMSKFTSWDLP NNLRGSALDRLKDKFTRERDRLGPEEVGKGIALHNPSVSRRGRSSSTLRKEAFLQAKV ASERPLKPLSSQRPSSAFIDRDSAGVSPGDLLSTIQLSRFGPRHDHLAPRLQTPLTER EQPQFVSTAAMNGLPSTFQRNESVDEDTIFRESILDCIMNGIGLTEGTRDNLRKSSHS GDVSPRLLSYDNRRQKAVFSNAFGFMDPYEGSGDGESESMMSSMSVTSAGGTSPVLNL REDLRHDIEVVYFPQGSVLVEQGERHPGLYYVIDGFLDVGIPANERDDTLIGSSHGSA SQAQEELFPKLRRTTTASSRAPGAASGNDPRRKTQPRKSLYLIKPGGIQGYVGALASY RSYTDVVAKTDVYVGFLPRASLERIADRHPAALLTLAKRLTRLLPRLLLHIDFALEWV QVSAGQVIYHQGDESDAIYLVLNGRLRSVLEGSNGKITVIGEHGQGESVGELEVMTES TRPSTLHAIRETELAKFPRSLFNSLAQEHPGITIQVSKLIAQRMRDLVEHPLSEKGIE QGHAGGVQTATSTVNLRTVAILPVMAGVPVVEFGHRLLQALHQIGVTRGVTSLNQATI LNHLGRHAFSKMGKLKLSQYLADLEEKFGMVLYIADTNVNAPWTQTCIAQADSILLVG LAESSPRIGEYERFLLGMKTTARKELVLLHAERYCSPGLTREWLKNRVWINGGHHHIQ MAFRSTTEASHPPSKKFGTVLKQRVQILQAEIQKYTSRRAQQTPLYSPQTPFKGDFHR LARRLCGKSVGLVLGGGGARGISQVGVIKALEEAGIPIDIIGGTSIGAFIGALYARDA DVVPMYGRAKKFSGRMGSMWRFALDLTYPTVSYTTGHEFNRGIFKTFGDSQIEDFWLE FYCNTTNISRSRIEYHSSGYVWRYVRASMSLAGLMPPICDEGSMLLDGGYIDNLTVAH MKSLGADVIFAIDVGSIDDTTPQGFGDSLSGFWSVFNRWNPFSSLPNPPTLSEIQARL AYVSSFDNLERAKNMPGCLYMRPPIDPYGTLEFGKFDEIYKVGYAYGKEFLDRLKSEG SLPIPEETEEKRKLQRTMAPRRASI PEX2_079970 MLPLTSLCGPLLFFLFLVNTLASGLEFLLSIVVWVLRTAAMFQR LRDAIDSRIAEEQARQKSAQDNIERSNSARRPPGRNQSPSRRSRPRRNTGTPIRGPDP KEFEAEFTIGDDESSRSGTPQADTPEAASEVSASNEGESEMVEGNPAETPENKTGQET PAVLPPDVRAKLRRLDKLESRYHELLKAYRTAHSRVLSIEPFEAALRENTPLTSIGEP KAFTEYLNQSALKSDMVMEELKRVTEEREDFRKKFEAAQQATKEALDEVAGLKKEKPQ TETTKGTDGTGEQASEEFFSFENEVPRLEGELKEKQEEVENLKTEVEKLKRDMSVTRE STEGMVQNLETATRELVELRETKDNLDAEIEILQTSKKADVDDLKAKLATVESSLKST TSEVEKLKSQLKEKSEEIEKLQKQASERTEPDSDLAAELETTKEEKKSDEKKLVVLQG LVDGLRSQLKATESTVLELKTEVDERCEHSAKLQDIFEFVDENLKENTAWVSAREKVF AGELADFNEVVKTLAPAKDARDEPTSTAPAPAPAPTEGETQPAQATGGGGSGGKKKNK KKKKGGKAEEPAKPADAADAAPAAPAEQSPAEAPAPATKELDELKQKIESLTQELSEK EAAIDRLSSKLKGEDNLKEEIESLRDDLVNIGQEHVAAKDKIKELSAEKTSLEETVSK LEKELAELRASNASTSADSEKVHASLKEEFDNLKVRSATLETDLSAAQQLAATRFKDL TDLRETLQKVQPELRSLRAESSELKTLKETLTSKNSDLKALEGKHEDLRAELKTFKSK ISERDAEVGTLNKKIRQETDSRLKAEESLSVTQSNLRSAESKKQDAINARDKTAGDLS KAQDGLKSTRAKMREMDEQISQLNKELASLRDEIQLKTAQHSSAQSLLNSMRDQTTEV AMQMKEARERCESLDEELADAHRLLSERTREGETMRRLLNDIEGRSESKVRDFKERME AAIEERDRAEDEASTQGRRRAREMEELKGKVREAERALRTAEQDKEELEQSQKDWRRR RDELESVSEKSSQEVTEVRQAMAGLRDALDESEKQVRDLEKDKAELRRSVEETNNRLE KLRRSHKTLGEDVRLRGSPTGRQSSRSSMDSGSRRPVVSPSKSETPLGPPSNASIDYM YLKNVLLQFLEQKDKNYQKQLIPVLGMLLHFDRTDEQKWMSAIMSK PEX2_079980 MDYLRPLSNTAVDQPTIRLATPEDVPLILQFICELADYEKALHE VEATEESLLATLSFPDTPPKRGAVYTALITPPPTADTPNPIPVGMALYFYNYSTWRSA PGIYLEDLYVQPSARGHGYGFKLLKYLAKQVLEVSGRRLEWSVLTWNEPSIRFYQQVG ARGMDEWMKMMVEGDALTRLAEVMATIPDNVQEYNLHVDYVIRYSFGDVDRSQAIEQL EKLLQALAQVGLQVEVRQGDESSLLVFVKASEKRLKRAVYRSRVRDWLYGIRNAEPTP SNSTEPQTEAERLRVINQMITLPEEEGGAAITPNHGEWKNVTAIFPLHDVDTNKKWIR DWSSKTFLSDDDLDQIRNKFGESVGFYFAFLQSYFRFLIFPAVFGFSCWFLLGGYSII YTIVNCLWCIIFVEYWKRQEVDLSCRWQTKNVSAVRTKRREFKAEKEVCDEGTGEMRG VFPATKRMQRQLLQIPFALVAAIALGAIIATCFAIEIFISELYNGPLKTYLIFIPTIL VSALVPTMSAILTSIATKLNDYENHETNDAYDAALTQKVFVINFITSYMPIFLTAFVY VPFASLIVPYLDVFRLTVRPFVSKEHAVSKQSHFQIDPARLRNQVIYFTVTAQIVGFA METIVPYIKQHALRKYKKYNKERNGKMDRNGDKESPERPVVSFDDPAEEVQFLTRVRN ELELSEYDVTDDLREMCIQFGYLALFSPTWPLVPLSFFVNNWVELRSDFFKICMEFRR PVPLRTDTIGPWLDSLGFLSWVGSITSASLVYMFSGNAQHGPNGEPTDIKGWALLLTI FFSEHLYLIVRYAVRVGMARIEPPNSRKERAERYLMRKRYLESTTNAEVDDDKDEDDI TSMTQPIDISRTSLEDDARRASLHGADPATRFWMHQRGWAESAKIGAGIIQAQPTNGS VKKQQ PEX2_079990 MQPALAPAPHPSMQTSAQDHADQVLHDQLLAAQHHLGGRPQGAP GQQQHLQPNNASPRDQPNIDPAISGAMLGAPQTPTQPQGSPPSDGGSKNYGKRELSTS KRAAQNRAAQRAFRQRKETYIRKLEEEVKNIDPLKEQVKSLVGENYQLREYIINLQSR LLEAQGEVPELPANIDLSQPRTTEMALASAGVQNSSPSGSVPAPGPQGQHPSSVSDDM NSLNRIAAATLGMRKHPDDTTFLNNNSFQQNKRVRTDDNQDGNDGVNKQEVSHGLPMV N PEX2_080000 MSFFDRQGVSEDLLRVQREIPNEDSSSEEITFDRCDEDTDSTSE SNTDDEFNDDLTILRDFSFIFVSENISVFTMHRLVQLTVRVWLKTNGEIERWKEEFIT RLYQRFPTGKYENWAQCRSLFPHMKSAESQRPGSQDCLHKWATLLYKGAWYARESGNI TESRDMASKSRKERVLMFGLEGEHTLNSTAMLASVYRLEGRWEEAEQLDVQVMETRKI KLGVDHPDTLTSMANLALTFWNQGRWEEAGQLNVQVMETSKTKLGVDHPHTLTSMNNM AYTLRSSGQYTAALQLMAECVQLRDRKLGPNHPDTLSSKSALIEWRGKVDSPSSQLTK PSTDTKEASILDSSSETPKRVSRPERHHRRTIFLRLFGRK PEX2_080010 MLQVLIDGRVDATGLLYIPWSKYWLAIAIAIAFASYIALIGFLR YRRMLRIGAPYAPGKKDLSEMTVKEAHAILNQLQELEFPHAFAKARKIALLKAGGIPT MSKLFAVTGQNNKRNSGKRAVDTEILLREVQSKPRDSDRYASAVARMNYLHARYRRAN KITDNDLLHTLGDGLAEILNVVEREEWRKLSDVEICALGIFHKNLGEDMGIPFDPLPS KGDGWKNGLHFAMELRDWTIQYEEEVAKPTATNDQYVRIYVDSALAFLPGFIRTTVRK MLGNDLDDVMRTSLCLESPGPVLWFLLAFIREARKLFLRYLAFPRSSSNAVNLVRDMP NQVTRLYNFERKTLQPWYVQPTFWSKWGLGALLVRALGGKVPGSRGDRYQPGGYDLMT IGPDPQKEHGAEEMRSDIEVIKARGVATCPFSHAKAKSGQFE PEX2_080020 MESTKNTYDFVIVGGGPAGCALAVELAKTAQRPQVLLLEAGGRN DDRALRVDGKRWTTFMEGDMNWGYKTTPQEHCNGRELDYSRGKGLGGGSAINFGVYTV GARDDYNEWASIVDDDTFGWKEMQTRFKNLETFNGSVTLSENQKYANSVASDHGNSGV LHLSYAEDWEKDLSLSLDAFKAAGHKLNLDHNSGNPLGMAATINSAYKGKRTTAADLL SSAPENLVVVTDSPVQRILMQGKKAVGIETQSNQYFASRDVILSAGSLDTPKILMHSG IGPAADLEKFNIPVVNDLPAVGQGLRDHYFVPLILARNPDTNDRNSFFQDPAAMEAAM KQWEDDNTGPWTRYSCQIGCGWFKSDRITSTPEFKALPASVQEFMNRETIPHYEIITH MPIHFMMPDMFKDYSYIGLAAFMMNEQSRGEVRLQSSDPDVPLLFNPRFLEDPFDRRA CIEIYRHLLEVSRQESFAKDTISTLIGPASDSDEDILQFWKNFLSSSWHMTGTMKMGK ADASDAAVDTHFRVRGIENLRVADMSVVPVLTNNHTQATAYVTGVTCADVLIKEYGLD EK PEX2_080030 MTNLSQAPDGMHGYHDNNVAVRTLMIVFTSIALYNAIELLILLF LTFTHYRGLYFWTLLLSVIAGVVPHAIGYLLGFFTLAPLWFSLTLSTIGFYVMVPGQS LVLYSRLHLVVNNNKVLRFVLWLIIIDAIILLVPTTVLTFCAAYVAMPSMIRGYNVME RMQLAWFCTQEMVISGIYIVETVKLLRMMPDKDQRRSRIMYELLAINFVIILLDVCLL LVEYIGLYSLQTTLKAMVYSIKLKLEFGVLGKLVDLVQSHRSQPTSLEHEEYPVFVDP SQITSDITHAAPRELRPGGRRGWNTLSMESLPSSERRIRPSTRSTDDSVHPS PEX2_080040 MESAVSIHHGPYEAIPTTASPGLKFLQRFLPALDSLTPAENPIS PFFTPSAPILIGSDPPTAASQAVPLLEVRSRHICKFHHQVHLAWDIDLGRDIGPVQTS YNRTAPNEETAQRGQLYAPLAGNIQMKRTVMFEATSETTFKEDPDQFAVRVREFNVLD LEGRDESDLQIVEMRIFLDQRPIQAHSAIQGQAEIIHNVSGALDKYESKLSEINQKIW SNPELAFKEYNAHDNICQFFESLGAGYQVNRKAYGIETALEVIYKRGEGGRTMAFNAE YDALPGMGHACGHNLIATSSIAAFLATCEAMDVLYSDGVGYSVRLLGTPAEEGGGGKL LLIDAGAYKDVDACFMVHPFPVLSGVPDLISSSSCAGMYLANDKVQVTFTGKPAHASA APWEGINALDAVVSAYVNISMLRQQILPTQKIHGVISRGGERPNVIPASTTVEYYMRS ETAKTLKPLTEKVLKCFEAAATATGCTVEYEWEASYKDMKINKPICDSYVSAMNAMGH STIFDAAGQVGGLSGGSTDMGNVSYEVPGFHGGFYIHADGVNHTPQFTAGAGSEDGFK RSLHCAAGMAVVACRALVDESFATAIKSDFENGLEL PEX2_080050 MQSHETNLDEKDMKEGGESFGRDLDLGQVLAIQPTTQEERKVLW KLDLILVPLMGVAYFLQFLDKLALSQSTLFGLRQDLNLKGAEYSWASAVFYFGYFAWS WPSSYIMVRLPIGKYISVSVFLWGGVLMCHAACSSWSGLMAVRFFLGVGEAAIAPGFT LLTGMFYKREEQPLRQSAWFFGNCIAVLLGGVIAYGIGTINTTVIAHWKLLFLILGTI TSTYGLVLFALLPDSPAKAIFLKPNERAIAIQRTLKNKTGVMDTGVFKWSQALQAIKD PQTWLLVLNSFASNLANGGLTSFTSIITAGFGFTDLKALIMQMPQGAAQIVFLLITSI AATFIPSSRILGMCFNTITSIVGLILIWKLNPDDQAGKMTGLTLAVVYAINLPISLSI VTSNVAGFSKKSVVSSLLFIAYCVGNIVGPQFFLASEEPSYPTGIKASMSGLILSLFF LLCLYSYYTWENRRRDRLYGSSEQITVGAELQDELSNKTDREIDSFRYLL PEX2_080060 MDTPISHLPPEVSAIFNANLMIVEVVAMFGIAVWNALEVLIAIF EKFQKYRGLYFWSMQIAACGILIHGIPASVRYTNRISSYSVAIPFVVGWICMVTGQAV VLYSRLHLVMTDIRHVRWVRWMIIANIFILHIPMAALFFINFRGIPLGHAPKIYDRFQ ATGFAIQDTLLCAIYVCEALRALKPVFESKGAQGRRIIYRILFVNVIGISLNIFIIIA EYRLHYLVVSFRTLVYSIKLKLEFHALTQLRELTSTYSCSFCQGANGNTCGSNDINIF DMLANHPSSQDIEAQTGTGRARPVSPAPHSARSGTYDFHEALRETMSTVNSVESQESS RHRMYSSDAQPTVEMTFIERSN PEX2_080070 MALKVLICGGGVAGPALAYWLARIGHEVVVVERFPALRATGAQI DLRGQGIEAVKRMGLIDAVRGKLVDEAGVSFIDAKGNVKATILANKSGKGAQSLTSEY EIMRGDLVRIFYEATKDNVKYIFGRTVDGFMENDQGVVAYFSDGSSDTFDLLVGADGQ GSHIRRNILPPGAPDAYHQLGIHMAYWFVPSVESDNNMCKSYICPGGRLIMTRSHNKT ETQVYFMLTSDSEELRNIPKGSVGQQKHFWAEKFRDAGWQADRFIKGMDTTENWFCQN AVQIKTDTWHSGRVVLLGDAAHCPSPLTGMGTSTSLAGAYVLAGELARSEDLSHAFQK YSQIMRPFIDEVQQFNIGWIRLAVPKSQWAISLVHLIIGVACFLRIPQLISRFSGEGD GDWKLPDYPELNVEHIDL PEX2_080080 MSECPVAHKKSNVAGGGTRNTDWWPNALKLGVLRQHTDATNPHS KDFDYASAFKTLDYWGLKKDLHALMTDSQEFWPADFGHYGGLFIRMAWHSSGTYRVFD GRGGGGQGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGTKISWADLLLLTGNVALES MGFKTFGFAGGRPDVWEADESVYWGSENVWFTNEARYEAETAEEKAKQSDIKTRDLED PLAAVVMGLIYVNPEGPDGNPDPVAAARDIRITFARMAMNDEETVALIAGGHTFGKTH GAAPADNVGEEPEAAGIESQGLGWHSKYGSGVGPHAITSGLEVTWTSTPTKWSNNFLE YLFKFDWELTKSPAGANQWVAKNADDIVPDAFDSGKKHKPKMLTTDLSLRFDPAYEKI SRRFLEHPDELADAFSRAWFKLLHRDMGPRARWLGPEIPKEVSLWEDPIPAPTYAQID NGDIVALKNEILSTGIEPTKLIATAWASASTFRGGDKRGGANGAHIRLAPQKDWDVNN PAQLQEVLSLLENIQSRFNSAQNGEKRVSVADLIVLAGSAAVERAAGIPVPFTPGRND ATQEQTDVESFGWLRPFADGFRNYGHSTRRVRTEQLLVDKAQQLTLSAPELTVLVGGL RSLNANWDGSNHGVFTSRPGQLSNDFFVNLLDMGIVWKPTGDDSEQFEGFDRKTGAKK WSATRVDLIFGHHAELRALSELYGSSDALDKFKKDFVSAWAKVMNLDRYDIPYFPTGR PRL PEX2_080090 MAASLTGQKGLLTQLPLRQEISVLNMGLRMIPEASQRYSDLWKQ LTEHRPPTEHLGLVMAIITLAKLHSTFANNRAASCLVSTFIQDKHIPALRAVLPQLPG ELAAVMPDLLSKPTNSILMLPALPNEFNEIFSLSPDDEAALRRQLLSLQPKLKQEISL SNDEFDILSHNLPSLPSDISGKVPLKEYASVNKEYKGLSGQLRIAWAVTSYQDWFAVY NAISFFEGLTSFSAAIQATKPGQLQSVYWNFYHHFIRVVAAGHAVKVREPPH PEX2_080100 MQGTVQLTFIHSKEDIRWLNAGNAARHRHSIFTDVERQDMALEI VSSVPDRNPDQALADLERLDSDIGCTKPFSLVRGPFGAFRLPKPLQLEPSPSDKDELP LSLSDCFEQLEELPTEEDFNRTAFSLDALDALNAEYNDDLTLEGPSLELLQSIITNFH QPSLQAEVNPYLSVGNVEGWSLLSHYKDRIVPLISPLRRGKETPWMSLVIPCAMSTLA DLMLNGSSNHARLALLNAVWSTSAFHLGNNSVGFLEQWTVSGGIYLARAQYHFQRCME ESCISATKASKYKEILMAMLSLSNIFMIKGDPEMRRAYLIQTEKLICVKGLSQPALSA SKRALHHCYAYMRIMAETTCIADRLSINLTGTSGTLNNNTTYGGDFRVYPNLIFSTTT MSMEKDPGMAQRDLHLAIPGRWSSTLFPTLYGIDEIFLTILSQVIRLANERDLSMMSD AADSRLSLKEFWIRAKGLERAIDHLLSTSNTSCAQPYDGGLPVVMTVTAQAMYTALSI FFHRRIYEIDPIMLQGNVAAIRGYLIQIQQEEGGQKDSNNAALIWPAFITACEAVSPE LQVFFSSWFDNCARTTALVHASVAKGIFETIWNKRRDVSSCGETYSWPDILRDGDIKF MCI PEX2_080110 MSQALDLSLLKGSPEERDTVSAALLATLKTRGVAKLKNHGLPED LIAKMFEYTRQFFSLSLEDKMTAKHPPEANPNRGYSYVGQESISSISGYDKGLPQGKS VRDIKETLDMGSPNDGLVDNIWIADEKLPGFRKFMEDFYESCFKVELEILDALATALE ISASDLRLLHNKAENEFRLLHYPAVPASALEDGTATRIAEHTDFGTITMLFQDSTGGL QVEDQQNLGTFHDVVSGGKSEIILNIGDSLQRLTNDTFMAACHRVTYPPTVKVSSDAM IPERYSVAYFAKPNRIASLLPLQKFTTPATPCKYEDITAWDYNNLRIAKLFS PEX2_080120 MACPSTRNFDDWLRDLSQWRGMCQSWIGQEWENKCNDPVEWKEG DIPGFNPGIVSSQKQLASLRGWKIMNPPEHTTIEGQVAVNINHRNWNPKNVRLSDAGV IYALLTLPADYRIRYLTYDFDNRGDVRKERVPRAPTVAFYQNNQRTLVTWKGVYIFTG GYNDAGWLLANNYPDGPPFFQAGLVVASSHVKTFDALNVQMTEYEPKKVFIRDSNSME NLWKRAYRRYGILLRGCKDVSGYCLVLAGQNISGYQKMSLLFGFNILIGPGADATDTN EAIQQDQRPSTAWKNKLFPDTELKRQPNDFINFIGIRWGHLPIRTRTIETNTTEAITA PHKRKRPNSVNQTQSFLPFSSHSSSAGSEATNERSPDRPVDNFDKVSFKSLWAELAAI ESPPRHWELFTAVGKMETMHQRWRRNCMAVKIIQHNVSPSEATVIRELMQTLSPRLGV LILGVEVEEDRIALENAFSYNSAMTSFEQQVNALGEGLVKQAYMNFYTYFQTVGFRRY KIPQPPIKLEQQEEIDSGLRSQDPQQYNEVQRMIFWRS PEX2_080130 MINEVYNTQKGLGRPLTVIGPKLRDGRCGMPYLPHHQQTAFWAS LDSSHLTFVSLFYNTLSVLLFTVSFETLLLIMHSTGLSSDGAAYRSRGYQLEMLEASR KENIIVALIWFLAPTVALSLQQHEVITSQILSVKTKVLTSLDNVDRWTEQAVWDKVLK DIRVVVSTYAVLADALSHGFVRMSRLALLIFDEAHHCTRRHPANKIMQNHYHPTLLRS GPNAVPRILGLTASPVVRSSQNELQTIEANLNAVCKTPRVHRTELFENTHRPHLERLN YIPFEEAHYGFGSRLLSPLVECCRAYNIEDDPWIESLRSKDHTVELAKALTTGKTFCS EQLRNFQARSRHIYEELGGWAADFFISASIDELQRSIQDASEMSHLDQRERIYLLELL LAMPAPVSAEESTHLSIKLEMLLNFLERMDRPGFSGLLFAKQRATVSVLARILSIHPK TRDRFQCAAYVGWSSDRNRKGCLGDLLHRDMQRDTLDEFKAGRKNLIVATDVLEEGID ISACNLVICYDKPANLKSFVQRRGRARHRESTYAIMISSEDELLSLHKWQQLEQAMIK AYQDDERQRREAYEIEATEEQVKERLWVEKTSALLTADDAVQHLHHFCAVLPVDEFSD NRPIFSFQENSVGLLLGTVTLPNSVHPTVRRTNGKSWWQTERAARKETAFQAYKALHS YGLVNDNLLPLTRKPELRFSDQITLPSIVQAAEQHDPYIDLAQGWASGQLCRTRLNIY RNGSVDEDMAICLILPRFTPMPHPIPLYWDPETSLELHFDPQMSTFEATTETLDQMRR ATALYLQAPSSRQRADDRDFVALFIPDILHEHLGEWLTAYEGKEPALDAYSRNPTTPP IGIVRDQSKFSEPRTFNRWIVPVQVTKASPIEMECSSLPRRRNLLQVRAMNIAEDGEA EAPKKSYVLPAVACVIDKLPAKQGLFGLFISAILDRLEAYLVAHRLNDTILKGVEIQN IDHVITAISAPIAQASTNYQRYEFFGDSVLKFTVSCQLFFRNTNWHEGYLSESRDKLI QNTRLARAALDTGIDCFILTSRFTPRKWAAPLIKNKLEPSTAKRNISTKVLADVVESL IGAAYIDGGIRKAQACLHRFLPEIDLFTNDISPLILPPGKGVSSLINHHRLAGLIGYT FKDPALLTEALTHASCEYDTSTQSYQRLEFLGDAVLDMVVMAVIAAHPVEMDQGPMTL LKHSVVNANLLAFFCMELCAPDEPSHVTQFVNGEVNFVPHYAQIHLWRFLRSHGPNIK SAREACLERHQVLSAEIRDALEHGSQYPWELFARLRADKFLSDIIESVLGAIFIDSGG NLDVCHAFVERIGLVWYIQRVIADGVNVVHPRNIAQNMVKGAGTLVFKRKRVESGGVA TYRCSAVVNQTEIALVEGCASAEEAEVKVANVAIEHLTLHPIVST PEX2_080140 MSEPRLRPDVGDDNSAASFEKTLSTLSTKIAQATTRLDQQRQSS RRIKALWTLYSTFAYLFYSIILALVLGWESWGIKEYAAIAGGPVLIYGVRTLSSRIFD YRIARIQRRLDDFHKQREETIEKLKVATKYNSTQQLLEKYGGESPKPSPGPKGQAEKG KPAQQPQNVARTGLPPPPTANIRSPPSAPQTPNDPPSPNYPPPLFEPTLGGPQTPQQP PFPPAFSPQTPIDQSGFAPNAFPQTGESTEPPHWYDRLLDVLLGEDETQPRNRMVMMC STCRLVNGQAPPGIKTPEELGRWRCGSCGAWNGVESEATKILNNLRQDAVPAEGTWEP VSKADADTQSSEGTEEGVMVASSEEEQVDSIGSDAEDQKEEEPKPAPVRRSKRGTKGD KA PEX2_080150 MPSIQESKLAFIGGGNMASAIIGGLLSKGVNKQNICVSEPWEVN REKMAALGVRTTTSNVEAGGDADLVIIAVKPQVTKAVCQELGGAWSPRATLPVVASIA AGITLDSLKEWLTTSDSRTAHTVRVMPNTPALVGEGASGAFASTDVTPDEKELVNALL GSVSKATEWVDREELLDVVTGLSGSGPAYFFAMVEHLVASATALGLPEEQATRLATQT CLGAGKMLVESSDSPSQLRKNVTSPNGTTHAALQTFESLNFKEIVDKSVQAATARSAE LGAKP PEX2_080160 MFHRRRPATTTTRTTKPTLMTKLKGRNAKSRTVKTTTTTHQNPV HGHHHTGHTGGTVHTGRTGPTGGRFGHRNAYGTPTHTRHQRKTTLGDKVSGAMLKLKG SLTHRPAVKAAGTRRMHGTDGRGSHAHRVY PEX2_080170 MTADAKTLDFSTFHNVINNQLTTTSETRQGINPANTQPNPPVPV SSQDDLDKAVKAAQDAFKSWAKTSFEERRKALHAWADAIDANADGFAKTLTMEQGKPL SQSSAEVGMASLWIRGLTAIEIKDNIIEETEDRKIVQRHTPIGVVGAIVPWNFPVLLA VGKITSAVYTGNTIIVKPSPFTPYCDLKLVELATNFFPPGVIQCLSGEESLGPLFTAH PGIQKISFTGSIATGKRVMAACAPTLKRVTLELGGNDPAIICDDVDIDAIIPKIGVLS YLCSSQICMMIKRLYVHEKIYDEFLSKVITFVKTLKVGEGTEADTFFGPVQNQMQYEK AKDLFSSISTENLKAALGGSIEASSGYFIHPTIIDNPPETSRVVQEEPFAPILPIMKW SDEDDVLERANALETGLGSSVWSKDFERATRIADQLQSGCVWVNSHFDVAPNAPFGGH KQSGMGVEWGLDGLLAYCNSQTQWLKKSF PEX2_080180 MLYTHATVVTVDTSRRIITDGAIYVVGDVIVDIGKTDILKGKYA ADEECDLSGRIIIPGLISTHMHTAQTLLRGTADDLELVSWLCERIWVLQGNFTAEDGY AAARLSIGEMLKSGTTCFLESMFADRYGFDGLCRAVEESGIRGCLGKIVMDIAKYAKD DAWAMYPGLVENRETSLLGTLEMWEKWNGAADDRIRVWFGARTPGGVSDSLYKEMTAI SKDKGIPITMHCAEVKADRDFFASISHTPMSYCKSVGLLSDTTVLAHMVHLDDSDIEL LSSSGTHVAHCPTSNAKLASGICRVPDLQNAGVNIGLGTDGAPCNNTCDLLQEMKLAA IIHKGISQDPTVVPAENVLEMATINGAKALGLDKQIGSLEIGKKADFVAIDVRGIHTQ PWFNPVSAVVYTATGRDVDVVVVNGKVLVKDGKLMTMDEEEIVQEAQRRSKEVVERAG LGDKVQGHWPVE PEX2_080190 MSSPKPTGPEIHNAVNLLIDNLVNIKDETGRFLLPLPDGRIIDT KSWQGWEWTHGIGLYGVWKYYELTGDERLLKIIEDWFAARFAEGGTTKNINTMAVFLT LAYVYEKTGNVIYLPWLDAWAEWAMHELPRTRCGGMQHMTYITENYQQLWDDTLMMTV MPLAKIGKLLNRPQYIEEAKKQFLTHIKYLFDTKTGLWFHGWTFEDGGHNFADARWAR GNSWVTIVIPEIIELLDLHPNDPIRLHLIDTLEAQCEALQRLQSSSGAWHTLLDHPDS YLEASATAGFAYGILKAVRKRYIGAQYRPVAEKAISAVIGYVDDKGELQNTSFGTGMG DCLDFYKKIPLTSMPYGQAMAIMALGEALRDLL PEX2_080200 MAEGKDDPQQGIEVTPHQGIETAPVEGIEVAHKQQPKVDMGEYE SKQDISHVEKVLSASDDLAKDNMDITRVDKEIQAYAAHSQVEIDDATNKRLKRLIDRR VLVVMICTYFLQALDKGTMSFSAIMGIKDDANLNDGQKYSWLTTCIYIAVLIVEYPTN WIIQRVPLGKYLGINICLWVIVRTLLGIFEACCQPIFVTLSSMWYKREEQAATVTYWY MMNGAQQIVGGLLAYCFTLIGADKVLKSWQALFLTYGCISVLWGIFVIWYMPDSPMRA KCFSEEDKRLMVERLRSNQTGIQNRKFHAYQMWEAFRDPQMWCYCAVQMFTTLPTSGL GAFFGIIVSSFDFTVLQTQLLAMVLGAYIIIVLLSSAWLVNKFKQNTIVMLCFIIPSF IGTIVLITVENTTLPTKIGLLISYYITLSFWSAQTLTLSMVSRNIAGQTKKSTVVAAT FVSWAAGNAIGPQVFLDTDKPRYHIAWSVHLACYACMVAAVVYLRFHLKRENAKKDKI LAEAGLSAADPTLIHAFEDKTDRENLNFRYVY PEX2_080210 MYSLLGPVLFSSLVAAHGHVTNIVINGVSFNGWDINSYPYESSP PTVVAWGTPNTGNGFIAPDAYQTSDIICHLNATNAKGHAVVAAGDRVFLQWTDWPESH HGPVIDYLASCGSSGCETVDKTTLKFFKIDGVGLVDDTTVPGTWGDDQLIAQKSGWMV EIPHTIAPGHYVLRHELIALHSAGTEDGAQNYPQCFNLQVTGSGSDQPAGVLGTNLYR PTDPGIIVNIYTSLSTYVVPGPTLYSGAVSITQTTSAITASSTPGAGTGSATAGSTTG SASKATTTTLVTTTRPTSTTTTSKKIAIASTTTTTTSAAAGGSGATQTLYGQCGGTGW TGATACASPAACSSSNPWYSQCVSTAV PEX2_080220 MLPQLITALTALAVLPVTASPLELSRRGTVASSALVGLPQAVPA GATGDRYLAYQPKLKVVNGCVPFPAVDANGNTNAGLKPSGSSNGGCSSSTGQVYVRSG TSGGKNALMYSWYFPKDEPSTGIGHRHDWEGVIIWLSDATSTAASSIVAVCPSAHGGW DCTTDKFTLDGTSSLIKYESVWPLDHSCGLTTAVGGTQPLVAWESMTTVEQTALDTTD FGAGNVPFNENNFANNLAKATY PEX2_080230 MRFDVLAIFPLAASAVNIISSNDDGWAETNIRALFESLGAADHS VVISAPAENQSGKGSKEKTPTVLTEACEFNSCPSGSPAVGFNASEPRLGYVNSYPVTS IQYGIDTHGPEFFDGPPDLAVTGPNVGSNIGLEVFISGTVGAATYAAKNAIPAIAFSG ASGSQTSWDSERPHYSDVYADLATNLTNHLVAAGKPYLPNGIWLNVNFGKVSDECASP ADFAFVLSRIHIPVPLVSSDDVTTCGRSRLPNELEISLASGCYASVSVGIADSKRDAH ADIQGVVLKKLGNLLTCLP PEX2_080240 METPSSGTVTPVDLENGDDQIRKRLTVTFRNLNVRVTAPDAALG STLWSEVDPRQVAALLKRGDRPKRTILKDVAGQVMPGEMLLVLGRPGSGCTSLLRVLS NDRDSFDEVTGETNYGSMDHKAAKSFHDVHFPTLTVNRTMKFALRNKVPNERPEHLSN RKDFVQNHRDQILESLGIGHTKKNLVGNEYIRGVSGGERKRVSLAEVLAGQSPVQMWD NPTRGLDSKSAVEFARMLRREADRNDKTIITTTYQAGNGIYDQFDKVLVLAEGRVTYY GPRRIARKYFEDLGFICPKGANVADFLTSVTVLTERTVRPGWEEKVPNTPEEFEACYQ NSSICKDQMNSIVDPEKLSYEAEDLTLAVSSEKRKQHIPRNSSVYTANLWDQIAACAL RQFQVIWGDKLSLFVKVGSALIQALDSSSIFLRPGVCFFPVLYFLLESLSETTASFMG RPILSRQKRFGFYRPTAFAIANAITDIPVVMFQVTCFSLILYFMAALQMNAGKFFTFW IIVIAQTLCFVQLFRAVGAVCKQFGNASKISGLLSTVFFVYGGYLIPFHKMHVWFRWI FYLNPGAYAFEALMANEFVGRKFTCIEPDYIPYGSGYSNSVSAHRGCSVLGSDDQGII DGAKYIEEQFSYSVHHIWRSFGILIGFWIFFICLTSFGLEFRNSQSGSSVLLYKRGSE KTRGKEGEKSQSPKQADASALLGSVKQSTFTWKDLDYHVPFHGEKKQLLNKVFGFVEP GNLVALMGASGAGKTTLLDVLAQRKDSGEIHGSVLIDGRPVGISFQRTTGYCEQMDVH LETATVKEALDFSAVLRQPSTVPHEEKLAYVEHIIDLLELRDISEALIGVPGAGLSIE QRKRVTLGVELVAKPTLLFLDEPTSGLDGQSAFNIVRFLRKLVDGGQAVLCTIHQPSA VLFDAFDGLLLLAKGGKMTYFGETGKDSAKILDYFTRNGAPCPPDANPAEHIIDVVQG GGTADKKDWVEIWNESEERKQALSQLDALNISSKNDSDYVEDTADFATSHWFQFKAVS KRLSIHIWRSPDYMWNKIILHIFAALFSGFTFWKIGNGTFDLQLRLFAIFNFIFVAPG CINQMQPFFLHSRDIFETREKKSKTYHWSAFIGAQTLTEIPYLIICATLYFACWYFTA GLPVEASVSGHVYLQMIFYELLYTSIGQAIAAYAPNEYFAAVMNPVLIGAGLISFCGV VVPYSLMQPFWRYWIYYLDPFNYLVGGLFGEVIWDVKVKCAPSEFVQFTAPSGQTCGQ YMADFLATQTGYLADGNSTGTCSFCPYSEGSDYAKTFNLREKYYSWRDTGITALFCFS SYALVFFMMKLRSKKTKSARSE PEX2_080250 MQLYYGPTSHFSLMQHIYQDLVSNPTSRPEPSGGVEEAGAGLDL FSFRRIFFGTPDFHEINKSPGKGDLSMMFMPYDLAKTFLSRFLSSLYHLIPLRPKIYY EQCLDRLYNSSPADHLDGPTQAILLLAMASAALGTDHFAWGDVLFERVKASLTAFDDV TDMLRFYANYQNEQGRPNSVFLHLGSASRKALSAGLHKDVPHDVDQTPENIEERRVTF WSLYIYETWFCFHVGRPSSLNLKDVAIEYPQDQFIRLLAQLCKTITRSVNEIYGQRHE SLLHMWRVARSIANDIRGHEAHLQQALGLGLDDSIQAGSLGVRQIIFIALYHHTLLLT FRPFLIFRGHWQRERNIALNQSSTNVTNRPRETPTWLNEACNHAITAAQKTLHHLCEA SRVNNLVLELRYHGYFFGNSVFTLIYEFIHNPSVAPVYLPWVYASLQTLSTMRAGDPI ASTIAAMQTVLRNINPSYEWAPLLATTEDNVAPPGGTAIQQGLTPNHRHRVLLSNSAI LQNPSLGMRPDTLTSPWNLPQLEKLENPETGGSVGSGDDLLDFTQSDMGWDFDFSTMD LDAFFSIYQTNDAPNT PEX2_080260 MAGAERGTTPIGDEKVISDHKEHMMGEVTHEAAERGQLATDEHG QPLVEFDKAAESRLRLKIDLYIVPTVAIMYLFCFIDRANVVQALIRFFSGTGNAKLAG FDKDLGLVGYDYNAVLSIFFISYIIFEIPSNLMCKWVGPGWWLPAITLGFGICSVATA FVTDMASASGVRFLLGVFEAGLMPGIAYYLSRWYRRSELAFRLSLYIVMAPLAGAFGG LLASGILMIDHVGSLRSWRMIFAIEGIVTIGISLVAFVTLTDRPETAKWLSQEEKDLA IARVKSERVGATEVLDRLDVTKTLRGIFSPVTIVTGLIFMLDNITVQGLGFFAPTIVK TIYPNASVVSQQLHTVPPYVVGAFFTVLFPYLSWRFDNRLFFFIISPPLMMTGYIMFL ASEDSMVRYGATFIIACGAFAFGALCTANASANVISDTARSSAIGTTVMFGNIGGLIS TWSFLPFDGPNYPIGNGLNLATSSTTLLLGAGLWAYIIWDNRRRARVDIHSALAGLSQ QQIQDLDWRNPGFRWRP PEX2_080270 MAGGAKKPVNIFRLKDLGEPKEVFNWRLWFAVISFGLMGAARGV DEGLISGAFNSKDFQRYINYDSYGEVEQTNIKANVTAMVQIGSVGGALFAFLVCDRIG RIWATRQLCVIWIVGIAIFMGNGGSLGAVYAGRFIAGLGVGQTVVVAPVYLAEIAPAS IRGLCTCVFTGFVYLGIVLAYFANYGCQVNLGDNTHNRWLVPTSLHIIFAGLIFLLSF LQHESPRYLVKRGQLEKAISNLSKIRGLPTDHEYVVREISAIQTTHAAEMEATMGSGP IGVIKETFLVPSNLYRVYLTFMAQLLSQWSGAGSITVYAPDLFKLLGVTGSNETLLVT AVFGIIKLVAAILCALFLVDVIGRKRALLLGITLQAIAMIYIAGFLTSVPLMGVDDSY TVPADKKGASEGAIAMIYISGFGWALGWNSMQYLLTAELFPLRIRALCTSMAMTLHFA NQYGNARAVPNMLLPVAKGGIDPKGTFWCFAAITIIGGVWVWFSIPETAGRSLESMDR LFALPWYKIGRYGNQDADERDQIVDEKMEMAVQTHGTTQHVERQDREGRV PEX2_080280 MLAVSTQSTPATMNKPEHYDAPPMYSPSSEFNSFLDEKADNPII LLPEQNEPGFAVASALSRGLQVPSRSGACTSGFEYPDELSHYGISETHWAEFTQVVCD EAKLSRQQWTTVVGKGLGTMAVGGLMVGILGAIPAIFVARLTRNRQEQRNLISSMAGA RGEHLARHISQWNETVFRPRGVLIRVDLPDEYLNDMEDMDIRTSGRSARSAKKSRDKA ALKARIVIIPLDGSMPTGNSSN PEX2_080290 MTTRYRVEYALKTHRRDQLIEWIKGLLAVPFVLHSQPTAIYKEN GDVLKTVAADTHHRYAEIMRDVENLMLDHIQHHERNAPGKSKLNLLVPSVGTFFTKLY LEDAFKYQDQQRFISRRRFVAPSFNDIRLILNSAQVIGLVRSSDVELVTFDGDVTLYD DGACLTSDNPVIPRILRLLEQGRKVGIVTAAGYTEAPKYHERLQGLLDAMHDSTTLTP EQKQGLVVMGGESNFLFRFDPTSDARLTYVRRSEWLLDEMQTWSDDDITELLDIAESA LRACAANLNMPAAILRKDRAVGVYPVNGVRISREQLEETVLVVQNTVQRSAVGARLPF CAFNGGNDVFVDIGDKSWGVRVCQRYFGGIDPAKTLHVGDQFLSAGANDFKARLASTT AWIASPAETVELLDELEKTVHP PEX2_080300 MEPIKIATQPAKRRRIGVLTSGGDAPGMNGAVRAVVRMAIHCDC EAYAIFEGYEGLVNGGNMIRQLHWEDVRGWLSRGGTLIGSARSLAFRERVGRMKAAKN MVLKGIDALVVCGGDGSLTGADVFRAEWPSLLADLIASGELSPEQVEPYKVLNIVGLV GSIDNDMSGTDATIGCYSSLTRICDAVDDVFDTAFSHQRGFVIEVMGRHCGWLALMSA ISTGADWMFLPEMPPKDGWEDEMCSVITKNRTERGKRRTIVIVAEGAQDRQLNRVSSS KIKDILTDRLGLDTRVTILGHTQRGGAACAYDRWLSTLQGVEAVRAVLEMSPDSPSPV ITIRENKIMRTPLMEAVQATKDVTAKIDKKEFEEAMKLRDPEFKEYYNAYLTTATQDD PKMILPVGKRMRIAIIHVGAPAGGMNQATRAAVAYCLTRGHTPLAIHNGFPGLCRHHD DQPVSSVREVTWLEADSWVNEGGSDIGTNRSLPSADMENTAKCFELYKFDALFVVGGF EAFTAVSELRQARAKYDAFKIPLIVLPATISNNVPGTEYSLGSDTCLNTLIDFCDAIR QSASSSRRRVFVIETQGGKSGYIATTAGLAVGAVAVYIPEEGVDIKMLSRDIDFLRDN FVRDKGANRAGKIILRNECASSTYTTQFIADMIKEEAKGRFESRAAVPGHFQQGGKPS PMDRVRALRMAIRCMQHIEGYANKSGDEIAADPLSTAVIGVKGSRVLFSPMGGETGLE ATETDWVRRRPKSEFWLELQNVVNVLSGRTHASTNETWSCYEMIISGAPFNTNVNDIP VSYFSDPTTVRSVPSVPNSP PEX2_080310 MKLSSIILTVFALATTGLTAKTCTPSFDYCSDVLIKDKGFTEAD LKDALKGSDLENEDLKNVLFHCKNPGDVGHPKLCTSGCQNPATEGSHSCSV PEX2_080320 MAPNDSVFSLVTADPGLPRSNPTASYWQHIPHALADVQSSKLPT DRDFAIIGSGITGLSVARSLLERHPTATVTVLEARALCSGATGRNGGQMAANAGEQYM NLAETHGVETAGKIVDFTFRNLEKMQELIEEYDAVELSEMQRLQKLRVFLTQGKFDDF KKSIARLEADHPSRKGLYTILDADAVLKEYGIHGACGGGLLPAGTVWPYRLVTKVFAA LLEKYPGRLTIETHTPVESVEHVSTLASPVTSTYPYTLRTSRGPLRARTVVHCTNGYS GHLLPHLRGLVHPFKGTMTVQDPQNAVSNQGTAVSWGFHYPPTYDPETQRLGYGLYYL GQSAKTGYFYFGGEHARFEESVSADDSFVTDYSVKHLEGVLPRFFGKDDRSDWRLVSS WSGIMGYSSDGLPMVGRLSPTLTGREGDGEWIAAAFNGYGMANSLMSGEALALMILGE DVSDWLPVAYGLGEKRLRETLTVPGAVNALSSKL PEX2_080330 MADSFTLPLRPIPEKRDRPDTLPVEIAQINNQWGSFREVNEDVL RNKIVEEEEKDGLDEVDESDQDATDLDSTERLEQLYKRRAEITQFAMQAHMETMFALD FVSLLLSKQAPRQAETSMSAFLKQVAPLGSLNAEVVNPPPKPESTTKDISAVSRGWRI QNFNAAANKLLQAASRLETEVASETRYWNEVLAVKDKGWKVSRLPREKQALGVQYGFL EATPVFRDRGLASLSRAEDGALLLDEGLIPSKPRFVRVRVIQDGRLSGSSKPTRSTFN GDGSIEDRILQARDTVYEEELFHELVREARAIASFGVTTRQNLIQIPASDDLEILLDL VDTDEDTSEPEHHVSQQGTSLAEGLAHTIRILLAYAHRQNLRRRTLLPPPLTPKMRSV PEHHLIRPALAYIKHMSNVRWLQSLLNDLFGVLQSANLKPPAYTARVFSTGRRKQTST APAVETLVGEFLTPLLSTFNGKILTPLGSFSIAIHTNLSSPPFGTTFDVSFNMPKYQD LESPGKLYQREEVEAAITHLLLLDVVFTVSSNSGSSKSESDKDNAKHTWEAIYPQHGE LLIPSKSEKRKKMKIALSRHELSLEIYTVRCIDGTGRGNWEKPSHHSMPHTWTSSPIA GSPQEPSLMDYVSKQVSQS PEX2_080340 MASYLVTGSSRGLGLALITRLATLPKTEVGTIIATARQDNSARL KEIASASSGRVQIVKLDVTDETSVKDSVAAVERQLQGKGLDYLVNNAGVSDWSTTGLE GMDNLNETFNVNVTAPHLVSRAFLPLLRKGEKKTVINISTTLGSIAMADAFRPLLSPA YKISKAALNMLTVQYAQQYADDGFTFLAISPGWLRTDLGSSRADLPVELGAEKVLDII QKATPEQNGKFVNIHVPGWETREGRNQYDGKEIPW PEX2_080350 MRYATSVPSPTTTRRYAPPYTDAAKHLTTSWSTSTWGSWVPEQT IISATDTKDPYGQAAWSSMWLQADLHNYTTTGLFSTTVSPTPVSSSELILPPRDYFGP TDCYNFPRDFVFGVAGSAAQIEGAIGLDGRGPSLLEKLVSDSKPKDYVTNENYFLYKQ DIQRLAAMGVEYYSFTIPWTRILPFALPGTPVNQQAIDHYNDLIDTVLDAGMTPVVTM LHFDSPLMFVASDNITKHPDIGYNNAGYQNETFVDAFVNYGKVLLSHYADRVPIWVTF NEPLLYAFNFKGADHVVKAHAQVYHFYHDTLKATGKIGIKFNDNFGVPKDPKNSSHVL AADRFQEMQLGIFANPIFLGKQYPKSVLETLPGAKALSKSELKHIHNTSDFFGIDPYT ATVVSPADEGIKACAVNRSSSNELFPYCVKQETKNVYGWNIGYRSESYVYITPTHFRE YLFYLWNTFRSPILVSEFGFPVHAEAELEELSDQLFDSPRSAYYLSFMSEILKSIYED GVHVMGALAWSFVDNWEFGDYTQQFGIQAVNRTTQQRHYKKSFFDLVDFVKTRQPNKD PEX2_080360 MGSIIDYSQFKIPTHCKAGVVVNEGPDFHVEVQMVPVPQPGPDD VLIKLNYTGLCSSDIHMMQGDLGLPFMSAFGVRSPGHEGAGVVVKVGANVKTFKLGDR AGIKPMMDTCGSCALCWDDKETYCKGAILTGLMTAGTYQQYLVSPARYASPIPDGVPD EIAGPIMCSASTIYRSLIESNLRSGAWVVFPGGGGGVGIQGVQLAKAMGMRPIVVDTG ASKQKLSLEMGAEAFVDFKEVADPTKAVIEIADGVGAHGVLVTAPAAYKTAVSFIGDR IGGIVMCIGLPVAGSMTIGADPCQYVFKNLTIKGTLVGSRSDTAMALDFARRGALRQI SEVYPVNRMPEAVEKLRKGEVAGRIVINFNWEE PEX2_080370 MRVFNYASQPSWMGLLAAALLGTSTVQAIELDLEDEDSIRKAAR LVATNMMSYYTGMNPGDNPGNLPDPYYWWEAGAMFNTLIDYWFYTGDDTWNDITTQGL LWQAGENKAFMPANQSKTEGNDDQAFWGFAAMTAAERNFPNPPEGQPQWLEMAQAVFN TQAPRWDNSSCGGGLRWQIFTWNNGYNYKNTISTGGFFNLASRLAKYTNNQTYHDWAE KAWDWTTEVGFMTPEYRFWDGASDLTECKPINQIEWTYNAGVYLLGAANMYNLTEDPK WKERTERIVEASGIFFSHDPPNVMYERACETVNTCMVDQRSFKGYLSRWMAQTAQLAP FAYDTIMKRLKASAQAAAKTCTGGINKNVCGLKWTEQKWDKTKDFGQQMAALEVIQAN LITRVAAPVTNDDGGTSKGNPNAGSKPEKKIPPGLDYDITSGDRAGAGILTVLFMIGI GGSTGWLIWD PEX2_080380 MIMFKNMSKICIALAISASAWAAVTSSKPLVASAGDIAAIPGWY LQSSTKVSGGMDVLSKPGKDVSSWYRVGARGTVMAGLIENGVYNETDLFYSDNMESAA DPVIFDAPWFYREEFTLNPSTGQYFTLKTHGITSKADIYLNGVLIASSDQQQGTYGGH QYNLTEHVKDGANCLLIRAYPTNYLRDFAQGFVDWNPYPADNGTGVWRNVELSQTGAV SMSPFRVLTDFTGSTSGPVKVTLRTDLTNHESSDHQVLIKGTIKGPDGSAVAQISETF ALKSNEKKTVSISVSIAKPAIWWPALWGQQPLYTVQASTTIQKPKALVSDSSIPQQFG IRHVSSKLNSHNDTEFSVNGEPFQVIGAGYGPDIFLRFDVDRIQKIFTYMLDMGLNTV RLEGKQEHPELYDLADKMGMMILAGWECCDKWEGWTYNDEADGVKWGKADYPIAKAAM LHESEMMQSHASMLGFLVGSDFWPNEQATEIYLDALKVMDWPNPIIASASKRGYPEAL GPSGMKMDGPYDWVPPNYWYHNKEGAAFGFGSELGAGVGTPEMGSLKKFMSDAELETL WKEPNADQYHMSRYDSQFYDRKLYNKALFARYGKPTSLEDYIIKSQMADYEATKVQFE AYGAQQSAARPATGVIYWMLNSAWPNLHWQLFDYYLSPIAAYFGTKVGAREEHVAYDY ESQNIWLINHSLDKSGNRQVKVDLIDADGKELSAATVESNTTPHSSKLVTSLAGIKKV KDIGFLRLTLSDPKSQAVISRNVYWLSSTTDVLNWSKSNWYTTPVTKYATYTKLEALK TATVKASLHSVASPTDDGLTHAEVVLENQSAGPAVFIRLNAINTSENAEIAPLYWSDN YVTLWPKEQLQLTVAFKGDIQDTLIEITGRNVEKVTIKA PEX2_080390 MKPNSLAYLPSFPVELVELIAGFLDADGLLPLRLVCRELQRKTF HHFAQRFFSSIKTDLSGDSLRRINALSQNTALCPYVNGLAFMLQNGVGRGLVWDRHPW GPISAPLEVEAIRSLRDNLIQNLTNCRSFFIFCRYPEGHPDMSHVTITDAVAVFFALV VDARLPVSSFHLIYANKYSRTLIMDMRRLPKLLYRQPEFKLVWANLQKLSLEQYLTLD NFGFLLELVLSAPNLQTLLLNLGSHDLASEFMHELAESASFPQLRELALFRTSTRGPD LHKLLANIRPKLLSLTLYHVSLAPGSDWAPFLKELSQGFLALQSISLYYLWASTPAKN LLAFPDIPKTPSLCTSKGQHLNVFYSEDLKTPTVLGIEYSGPKVSHVLDLLQTKTESP PPHLGQAASM PEX2_080400 MTRLPIIDLVYSQYRGVSLSNGVDQYLGMKYAKTPLGDLRFRGP KDPEDTVGVSDASSFGPLCAGVGESTNDSLAEDCLFINVWRPTNATADSNFPVWLFIQ GGGYANNANGNYNGSEVVQQSGHNIIFVNFNYRVGVLGFLASEHVRKNGDLNAGLLDQ RKALHWVQRHIRKFGGNSSHVVIHGDSSGAGSVAHHLTAYGERNTDLFIGAVAESPFW PTQRTVAQMEFQYDRFVKDVNCHKAKDSLTCLRSVDIKTIQKFNIDKPFPGGSPTPVP RWYFLPVVDGHLIQDQLYNLFSEGNFIHVPLLVGDETNEGTDFAYNASSKSEVAQFMK NNYPGLSHDQLDAMNKAYENVEPLPKHAAYFASAAGAYGDSTFTCPGNFMTAAMAKSF SFHRIWNYRYNVRDPTKIANGMGVSHIFDLPAIFGIGETNQPTYSYASSNAKIVPITM NYYLSFIRVLDPNIYRYKDAPEWQPWGSGAGQRLRLQTNSTEMESIPQVEVERCSMWK NFTANMQQ PEX2_080410 MQPVHPPDSCATEPHPQPLCEIHPVDGENPHAPDPSQHKKSEGD QEKQAIDDELAPLHSVMPNGEKTFVIMAGSFAALFSPLSSSIYLPALPSLASDMNVSV SLINLTITTYVIFQGLAPSFIGSFSDIYGRRPAYIIAFVIYLGANIGLALQNNFTALM ILRCMQSSGSSGTIALGTAVIADLSTRAERGKYIGYAGIGMALGPTLGPVIGGLLDHF LGWRSIFWFLVILSGVCFVVILVVFPETCRAVVGNGSVPPAPWNRPLWTLFVRTSRFD DEQGTADHSTIQELKKRPNPISALLIATQKEMGLVLLYGSFLYAGYMAVISTLSTELA SRFGFNSIEIGLCYLPMGLGSISSRWVVGRLLDWNFRREAHLQGMIIHKNRQQEIGKF NIERARLAITLPLIYSASLCILAYGWVMQYRTPLAGPLVMLFFTGLTTTSAFNTLTTL VVDINYQSAATAAAANNLFRCLMGAGATAVASPLIKSIGIGWTATFIAGLWVLGSPAL WVVFYRGGAVAAIILSSVARAITFDINDERTWWSSDPPFMSITEGNEKLTFEFITESI KDAASTSSYAMMKYYYGNETGQIPGAFPDKWWEGAPLLMALLEYWHFTGDTTYNEELS VALQWQSGTSGDYMPSNYSSYLGNDDQMFWGLAAMTATEFAFPDRSTGFSWLSLAQGV FNTQIKRWDTTSCGGGLRWQIWPYQSGYGMKNSISNGGLFQLSARLARYTGDAIYLKW ANKIWDWSVSSPLLNNSTWNVADSTNVDNDCATQGNAQWTYNYGTFLMGAAYMYNYTN GTAQSQWLTAVNGLLNKTIDDFFITDGIIEDYYCEPAANCNNNEILFKGLTSTWLAFT ALLVPSTYDTILAKLQTSGQAAAASCTGHNNGTCGVRWYKSTWDGWMGMEEQISATKI FTANLINFNNTAPVTSTTGGNSTSNPTAGDSDTTASTTTTTAITTADKAGAGIVTAVF VSGWIGIMCFMMLGS PEX2_080420 MEHLNQHPDKYEPEVDYPIDSQSHESDDTIEEINSLELTRINTY RLQQKTTVGSTRGPTPRDRWLPMGANKDYPPLLPDSENYVVEFDGANDPLHPYNWSTP RRIMLVCILCYGTFAGSFTSAVFSAAIHGFSKEFNTSTEIGSLGVTLYVLGFAAGPTI WAPGSELIGRRWPLSLGLFGCAIFTIACAVAKDIQTVMICRFFAGLFSASPISVVPAV FADLFNNTQRGVVMSIFCMAVFIGPFSAPFVGGFIAMSPLGWRWTMYISAIMVFIGFL LVFVFLDETYAPVILVRKAATLRRQTRNWGIHAKQDEVEVDLTELLRNNFARPIIMLI TEPILLLVSLYISFVYGLIYALLGAYPVVFQGVYGMSMGVGGLAFIGLIIGELLGGVY ILLIQGSYKAKLAANGDKPIPEWRLPPAIIGAVSFAGGMFWFGWTGYTSSIHWMAPVA SGLLTGVGIFLIFLQCFNYIVDCYPSLAASTIAANTILRSAIGCSFPLFSRQMMENLG VQWAGTLLGCLAIVMIPIPVLFKIKYTQGYMDVVINWDLNRWTSSDDRVRGGSSVSNL EPTAQGVLFHGNLDIETLGGAGFASQRTVGDEQRWDLSGYDGVELHIIPSDGNRYTFS LTDEISQRRPDGREQSALVWEYDFCTSETGREVRLSWKDLKPTYRGKPVEDARPLDLS RIKRVRIMIRSFFGAQQGDFSLNIQSIGVFRESYLDNPNVTKESIDGGDEQTEPKEAR LKKSIAPLISRSYWHAINSTFD PEX2_080430 MWREVLTPSPRRSQYGINQPHENGMGQFGTIVSLGEKSGYWGCY RHRMADSAIDKFKSPTPDSEPTCLRTQLNASRSGRIHHVDFPDNLCFVVEGQDHSQLS AEEREHWFTNFDGSVNQWVKDLVDSGPEAGILDARLCYEPGSGTFWGSEPRALNALNY NKKVHLFYFKDLGYMERIGCLNKGHVDPRKRFLESYGPGGEINEGKISLLVETVVLKA DEVDCEYIGYVEGTGFMSTSPQSSI PEX2_080440 MAAIASTESIDKLQYQVTESLGAFRTQREETDRVAALKAAQRLV NALQKPQDSVYHLAYSPTHAMCVRIALDMDIFATLTERDGPVSLDELAAVKNASPILV ANTIHAILVIKFIFDVGMPTLAKVPEFLRRQEHRNPEGATTGPLQFAEKIDESIWTWL PQNPEKLDSCNTFMEGDCGARPSWLEWFPVEERLLCDTHPDAETLMVDVAGGRGHDLA AFATRYPDVPGRLILEDLPHVLEESTIDAERIEKQPFDLFKPQPIHGARIYYMKFILH DWSDEENHAILTQLAGAMKQGYSKLIIEEFVLADRDGAMLPAMWDWEMMIFCNSMERS QSHWTRLLKGAGFQVIKFWAPPGDGQGIIEAELK PEX2_080450 MQLSIFALCTVLCSRVLGHPYTSETTSWISATTTSVEDLWAVSK PTEAAHLYGKRGYDVILQARDTTTTTDSTTSTDTTSDTTTTDATTTDTTTSETSTSTT STTSTTATSTSETSTSSSTSSTSTTTTSATSTSATSSSTTSTSTTSTTSSSTTTSSAS TTTSTATATATSSSTAELDEWNRRGNIAAIVFGCCMISLFGGLSLLYCLRNKARARRI AARKELESSQSYSKIPLVAAKHNSATDLELNRSSMMFTNKPDTEYFPARSDPSVSNYH GHSHNTSTTSQTTAGQSSTRSQTPRAYSNEQHMPLV PEX2_080460 MPKDNRRQTDLSLHTLCNRKSASDYDDDMKRAKSLGIDAFALNI GVDPYTDQQLQLAYDSAANNNMKVFLSFDFNWWHIDQATAVGQKIAQYASKPAQLLVD NKVFVSSFAGDGIDVAALRAAVGRSIFFAPNFHPATGTDISPVDGLLNWMAWPNNGNN KAPTPGHDVSVADGDRVYVNALGGKAYIAPVSPWFFTHFGPEVSYSKNWNFPSDLLWF NRWNEILALKPRFIEIVTWNDYGESHYIGPLNSPHTDDGASKWVNDMPHDGWLDISKP YIAAFKAGDSSPNNHISSDELVYWYRPAPRGVDCDSTDTCMVPASNGSGNYFMGRPDG WQSMADSVFVVSLLKSPATVQVNSGGTVYNYDAPAGASAKEVPMGVGAQSFAVSRDGQ TILSGTSLKEIINGCVCGLYNFNAYVGTLPAGFHDPLLQDGLSAFKQGLRDQTCQPTP SLGTVPPAPPSSSSSSSFPSPPPSTTTPPGKCSATPTTVVVTVTVTQPLTPSGSSPPS PTGGGNGGGGGGGGRTCIAGTGSNNYIGLTGVQSRVRRQLEFMGCLLSAKTIRIWVFA VLHAIMAIVPLRPAK PEX2_080470 MILKPLLAFATLGLAVDYPVVSLFIPNADPQSLLGEVLAAQSAT TTYSINCPVGKTNSTECGMGPGLFLTAAPTSVEYLISAESDNIYDHVVCDMTDLPTGA YTCTDTVIGKGINTPGTSTSIIGWDQITLLPVTITSTADAVAATANGTTNEGLTGSQA ATTMITTPAAGIAAAATTIAATSVAGVAGRSIPTGILPACAAAQALAALL PEX2_080480 MAKIKSIEYFRVKPRWLFVKVTDEEGRFGWGEGTLEGHSLAVEG ALDEIITRIVGYEANDIQHIWQTVWRLGFYRGGPVFMSALSGIDIALWDLKGRRLGVP VHELLGGKVRQKVQVYAWIGGDRPSDVEAAAKERIAQGLKCVKMNATEDVNWLDSPAV LQSCVERLKQVKALGLDAGLDFHGRLHRPMAKQLAKALEPYHPLFIEEPLLCEHPEAI KQLSEQTTIPIAFGERLYSRWDIKRFLEDSSVDILQPDIAHAGGISETLRIANMAEAY DVAIAPHCPLGPIALAASLQVAVSIPNFVIQEMSLGMHYNVEAGDIDLNSYLVDKTVF DIKEGYVAAPSKPGLGIDIDEDLVRKISKETEPWQPKEFYGPDGSIREW PEX2_080490 MKLTRQEINRHNNQETCWVVIHGAVYDVTDFLDSHPGGAAVILR CAGKDATEDFDSVHSLELLSEALPETALQGYVDPAELGEPKYKPYAMDEKQSKLDDNS PPPLQSLINLHDFERIAHQRLSATTWAYYSSGADDEISKLNNALAYQKISLRPRILRK ITAVNTATVILGYSTTLPVYISPVGLAKLSHPEGECALAAAAGKEGLVQILANGSSMP IEQVMKSRTSPKQPIFQQLYVNKDIQKSVETVRRAERAGASAIWITVDSPVVGKREMD ERLNLTVTATDNGAEEKGVAKIMASSISPFIDWEILTWLRQLTDLPIVIKGIQCVEDA VLAYQHGVQGIVLTNHGGRSQDTAQAPLLTLLEIRKFAPHLIESKMQIFIDGGIRRGT DVLKAIALGATAVGLGRPFLFSLSGYGKDGVRRMIEILRQEIETNMVFLGVTSLEELR PEMVNTSRLEKHVIGSVKL PEX2_080500 MSDQIDVLVYGLGAIGSFYAFILSRSNRVRLTVVARSNYEAVQA NGITINSENHGQHTFHPHRVVKSVADIPPVDYIVCAHKAIDQDEVVAKLQPAIDSRTT IVIIQNGVGNEEPFRKQFLNNSIITCVTWVGATQTSPGIVTHTKSEDMQIGLFPNDKV ESRVEQQRLETFAELLRNGKTRFQVLGDMQTQRWEKVVWNVAWNSLTTLTMVDTQTWL KSSEDATPFTRQLMQEVINIARGCGVPLKDDLIDQLMDKINAMPGIGSSMQTDCKSGR PMEIDVILGFPVRKSRELGIKAPFLETLYVLLRAVDGRLRAAR PEX2_080510 MLLTLEGLRQYSDHKGGLVFFAYTEDVTDAQSFAYNYLPIIISL ILVLAWTVTDFDVLRLEPYFQLSRPEGAPATVLFINYNFGQTILTPINAVIRRHWVVF WVSFVTLLIRMILPALQSTVFELREVTVVDQGTIQCWADLVDLDTQANLISIQGDVLH GMFSSNELISQSRSAKYALAPVEIPNSEQDDRIQWTVDQTLYWAHLSCENVLIGDMLT VSIDEPEEAQATISWNASSIDMDDAYGGAKKCALDFQYDSVFFPGTDYMQIRYWEPMI SAAAKDAFPDGTQSFTEVGCDPYDLYGMLIGVNITSPSSNETGTEYSASGTAFACDII YHQAEARVTMHSNGSIISIKLDRSTTRELTKAEFNVDHFQAVLSERAPFTSDMLFIRE NATTGARAVTELPIISQDLGDIQPLLVLDTSTIMTEAEFESRITRDVKQTFVLTLGRM FDPDSLPKDLPAERLSHQVAIAVVDFAALWSELILALATLTTVYLLYMYNSRDLFLQS DPGSIGAMCSIVADIFHPSNILAEPVAELHQFSTRQLRRIFKNARCYWRPGPSGNRLD IVSEDGSPVQLGENLKTRVDPMPHFLVIPFFLIEFLALAGVIILIVLVVASLLRNGRF SHMTQSDSSAFQVILSFLPSVVASSVGALCTSIHRNLSVLEPWVHLQRGNASARTSLS LNYSSQSPLAIFFKTLRNRQPLLCLVSIACVVNMALTVVAGALFTQELTSSTLATSDL SMNYSQSTFWQTDFAVEFTEYDLIQSSISSGSSTLSWTSSDQAFVPFHVANRNPDVTY VASTLGVGAKLECQILPSDALVYNQANGHAYWRYGLFDDPSVECTARMPPLKSKEEGI SLSIHFLSPDDTEDSDTCQTSTVLVVGRWDYLPNAPITDDNTIALHCEPEARLQTYSI SFDEKGQIDWYDAIPNTSITHGTMYDNATVSLGQFNKVFAAIPSSFVGNTSVQNGTYN ISSYDWAGFLVARLYQHVDPDFDALDPILLSNMTGTVYRLVYSTYFSIWRNIYLEPLA HPLPAKDATVTRVTWRMVPSAPSLAISLAIIVFDTIVVLLVFGTRRGRFRGPRMPRSI GAIIPWISHSQMLHDFTDTHTWSSAKRRAHLVSLNKRYGFRMFMGADNRWRFAVDQEA ENINPAGSLGGASEVEVDKTTSIQLQEIQSPLSPPPSPPPPPPT PEX2_080520 MAGAEPLGANLARIFVYSMRFNWQCEKGGRGSDENHHSPVSRAQ RPTPSLPDMLDKVPKADNVLDTVEGHCQIKFQHSTRL PEX2_080530 MRTSIAALALALSGSAVGAPFFNDTHIASNSSAVSTPVHLSTNS PLPTGSGVKGGGAIAIPTDLPSLASDAQSVAAALASLGAGAQKRGESLSIPTDLPSLL EDAQSIGSAIASADVQKRGESLSIPTDLPSLISDAQSVAAALASAGIQKRGESLSIPT DLPSLLEDAQSIGSAIASAGAQKRGESLTIPTDLPSLLSDAQSVAAALASLGAGVQKR GESLSIPTDLPSLLEDAESIGSAIASAGVQKRGEGTLPLLTPTGTPTPTVPFGTRFPK PFSTLFASSSVAASSSGVASPSGSASLSGITSSSTAL PEX2_080540 MPNHATLPPSSVPDNPVTLSDPHVGPDEHAPGVWAAHVKTLPWI TARKSTFIKSDFLGFLRTMEPRREPTLRTGSEWNAESFDKLVGSSISNAEGIYMQMVG RRAEEECTHCQQEEGPFPYCVVIDSPRWPKECANCHWGGNARQCSQFIEQSSQDPRPI VPHASFHQSAPSLDNQALVRQRASDRQLFLLELLRLHAMITDIRTTTTYTRQAANDNI AAWRSVRETVRVVRSRLSSGNAVHTSEVRRVRAQVLAGVGRGHTIQNAEQEVAQYTQD LQNAIEDVIRQYI PEX2_080550 MGIFSKLIKTTVAGSIASVGVFWGATRNDIFETMDNSDPIFQSA FFKKFNPNNNPTLHDVCVRRIPLDKIQPSLVEEKGKLVEAFCAGVWGGMGYIPQRAIL ERKYRGPETADHLWDRSDLLSNKYEVGTIVTDHFEVVEKTEDRIVVRCGDSPRIREVR PSDGLFEIAAVVKRDQGVAEFSLKSCFYQGLGKAEGAPMDDKIAWAHRQYTKLLLETA VLKKCIK PEX2_080560 MSNETVEEGRVTAGTEQNETRSTLYKIWTDSWFQIILISFICFC CPGMYNALSGLGGSGQVDQTVAANASVALLSCTAGTALFIVGPIFDRVGPRVCLLLGG WTYALYSGSLLCFNATSNGGFVIASGAILGVGASFLWVAQGAIMTTYVPESQKGRAIA AFWIIFNLGGGVGSLASFGLNFKSKSGTVSDATYIALLTIMAFGWVLGVLICPPSYVR VAQMEVTPESEKNFRQIIRHSLQTICNWRVLCMLPLFFSANVFYSYQQNAVNGMNFNI RTRSLNGSLYWIAQMLGGLVMGLILDIPGVSRPNRARIAWVFLFVTGMVIWGGGFKFQ VWSDLRLEQGLKQDIDYTDSDISVGPMFLYIFYGAYDALWQSFCYWLVGAQSNSPAAA AVLVGAYKTFQSTGGAMAWRINAMGKPAMTQFAMNWGLCMGSLVIAIPTVLAITLTSD KETPAAEEKDFPETEESREVAKS PEX2_080570 MSTLDMCTVINADDQKVPESVVPSLPVIAGAIDALTPRVRQGGR VIYVGAGTSGRLGILDASEIPPTFAAPRGQFVGLIAGGDAAIREAQEGAEDDEKAGED EMKSLNLNPELDSIIGIASSGRTPYVLGCLAFAKRLGCVTIAVVCTVPSAISLSGNVD FVISPVSGPEVVTGSTRLKAGTVTKLVLNMLSTGTMIRTGKTYGNMMVDLVASNLKLV QRSRNILRRLSGKCQSSSNTELDALLARCNASVKLAILVAETGESVETCQGYFDAAGG VLANALAAVSKPIEQKPTSTPTQKFVLCIDGGGTKCAAVVADGINVVGQGFAGPCNLT DSIGNIDGVIATLLDATKAALKDALPSDVEQTESSWKERLQASFSSVWVGLAGIDRAG LEGVLAPKLRQAFGITQEKDLQLTNDVDLLTAGVPQSLGTPSVLVVIAGTGSVAMRYK WAEDQQRYTRSARSGGWGHMLGDQGGGYTIGLKAIQHTLGVFEDITLGLDKTGGDEFS KAVAEKLGCQVSESTSIDMLNNILGQTHSQGVKARIASIAPVVLNFMNKSETATDIVS SQVALLVGEALGRLVKPQATGYQPPEASVLVLAGGLMKNERYRATFEKQLDTHRLYFR GTVVVEDVARSGATYLCR PEX2_080580 MASKEASDPSPQAHFELLRMIDQLRSAVETPTETVLRLIYQPPQ NAALRVVIDLGIFAMLVEKQHRGAGLSAAQLAEYTGAEKDLIVRLMRVMASLGLCITP NPEVYVANDKTVALTQPIGRDGIPCIYDLTFPTLSKLPEYLRSHGYANPQDYTQSPMK WAVGQSQFEWLSSHAKQQELFNSYMASRRDGKPMWYDIYPAERLFGHAVPYKDTVFLV DIGGNQGHDLGKFRCEYGHLPGRMVLQDLPNVIEGVDGESAGLEVMGYNFMDAQPVKG ARAYYFRSIFHDWDDQISRRILRNTVQAMAPDYSRILIVDFVLPDTDTPLMQASLDIQ MMSIGAGIERSERQWRDLLHSAGLEITGIWNQSPAMESVIEAVPMLK PEX2_080590 MHSLPNALKSEVDSPWKPAIATVSLGAANLHTIIAKLDAVALNG QRGLELFHDDIAQLAKTLRAHATDSEPRTDRDYEIDAARAIRDLSAERDLQVVALQPF RHYEGLIDPERHAERIDELKHWVQLCKILGESLFILIPSSFLDASEITSDRERLAADL AEAADVAFPVRIAYEALAWGTYINTWEDSWDIIKRANRPNLGICLDTFNIAARVWADP TAATGRLGPSADRDLRESMDRLVREIDPDRVLMVQLADGERVDPQTPFLQAPGLPTLL AWSRNARLFPYEENRGGYLPIREVTDACINGLGYTGWVSMEVFSRSTGEDNPAVPMEH AARAGRSWLRVLELLEEKSEKGSI PEX2_080600 MGIQRGIQAAQASPSSTINPLVQEFGSLQVLDDLIRLRAADVVQ YPILAYPRFDNDAASYEYYTGKHLDAMINQAVIRLMDDGFKQATQSPSIMALLTLSDL NMVITFFALSRLGYTVMMLSPRLSGEACVSLLETVNCESIIYGQSPNIRSTLGEILRR RLVTCRPMLSCSLYNKLESPSPALHRNRNPEMVALILHSSGSTGTPKPLFLTHKAIMT HPLRGPGFTSFNTLPWYHLHGLSTALQAMWMRKTAYMWNAALPLTAEFVATALEEARP ESVAAVPYMLQILIDDPRGITALRQCKLVTYGGAPCPDELGDRLVSEGVHFGGSFGLT EAGLVAESISRPKGDPYWNYLKFFDNIRSYVWMKPISEPESLFECVYLAGHPALTTSN SNEPPGSFHSKDVFIPHPTIAGRWKYISRLDDRINLVNGEKVLPLPIEGHIKQHPFIH DAVVLGVGKAAPGLLVLRADEPEVNRLSDDKYLNLIWPTIQEANSQAESFSQISRNLV AILPYDAKFARTDKGSMIRAQVYQEYSELIEGLYAEKQQTCGNLQLDVVETERVLLQI SDQELGIPVSSVDANFFSEGVDSLKAIHFRRLILRHFKFDISQTPGPNVIFEAGCISQ LARHICSLQKGEPLEDVESEVSVMTELIEKYSAFERHIPQPHAFSGPNSVLLTGATGS IGAHVLYDLLNDDSISTVYCLTRREAPLKAILRTLADKDLFISPEQKTKIIAFNSRID QPNFGLSLDESTARHMIDSVSLIIHTAWPVNFNIPLTEFEPHIQGLYNLIQFSLSVQR REPAVMMFCSSVSTALGSHSVEIPEEPVDLDCAFMGYGQSKLIGERIVSNARRSGANA YSLRIGQVSGHSKKGLWNDSEALPLMIRSALTLGALPKLSQTCSWLPVDKLASTILEL ARTCVTPSVPGDSQASSSAAVEYVDDSIFNLCNSREFTWSCLLHTLKNCGFRFDVVSF EEWLLRLRRSETRGEELVNPAVKLIHHYETMHGKESSLMPTGPKRFITEKAERSSVTL RDGRLRIIEDGILRCYAKDWLARWTMV PEX2_080610 MVSSTRSLSAGSLMLALLCSPVAATTYSLVETWQGKNFLDYFNF HVGSDPTNGFVNYLDKETAESTGLVKLTDTGSVYLGVDHATKLNPNGKKGRDSVRIGS KKYYDQSLVIADIAHMPGGVCGTWPAFWSVGKNWPADGEIDIIEGVNLQDHNEIVMHT AGTCSLTDTGMTGSVNATGCGEDLGTVGCKIEGQEGSYGTSFNKQQGGVYSLQWTDKF LKIWFFPRSAIPASITSGKPDVTEFGTPMALVEESCDVANAFKSQSFIFDVTFCGDWA GGVYGGSGCPMTDSDSFQSCHNYVANNPTQFKETYWEINSVKIYQAGVKGIASVSSSE SKPTTTAAAPVVSQTTVETHATQTATVVHSAASKESQTAGAVQELTTASVSTVAATAE SSATGKKTRTITSIVTATETYCPEAEKSSAIAAHSVATTVPVPAVQSVQTPAHGKDPE ARTSAPPVAPTSGLASVPAGHGYTKSKSAPVPASTPVAAEHTSVPAETFGGSWAHPSV LPSAVVSDVPYEASKALNSATTDASPKQTTSATTSFYVPTGSESGASPVFTGAADRLS MSISALFFGVIVAFLA PEX2_080620 MQADIGIGDAKNAAIYEAIRADNFADLIVPVRAFIPTTTPDQHR AQFDRILAMITNIKEQFANIRRT PEX2_080630 MIAVECTLRLPTPTSHFSLLTLPSHSPFPLSSLPQIKLLKEATP QRELLQRSTTHYTGKRMDERINTKVANVESILI PEX2_080640 MAEGFKLYHYNPSVGSAIAFAAVFGLTTAIHIWQLGRNRTWYFI PFVIGGLFEALGYLARYASAEEAPDFTTKPYIAQSLMLLLAPAFFAASIYMILGRIIR MLNGASCSLVRPTWLTKIFVTGDVLSFFIQSGGGGMLATAKDQSKVKLGNNMIVVGLF VQILFFGFFIIISVVFHRRMLATPMHAAGDTRIPWTRYMKVLYTASVLVLVRSIYRVA EYVQGSGGFLQSKEAFIYVFDAALMFICCLLFNFFHPSKILSGYQKARGDPDLEMLNQ DTGYTGQVSLGEAKDVDLSIEDELSARRGYTAALANPKVSKKAKRNALDLLNDELGGD TPRHYLNEAPDQSKDQSGLAGVGRAEHKSHHTTQGEND PEX2_080650 MVRISEAIKDDHRRLESYYNIIMNSEDEDERTRFQNQFTWELAR HAIAEELVVFPALEKARSDGKEKIDDDRREHSALREMLYVFQDLNCSDPRFLPTITML MEGLAKHMRDEESNDLVILEETLTSDESEKLGQSLSRTKMFLPSRSHPYDLENPRFET VADLLMAPLDYLQDLFRKWPEEESMLTSPME PEX2_080660 MASTTAESQYWPPGTLLLQEQRSNDEEIILQPQPTDDPNDPLNW APWRKYLNFGLVCLYALLITEFICAATPTWDPMHDQLGFSWAVLNDSYALGCGFLGIG AVILTPFGFKFGRRPLYLISTLVTFGVSIWSAKMQRPVDLLLVNVFSCLFGALSEVII QMTIADIFYVHQRGLSNAIFIWTVQAGSSLGPLAAGYVTVSQGWRWVWWWNTIMFGVC IVIFGLFYEETKWVGRSKRISQHPNGSVSEVDSSSHCPMKPEMDIKGSSKGSISSDPS RDQEQGRLLTRDTVILNKDIPMRTWKQRLSVTASSPGHWKIFARHSWQPFLILGTFPA VLFVALVYGVLIALQDAISTTMSSHMTEPPYNFTPDLIGLMNLPQFIGVTIGSLIIGP LSDRFILYLARRNRGIFEPETRLWMMLPFIPLVVAGALMFGYGIDKGFPWPVVAGGIV ICSAGIAPINIVALTYITDSYTDVRYWLLADQKDRSLSQFTFKTMLIRYQILGDSMVG ITFVRNAVSTSFIFALDPWFHAVGIQNVILSMAVIATFVLLFALVFLKYGKVLRAFTA PKYQEYSSKQMTS PEX2_080670 MSSAHGSQVEVTPLPQGAQSDANFGATITGVDLNNLSDEDFQIV RNAIYTHKVVVVKGQDDLVPLKQFEFVHRLDPDAEGVHGFDTKDVTDETIGVLGSRFN TIPGSVGVTIVGQGYQGDDHYGLKGITARSTTHFNAHVEPLTTEDVENGQTRFGAFHF DGVIYGSNPSRVTTLRCVRAPKGPDLTIRWDDGSGQTMTAKPGLTAFIDSAQMYEMLT DGEKTTADNSYWAPAPQPYVWSGTRKIRNSGLGMAPGGETVPLDKLPTWVPEKVFKFP MVWVNPVTGTRSFQILPDVVQKLYLKSGPNAEELVVEDNEEVRIWLNNILDRICKPQN ILIPEYDEGDVVMFNNWEVLHSSIDYPASYGVRTMHQCHIPSSTFPVGPIGV PEX2_080680 MDKRTSENLERRDVGKRPITRPVKLACLACRRSRTRCDGKEVCT NCLRKGQECAYIPSRRGGARTGSQYTQRGIQKNCEGKQTRPLEQYVEPGAGLRKLEDN VQDSDFIFDSIFQLGEDAIMSDFQPSRPMSPIVRAYQSDRDILDAYYIYIHPYFPILP PPELTPTDRPIVISEDEQYLNDADYEPSSSLSLSISAILSLIPYPNNGDPLSADAVVF RRKHAECLAQSALESVNIETELPASSTSPAQGLSDAPDAYLRTPFHPHVPVDLESVIA LSILSVYEYAQRGNIRRMRQRAGQALMAAMDMSLHSEPEKSDEYTESRRRAWWMTYIC VCQGSIVSVTEPTISMEDARFTTQYPTIRADLNVGITPTDFPSLAESYRRSEYQTWPF FIDTQRAIMSATMAVIELDKALKTGIGLQSKLERNRELDNEIKLLATKADEYLPQHPG TSLLDLEESVVADCLKLIGRIKVNRLVDTSFPLEGLEGIFADITL PEX2_080690 MSPPIAKRKCGVLGATGSVGQRFILLLANHPFLVLHKVGASSRS SGKKYKDAVRWKQATPMSKGLGELIVQDCRATDFSDCDLVFSGLDSDIAGDIEMEFVK AEIPIFSNAKNYRRHPLVPLVVPTVNPSHLDIIPHQRKEFGLKKGFLVCNSNCAVIGI VIPFAALQSKYGLVDEIEVFTEQAISGAGYPGVPSMDIVDNVVPFISGEEDKLENEAQ KILGSINDDASAFQEQSGLRVGATCTRVGVTDGHLAFVSLRFKNRPPPTAIQVKKALQ EYRSEAQKLNAHSAPEQTIIVFDEPDRPQPRLDRNLSNGYAVSVGRVRNGAQGGHFDI RFACLSHNTVIGAAGSSILNAEIAAIKGYL PEX2_080700 MCKSTLSGNSARPLEVTYNLSKSFANPTPISVLGLAISVSTLGC DLMGWRGAGGNGAASTGAYFFMGGLLMMLGGFLEFILGNTFPFILFCGYGGWWYSYGA TLQPFFGAYGAYSPDASDPSKGLEVAGFNATFVELSLTISFALLSGAFWELALGNASV ASNLQTAGGAFLFVAGIAAWYILLAITLSSVGFPFTFPLGDLGGFLTKKERLDSDASA PEX2_080710 MTIHPAPKEWIVQKYGGTSLGKLLDKVCGAIIPAYLENYNVAVI CSALSGTTKANGTTSLLLECLRYAEVVGVESISHINAMIDKVRDAHLDKLQTLRAYSS DTNDLLLSESIVNMVSDCEEVRKFLLAAQGGIPARVINLDNIVAEEFGGSLPNQTAEF ERLGVRFYHRLGQRIASMVSRSTDAVPIITGFFGVMPNSLLQCVGRGYSDLCGAMCAA GLAAAEYQIWKEVDGIFTADPSKVPSARVLTTVTAEEVSELTFFGSEVIHPLTMQQLY ESEIVLQLKNVLNPCGSGTVIYPSGPEADHQPTKTVQGKSTDVGFMLSNGYHGQSRSR RCPTAITSKEGLILVNVTGSRAIKTQGFLASVFLELERTGLLPDLVTTSERNVSLAIQ ASNGIFNRNRLVLNLQKFGCVSILENMNIVSVIGQQMRNMVGTAIVKADDAIQAMNVI HARALQVPTHLEQENTFIKGETLFSTSY PEX2_080720 MSATLQLLGLVRDLRNKKQNIVLNGKNLDIASIVAVARHGVKPT ISTDELLAKRLETSVDTLADVISRKCVIYGVNTGFGGSADTRTNELIDLQTHLLQFIQ SGIITAADKDPGSNSERESSHVMPLPWVRATIVARANQNLRGHSAIRKCVLKSLIDLL HNGITPLIPIRGTISASGDLMPMAYIAGAIMGNPDVFVQMGKGAQAIVMPSSEALELS GLFPSGLGPKEGLGLINGTAPSVALASLALYDTQQLALLSQMLTAFASECLAGNVEWA HPFIHATRPHAGQIEVTSNIRRFLKGSKFVVGLESQKASGDGLCQDRYSTRTAPQWIG PYVEDLLLAQHQLEVELNSTSDNPLVDTSKQDDGSSGKVYSGGNFQAAAVTSAMDKAR LAIQMIGRMLWSQVTEMINPATNNGLEANLNATAQENFTMKGIDINMSAYMSELAALA HPVSAHVMSAEMHNQGINSLALISARRTLEAADLLAHMSACHIYVSCQGVEIRANHER FLLKLRTKMEDSTASGALYGLGLKGSQIETLSASLLPIVQSSWYRANSNTWKDRVLSV VDAVMLSVNVFVATNNPDCSVSTIIAFKKHFRGVVSSTAEEMFYPGPTISPEEVTTQL GRGTAQIYTWVRSKLNIPMNCGLQDDPLYNAQQGLPTKGKRSIGSLVSMVYERLLKGE MMGTILEGWMQD PEX2_080730 MGSPTTQLTSKVTSGSPYQLDKPQALKASTALLKHIKSTQQEQE KTNTKKTLIGDDDSDDEDSATKNEAIWLVLTTKQHVVDKNRLKPGKISIPHSLNTSPS LSICLITADPQRGVKDIIADPSFPQGLSSRIEKVIGFSKLKARYQSFESRRQLFAEHD VFLADDRVAMRLVQTLGKIFYKSSKRPIPIRIAEIEKVDGKRVKKDTKKNNSSAKEEK NASFASPLIVAKEIERTLNCAAVQLAPSTTAAVRVGSSKFTAEQLSENIAAVVNGLTD KFVAKGWRNIKALHIKGANTMALPIWLADELWVEDANVLEAAPETEAIKDSKKRKSAG DSKLLEEKKTKKTKSAEDEEEAASVAARKEKLQQLKAQALEDGDTSKPVATKTGGKKK RKSTS PEX2_080740 MIPIARHSVLRAARSQLQPTRKLSQPASSALARLLSTLAVLEQR NGKLESSSLSAIAAAQKLGGSVTGFIAGSGVKGTSAAEAAKIKGVEKVLAVDNDAYEK GLPENYAPLLVENIKKGEFTHVVAGHSAFGKSLLPRVAALLDVQQISDITGIESEDTF VRPIYAGNAILTVQSTDNVKVITVRGTAFQDVETEGGSAEVVDGADPNVPATTEWVSE ELAKSERPELATATRVVSGGRGLKSKEDFDRIMLPLADSLGAAIGASRAAVDSGFADN SLQVGQTGKNVAPQLYLCAGISGAIQHLAGMKDSKVIAAINKDPEAPIFQIADVGLVG DLFDKVPELTEKLKSA PEX2_080750 MLPSSPSFLSSFDPALHLHSEGPDNINPPSESFSDDLEAIHLHR REQTRNRVVIQHRAWDLSDVFRSEDDIRPVDTPTKNVTTPRPFSRTPTQNLSFIPSSP PVSRMPFIASPGALAPTSGNATNDSQKRKVTNAEGGVQPTEPKRQRMVGGFVDDDDDD EEDDLAAFRDEQLKDQYDLPEHFFQEPPAQLPSILAPPPVSTKLNETTNHTPSSSRTL LQLTPRPAPTAPKRFQIKTCAGKTHNVPQKKVQAPVSYKQMIASRSETEPGKATKSYY GIDIHKLLDDAAKDKKPTSTVPQPRVQQTIEPNNVSAKQKKMDSAMWTEKYRARKFTD LIGDERTHRSVLRWLKGWESIVFPGLAKSRPKKPAQEDEEEEYIHRKVLLLSGPPGLG KTTLAHVCARQAGFEVLEINASDDRSRDVVKGRIRDALGTENVKGMNVEVGDKKVRRA GKPVCVVVDEVDGVTGGSGSGGEGGFMKALIDLVLLDQRNAKLSSEGNNGKKRKGDNF RFMRPLILVCNDLYHSSLRPLRASSIAEMINVRQAPLENVVQRVKVIFGREGIPCDSD GARRLCEAAWGMASRKKRSGKTQGSAEGDIRSVLVAAEFVAHKLRNESLPSSLRLTRN WLENRVLNASAEGSAFFKELSRGGVREIVNRVFTEGAGFSDAPVGMSFQDRFDTTNSR VPVGVADLRKRHAINRLREMIDSSGDHDRCVSECFASYPIQQYQDDNYFSKPNAAHDW LHFHDSISSKVHSSQEWELIPYLSQSVVAFHHLFATATGRTTDDDKNDDDEDAEEPHP FSGPKADFAAFEAQKQNRAAMTAFHASFSAPMARIFRSNESIITDLVPYLIRMLSPDV KPVIIRGNGEQRSTATVRKESERALVQSTVRVMTGMGVTFERVRVEHEGNHGGWAYRM EPPIDSLVVFSKIKGSTIEASGGTAPVRYAVRQVLDQEYRKATTRKQSDAVSAARLGT KGPRTSDETAAAKAIRDAAVKRDFFGRLVEQPVPLPNDPNTADSWVDESSKAGRKVWV TFHEGFSNAVRKPISLGELMAGL PEX2_080760 MFGNSSNASSKTSSSAASTHSTVSTATTLKSADASIKNHKWYSL GSKSSNSGFQNTATDLEKKKLHNEALATYFSLR PEX2_080770 MSLKPITIYGHGPGPNPWKVIMVLEELNIPYTHKIVDFPDMKKE AYESINPNGRVPAIQDPNTDISLWESGAIVEYLVDTYDKQNTISFAAGSKEYYLAKQW LHFQMSGQGPYFGQAIWFSRYHSEKVQSAIDRYINEIRRVSGVLNRALEGKQYLVGDK FSYVDAAFVPWYLLTGQFGIDMEKEFPNVAAWLKLVQERPAIAKSVQDRAEACAQH PEX2_080780 MPAPTVSSGEDIMSLPYKNAKLPIDERVEDLLDRMTIQEKAGQM FHNMMIMGPGGSLLTEPNEAFGIASVETLLGEKLMTHFNLVGLVTDARETAEWQNRMQ KRAQATRLGIPVTISTDPRNHFTDNIGTGFQAGVLSQWPETLGLPAIRSRELVERFAN VARQEYLALGIRLALHPQIDLATEPRWARIGKTLERMLTSRRSSSQHTFEDFKHFPGG GPEKDGEDSHFTYGKEQVYPGGNMEYHIRPFRAAIAAGAAQMMPYYSMPVGTEFEEVG FGFNKGIITGLLREDLNFPGIICTDWGLVTDATILGQDMPARAWGVEHLSKLERVKKI IDAGCDQFGGESCPEFVVQLVEDGLVSESRINESVRRLLREKFVLGLFENPFVDVEAA ASNVGNASFKDEGSKAQRRAFTLLTNKDNVLPLKGSRLKVYIEGIDPVIAASRLLEIV DTPSDADIALLRLKAPYEPRSGGFEARFNAGSLEYSNQEKARQAAIFKVVPTIVDIYL DRPAVIPEIAASAKALMASYGSSGDAFLDIVLGKASPEGKLPFDLPFSMKAVEESRSD MPYDTADPLFHFGHGLSYPIEPVDSVP PEX2_080790 MTASTLKSGVPECTQPGEQDVKISSHSDLGKIGSITAGEDIQHS KAEEKSLLRKIDWNILPLLTISYLLQFLDKTSLGNTGVMGIIADTKLVGQEFSWLSSV FYFGYLAASYPMSVAFVKFPLAKVLSISAVLWAITLGCHGAAQKFAGLVVLRIFLGAF ESAISPGFSLMTGIWYDALYLAFSSTEASLSILKEIWLFIILGVITFLWGLVLLVFLP DTPLTARFLNSEERKLAEARPQKKQHSFKDTKWEKAQFIEAMIDPKTWMISVIIGVGS LANGVVANFGSLIITSLGFDTLTTLLFNLPGAGVSLVAVVTLAGISSRVRRSRIILCI VSWIITIVGILLIRQLPTSNKYGRLVGAWLMNSFAASFPLLLSLLASNVTGFTKKTTV QAHFFIVYCAGNIAGPQLFIEKEAPHYLTAYNGCLGSLAVAIGLAVILRFYLDWENKR RDKEQGVYIDPEAIDIFEHDLDDMMLARVIQTDWENRSFRYYL PEX2_080800 MRPLRELYPSPPQMPLGDDPYYSYQIPSPANNQRLAADTINGDA DFPQFLRSIPTDEDHVFSTSLERASAARVIGVIDDLPGDEDFVDLWTSQLQHISNEDS AMQPVVGQCPDYSNGRADIHATMRARGLLDGSDAWNHNSILDLDSASAHFKEGTKMLM EIITSGAAGDYPIIISAFYFMYLYLTRRVYMDRLEIERLSCTAIDYIEKCSFDGLGNI LSPKRHSAPSDTLECRDLSAPTTEQRCNSSMAARLIIWLYSEDSFIGFHGCGGDLSKR LSKNPGRLDRLRQISSDALSLNWGEDYPADEVLVDINHFHPIDMLIDMITLHHEIIER NNAYMEMSFTEASNKLDSEFSILEIKYASVFRLAASTPNENSEIWLNSGISIIIFHAL QICWARCTGSSFGSRASPPTERALASLLTLAQRICSRSSPPKFERFHWALFIAGIETN DLLHREWILGKIAGVRLNMAITKVLAAKDRSGTISMATIRKLLSVREPVAVQKHNSNS YDTAKAAILKNIDDLDTDLQAINHKIHANPELCFEEFQAHNNLADLLESHNFTVTRHA YGIPTAFCAEFGHGGRVLTLCAEYDALPGIGHACGHNLIAVSSVASFFGIAAALAVSS IPGRVRLLGTPAEECGGGKIKLINSGAFNDVDASMMLHPVGKGAIPTGTTGLAYGTCL TGQVWNVEFTGKAAHAGTAPWEGINALDAAALAYSAVGLLRQQMRPANRIGLVIKDGG KKSNITTPHSTVECSIRTQTLKEAKSIKTRVENCFRGAALATGCEVTFKSAMDVYADL RSNETLCTEFTSAMSEFGVLYHNDITNKTAASFSTDMGNVSHVVPTFHGLFAIAAENG EANHTPEFTRIAISDEAYGSAIIAAKGMAITGWKFLADDILAKSVLSDFENDVSTRER E PEX2_080810 MVSLSRVAFVTFGVIHGVNAWGALGHATVAYVAQHYISSEAASW AQEILNDTSSSYLANIASWADSYRLTTAGKWSAPLHYIDAMDDPPTSCNVDYERDCGA EGCSISAIANYTLRAGNGRLTTAHTAEALRFLVHIIGDLTQPLHDENYEVGGNGIKVT FNSYSDNLHADWDTYMPVKLIGGSSLADAQGWANSLVDEITSGAYKTQAMTWIKGDTI SDTVTTATRWASDANSFVCTVVMPDGAAALQTGDLYPTYYNSAIGTIELQVAKGGYRL ANWLNMIYDQKVAVKNQPGKFSKGLRDAAPEPEFVQQLWEDLAAPQKDVSIGIDPMEF EEKINVVDLPETSEPLFF PEX2_080820 MTLPELPIWYRTILLILAIISFLPQFLRIQTKHSITGISSFYIL CNLISITEQFTFYYYLLFNEYDPEGGVVFLHDPPSAGDWFSLCQTAVVSLLFLGLCEY PPFTSSFTGLGILAIFLQARQIYKVPLPNALSVHSLAMQAVVFMLVAVAWIWSVPFEY EELFGDWNWTSVGRWYVFAGWVIVDAFVFALGQAALLVVALRRSPAAIAIQDGETQPL LG PEX2_080830 MDQQLLPLVKYSDKDRITDTPHLSLTIRGDSSVDLLADDLIYNV VFTITRAADDPHTRPCIIHWNPIEDGCNQSGMILLYHGEGSVEFREVDPEELPTKLLI PRQVTASDPYFRELVPGSRVFCKVPLPAAYLKNCGSEAAYLLLWPGGQIPLWDWGTLA EHSEHKLVPKSPPVILPGPSYESFATFNYESDPEYFEDPPPPSPRAISPSARVHGAPV FNVRISGPATLSMKDQAFSMPRYPLTVTVSYDAGAELSHSNRPITFRSFIFKQPDDHH QGYRLYREWNDGWTPYEWRTHQRGFIITEPTALNVGRNDENNFWTLKPGESWSFTRKV SEFPKDAASGDKFRYLFKGATLDWWNWGSLEDHEDTVIWVPGWLLAKVQYPEDNDGRP TVVVPASNAVEFTLVD PEX2_080840 MASLIIRQANAKAVFAHFMVTNSENYTLSNWNSDMTMTKDAHID AFALNMAWKDGTNEASVKMAFTAANTDVVIDMIQTAKDRVTVKAKTNCFLMPDWSSVG AKLAVALANGVADGLFSWSAWSWGNQTMDTYGDASYLQYLDGKPYMMAISPWFYTNLP GYNKNWLWKGDSLWFDRWQQLFALDPMPEFVQIISWNDYGECHHIGPVIGKSMAAFEI GESNYNYAMDYPHDDWREVLPFMIDLYKTGKSSMTDDKVVFWYRPNLVSACATGGTTV STASQLQIEFEPVNVLEDRIFVMALLQDGNHGVIVDVNADAGVLEWDSRPDDELGPGL RFGSYPASPGKVKITLYKGDVESASAVGWEISSRCGKDINNYNAWVGSLTGKFQTAGS YNTEVDLADQDDVDTSGVKIPPNLIWATDPTGAQCDASQRKIIGTEFGYAIETVQAAQ KYLQTGEYYQTFFAPSVRARPNFAKEAVAVYRRIEEMLDGTANFKVKITCHYTNNFRD RKDLATMNALHKTVNICERFFRSEKMAPTSERIAQIPPTILEAHHSLSTIIVHEMTHT KAAFLPPSDKSTFNGRDFIARDFTYGPDGSDGLCSRELSGENADNWALITAGIYFSWK CKTTIPIPSTGPSTTDAGCTQYNDVIIDQNDGDGFEPTGMVSFGDSFAAGMGTAKATG DKCRVGSNNYGTLLNKYLGEEIPFERKVCSGDTTTGLERQIKEWKSQSTASFATLTMG GNDIGFSDIALSCVVQPWSLRSLGKYRELCLESEHKAGSLLNDQGETGLRHKLKTLYK SILDKAEKTEFNLFVTGYIGFFNQDTIDCDQSSFDYWKSDYQPSGNRVMLTRDLRTEL NVLVGQLNNMIEDAVRDANAKAKTTQVHYVDVQKRFDSHRWCEQGSWHEPDSSVPSTY FFLSSWPDLPLENEVAQSSNSDDMAALMQAGSINLPNSSNCNASLGSDPDPYVKWLCM VSVEIDANPNGEWAQDYAKATQGIANGNVNVKEINMFYPTSQIKAFHPRSPSMALYRD AILEKIDAVYEPK PEX2_080850 MAESDGGDDKQDTDIDTRLVGESRFRSEPDAIGCLPLTPFTIHP APTSVHGRNVSSRLHTEKSPSQLTTPPLPPTPVFSHGSISEASAYPSIIQALRSSLPP QRDIEILIESLSRMSIFCYKSSFKLSSSWQSEMAKAQIPMANMLYSESHPVLLARQML LFAVGLQHLSPAKAIPGLTKHHRVIMEQLADSAIKLVNTNDILLGTLEGLENLILEGF YHIDGGNMRRSWITMRRAVMTAQLLGLHRPGHYRFKVVNQQNDLDPTVMWACVVSTEQ FLCLLLDLPTSTSGTSVTIPRATSTRVESGNLPMLIPGVVRKIIERNQMHAPEEALDM TQEIDQELLRVTEQWPPSFWRPLQFTGLEVDSADAFQETRRAWDHIFYYCLVNQLHLP YMLNPRYISQRVYSRIACASASREILIRQIAIRTFHPVTAGCRMGDFVGLIAGMTLML AHILSHSNKGTENLLIHQRVGDRATVERALECMESMSELHEDVLTAKCAALLKNLLDI EAGPAERHASDGQGNNQNVLVAKVPFVGAIRIARDGISITPSDTEQEQVPHEGVTIGG FGSIHVRTPQDSDHYTDVVTSDDAAASRATAQAVGASSVRRDGGQVSHTTSDDVFVLP DETLLDASAGMEEWLFQGLDTAFFDVLMSGAGEQPLHGTDTEGWNFTVSP PEX2_080860 MEKQTTNLEKQVLAEDPPRHSSTLVASPEQGGMEVANDNAKASV TDEYPHGARLAAVVLSLMLGMFLIALDNTILGTAIPKITDEFHDLNKVSWYGSAYLMT FGCGFQSTWGKFYKYFPIKIWFLVAVFIFEVGSLICAVAKNPTTLIVGRAIAGFGGAG VGVGIFTIIGFAAPPENRPQLLGFTGATYGIAAVLGPLIGGAFTDKVSWRWCFYINLP IGGLAAGLIFLLFKTPGSAAPAKATPKEKFLQMDLVGATLMMGLIVSFILALQYGGQT HSWKSSEVIGLLVGFCVFILAFVAWETYQKERAMIVPRLFMKSYISVGSIFMFFFGGA YFIVLYYLPIYFQSVYNSSPIGSGVKMLALIIPLTIAAILQGFALSKIRIVPLFWVIG GGLATVGSGLFYTFNTETSIGKWIGYQIIVGLSTGWTFQIAMSNAQVHASPEDMSQAT AILNFFMTVGGAFFLAAAQCAFNNQLIKTIAVKLPEIDPRVVITTGVTQIREAFNGTQ VSIIVDAYMVGLKAVFVITIAGFGLATVVGFFGSWKKIHSDELKKATGGAA PEX2_080870 MTFTEYMGFFQDKYELICMADEERDNFCLDVESSWNITNMVLLD EATWPTYTNKCYYDVSGITEQVWINMRLNINIIPANNYTASENINITIASPGPITTIC PQAITISQNTTLTCQQMAIAYEIPTAGIRNLKIISIAIGVAAVNGTNPTTSNTTATFV SAPTTTVTGTTSECCEWHTVFSGDTCQLIEAEYGITLEKFIALNTYVNSTCGNIWPDY AYCVSGIATANSSTSTTAITATSTSPPIPVTTSGTLTTATTSGTITTPAPAQTGMVSG CTTFYEAISGDGLLRDRHIVRHHA PEX2_080880 MSSPSQSSSYDEETIVTQITTIYNLLVKLSYFSSDQVTFPPEGG HSINEELCHSLHIAPLVISLMKKIPYVVDGYHKPIMWQSRAFEYLLDEEIRNGRDPEL TGVADDDGLRLDFLRPWEVALTCWLDDGSSVILDTKSNIIRLIDESEPPEDDFREETE AHNAPAYLQKVIDDIQTLEMVYFPGGDIGYLHLPGSWEQTEVKRILTENFGWGAEFRE EDWRREGEEICKAIGDEGLDREEERQRDATPVHHTW PEX2_080890 MMAVMWSMTTLAMLLVIARLCVRQRMLRNFGFDDWLIGASMIFG LIFVATATVSVTYGYGQHKTNLESRSAELALMWNMISFIFGIISFAIPKLAVAALLHR ILNPNLVQRLIVWGLVSLVAIIALVNILIYVTMCNPPQALWKSSMILNGEATCRDIWI LINYATFNGAFSAFVDLFLAIYPGIVLFKLQMSLCKKIALTAALGLGAIVEANVVVIA ACIPTLQPILEIILRRLKLVSTSKGHSKPSSYAQHKVYDNQSASRAHVSKKESTTPLR KKESQETILNDLEQYQIRRTDEVCVEYEMQQPK PEX2_080900 MAVTGVSNESRTNGHGVAIIDPQVATTPSAPEKLAHLQKEIDSH SQAYSNGDADARLKLLETARSLVQAMETPQETMLRYCWAQVRQSKEDPTAFAGIETCI DLGIFFILAQTDKPKTVAELAATTGAEPELLGRIMKHLATMGVFVETGMDEYGRNGLT TTLAIKRYNDAWPCITGCTLPAINALPAWLKKNDYRSPTKGTDCPFTLGFKTDYPFFE FLNGKNPDYPELGAQFNNLMSAYHQGRPSWMDGNFYPVQTLIEGAKTGKEDVFLVDVG GNKGHDLEEFISKWPNTPGKLILQDQPHVLKDIKSLNPGIKPMVHDFYQEQPIKGARV YFLHSVLHDWNDETCQKILSQLVAAMTPGYSKLLINENVIPNTGAHWQATSLDLIMMV DLGAKERTEQQWHRVIEPVGLKITKIWTPLDSAESLIECELA PEX2_080910 MDNAVSFGSLNSGVQTGINHGTINASLNLSTDPLDKLYIAHGAE FNSYGDQHEDDCLPGTRIELLETIQQWVRSPHGKCIFWLNGMAGTGKSTISRTVAKSF RQKQLVIACFFFKRGEGDRGNAKKFFSTITRQLAAGIPDLTPSIRKAIHSDPDLATKS LSEQFDKLIIQPFQSLQNLTLPIPVVAIVIDALDECETDNDIRVIVQLLPQVQKIKAV QFRIFLTSRPELPIRLGFLKIAKHEYRDLALHEIPEAVTAHDITLFLKDRLNRIRDTK DVPVDWPRDEEIQSLVAMSVPLFISAATVCRLVEVKLDPVESLTDLLRDQANYATTMD KTYLPVLTQFLNGRDDDYTEQLLQSFQQIVGLIILLAVPLSVNGLSGFLDIKARVITN LLDSFRSVLSLPRNPDLPVKILHASFRDFLVQSNGKFRVNERKKHKEIALNCLKIMRT HLKKNICNLETPCTNRTDIDPQSLRQCFPPELEYSCRYWAHHVKHGEILSSGIENVLF FLREKFLHWVEAMSLLGFMSEVMVLLDLLYVISDYQKHAISDFIHDAKRFILKNRRIV DEMPLRLYCSALIFAPRMSIIRREFETEIPTWISQLPHVNERWSAELQAFEGHSDEVR SVAFSPDGRLLVSGSDTIVRVWDVTTGTLQQTCTGHSYAVLSVSFSPNGRLLASGSDD GTLRLWDVTTGVLQQTFNHNLDQVFSVAFSPDSRLIASGSYDPIVRLWDTMTGVLQQT FKGHSDSVRSVGFSPNGRLLASGSDDESVPLWDTTLGTIQHILKGHTDWVRSVAFSPN GRLLASGSDDRTVRLWDTTTGQGTSKDDLGQVLSVTFSPGGRLLASGSHDQNVRIWDT ATSVFQHTIQRHSGPVSSVAFSPDGRTLAFGSSDKIVRLWDATIGLEQSFTRFSDLVQ SGQPVTPFSDDKRRAEILGGFARCMDILTGLHSPEHFPSLYSTITFSPDGRLLASTSE NNIVCLWDTTTGTLQHALKGHLRRASFIASSPNDRLLASSSEDRNLQLRDTTTGALKQ TFESYFDPNSLVVFSSNGRLLASLSSESTDTPRDLFGVETSPNNLPWLAPVDFPWLSS FRDHSLLPWRSIDDTPRPLQLEIVDIDVAFSGTSDQAGSNSAYRHDKDIVRVWDTTTG ILQQIIEGRSRSILSVRFSPDDQLLVFDSSDKIIQLRDPATGAIQENESMERMDTEVE LPQDKPYSSTDPESFDIPTCGIHTSHSPHLNLKIDILQKQWVTLNGEKVLWLPPEFRP HFWATNGGILALGYFPERISFLGFCR PEX2_080920 MFRPAARALARAPAVAARTPANRRLISTGPTKSRSWKNTFLRLG LAGGAIYYYNTSSVFSEEPKFSILSSIRKQNGDDANSQTLDSITPKIRQERAAEQSQK SESAALEGGLNPQELQEEASQEAAFNPETGEINWDCPCLGGMAHGPCGENFKAAFSCF VFSEEEPKGIDCIDKFKAMQDCFREYPEVYGAELEDDDEPQPQSDAPAPSEAPATPEV SPTAAELDASSHPTEKQTRAKEVHTQVKAETAAAGENIEGDSLIPKAAHDAEEKNPAN KA PEX2_080930 MEWDPSRFFRPEGSPSPYALLILNQPINEKAFGVLSRYASYIIC ADGGANRLFDMPEDNEKEPKELPDCIVGDLDSIRPAVREHYEKLGVSVLQDPDQYSTD FTKCLTYLNAHAAEIIASPRKGANTTKPDTNGANESTSTSSSDQSPLEIVILGGLGGR VDQAFSQVHHLYMMTQTQRSIRENETNTSTSDAKPAAGGNLYLVSEESITFIIQTGKN TIHTPATKRADIATASTSDVSNSPRKRKREQEQDQDQDQDQDQEQEQEPEPEPEYFFE ENIGIIPLSAPAKITTHGFEWDVENWHTEIGGQISTSNHIRADKVEVETSVPVLFTVE LAERLKR PEX2_080940 MSLPYLKSLKKPELAELADQTDLPHYDDYNKNDLVVVLDRHLRE NRSIFSGLKSLSEYYSRLASPPRRGSPVKRETARTPARTPARRSAKKEVKEEEITEDV EEPVPSPAVVSPTPAAARTVVRQSARQTPRQTPRQPAVLATVVDPEPSLPASPAAITE AIDAQTLKLRESIEDAWTASGLVDRAHSLRAALSSVKAVEIIVLLFESGSLAKELIPI RYLTTTPPVQAAQIPAIPIRVPDLFVLLEGAFWAPFSLWFLTCLVLPLISAYFINLSW KASSGARRTRSASNLAQFDPLTFNIVKALLVHVVFGNDFNFFGIYSRYAIGKVIDSVP GGGVGLLTGSAVGGVSALYEAILHRS PEX2_080950 MSLTSWVFGLFPTATSDSTAPPAGDSSASTWAQPTSIGGIDDSS YTVRNGHLALLEDEEPRPPYLHAMLAGGTGGTCGDMLMHSLDTVKTRQQGDPTFPPKY TSMGQSYSTIYRQEGFCRGLYGGVTPALLGSFPGTVIFFGVYEYTKRLMIDSGINPSI AYLSGGFFADLAASVVYVPSEVLKTRLQLQGRYNNPHFNSGYNYRNMQDGFRQIVRLE GFSALFHGYKATIFRDLPFSALQFAFYEKEQSMAKHWVGKRDIGLGLEILTAATAGGM AGVITCPMDVVKTRIQTQQNPLEPPSGSSGAKHSVEHVPKESPRPHAPASSHPHPSRA HSRPISTSGANTSIPLPGTPRLDTSSVFTGLKMIYRTEGIAGWFRGVGPRGVWTSIQS GTMLVMYQYLLKQLEAYQILEETL PEX2_080960 MAPPPPSAALPLVERLKALAQTLQFAWFVGHVTLLLSVFRYSLS CIFFNYYSSSAQIAYRLTFISAAVTYGIVVYKGHFARGVQGTPLAIVLKLISDENVQY LGMALVWLYSRQLILALLPFSVYSVFHVATYSRMYLIPTLQPAAPAAPTSPTSPNSKP AAKQSPLAETIGRFIKQYYDASMGVVATLEIALLFRLVLSAITFSKGSWVLLIVYLSF FRARYAQSSFVQQAVRQLTARADATISHQSTPPQVRQGWEAVKGVVRQGYEATDIGRY ISGPTAAKKPQ PEX2_080970 MFTPILALAFAAITPIVNAYPITGNSVNCRSGPGTSYSVVKSYN KGADVAITCQAAGTTINGNQFWDKTSDGCYIADYYIRTGSSSYVTKKCGGSSGGSTSG NLPGLTSTQSKHAKAIIAEAKKENLGRQGCLAGIATGLVESNILVYANKKVPDSLKYP HDAVGSDNDSVGIFQQRAIYYPDIAADMDAAKSAAQFFKGIKNVNGWKTMEVGKLCQK VQGSAYPSRYAERLDDAKKICVAGGL PEX2_080980 MLILTGVSFRFGDICHINVTNGLYDYWIPVMIFAVAALFLQLST MIYCTHIYLRSLFDKSASTSGSSGTNLPSYTSSIGTVTARQAYRRVRRVLKLQWRGIA LTSIILGNVLFFAVVFINLDNAVAPTVENVKTAGPWLMCLAETGDKNLCREYAAAIGP NEATLLAVVFLLSLVGFWNFILFARPSMFAGWLDLFHRKVTHRNEFVSADATARFADN KGFEMLTTSVKSPDTFMRSPSPSRIGGHDRSDFMRSPSPTQMGGPDRNDFVPSPSPTQ MTGFGRSPIASPSPSSERNAHVGREARYVRPSLSFSGPRPPSSLHSAREWDPQSTFAP GYGRERV PEX2_080990 MRSRTGCLTCRTRKLKCDEEKPECSQCRKGGRECRPSEGVVFRH QQNASMNRNSPDGRGSLNGFYSYKNTFDKDSVWLDVPKHVIFVDNSDPYADDLEASLV DPSIAAQTDSPSYRWGSGGSRTSDAETQGLEALSAVASHDRFHYSSLDHSVSDSTSYT SPNPRRSTAIPPASPSMSLSSTNNNTNINFLLNPSHSMSPSIDPSMHLSDRNTALPSR PAASQRSLSHMSQMSLINHTPDDNPETDFETAFLLRHYSEGPGLWMDLFDLGTYFASY VPVRARTNPLLKFAACAYSAKQLGLVKGAKGSVGGVCTQQAAMELWPEKNPDFAWYGA KYYEKAIQLLMKELQPDAEGPPPLSTPEAFGQWQAAELSVDSDNPRKRRRRVSDSRLS HGVHSDEVLAATAILSVYEFHDAAGPAWNRHLSGVKSLLDVAEVGMMPLEQRASPGEN SYQTQTPKKSGLSKARRATFWNFARQDYLAAFINEGHTRLNTDDTVLWTEAGLLIDNA GFLRASNTSATGYPEGEDVMKEDLISNGLVWIMSKIVNFISSGDNVRPTDHRSVPGPL GMSQQVLLERWYRLESELDAWYNGIPETFQPCARLDPSNLTHHRPPNENEDISTLQEI WYSLPMCASAMQHYHMARILLLINKPHESTSRRSTITLRLQSYRSIESEIAFHSREIV GIGLSHPDGSVRINSLQPLFVSGQCLTDPRERRTTVRLLRGIESDLGWATEYRVQQLL KEWGWDEISTRSPGSSGS PEX2_081000 MRISSLFGPAAAGSSLLALLPTLNALSFESVSVPELDLTSLGRV SITGNFDGVSLYQYKEQTESIPDGTQALLTPLPNGILTNLSSANAQIMAMCPFTQKDG NFAGIFVGGNFTSLGGVDSPGAALFNPNTSKITALPGLSGSVAAVTCDQDTNRVYVGG KFTHDNSTNAVAWAPDDGWTNLPFKGLNGPVSSILKADNGHIIFGGSFDALGNSTSTS TSNERQILNLQNATVTSDADSSLDGYSDPRNIICSTNGEAAKGKTYLLHDYAPGFWRA DLGFDFFPTKIRLYNTHLDGRGTKDFLLRALPDNGIMNLTYIDESGKKASCDSSCPLS SSTSAKYREFTMVNPVGMQGLQIEFLSWYGQGAGLNGIEVFQDQILTYAVDQYNEPTC SGIQYPAKSTRTGSWTVESGYVSAKVTDSDASTTSVTFEPDVKKSGNYTIKLYTPGCT QDNSCSSRGIVNVTATLSSGSGSNQPDPSFFYQTNNYDKYDTFYTGYVDANSDSFRPK VTLRAKDGQGDITIVASRVRFELLSSTSNQSSTGGLNGLYDYDPTSKTETSNLTMSDI NKAGTSLDHNATISIIQEHDNVIYVAGNFSDNKIHHIMSIKDGNITAMPGSGLNSPVL AMATLDNVLYVGGRFTDTSDTGSEGLKHVAAYSYSSKEWSALGAGLNGPVNSIWPVKL NASTAINETIIAVSGDFDQIIAFNGNPAVSVAGFAIWVPSYKDWLQNLNFTQMQFGGH LSSVASHNNTLILAGNLATNGIIAGGAVSLLNTDDLQLIPLSMKVNHQKSSTGLITGA YDTGSNRNLTIYGGHFAAAGSNGSTIENIAILNGTDEKISGLPQGVDSNSTFVSMLVY NNTLYAGGNVTGSFGRTTLQGLVVYDLNKNEFSQTQPVFTGSDVSVNAVVNQPGSSNV YFGGNFDGVGQLPCNTVCYVDATTGDWNQPGSSLSGSVIELYWASEKKLMAVGDLNVG GNNTSIAIYDAKAEVWTAFSGASQSDLPGNVTTFTASSADLSKFWLGGTATNGSAFFL SYDGSKFLSPGNLFSKGTVIRGLELLPVRHDHSAAALLDKGQTLLIMGSLVIPGFGHA SAALYNGTDITPFILSQQANGQPGSMSQFFSEKKNPYTTNSKHHSNGIAVLVAFCCAL GCVFLIVLAGVILNKVQRRRQGYAAAPQTFGTDRPSDMQRVPPEYLFNSLHLPNPGAP AL PEX2_081010 MIWVEIIYIRLSRESFDNIYLDLSKQPGKCKLAESGLGWRPSGG GDTFTLDSSNIGAAHWSRAAKGFELKILSRSSGVIQLDGFDQEDLERLSKAFKIWYGI NVETREHALRGWNWGKAEFTKAELAFNVQNRPAFEVPYSEISNTNLAGRNEVAVEFSL PAGDGNDAVTKPGSTKNRGRKAAAGPDELVEMRFYIPGTAVKKEKAEGAEGAEGEEED NEEEVEEQNAANLFYETLMDKAEIGDVAGDTFATFLDVLHLTPRGRFDIDMYESSFRL RGKTYDYKIQYSAIKKFFLLPKNDDTHTLIVLGLDPPLRQGQTRYPFLVMQLKLDEEI SLEMNMTEDILKSQYKDRLQPHYEEPIHQVVTKVFRGLSGKKVIMPSKDFSSHHGHQG VKCSIKANEGLLYFLDKSLMFVPKPATYIQMENIAIVTMSRVGGAVSASRTFDITVSL KGGLGEHQFSNINREEQKSLEDFFKAKNIRIKNEMAEEAAGLIAAALDNDAMGSSDDE VRPDRGSADEDESSVDEDFAGSSESDVAEEFDSDHESSGDSDEEMGDASDGGGDNQEA ERPKKKPKTTN PEX2_081020 MLIALEASPPASSSPAASPVASPASNNGTESPFVERSNPMGSGI AQTVTSRDPKAAAQAATDMKNVVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGE SGLGKSTLVNTLFNTSLYPPKERTGPNADIIPKTVSIQSTSADIEENGVRLRLSVIDT PGFGDFVNNDDSWRPIVENIEQRYDTYLEAENKVNRSNIVDNRIHACVYFIQPTGHSL KPLDIEVMRRLHTKVNLIPVIAKADTLTDEEVALFKQRILADIEQHSLQIFEGPRYEL DDEETIAENQEIMSKVPFAVVGANSEVTTADGRRVRGRSYPWGIIEVDNEEHCDFVKL RQMLIRTHMEELKEHTNNFLYENYRSDKLTLMGVAQDPSVFKEVNPAVKQEEERALHE QKLAKMEAEMKMVFQQKVAEKESKLKQSEDELYARHREMKDQLDRQRGELEEKKGRLE TGRPVEEKGKRKGFSLR PEX2_081030 MNGDTYSSRDTGRSRDYFRDERRDRDRGDRTERGADRAADRGAE RGSERGTERGAERGDRSDRGERRRSRSPHHGSRGDSRREREVNSYSTSRDYRARERED RYSGRRDDREWDRGDRAERGDRAERGGGERGGAERGGGERGGGDRAGGDRGDRRRRDF DDRPRRDLFDDRRGGRGGGDRGDRGDRGDRERRERKRSATPPRRKEPTPDLTDVPSVL TRKRRLTQWDMKPPGYENVTAEQAKISGMFPLPGAPRQQPMDPSRMKDFLNPPTGDSD NAALKPSNSRQSKRLFVYNIPPGVSGDAVIAFFNLQLNGLNVIQSVDPCISAQVSEDK TFALLEFKDPNDATVALAFDGITMAESGDKGLEVRRPKDYIVPDGSASQPVQPGVVLN EVPDSPNKICISNIPTYINEEAIIMLLKSFGDLKSFVLVKDASTEESRGIAFYEYVDP NNTALAVEGLNGMELVDRHLKFVLASIGTTQASGLDMGVNAMQMFAKTTSQDLETTQV LQLLNMVTLDELLNDEDYEEIMEDVGEECSKFGKILGMKIPRRGHGAGKIFIKYDTAE SATNALKALAGRKFSDRTVVASYFGVENFDTEAW PEX2_081040 MSAGVLSEWKKLPLSLSELCINTTLRCGQSFRWQNFPESQEWRC VLYGHFLSLKQDSDFLYYRSVQPPSHTSTPATSDNDHLIRIIKHYFNLTPNLTELYSQ WSSQDPNFKKKAAQFTGIRILRQDAWEALVSFICSSNNNIARISQMVEKLCIHYGKSV ATIEGRAYHDFPPPGALTGKEVESNLRKLGFGYRAKYIYQTAVMVSNRDKGWLDSLSN PECPAFGVDPKPSSEMKPEGREGYREAHEKLLELQGVGPKVSDCVSLMGLGWGESVPV DTHVWQIAQRDYRFGKSSNKNLNKTTYDAVANHFRKLWGKEAGWAHSVLFTADLRTFA DKLAATKKVDVKVKGEEPDIKVETKVTTALSLKIPKEEDIDVKVKVEDIDDAKKPSGR KRKEAENIVPIAQTTETRRMSKRLRR PEX2_081050 MGRLHSKGKGIASSAIPYSRAAPAWLKTTPDQVVDHICKLAKKG ATPSQIGVVLRDSHGVAQVKIVTGNKILRILKSSGLAPELPEDLYFLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLSRYYKTVGVLPPTWRYESATASTLVA PEX2_081060 MSLLNFWETQRPAKRRRTFEKEDSSTDTEIKPPPSPSPSVTAGE TPAVDDLRIITNSQTELETSLPAIKTDEDAIEEYEALQNDTKMGLDVRLRDGAWQKGK SSIYVDAFNLALETVLDEESHLFNEAEMEIFTQWRGLSYESQYLYVRLFLRKTSAWHR INRLNYYSDISDLFSVAEDLQRDRTLPDSELGDTFKFAEGIEAITTLEEASSLLLLEE LKVFAKEAKVQGKSKKELLAALRETSQTQTGLKDTNNRDSHFTQKILDYTGGCIRISP VPRTLFERVHLVFYRSTEWTEKSLTTIILAKMTKRNFPEYIVCRSNSIFSSREALLEF ESAIQIQFAIDNILESNGPVTTDSLQQIIDLANKSHPRWKELVEQEQKKEDSIYESGE GAYLRRFSPAWVLTRIIHKSLHPLGRFKEHKREHEVLEELLAQRLFHAARRGAWYQRK ALLEEHYMWALTSTDGRSEEAQRKHWKRLALRTCETGLEDPLCHLIFHYDLQKRITKL EKALRVVKREQHDFGHVMLGKPAERTIEGIRIDTGDSPRKGKATVWVDEREGGECRVE SMCLSWYRDHGWKGYHSEGGIVRTLFGYLFYDILFTYVPNVFQTAFQTCPLDLHTDVF YPSRASEINHRLVEITNGDAERIVREIHAREGEAQPCAVGLDWSFDLDDLVEIVQCFR GEALATICKVMAQEYQQRGGGIPDLFLWNHETRTVMFAEVKSENDRLSDTQRLWIHIL LGAGVKVELCNAVAREVRAECDV PEX2_081070 MAETNGTGSNTPLGDLGNISAGQVRPGGAPARVYLNEKVVPYLL DGMKSVAREQPANPLRVLGEFLLQKSNEVEGETKKESE PEX2_081080 MAPTVRHSISGRPSRSKPRSSLKSPNSGSFDSPDGPPSKKRKYV PGGPGGGGRFIDFDAAAAAAAAAAAQETPKKNTPRRHSASARSRLPREMDQPFLAPPP PPPIIPTTPPSMSRPRRDKNQTRGRFTSSTAAALALQQGDGYKPREERGWEEFHPDLD IDGKIAVFTSEEVDAPDTSTPILSLESLAGATVSDLAGSPVIISGEMASPIPIKRRPG RPPRRPEAILNALLAQQGQKIIPPPGPNPREKLTLPKPSFRLRDPFTFYEKKGVGHQN YVDRTMANVGYQESDLFLRHDRRFIRMTEGTQEDDLDVIQPTTTDGDLNTTIGRVEYD MDEQDEKWLEEYNAKRREDQLEPIKPAVFEITMTKIEREWHALEKRIPKPNPKPPQTG RLRSSSAAAVNGETAGPGEEQDSKCAICDDGDCENSNAIVFCDGCDLAVHQECYGVPF IPEGQWLCRKCQLLGRGSTNCIFCPNTEGAFKQTTSSKWAHLLCSFWIPEVSIGNPSL MEPVTDVEKVPRSRWKLNCYICKQRMGASIQCSNKNCFVAFHVSCARRAQLYLKMKIG HGLMDSHLLKAFCDKHVPPDWRLEHGTDAATADAIEYYRDTMQGRRWGDSQAAALSMG PAHPSDGGEEDRTLTPRLTLTVGGNKRKRVPRTIWKLPSGAPVIPQVVLNSVIASLGR FTVRQRKQYAEDASKYWTLKREARRGAALLKRLQLQLETFSSMEMTRRDYVAMGVPGH KRLERRIEFGERLYKDLDRLRMLCDEVKKREREKLKDAEMLRNIVDLVYFPTFPLLWP IFEKAQLLDGKGVFAAGLMSIRQRLNERFYPSVAAFSSDLASLFTSEIGVEPAGDTAE LQAQISGRAPELSLEQREKRKLAKRIIKVIQPSLEDAIRKESELNRKPFEKELKELDV ILDHSLMSRSGDSVEPEGDTELFGHPDAMEGVEETLPTTELPSEAQPTDEQLPEAEEL TPAEDTMKSVPTYYRHPTPVLTEQDQQLPLALGGIQWYMQPFDPVGTTVHEERWTGRD VMRGMSEELSELDEDELEGLKDLVDDGDLKGQATRTPAASQQNPRRTRRLRVTKFTKF NQNSTRFAQLPDSSGVYFPVLSVRSYQLSNFSFFLLPTYTFGPFIMADYDNDSSRYAD EPRYDRERSASPRDEPRADRDRARSRSPNGRSDERAPLARKPIEEDDEGAINSGSNLF VTGIHPRLTESDISRLFEKYGDVDNCSIMLDPHTKESRGFGFVNMVTAEQADAAKEGL QGEVIEGRTLSIEKARRARPRTPTPGKYFGPPKRDGPGGPPGGPGGGGRGGGPRRDRY DDRRGPSGGGWRRRDDDYSRYGRYDSYNDRRDYGRDYGRDYGRRDYGGGGGGGGGREY GGRRESRDDYGYRGGGDRYGGGRDDRYSRDERRGGGEESRGSGGGGGGGYYDRDANPP SFDAAGPPREARDPYTGGGGRSYEGQARSSTGGAPGGGGSGGGGGGGGEDRYAGR PEX2_081090 MSGSSDNKWRGGPSRQASQRNSNNRDKAGGHGSARDNNAAWGAS NPPQEQHIPVRGFNNAEVKNVLKAGPQETKLSIAYKSHSKDGPTQRSTAWGAKAQNMA NGKDFFLELRKQIASLQRSGPPVGG PEX2_081100 MYTTTARVVKPKLSLSISAVQNAPRPTLSLKSPVALPRTPVSPI SAASPTTKRFCSLQVPSYAYTNSCSSKSILKKQSSSRAANVDKRIQFKVTPTVHCVTP IENPDEYYGNYMKMSREERRWTVRHKAPFEGFSGPCASDLFRPCRRSSALNARRCLIS RRGLLTLAIETSCDDTSVAIVEKTKKDSGSAAKIHFLENVTADTRAHRGIHPIIALES HQDNLANLVQKALNYLPESKTGDGLKLADGTRRRIPDFISATRGPGMRSNLSVGLDTG KALSVAWQIPMVGVHHMQAHLLTPGLVSCLENEAKADPPATTPEFPFLSILVSGGHTT LVHSKGLTDHRILATSEDIAIGEALDKSARDILPESLLQEAKSTMYGKSLEQFVFPNG KADFEDYSAPDSRGKEIAKRISDWGWSLTTPFANTRILQFSFSSISSMVGKIVQRNST NIKISDAERVDLGREAMRVCFEHLASRTVIALETLRPHNTGKDEIKTLVVSGGVAANQ FLMKVLSSFLEVRGFGNIRIVAPPPYLCTDNAAMIGWAGIEMFEAGFRSDLSCRPIRK WTLDPRADDGGILGPGGWIQER PEX2_081110 MLELPPEKKGFTDVTLCIITCFMIKEMHLSPQPLNPVTSSEDRE DPIKSLGKTPHEQYLNHFNLGIPIHWVSATIIRLQLSKSWVSVHSQLSSSDLGEPQSQ YKDSVFRTAIELIEFAYFLQTNNLSRTMGLALQELQANGDYFLHSRRVKLSPTRPWDG SCLGSGDQNNFPVETKSPRHR PEX2_081120 MTKIIAVFGATGQQGGSVIRTILQDVSLSQKFNIRGITRDASKP AAQALVKQGIEVKTADMNSKESLAQALEGSHSVFLVTTPDWGNAGSDAELVHGKNVAD AAKEAGVQHLIFSSLFNVTETSGGRLKHVPHFDHKAQVEEYIRSIGVPATFVLPGYFM TNYTVFGMLRKDSDDVYNLAYPVGKDAQFPLIDIASDMGKYVAAALKNRSEVLGLQLL AAADYYSPTRILAEFESLTGKKTQFVQVDSDTYKSFIPGPMGEEMLENHLFVENPGYF NGRSLKESHKLLEKSGYRVTTWKDFLEQSKESL PEX2_081130 MASVTEAVKESLLGSTEGPHLAHQARANFVLHAQKDENGDLFMD KENFINAVAPKQEDYHKIKREQYGILFNVADRRRVGKLNITDWASFENLLAKPDAEYE IAFRLFDYDGTGSVKFDTVQGLYNMNKSADSIPFDWNSEWASLYGGRSKSRHDMTYPQ FAQMLRGLQGERIRQAFHIFDKDGDGFIQPEDFQRIILETSKHKLSDHVLENLPTLCN ISTSNRISYANVRAFQNVMREMDIIDLVVREATKKSKDGKITRTDFLNEAARVTRFSQ FTPMEADILFHFAGLDAPSGRLSQKDFAKVIDASWRIPVLAVNQVLATGQEVAEKTKT VLHSVLESAHHFALGSIAGAFGAFMVYPIDLVKTRLQNQRSSRPGERLYNNSLDCARK VIRNEGFTGLYSGVIPQLIGVAPEKAIKLTVNDLVRGYFTDKETNRIKYSREVLAGGT AGACQVVFTNPLEIVKIRLQVQGEIAKNVEGAPRRSALWIVKNLGLMGLYKGATACLL RDVPFSAIYFPTYAHLKSDFFGETATNKLGVLQLLTAGAIAGMPAAYLTTPCDVIKTR LQVEARKGDTKYHGLRHCAATVWKEEGLAAFFKGGPARIMRSSPQFGFTLAAYEVLQK TFPMPGEGEAITPTGHVEPSVGGHGASAPLPYLRSRNALKIILDLDQNIGRVQVPRPE NWPKFLQSSK PEX2_081140 MIPRFLRGGRARKDPAKEKSKEWNPATFYIIMFTLIGSQAIRML TLKNGYAAYTRTTDAKIELLAEIIARVKNGEKVDVEKLLGTGDEAKEREWDEVLREIE AEDSLWHEKKTAQSKPEKVQEPEKEQKQPTQPAEDKVAEEPVKTESTRKLRFY PEX2_081150 MAVTVLPASSLTESVKQALRSTTTCSDATVLSLQTLLRGSPKMP EKPTKRTKSTREPAAAPSRTRTSRATKTKPANDTTLQSLADHDAAALSCQEKLVFATE VFNATLKTLTDAAKVSTAKRQNNTPDTPNGPDAGIISAAECARLSLSTLRTLKNDAGK DQFPNMQLEQGLCVLAGKLISLGLNDVAYKELRLLKRRIQQHLDGGKAGKKTTEARDS TDEEASKERMSDLLTFAHISNGKSLYGLLVPFQSNVMRLFAADKRASTIQKVCPALQL SDSSSPAQVILAALKSGQLSNDKAALQLQLLSNTVLALCSGTSFSKDEASNSLKPTTS LALQLLSLEVRCLGWKLSGHVCDESKEVFDPLLRYFGSFSHRSKGIEKAEFATIYKTV TRLQTSISEVKKKSSETQNANQAAKLMTILGQLAFDAGCFDESMKLFTQAINPLSKTQ SLSLATVRCKIASVHFQASKTSKKFLDGALDSVSEATQSLGLQLRGSANDLDELLVEA ARLKKLALSWFGEAITKSFETESEKNEVASQIREYLQAFIRFLRRYVGRQPTEDGDEK EIEMFNKRISISRSIILAAIDSTVAIGKLSIMSQRPPWEDMLPILADCHRLLSTIESP DEKNTETTENLGMALVKLSNLFWSRYIKEKEAGQGYRELIPLLKQSTQLLSSCSPSQR NTAFAALKFERMAHLYIEGNMYIESEQMFRQSIEEYIISGTLEQILKISGGHNPSSLN QDPKSPGFMLGRVLSALLKMKLRRKGSHPSVVYDDVELEFEQRCLLIEWQMSLLVDMN GYSNEEEFRLILGSAVSSLLDLYPHDVYPIRHSRVILSALRLLLEQPTALDSSLIETL LDAGTMALASGLQVRDDIDLAPFAIHISNSLRITIGFHRGKIDASELDDTLASWTSLV RQSRDWKTLLLCINDTEYWVLQLKALVDYTEIHGLWKAQLCTLELILRAAELQQSGDL SDPIIILSRLVLQNCRLGYCQKAGELLSRGEQYLAQSKVSSLATISYKVARVEYLLET GEPEKAAAVLSAAHSLYEKCQKSELSNLTVLSKISWERLVADAAFVQSKLFSAQGSAT QALYFAKLSVRLNCRIWAKVERLAQRKQDKMSPAAGSSDVEAVADGIAKLDLSQNGSS PDVSASYIQGAPFWPHLGSHHTCLLNLATLSAHHGLFQDAIYYGEQALKIDKTLDANA RLLAAQTQLGCHWILSGHMSEGQDHLSAAAESSKQTQKSIETVSFQMGLASLYKTQGA HDKALRVLLEADKIITSVISADVPANLEAAGMAEIEEKMDKLRVRASSRRTPTPRTPT PTTTTTTRRTRATSSATIKPTKKAPAPKSTLPEIQSKSLLQLKGNILRQQADCLRELH DFERSAQTLAEARQFAVARESKIALEIGESEHLLADAIRRFASHAVYCVLPESTISLP SLKTPSKMADEPSLPPAKPSTRRTRAPAKTTRTKAQRASDDFSVMLSKASDCLASVFS DATILGSTLDSHAASRLMSRISMLSHATSPGIPATLAQSPANMNEIGRVGAFARERMA IDIDRQLADFADPLLWPTSFPSAIELDNDLCSNFTQDYVDILPETWNVLSLSLSADRT EFVVSRLQKDRSPFLLRLPLHRGNSEDDDEEQFTFEDGKEEMQELIKLANESAHAAKA QTDKLSKKEWWKTREALDRRMESVLQNIENIWFGGFRGVFSPLSRETTALARFASTFQ SILDKHLPSRQKGGKAAGPRLTLHQNVVDLFIGLRDLEDQEEPEDTLMDLLYFVVDIL QFQGERNAYDEIDFDMMVVDTLDALRGYHEAARDELAARPPRHTILVLDKALHLFPWE SLPCLQGYPVCRVPSLECLRDRVLQFRKSSSGAIVDRNSGALVLNPTGDLRTTQTTFE QDLSRFKSWTAVVQREPTEDEFRDALENKGLFLYFGHGSGAQYIRGRTVKRLTQCAVT FLMGCSSGTLTEAGEYEPYGTPMNYLHAGSPALVATLWDVTDRDIDRFTTTAFDAWGL VEKKDKKNESREEDVGLDTAIARSRGACVLRYLNGAAPVVYGVPVFLE PEX2_081160 MSVTTNATIASFGGKLLKLSHAATTTKCEMKFNLFLPPQAQTQK VPVLIYLSGLTCTAENCSEKGFFQHSASKKGIAVLYPDTSPRGLNIQGEDDAYDFGSG AGFYVDATKAPYNAGYNMYSYVTEELPQTVFAAFPQLDASRVSITGHSMGGHGALTLF LRNPGKYKSVSAFAPITNPSNCPWGQKAFKGYFGDDQQDKWKEHDATELIKKWSGGPL DILIDVGTGDNFYKQGQLLPENFEAAARGAGIAGLNIRYQPDYDHSYYTMATFADDHV EHAAKYLFA PEX2_081170 MSASYADHEIINLYIQKVKRGCKEDKVDHMWTQILRFYFPLQQG YGLEREPYTSETTQARANIVVTNVRENSINKVLFVECKALKYKNATLTKWGQTKVQLE NNMRNWSGRQPNSPLYAITVIGRQARFFTMAAGSTNLVDFGAEDEILSVKDDAVRVQQ LLLRMEALVSQNM PEX2_081180 MTQNYLTGACLCKNIVYRIMLPSESSPEVIICHCTNCKRYTGSS FSANIIVPQSSLEYTKGSPKLYSDRSDRGGQVLREFCPDCGTPFTSRSSDDNEVVAVK SGTLDEEHRLKCAKLSTEIYYHRKDKWVDDMGNEDVQKINDSSAIVYSTRSGIQLSDV YTSEFDWYMEVYDGDPKKLGRWYSMHVKNPPKAFLIHEICWFLLEQHFEGGEIDLARL FEIFRDIPPSGRPEHDSAYHNELGTQERFYPLKRPIIKGRRDAAKQFPTMPKEANCSG SKSTVVLHPDSFGILPIEIRVQIATYLSTVDFLSLRQSSRAMILEAMKAKTGDSYTAV QPKLIDLTSTSGRNGEGGEITDG PEX2_081190 MFFPLLFGAGAALAAAVPTNVKQHGSPAVTVRKQVPHNAGAAIL APFVSFSIEFSSFPDFAGNKSKPNRFSNQLLDNLADLQGVKPYIRVGGTTQELALYDP DLKTQINGTVVPSITEDFPWLVSIGPSYFEAYSTWPGVKFSHDFNLGNNTTAGMDTLI ATAPLACKALSHGNFAHWELGNEPDLYQLIGWRPETWTESDYVAEWLSKSQIIKRQIA KACPGMATDHAYKYIAPSFAGFTRGLDPVKTWEDGLDKNKDIGMNSMHNYMGSADTPG LTLANTLMNHTAIVNSVAPHTNLSRVLNEKGLTKDIPYILGEMNSLSHQGQPKLSNSF GAALWGVDFNLYCASQSIGRTHMHQGTNYRYASWQPVQTNKTTIGTKAPYYGNIMVAA MLRGSGDRDHHRDASVQVVNLEMPHETEAAYAAYVDGKLARVAVINMQEHNYTDTASA SQRSAATYQFHLPGVSAKPLSVQRLMANGSDAITGISWDGWSYNYELKGGAPVRLHNI TVGETVRVNSKGLVELELPYSSAAILNF PEX2_081200 MEEQVSLPILFSTLETKKIPIPQHRPSPAVSNNPSDAFSPSSRH KVIDTSQVANAIEIAWNPSSDPTLKSQAYEYLNQLRSDPSGWQVCLSLFTQTPQQPEV VRHVSLEIVNSAAQVGLIDPASLGVVRDSVLAYVRQAYGPEATATPDAPYIQNKIAQT VTFLFSSLYANGWETCIDDLLNLTNKSAGRDSQIGVVFYLRVLSSIHEEIGDVLVSRS RGEQDKANALKDLIRERDMQKIANSWQEILAVWREGDDTIVEMCLKAVGSWVSWIDIG LVVNQTMLDLLFQQLGRAEKQELREGEQRVRDAAVDVFTEIIGKKMKPSDKIEMIAFL NLDSIITQLSNSPPLRESRFTFKYDTDLAETVAKLVNNTVVDIVRVLDNDAGPVKEQA ENLLQVFLPHILRFFSDEYDEVCSTVIPCVSDMLTYIRKLAKANPSFAERHKSILLPI LKAIIAKMRYDETSNWGEEDEQTDEAEFQDLRKRLGVLQQIIASADEQLYIDAISEVV GTTFQKLRASGGQLDWRDLDLALHEMFLFGDLAVRGGSLYTKGAPTGMAANRLIEMML AMVESDIRSFTHPATQLQYMEICVRYSSFFTHNSHLIPGVLESFLQLVHHPVKKVKTR SWYLFQRLARQLRSQIGNVAQNVVEALSDLLVIQAEVPSEGDDGDEMSSEDHEGSADA VFNSQLYLFESVGIISSTPSVSVDKQVLYAQSVMNPVFGDMERNLEAAKANDERALLQ IHHDIMALGTLARGYSDWQPGTSSPSTLPAPEVSAAFAQVAEATLVALESLSSSFNIR TAARFAFSRLIGVLGAQILPQLPRWIDGLLTQTSSRDEMALFLRLLDQVIFGFKGEIY SILDTLLTPFLQRVFSGIADPSTGTDDEIQLAELKREYLNFLLAVLNNDLGTVIISER NQPIFETVITTIEHFAKDIDDFTTAKMAFSVLGRMASCWGGPDIAPAPANGATPAQAA LPGFGGFMITRLSPLCWALPTTPSFNSKDAQAKQVLAEAGALQRTIYSKTGMEYAEYL RNQELPGMGMGADLIEEFLTAMSQLDVKGFRQFFPSFIQRLSA PEX2_081210 MSSDTPLYIGFDLSTQQLKGLVVNSDLKVVHVAKFDFDADSQGF SIKKGVLNNEAEHEVFAPVALWLQALDGVLESLRKQGLDFRRVKGISGAGQQHGSVYW GQNAESLLRNLDSSKSLEAQLEGAFSHPYSPNWQDSSTQKECDEFDAALGKPEDLAQA TGSKAHHRFTGPQILRFTRKHPEIYKKTSRISLVSSFLASLFLGHVAPFDISDVCGMN LWNIKKGAYDERLIQLCSGVFGAEDLKQKLGEVPEDGGLHLGSVHPYFVERFGFSPDC TVIPATGDNPATILALPLLPSDAMVSLGTSTTFLMSTPSYKPDPSTHFFNHPTTPGLY MFMLCYKNGGLAREHVRDAINESLKDTPAQPWANFDKAALQTAPLGQQNASGPMKMGL FFPRHEIVPNISKGQWRFTYDANTGSLKETTDGWNSPQDEARAIIESQLLSLRLRSRD LTQSPGNGLPSQPRRVYLVGGGSKNKAIAKIAGEILGGVEGVYSLDVGDNACALGAAY KAVWGIERQPGQTFESLIGQRWNEEEFIEKIADGYQKGVFEQYGQAVEGFEKMEQQVL QQEADKAN PEX2_081220 MTQTRDHGHSHGHGHHHHHDNTYLTSTNKRDAGVRITRIGLVAN LCMAIGKFIGGYVFHSQSLIADAYHALTDLVSDFLTLGTVAWSLKPPSERFPNGYGKI ESIGALGVSGLLLCGGVFMGLNAGQVLLAQFYPDVAETVAHSGILGHGHSHSHGPIGP SIHAAWIAAGSIVVKEWLYRATMKVAEERKSSVLASNAIHHRIDSLTSIVALFTIGGS YVFQDATWLDPVGGVLISLMVIKAGWGNTCTSLLELADTTVDEEVRHSVEDAASKALK DLEDGHEVIVRDVQGMKSGQNYLMDIELAVPGAWAISRSRHIEEAVRTAVGSGVRGVK RIKVRFIPVEDQNLTFSDEFVAQDISGDPETPLDARKHQ PEX2_081230 MTTPAIRIPFTGPLPPAIIIPRAATTIAGAIEAISTFLTAPPSS NLRGIDVGRHSQTVLLTGAGISVASGLSDYRGDQGTYRVNKSYRPIYYHEFISHHESR KRYWARSFVGWPGLLKAKPNSTHWAIKGLSEKGYISSVVTQNVDSFHSLAHPDLSTLE LHGYLRSVVCTSCRNQLPRADFQASLERLNPAWAEFLARIVAEGALDTDNPEEQRQKG LKLNPDGDVDLAEAPYSTFRYPSCPTCLENPPTLSDGKKATVEIESDGALSATSNAGI LKPAVIMFGENIDPLVKTAAEEAIDDAGRLLILGTSLATFSAWRLVERAHNRGMPIGI VNVGGRGAPPSRLSMEHFRDPAAHPLKIASNLVSPGHFLFHSKPKPSGPPASKVPQKM DKSQQPSSFQQLEKLGEGTYATVFKGRNRQTGEMVALKEIHLDSEEGTPSTAIREISL MKELKHESIVSLYDVIHTENKLMLVFEFMDRDLKRYMDTRGDRGQLDPATVKSFMHQL LKGIAFCHDNRVLHRDLKPQNLLINKKGQLKLGDFGLARAFGIPVNTFSNEVVTLWYR APDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQLVKIFRLMGTPSERTW PGISQLPEYKSDFHIYATQDLSLIIPQMDAIGMDLLNRMLQLRPEMRISATEALQHPW FHDLPQIQAKLQQQHQQQQMAGYGGMIPPQPAY PEX2_081240 MPADALWTFAMACNVYLTFFHKYDSDQLRRLEWKYVLCCYGVPF IPAFAYFFVQTQARGRIYGSAILWCWISVQWSFLRIAIFYGPVWLIISLTFAIYLRAG TVIYQKRRQLRNLGGIDSDLVPESPFVMLTGIQVTREIACSTPERRSLSEGAARGLPS NAFRAYSVTIEGGNTSSTLQGPSSNLSPSPLQQENSSKTVRPDGVDSQRRSTSAEASS ATWAYTKYAMLFFIALLVTWPRILVVHAPFGGKYTIISYAKKLSKLKSCSFGEN PEX2_081250 MAESNSHQPPAPSPSPPPQAPIPQPPGPRASRLNQVFEQALART LRANSYANFAGCFPTPARHVPASLEGVWRQLNAKLEANAKAEFDDIVAERDAVAHLNE LDRLVGDARARREQEGENAEGQERTVAHTLGAEDLYKAHLTPYLQEAQSTLNARLEAT HAENAELAQTVQAQRLEIERLLSHLGLVVSDIEGAATAATQFSRQHHIRQDAIQMDEE AKARPGL PEX2_081260 MAKPKSFLRETKTKKKAASKQVPVTADDFLAAGVELEEAGEKWR AGDAAKSMRFFMRAITNYDEGLQKHPGTFDLAYNKARVQYEITQHPKLAAQLPAPQGD LLQVALQSHRDALALEQDNADVLFNSGQVLTSIAELMSNVKHPDEEQQMQAGTYLQEA IELFQRCLMIQEMKYTELQEEIELMESGDVPPEPEVVPQAAGQPQAESAGEEQEQWAM IVEPVTKNTLVDTAVAQLETLTTLCNLLTSNPTGGGVGWVQEYSSELVQSRLPAYAEG SDRQYEAALARAKFICALNDLLYRGGHTDIQTYQQEVGQVFGPELDVSADPEGLCGKA DALTSLNQALSDIPPSEDDEAFENAVALRWKSLSTALDALTAATKLPDADNLPKIHLG RGDAEMHRWCLGRAPWNHAMAKQNGAMLLRNAQTYYRGATALARRDGAAEEERDGTYK EAIAAAIEGQNTKLEQLKSTNLQQVIVVAQDMVEDGMVDGRELSALISS PEX2_081270 MARQPSLDSERPIMAQSNRNSKRFSTISGSPSLAASDRTNGTLP SGDPRIADIYHLHDGFERLENKPLTKQRFVPTEEKSNNLNKLALGAKVERALGRRMTG QDAVMRKPLSEKNEVRTD PEX2_081280 MADMTQVPINGNYPAQHGFPESYNHASVTMNTAASFQPAQSSTP TTVTPTDQQKNEISKDEVGWYFVEQYYTTMSRNPDKLHLFYSRRSQLVFGTEAESVPV SVGSKAINEKLNSLKFQECKVRVLNVDSQASFDNILVSVIGEISNNSEPSRKFVQTFV LAEQPNGYYVLNDIFRYMAEEPEEESELPTPAAPVAEAPEAVAEAPAEEPQVADEVAV SNVDEKLEEVEANGQVEEPEEAAPQTNSAPVEEETPAPVPAVEAEIVKDEEPATPEPS PVPEKEEVPEKETSATPAAPKTWASIATTSFAAKAAAAAKASAAQAAPAAQATPATPA APKAAAPAAQAPPAAQPATAAPADAARSQDAPSTEAGWQTAGDGHKKSQPRVEETVLA YIKNVNDKVDASLLKQTLARFGKLKYFDVSRPKNCAFVEFNEPAGYTAAVAANPHQIG SEQILVEERRPRGNAYGSNGFPAGRGGGAGRGRGDRAGSQGRGGFQREGRGGFTPRGR GGNVAAKGRPSQPQAA PEX2_081290 MSETFEFQAEISQLLSLIINTVYSNKEIFLRELISNASDALDKI RYEALSDPSKLDSNKDLRIDIIPDLENKTLTIRDTGIGMTKADLINNLGTIARSGTKQ FMEALSAGADISMIGQFGVGFYSAYLVADRVTFVSKHNDDEQYIWESAAGGTFTLKED TEGEQLGRGSKIILHLKDEQMDYLNEARIKEVVRKHSEFISYPIYLHVLKETETEVPD EEAEETKDEDDEKKPKVEEVDDEEEEKKEKKTKTVKESKIEEEELNKTKPIWTRNPAD ITTEEYASFYKSLSNDWEDHLGVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKNNI KLYVRRVFITDDATDLIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIVKK TLELFVEISEDREQFDKFYQAFSKNIKLGIHEDAQNRPTLAKLLRYQSTKSGDEQTSL ADYITRMPEHQKNMYYITGESIKAVANSPFLDTLKQKNFEVLFLVDPIDEYAFTQLKE YDGKKLVDITKDFELEETDEEKTERETEEKEFEDLAKALKNVLGDKVEKVVVSSKLVG SPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKKKVEA DGENDRTVKSITQLLFETSLLVSGFTIEEPASFSERIHKLVSLGLNIDEEEAAPATEA AAPAEATGESTMEEVD PEX2_081300 MLFLHKKLGSSQKDNQDVQLDFFFTARGTEMQRTPFGMFRSLLN QIFLYDATLRSQVREAYEHRCNLFGWEEGIWTWPQTMLEELFVDTVLSSRQRVTIFVD ALDETGDVSALQIASFFHQLLDRAERKNVAIRICISCRHYPIICSAQTLEIHIEHYNG KDIATYIRDILAQIEVEDSLSEDTRQVLIKRLTQQANGVFQWVRLIMPLMRRRIYEGE TYEDIFSWLHEVPDGLEDVYRYILKEVIDMRNLKQSFSLFQWLSVAERPLALKEIRYA LVAGDAQITGALKPIEKIHGFVESDKRMKLRINVLSGGLAEVVSGKDSAEFVQVVHQS VNEFLRAKGLAMMSNMLGTSSPVQKNEEIYFQSHAALYRTCLIYLMIHFLRGGFPREL HEPKSDLIRNHPLLDYATHNVFVHAEKAAHCRGLRPLDEEDILSKVVTHWVQLYQSLD VFNPLCPPSGARLIHIAAAANLVDILERVSLDDSECAMSDKFGNTAFHFAARCGHITA GTILLHKGNDFKAENKLKMTPLIVAASYGHMDFVKWLICEGVHLEDTMGDAHSALQNA SRQGHQNVVELLIGAGANVNAQGGEYGNALQAACAGGGNSEIVKILLGAGADVNAQGG QHGTALRAACAEGSSSEIVQLLLDAGADVNVQHDQHGPALNACARSALGSRRLGGYIG SPHITDQEIVRILLSAGADVNAQGGEYGTVLQTYANAGNSHIIKILLDAGADVNAQGG RYGTALQAAGRETGKQEIVQMLLEAGADVNIQAGFYGTALQAASQGIVNCKSVRMLLD AGADVNTKGGYYGTALQAACAKNHDDKNVEMLLDAGADVNAQGGRYGFALQGACRFQE TSRIAQILLRAGADVNAQGGDYLTALQAACRNRRDNGMVKMILDAGADVNAQGGEFGS ALLAAVFCGHLDRIEVLLWAGANTSLVDGLGQTPLHVAASENMLTILHRFPVFLSSIN GRDKLLRSPIHLAICLGHIDFATHLLQFFTDPSLLDGYGRNILDWAVGNKSLVRQIRT HHPSIVTTPREIQARVVRQSIMELSKMLRTQPDFSWPLIQQLGRYLLFVNNLDDARFL LQLHLSQRGSPAGASFTIYCAICNDNVDQSRFVCRECAHMDLCSYCVENEEFHSRLHP NQAHITFELPEVQGAGPQLAELELATYRKTLDRLSTEGPSNHSAANLFFHVTPPTMID VLPRALLGSIPIFCVLLVGILAVLWGHWITQASTMY PEX2_081310 MDMDMDMDYDTIAESEAKRRKIRKGTKSCWECKKRKMKCVYADH PSPADAEAICIGCQRRGSKCVSQEFEFYDERENAGHLERKGRHRTSGKDEDRVARVEA LVEQLIKKVDRHGGVAVPATSEIPTPSYGILTPDAASIDKESSRFLSVCKPSDDHGSA EAEGRYENLSQRLHDSLPSRQVIEMICNACGRKAILFYEMLNTPYAVLEQNGLKSPDS LLEIPSPSSHPVLIARHMLYLATFLQHLHPNSLGAINGLPESLHALIERLAGTAINFV TTNDEFFGSIEGLECVMMESMYYQDGGNLRRSWIANRRAMAIAQMMNLHQNQSRAKYK VLDHKTKAHPQFMWFRIVSLDRNLCLMLGLTQGSFDQSMATGTAFRDDIPMGRLERMH CTLASRILERNESDQSDPSADDFALTQNLDLELQKAGRTMPSKWWLMPNLDNVTDDPR ALFLDMGRLFNQLYHYNLLNQLHLPYMLRSSPERKYEYSRMTCVNASREVLLRFIMFR SYNRNNFCCRTVDFFALMAAITLLLAHLNSHRFSQASNPLGHQYLGDRAMIEQAQVNM EEISRVNGDTLSAQSADLLHKLLAVDSETADGISTESVSVQTPESAVFQPSENDNSVV RVQIPYFGIVRIARQGVVSRETANAQPLLNNGQPYAPVINMHHTESSEAAVRDLGSGR FDENAFPSSMTEADYNSVHSVNGPPAQLTSRYQDAVSDTLLQNYQDPGLTAGVHDWAF QGVDMAFFDSIMRGIDNGNSSENWDNGP PEX2_081320 MGLVHIVMISFKEEVTPEQVEGVNRLFLALKDQCVRAESETPYI TSQMAGKDISVENKAGGFTHVYVTQFDNEEDRDYYLRKDAAHAEFGKIVGPLFESAQV NDFVPAEMGSTFDLEKEHQTADSSVQSSITKTPSAADLESENHNAPNGQETSYLPEKV DSEELDADDESQYPHGIKLLIIVAALGMSIFLVALDMTIVATAIPKITDQFHSLNDAS WYGSAFFMTTGGFQSTWGKVYKFFPLKISFLLAVFIFELGSLICGVAPNSVALIIGRA IAGVGAAGIGSGAFIIIAFIASPKRRPLFTGIIGMSYGIASVVGPLVGGAFADKVTWR WCFYINLPIGGLAAASILFFFHTPVRASTTPATIKEKLLQIDPIGTILLICTITSYIL PLQFGGQTRAWNSSTVIGLLVGFPLMIITFMVWEWFQGERAAFPPRLMWNRLILVNAI YAFLFAGSYFIFVYYLPYYFQSVQNVNPTMSGVRNLPLIVSMSLAIVVSGGSITKTGH TAPLMVIGGVLATLGAGLLYSLDIGTSTGKWIGYQIIGGVGWGLAYQVPINAVHGAVG PSDIATVTGIIVFFQTVGGAVFVTAAQSAFVNQIIVHIDAAASGINSSLVVATGASEL RDVFNTEQMTAILPAYMTGLKVAYAISIAAAGIALCLSFFNNFKKIDAHAATSVAV PEX2_081330 MAPDNLSLAGKVAIITGSGRENGIGGKIARTLALNGAAVTINYV SEKSTSRAEEVAQSIRDLGARVAIIRGSIETQEGASKIVSDTLEAFGVDHVDILVNNA GVGSVHFLTEMTPEHLQNHFGINVFGTLFMTQAVVTLGNMPRGGRIVNIGSCASKMGV GDGMGVYGATKAATDYLSASWAWELGRSRGITINSIGPGPVDTDIIGDGVAHVTDPSI HKDLFITPFVNLTRAEERVGTTDDVADAVLLLVNEKSRWITGQFISVSGGINVM PEX2_081340 MGETTAPAAQKFYTVGDKDEFDPEKWKSSTPNELPKRDPETHVK VLIVGAGFAGLMTALECWRKGHNVVGILERNHGPNYSGDLIIIQPSAIAVFRHWPDML RELEEDKVTAPTYYYRHTSELIYGPSEPSYNDPEHLEERENFPYVGPVQIRKKFYTML LRQVAKIGIKVDYGQRVDQYFEDEQAGVGGVVMGDGSVRVADIVVAADAFKSRSELLI AGKHMPTQSSGMSVYRVSFPAHLAMQDEEVRKRWEGVTANEFWLGAGMHIGLYVSPDL VAFGITPRDEFLVEGSAKAKESWDPDVDPEEVIELLRRLPGWHPAVEALIRATPRGSL IHWPLLWRNLRPEWTSRGGRVVQVGDCAHSTIPASVSGGTLALEDAITLASSLQVASH GGPSGAPLGAKVYNLLRYQRVSCTQKMAFVNSQLLNATSDWDAIMKDRKTVRLRFPKW VFNHDPEAYVYEKYGQAFAHLVTGAKFENTNFPPGHKFVPWTIEDVYKDIGEGKKIEQ LLDGDWS PEX2_081350 MPAKFSSFSRLFSKWKRRAAREEATNIPAPKTNEDDDGAIPGPS SVSNMVALAEGELIVSALAPGDTLSVAAPSAHEPGHTEAKRNIWREAFEKLPSKLRED LEVRGMRTDNPDPLNLQIEAFQNEAKLQQARSEERDWKVRIGRHELQVRQLTVSITKW ATKIGDVAIKFAPSPGAGVWAVGVDTFDTEKVALLSVVDRVSSAIFRAEVYYEIYTLE RTQRADVVQKLHDAMVDLYGCVLELLAKSSDLSSRTAIQFCHAIFDTQKPSGILSQLE EYEQALQTNAEYCEITANAHEDDSLKEYLQEAQLFLKNFEQYMLIIDNYDREQLMEWV SKVRYGRHFDEIEEKRSPETGNWLIEHTHFQDWIKSPSSNILWLQGYPGTGKTFLTSA VVRHFKDNMEAQKNGLAFFFCNHAETERRETLSILQSLVRQLAAPKSNNIAVRKSLQE ARGRAVAQSSHLGLSECRNQLLESLDLYSTTVIIVDALDEVSSEEIHHLTKELDYIMS QSRDKTVKLFISSRPEEAIRIAYNLSPTITINALDNRADIEKVLIARLETSQNPKVNG RKEEIAESILSNCDNVFLLVDLQIKQILKCKTADAIDYELKNIPNGLSERYDRIFSDI EAHSLLDAQMAKRTLQWVISAPELLTTDEIVCAARMGIENNEIALKSDISPESLLAIC ENLLMVDSKGQWRFFHLSVRDYLKGKPRFEDEAHSQSITQ PEX2_081360 MISHPFSKEFIAAVGFEIQTLIKKETFSQVPTPNERGTQVLPLK WVFTYKFDADGVLEKFKARICVRGDLQWMSTEEKRAATLAVKTARAIFALVAAFDLDM RQRDVVTAFLNSVLQGEVYTKCPPGFEREGYCWLLHRALYGLRLSPRLWQQEATRVLV KLGLIPVPEDPCIFTMDGIIVFFYVDDIIIVNHPDPTYTKKAIQLDLDIKKQWELREL DASWFLNIRIIRDRDQKKLWLCQDSYIESMAMKYNLVTARNVGLPLGIEPLVPYDGVA TPSQIHGFQAKVGSAQYTTTITRPDAAKATSKVAEFLTNPGPKHINAVDRIIQYLYET RFWAIEYGIRNHATTETGLTIAAKSIEFASDASFGDNRDRKSSEGYLCKLYGGPIDWK ATKQKTVTTSTTEAELLALAEAGKTVQWWRRVLESLGFKPDHPLSIMCDNQQTVDLLT KEGAAMHTKLRHVDINRCWMKQEVSEGRVHVNWIPTADMPADGLTKSLPKQKQHLFRV LLGMRDISERINQENRG PEX2_081370 MAVRKFLALVKNWLKIEIRVFHYDNERSAGKEVELIIEAEGYTI EHSPPGLLEMNGPAERSGGMVVRTARVLINDTDLPHNLWPEAMYAAAYILNRILTKVH NQWIIPWKELMKHAAPDGVQH PEX2_081380 MCLFLDLPFELRTLIIENVLYTPLSPPQTPLQSDGIEYNDLRYK AWISRGGSACYNQQSKPNPSNCLPLLLTNRQILTETLVILGRKKVDYILDISVKDDLQ LFLTWLSVPCLTTHISTLYANVRLFGHIIDKCTIRAQLGDGGRLGFHWSFYAALERFL RYGPVGEKKHKAEDQSSGYPRNTKEFEDREMVIDTLVLDFQSAEMELSFPPEDVTYKI WSERHWGLDRGDRSEASEALSSHKTRPEWLCEYLEAWISGLLYMSYHTSEFGEPLYER VGTIRMLVDGQLYREFDLAAWLARLRFTNPTSMMGHLPTDDRQPGFWKWKKETLLRRE AQGFPVIWPLDDELGRREV PEX2_081390 MEKDSKKDRFDDQEPKKEIGAFGGYLRIFRYGSSKDYTLQAIAT ACALGSGVGMALVNLVFGQFITLITDYTAGHTDASKFRNESARLSLFFFVIGLCRFFL TYGYSTLYTFAAYRITRNIRHLYLKAGLSQEIAFFDSGTSGSIAMQATSNGRLIQSGI SEKLGLVVQGLSAFISSFVLAFVTQWKLTLISCCIAPAMLLAMGISSSFEADIETKVL KVQAQAGAFAESILSSTRTVQAFGLRNQLLGDFEKFLQASRKLGNKKSPLFGCLFATE YTIIFSGFGLCFWQGIRMVSTGEVEQSGDIFIVLMSVVVAAVSLTTIMPYLIDFVRAA SAAAELFHLMDRKSRIDPFDNSGERPTDVVGYIDFSSVSFAYPTRPDTKVLNNFSLHI PAGKTTALVGASGSGKSTIVGLIERWYNPLSGTVKLDGKPIELYNLRSLRQQVRLVQQ EPILFSGTVAENIANGLVGTPWENESPGEKLSRIQEAAKIAFAHDFITELPSGYDTVI GERGGLLSGGQKQRVAIARSIVSQPRILLLDEATSALDPHAEEVVQRALNNVSKGRTT ITIAHKLATIRDADNIVVMEQGRILEQGTHNSLLESNGAYSRLVRAQDLSVATQDPED ASDSPDETDKEDFVTLTGELTRYSTTTRSALEKQLTRDDFDNWKRLGLLQTIWRLVKS APELNWTFVVLILACLTGAASYPGQAILMSKFIDVFQFTGSAMRTKGNFFALMFFVLG LGSFVVYFAVGWTSNIVAQTMNQKYRKQVVNDMMKQDLQFFDRAENTTGALTSRADSY PQAVFELMGFNVALILVATVGVLSCSILAIVYAWKLGLVIVLAGLPPMLASGYARIKM EGAMDHKISKLFSKSASIASEAVTAIRTVSSLAIETSVLERYTQELDQAIATSTKPLL LIMLPFAFTQTVEYSFLALGFWYGCRLVSFGDLSMANFFVSFLSVFFSGQQASILFGF SSSMTKATNAANYIFWLEELQPTIRETDENGDLGPGDFKSLHLENLQFSYPMRPHARV LRGIDLHIKKGQFVAFVGASGCGKSTMISMLERFYDPVAGHIKIDALTLESLNPWLYR GKVALVQQEPTLYPSTIRENISMGTPTGSPSTVPDSDIEAACRAANAWEFISSLPDGL MTLCGANGTQLSGGQRQRIAIARALLRNPRLLLLDEATSALDTQSERIVQDALNEAAS QGDRITIAVAHRLSTIRHADMICVFDGGRIAESGTHEELLARGRLYPKMCEAQNLGT PEX2_081400 MTTSTSPTQAQIFPGKGLGFITLGASLHNVLTRVKSYPQTYPAI DLAYSPADPLRKPVVLQLPSNGLRLRFDGPDQRLRLIEVLDFSRVNLVYKNQEVLKGG KSGEQPLSSHGPSFRHVYNRLFGPSYPGEYVPPADPQSPYGTYVLSYPGVAFSFPLQH SAWSDQCDFVALLSSSAALPATSMSIFQGPSWPEVRAKLFTQQAQYPRSSALIGKSRE SLADEIEEWHVLGAGKLEVIRRTGSSLYITLGETSPQDLIADFGPPDAIYRKNDRRIS IHRATGGGDLHLSPSPGRGIEIPDGDHSSTNSVTDDSDDEMATFNIGDPTSLPAEYFM NYFHHGFDAFISYPTTTGPAFPASGLPDPSPQPPSSQLTVTKIILHGNVPGSYPFNRH RRSRWLIPLDESGDCLTSESPYDEVSERLRATWKGSYASLAEERALQRPMVLNRGWGD SPESSVEFLGGWEESTAKGPRSGADGQDGGLGNTELFGFPGLLFEVLKNGAVEDLRMA PFADRPLVGFQRLEVALDVDGIDNGVYDAADGNTDLRHHDEDWMWSV PEX2_081410 MAGPPRPPSTLPTTRRSGLRQPVRRAGSAVPERHAPPGVSTPSV RSSAMSAPRVTRSPEKSGAASKRKEKDFEREINEDTSIHVVVRCRGRSDREIKENNGV VLSTPEGVKGKTLDLSMGPNAVSNKTYAFDKVFSPAADQTTVYEDVVVPVLDEMLAGY NCTIFAYGQTGTGKTYTMSGDMTDTLGILSDDAGIIPRTLYALFNKLEDTESTVKCSF IELYNEELRDLLSYDDSTKLKIFENEKKGGHSTMVQGMEETYIDSASTGIRLLQTGSH KRQVAATKCNDLSSRSHTVFTITVLTKRTTESGEDYVSSGKLNLVDLAGSENIGRSGA ENKRATEAGLINKSLLTLGRVINALVDKSSHIPYRESKLTRLLQDSLGGRTKTCIIAT VSPARNNLEETISTLDYAFRAKNIRNKPQINSIISKTKLLRDIGMEIEKLKSELIATR HRNGVYMTPDAYEEMTMESESRRIVNEEQRAKIESMEASLRHKVQELLSITGNFNSLK HDNEDTQSKLKETRDVLNETEKFWKDTQEKLDEEKIVRKAHQNTEKQLRHIGAGLVTT LNGTIQDVNGLHAKLDRKDNLESDNRQIWQTSASEVSHVTEQVDARMQVFQTQHAKLL EEMSGKIHQFVEHELDTVQSTRSHLKGLDASFDKAEAEAKNSTYTAHNEMNEVLEEIK VLREEVKSKVGEGLNGLSAAAARISEEVIGEFSEFHSQLHSSYSTLGKDFKTMFEGMA KHLDEQKSEVHKLRLELQAANRQTVEANRKASSNLAQVLEEEHASAQAERETLMSHIR GLLEDSSNKQNNRLKGKFDTLRTDISASGDSLEQATAQHDRHIDEWIFKEEQFAKDIT ASKDEIKTRMQNDWETFDQRNASIHRATESVHQETVRIVDAQMSDMGTQMEALDDFVA KARSQNGRFHESHLGSLNAMVKNAQESRSNVYGQLDGLTGRVEQLQEDVGMHTENLEQ TTAPLHAEVRKPLLEMRSNIQSHPLKQYVPTGITPQKRRYEYPSEMPQTEAHDGLRTR HRTSKQFTALPFSEEEQQDTPARTSLQVSPSKKFVYNDTPEEVGHSLPSSAVPPSNTG LREVDLNVARPVVSDGDDALPPTKTETPALATSMDLDETPEKEEPEPSRKRRRSNSHN NPESKLPKTMLSKRMAGMKEGRENMPPSAIASGRRFRNRTSD PEX2_081420 MEMSLNNSPYHPPLSSSSSRQSLHKEIPSRPGTAASRPSDRYPR GQLPEGRPSTDETHQLPGTIESPCQDTVLDETEDHLEEGQEQSLSHPAFQPFFTLIED AHTSDYHHPTVHYIFSDDDTDIVTEAALRSLAAQQDVLSDSKKDQIAQTKASNLQDEI KDPSDPDLAKTTLLPPPIPGVRNNYVILDVEPSPHAPGAAQTSPITEQLAPGKGGGGP RSISSSPANASPLPQDQGQLHPQYSVTAAQSFSSTWQVLNTEVVPAPTFENSNPGELP GHGLMLKIRGTGGLPIKVGGKEKESTLEEMMDQFAKRMSELQVVIDAAEAADSKGEHD EEELVQHPFSPDKAEETIKGEGDSAAYAAEVGADHS PEX2_081430 MVIKALSDEFNIPLCVFIGHANLDWKSCQDLSLNQASNQCRGCS EFDQSIDARLYPTLYFIFTNQG PEX2_081440 MKVAIKEWNAVATWHWNIPEDEVCGICRVQFDGTCPTCKFPGDD CALVQGRCNHAFHMHCLMTWIDLESSKGLCPMCRQKFEWKEKE PEX2_081450 MSISTGVQTQRNVSFSSYLVTPKQLNEALKKNPRTKISTSPRVI PLCAAWFMPNDPEGRKGIDSFRNSRIPQAQFFDLDAIKDQDSPYPHMLPTCETFAEAM SELGIRRDDSVVVYDTADLGIFSAPRVGWTLRVFGHPNVHVLNNYRLWVKEGLPTESG EPAVAEKSKYPVPTYDSRLVISFREMKELAFDYGKEGAEEIEILDARSYGRWAGTDPE PRPGLSSGHVPGSKSLPFQELLDPETKAYLPVEDLRKVFEDHKVDPNQTIISSCGTGV TASIIETALSEAEYGDPNLRRVYDGSWTEWAQRVKESDGLIKKVKS PEX2_081460 MSTGRKVFRCAVDETALSTNISEIKKWTANGAIDLVVPLYTLER LHALKRAGSQVAINAREAVRFLDRVTSGKDHIAADRVLLQGPMEQYERWEEAEKFFLP EFEEEPEVIDEALANDEPAIEPGADDTLTKNESDDLSQMLLSKLNFKKDPEAVSITSV GTPSGPGSRTSSRSSRTSPECAQRESSNGTAKNDKTKSKSASHQRTISGSVIPTAPPA LRPLLSALLWRLHTGPDAENSAKTCILISNDRATQVWAQKFGIGVKNILQLRTAIQYE EREYKNRCKYVEKIQTQPAEPKTLLSYEEESDEDELVFVPRGRGKGTPRGASRGGGPR KAAPKAASKAAPPPAEPTAIVEVPTKPIDPDSFSRSLGGATKAPTVDLGTQQGATGGS RGNAGTSRRSSNGRRGGSSRGARSNGRGRGKLWVP PEX2_081470 MSSIEQSLPPTHERRNSLEKHLQTRPEMQDLKNRHILLDTNVAP ALQSARQELDRQRATDSLKKNLEKRPEKDELVERNILPATSAAPALQAHARELEKHML VDNLEHKIQNRPQPEDLISQGILSEDENPRSPV PEX2_081480 MGTKRDWEGQPLELNPQDTIMAEAEAESAPSILSIFENFRDELD EHHDRRERIIKKSRDITALSKKIIFALQRVRATNQPLPPKIAQENQTRFDQIQALFEG VVPEQLGINGWRYQRQISAGIQEFIEAISFDHYLRTQTLITHAECSARIPPQILVSEE DYLMGLYDLTGEMMRFAVLSLSSGNATAIQTTENTASENEKSTVASSQGGIVVDLRAM RAGFEALSVPPRHYMFRDMAKKLDVMQNSVEKVERAAYGIVVRGSERPSGWTPDLSGS VEVASY PEX2_081490 MAMFVHPLSSGWSFKDRDTDEWLPVATVPSVVQQDLIANNKLDD PYIGFKELDARWVNEKSWVYRNVVEKPSAPAGSSIVLVFDGLDTFAKVKLDGQVILES DNMFLGHRVDVTKALEAEGEHTLEIEFDCALIKARELRLQDTTHKWVGFNGDPSRMAV RKAQYHWGWDWGPVLMTAGIWRAVRFEVYQARVANLWPQTKLSADHQTAEVTAVIDVE SVGDGEYTAQFSLGLKGNEIASKVIPVSGKTAQVIFPVDKPALWWPHGYGEQTLYDVS VSLLRNGEQVGQESKKFGIRTAEIVQQPDKHGKSFFFRINGMDIFCGGSCWIPADSLL PAVSAERYRKWIELMVAGRQVMIRVWGGGIYEDDSFYEACDELGVLVWQDFMFGCGNY PTWPEMLDSVRQETIYNLERMRHHPSIVLYAGNNEDYQVAESEGLTYNYEDKDPESWL KTDFPARYIYEKLLPDVVAEYSPDTFYHPGSPWGDGKISSDATVGDMHQWNVWHGTQE KYQIFDTLGGRFNSEFGMEAFPHISTIEHFVENPEDMFPQSHVIDFHNKADGHERRLA TYLVENLRTATELDTYIYLTQVVQAETMMFGYRGWRRQWGDERHCGGALLWQLNDCWP TISWAIVDYFLKPKPAYYAVKRVLNPIAVGVRREHHDWSVAHAQPPKTSKYELWIASN QPESVQGSVELRFLSVNTGRDIRAPIVRENITIAANGTTDIITDGVLDHIAEPEPHVL AARLWVENQVVARDVDWPQPLKYLNLSERHVEVKKQTGKSGETVLVISARKPVKCLVF EEQEGVQLEDNAIDIVPGDEQTVRVTGLGSEPLKYRYLGQASSEVVE PEX2_081500 MFHTFEGFENPTAATPARNRSTSERRESISRRVTTLRACTSCRH RKIKCDGEKPCEACRWYKKSDQCHYSDPRPSRRHVEKLSTTLEEYRSILGKLFPNFSP ESLANLPREKLLELTTAPSGSHTQTLSHHADSPSTSASLEAHVSPLSNDDDNLEALQT MPEELPDSRNTSSSDLVEGVSDDVNGLSLSARQPSSYLGVSSIQAVIKVIVWLDPGCA SYFSRTPVNEQENATGWSHHGPVTPPQPLIPPSEMQMLDAYFLYFQAFAPMIDERSFR EAYCAGRRRDDHWLALLNIVLALGCIAATGPDDVTHQTYFLRCKGHLTLTSLGSSHIE TIQALGLMGGWYCHHISQPNLAYSLMGAALRMAAALGLHKEFAETRQIPSAAKLASMD LKRRVWWSLFCMDTWAGMTLGRPSMGRIGSNITVKPPLCRDKGNVLEILPLVENIRFA KIATQVQESLAAAPLVKHQEMAHADAQLLEWWDNLPSVLKDHEPCSESINTVRTVMRW RYYNQRILLYRPTLLSYAMRRVPYIALRSEERTAIEKCREVAEESIRNIAATAQLNQL CGWNAVWWTFQASLVPLVGLFLNDPTVNDPRASIESCQAQVEMAMMTLARMQSFGHTA KRSLDAISRIYEASKRGQDLTDPDSSASILSGSYPAGRDMSLMFPVPELGRGNMAMGE NGFLDPLATTPFTDDSGGQYLWEYLSWSDNNLWPGVVTDTDGRNEVMTLLTPDHEKGP KFGEQPAYFDPMPDPGYFANGGLYY PEX2_081510 MALAIGKYIIRGNRGPLNPESDETAKLEKVGSSVSSTSKKNKFR RHCKRFWCIYLIANMIFLAIFLPVFFLVAIPAIAQLVVNKSDLRIVNAEVMHPTADTV RMTLEAKVNLKLALGVRLDPVVFYTFVRSTGHENAYAGIEIPGQTIKGNYSLGVTDQL TPILNMTSWETFVSQAVFQKETALSLYGATTGYLGVLKNHIILDKDVAMPTLNKFAGF SIANSTFLLPAEDDGSNLVANITLPNSSALSFEVGTITLDLKSGNTDLVIGEATVKDV TIRPGNNTFPLRGVVDIGTMIGNLTEVLSSQGPAIRRGALSLTAVTRSIVSNGTLIPY YTKVLRSLPLIANVSIGDVLRNSLGHLGSSETLSDPDDKRRRGPVQLGGPVGYGDSYS QVASLKHNRHVQKIFEDEDPERRDAMIDSLARYYAAL PEX2_081520 MGLFNSPARVFLAATALRLILLVYGGWQDANSAVKYTDIDYMVF TDAAHYVAKGESPYARDTYRYTPLLAWMLLPTAWEGAAPWSTLTFAFGKALFALSDVL AGWLVVQLLVRCYRFPVERALRYVAAVWLWNPMVANISTRGSSEGLLGVLVAALLWAT LTKKPILAGLILGLAVHFKIYPFIYGVSILWWWDAQRDGSAAAGLGLLSKALGFITPS RVKFTVAALVSFVALNLVMYLQYGQPFLHHTFFHHLTRIDHRHNFSPYSTLLYLSAAG GAETRFEALAFLPQLILVVVALPLVLAKKSLTTAMLAQTFAFVTFNKVCTSQYFLWYL ILLPFYLPSSSLIRKPALGISAAILWIAGQALWLHQGYNLEFLGLPSFVPGLFLAGLF FFAVNVWILGIIVRDGGDGAGEITVALK PEX2_081530 MTSNYPNHVPSSSLPPLMISAISPPQPVSVSLVASAPVALPASV GESNCSTSTKILSRDISANRTSSLISVIEDQSHLPAEVPALSMSAPTQSGIQFVNLPV EIHEIILDHIFGKRASAGNHTAYGKSSAQNWSKALHHPRRKALSNLALTCRVWTRLVQ SRIYRHIRIKGSRDELATCVRWFKRNPRLIPYVCHIEIWMPIWGDRAPQLNISRSNAE QHAAQRAAGPVVPMQWNHDHQGNDMFYYHRATNNASLEEIFGLVRRFFPTARVLTLDG GHCKNPPMVRHFRHSRGMYSLQALIQRRLPVLEHIQTFIMMHVFTRPPATIRHINLSL EGFYNNDSLLGRLSGPQNSLPPICSLLGETAPRLESFTYTGRVCWYFFERLKQGATAM GSYSRLRSLDLVVKACCHNKKVDRSHHWSQMSQGIGGITSLVFIRAFEAMVVKAIECL PVLPALEYLRIRFIDLDSRCPPLNPYFQLVNNECTGLWSEDILKALRVNRPSASFLAL TDGLAAEYSDQQIVGAMMPRARPLGIQVNTYSLLADSSSPY PEX2_081540 MWILDSTGKRVWLRPGKKYLFGRFYRDGVRHAVNHNSISRKHMT IEISPVNPRDGLSPRARSSITITDLDSKKGTVVDERRIQGECKLEKSDEHVIQLAKYQ HTLRIKWEPVVLTLSASSKQVRGEDPLAHVRSRLEDLDIKTIMEYIVDHTTHVVQRKR NTAKGLQALVNGKYIVDDSYIDALVYAATPSDLENVESLCPLETDFELAWPDPNQHLP PPGKEPTQRPAAAFAPKSARLNVFDGYTFIFGDPAQFENLQGPINNGQGKALFYQVED GVTTAVDIVRFMKKAAGGKGVGSERHGSGGVVLVRFRSKGDLEQWSIDVGNEVALMTD QRVIEQREFLDAILGNDASPLCRALPTEEGSSQISGSTPAVEAEQTSQRAVSVVSESV SPPDPKPSQPSTGRAKTPRVRAYVSKMKTFDDGFDMESVPVYAPEEEDININSTQTMD VETQVPSQATQSLDTVKEEPEEDTVAVLLPGARTMKRRRAEMTRHHPEGEAVAPETEA PKRKRPKLDVLEAARKHREDEEQQRKAEKDSHPADLGDVDVDQLRNLAIVEEMEIPVR IVPAREDKTSDRWDDQWNGRKNFKKFRRKGEPRSRARIQTVIVPLEEVTRKDYGIGDH YWAGNSTETTSRIEPEAPDSDDRRDENFPSRSELTATARTSPEPTPNPTPPRRPKRAR EERDSDSDDGLRFRFRRKR PEX2_081550 MESSGEKPASDPQPESAPDGDFGTLKYQLLGPSLTKAGQDSVDQ QKVSEIIYNASKGSKFFNHEQDRDRNLTLKIERILKEKARLERLDLGNELRRADEYLA ELELSRDLSQHVIHVDCDAFFAAVEELDRPELKTVPMAVGKGVLTTCNYLARKFGVRS GMASFVAKKLCPQLVLLPQNYDKYAAKAKEIRTIMAEYDPLFESASIDEAYLNITAYC DENHLEPDEVVRRMRSEILETTKVSVSAGIGANAKIAKIASNLNKPNGQFQVPNEREA IMEFMRDLSVRKVNGIGRVFERELESIGVKKCGDIFPHRALLTKLFGEKAFQFLAQCY LGLGRTKIEPVETHERKSVSTETTFHDIGDKEELRAKLWWAAQELEKDLARTQFKGRT LALKVKLHTFEVLTRQTAPSRAVSLAKDLYSFALPMLAKLEKDIPNLKLRLLGLRCSN LVSTQKVGINFFGIATQPKPASESISESTPISACGINTDHEICAEEAFEAAARQEIQD EMNDLEQLSQETPDISVVREPEASAASEVVEAPPMWDCPICTRPQIADDRTFNEHVDF CLSRQTIREVVQDTSEETPLIPHSSRKRKTPSQAGIPEIDPKQKRLFFQ PEX2_081560 MTYDKQNNGSLAKPFTPTLSAAFHRSNKAPLTPKLASPNPGPCP GSGPGFPRRLAQSDHPYSTPSKDDSPAVPTFLSANVTPRSGPRTTRRDGAIYSPTTIS PAPSPSPHTPYSQSTIGYGRRDRSPARGIKPEQSRSLRAKTLTAENQPPSRPNSFSDM TSGTPLFFHASDARSSHPSEPPDAARPRPQGKTSPASSFIYANGDEERKPLGEQSNVT STSAKRRSGGLPRPLPASKPASSSSRLSSSGLSDGAPHPPEDLRLPYIVSAESGLGII PRLGSPSSSTIGEKSRPQPIRHTKSSSVDSGRHGNHPQDSLRASPVIVSGSNFSEGAA PVMSEQIPTLRPRIFSNVSTASTDTYPPAPLSPGKSDGPSEAALNARTERKIMDLEIS NSSLLAINRTLEREMRQQKAELRRFRRLSRSGRISMAPSTRSFSGPALSVTSELDEGE SEISNRSHDDMSDISDEDSTADESILSPSSLAEHDAKHRVNDEKRVMLDLARHQEVLV DSQRMNQSLKRCLGWTEELIKEGQRALEYNVHVQDVELGGRVLAPEELGEIGESGRGL LSPSTDYNAVFSPVEASELSFVESPSIGTLSPTPSISADSST PEX2_081570 MRSMTDQDAWTIQKIIMQTEFPFIVLKSLQFALFRTYGIPTIST LLLKTSQLSDSATSFKRYADTGTLIGEFMAFDPRSERAQTAIARTNFLHKGYRASGKI DEADMLYTLSLFAIEPIWFVKQLEWREMTKMERCAVGTYWKSLGDALGIRYDSLPSGK TGFQDGIHWLEEIGAWSEQYELQHMKPHPRNKEIAKKTIDVLVYNVPNFLKPLGGYFV SYLMGDRLRAAMMMEEPPAFFSSILALIFKLRQLYLRYLSLPRPNFMRLDVFTDKPNK HGRNWVLIYEGAPFYVQPTILNRWGPMAWFKWALGQPLPGDDGDKYYPQGYQTCDLGP TYFEGKGYKELEGFKETLRQQRMGQCPFP PEX2_081580 MASLHSSAIALLALAVSTAAIASSSAAAAGPTGLSYPSGFDMKT SWANLSPYTDASGFNVSKGFPLECELSQVHVLHRHAQRYPTQWPLDGEGMENFAQKLI NYTKKYPNKKIGSGSLAFLDDWEYMLGLNTLLPTGAATEATSGAQFWSQYGRLLYRAK NGDAAWDASLNVYPNGTARPKPTFRTTSYPRILESARWWLSGFFGNTGGNSSYSEYNL TIIPEVEDFNNTLSSTESCPNGMEPGDNAAQVFALSFVKDARKRLAAHLPKDFKLDTV DILAMLNMCPYEYATLGRSSFCALFTEQEWRDFEYYIDLQFYGDYGFGSPSGRAQGIG YVQELAARLQSKLIPSSDSSINYTYDDNTKTFPLDQPLYMDMSHDDIIVSVLAALGLD YFKYGPHGLPVSVTHAPPRTFNLNQMTPFGARLFSEVWTCPKDVSFKYLQVQKYKNPD LSSKSDTTDYIRFVLNNAPVPLDGLKVCDGSVNGFCKVGKFLSAIPDLNKEAMYQEAC YGDYNITSQVGNGQPRKP PEX2_081590 MLALSIVLYVLLGTSLAFAIVELGLSAFITAAYSGTQEITTWNA YSGYSYGQINVEPPAILVFLVFSSVWTILVTVAALALPWYYTRKGFVTAKLNTVLAAT FVAIYFVTMVFWLACFADLASLLGGGTSLNPYYNAVLAFGVLLWLLFVALVVFTILAM CGVLVSDWAGYQSLRKENEVAAHQTSADPAHEAPMSELPSA PEX2_081600 MVADTAYYDALGVPPTATELEIKKAYRKLAIVTHPDKNPGDETA HERFQAIGEAYQVLSDEDLRKRYDKFGKEESVPGGGFEDPSEFFSMIFGGEAFVDLIG EISLMKDLTATMDITMQEMEEEELAQTAEEKLNIHDEEVKAAAGDSASPYKPHDPAAG AAASLSEKPTSDQSSGTSTPRRNFGQQALMDKSEEEARMEAAGLSQEEKELRKKEKKK GLSKEQQERLTAFEDERRKAREDRVNTLANKLIDRLSVWTETDKGKDVSHAFEEKIRL EVENLKMESFGLEILHAVGQTYVQKGTSFLKSQKFLGISGFFSRLKDKGTLAKETWTT ISTAIDAQMTMEEMAKMEERGGEDWTDEKKAEYEKRVTGKILAAAWRGSKFEIQSVLR DVCDQILSDKRTRLEKRIERAHALVIAGNIYAKAARDPEEEGDYMAFEQLMAEAMSKK GKDEKDKDKKKKKSKHDHEETHSGKEPGEETTV PEX2_081610 MGLIGNALYYSFHPMQLRSILQWKVWHNPPHERNEKNETENQKI CFKFLDKTSRSFSAVIKELHPELLIPICIFYLVLRGLDTIEDDTSIPLVTKEPLLREF KDILTQDGWNFTGNRPEEKDRELLVQFNHVITEFMNMKPEYQAIIKDITEKMGNGMAD YAVKAENDDASVKTKEEYDLYCYYVAGLVGEGLTRLFVEAGFGNPALLKRPKLHKSMG LFLQKTNIIRDIREDFDDNRRFWPQEIWSKHVNNFEDLFKPEHLDAALNCSSEMVLNA LEHAEECLFYLAGLREQSVFNFCAIPQSMAIATMELCFRNPEIFKRNIKITKGDACDL MHKSTQNLSVLCDSFRQYTRKIHKKNTPKDPNFLKISIVCGQIEKFIETIFPTQSAEA ANRKVSGELTAAELQKKKEDDENKNDVYFMMALMGVIVVVIASVMIFAAWMMGARFDL AFKEITSGHFRPPVKNQLEHPEL PEX2_081620 MGFGNMTSSSSSVDSGDSPLSTNDFRTYNRMAEQMEGFHSHFRL TWNQLWEACESSGKRGLSARQMIMMGLQFCSQLDFHHSIEEQHIFPVLAKKMPEFRKE LELLSQHRQIHAGLEKLEKYLEKCRSGEEDLRREEVKRLMEGFGKVLWTHLDQEVQTL GAANMRKFWSLAEMRRLPM PEX2_081630 MKFTTTTILTAAILAGNSLAAPRSGLVDRLQARGALSRQSLPAE KKGVLLKEGTEGANVQYSKNWAGVVREKPPASATYTAVSATFTVPEPTATDDSGNMQA VSAWVGIDGDTYTKAILQTGIDAYIQDGKQTFDAWYEWYPLSAENFDLELSAGDVIVA KVETYSPSRGVAIIENKSSGQNVTKTLSAPSSSATLAGQNAEWIVEDFNTGNTMVPLV NFGKVDFTGARAEAGGAKYGVKNAAVLDIQQNGNVKAHVDIQSDTEFSVTYQ PEX2_081640 MNIQISPAQFPEDADSILSLFLGYAASLCIDLTFQSFQEELDSL PGKYAPSQGGSLLIARVGTGIRGYNTNGLVDLISSPQFPSALGCVALRRNSDDWCEMK RLYVLKEARGERLGEKLVEAILAQARDLGYRGMRLDTLPEMRAAQRLYRKYGFVDIEP YYETPIQRTVFMGCEFL PEX2_081650 MTTSYQPLQVLIIGAGIAGLSTAIALGKQGHHVVEWYLVHRVEL HNHLKQRALETATLHTRCRITEVNVEGACPSVTLDDGRTFKADLLLGADGLHSQIRHS IAPGSPSPYPVGKSCFRWLLPTDQLRQHASTVDFVRDTGLFIEWASDDRRLVAYPCSD SKILNLCAFVPLDEIQADKQGDSWQAAGDKTTIVKAFSKFAPSVQQIIASADKDLKVW DLYDMDALPTWTRGHAALVGDAAHPFQPYMGQGAAMAIEDAVSVATLLPCGSTPHDIP VRLEMYQTGRRPRVDLVLHYTRMNGRDENDAAESFRVRRKPVSNPNLRGTAADQREAT VYAASTSSLVPPSSQPPSYTDLYGPPPPRPVSPGLLPRPRTAGPASSPTPPQPTPVQK AYSEARHFLTGLINRPTESNKHVTILRHSHGLVFYRGPTTSVAISIFSDAPLPPEHTL WLQSKGWTGKTGMQFKSFLRLRDSWLDVTPSMPLRADQVTPDDERAWQRDIKKFRKKA PPRPRDTHQLRETAVVRIPAEAGDGYFQLVLCQGPKKKVLGNSPVFRVLSTSTAPSSI RGASLSTLPLEVGAMIVSLYAQTAARTAAGPAAMAIQAKAAPLQAKAAALRPSWVTKN AVQKAYTTSGIENRVGGIINGPKGPIGRSQINPAAPEIAGSSPVSIDVGPQPPFPMTF KARCQTDQILYPNNPEDIPKLSLIRMPDWVTEQLRGYFFGWARFDTGSNKGLQTDEWG PAILSVRALDPLQAARVNMAQLSKRVVSIRLLEDFAIQTSKIEIRILGYLRAEIPPPT GTTSKELAEAQAAATEAALLADVYDASVVQDTLAHPAWAAEHLAMSDLQQNPSWIDRT VEGYTNIITRGQKLVEQVPLHLVGVRSVTDGLRDSQVAVNGFYIVR PEX2_081660 MAVLPLTVRPLQPPPGSSIDFGAEIEGVDLENLTEEQFGTIRKA LYEHHVVVFKHQKGLSPKAQYELTKRFDPVSDNYGHGKTIDAKRSILHPDLKTVPHQP QVQVIGNGHVDSYEGLKDITLRHPHHRTFHQDHIPDEEDYEFTRFYRWHIDAALYDLY PPQVTTLMAVSVPKGRRQTLLYDDGTGEKMDVPLGTTAFVSGERMFELLSPEDKEFVK MSKIEYAPHPYIWMSQAHSRSTGLGMHSEGLELPDSELPPIDPSKIMVFPMTWKNPVT GKLALQIHPSAVRRIHCADGTTIDDLVRVRDIVYKLQRPAISPQYVYPHDWEDNDLVL FNNRGVLHSVVGAFKPDEVRLFRQCNLAASEPPVGP PEX2_081670 MVSSSPFVIGIQNAGISVLDHIVNAAVLTSAWSAGNSFLYMSSC SLYSLAMSGNAPHVFKTCNRWGVPYWAVSASAYFSALAYLAVGNSSSLVFNWFVNFTN TSGFISWTCCSVVFFRFRKAVKAQGIEQPYRSKLQPYGVYFGLAGATLMALINGFTVF FPSEWSVSNFFTAYIGIPAFLILYFGHRVVYWNDPWAWRPEEVDMHTGSDEIIAAEQP PRPRGPWWKFWWCT PEX2_081680 MAADDAFRRPSAVTVEQGVSTSNADRTLEDMGYKPEFARNRSIW QVTFMCFILSSVPYGLSTTLYYPLAAGGPANVIWGWVIISFLILCVAISLAEITSVYP TAGGVYYQTFVLSPLWCRRVASWICGWSYVAGNITITLAVNFGTALLFVACLNVFEKS PGVGITDDFQAYQTFLIFLAITFLTHSISAFGNKWLPWLETFAIFWTMAGLLAIVVCL LAIAKQGRHDAAWVFGHFETQAGWPAGWSFFIGLLQAAYATSATGMIISLCEEVQEPA IMVPKAMVGTIIINFIAGLLFLIPVCFVLPDLTYLVNLASGQPTPAIFKAAIGNSAGT FCLLIPLLVLGVICGVGCVTATSRCTWAFARDGGIPGSGWWSTVNKTLDIPFNALVLG MIVEIILGVIYFGSTAAYNAFSGVGVIFLTMSYACPIAVSLIFRGREDIKNGSFNFGV FGLIANVIALGWSLLAIPLFCMPTLKSVTKESMNYASVVFAGFIAIAAVWYGVWGYNN YRGPPTDAVERDETSPEPSAYGEVPKKAPSH PEX2_081690 MHASKAEPVHYEIYDHHENASNLIPDRPSLCTSFSNDWFSQCLA SSSGGTTTLSTKVSISSTTTTKITSTTKATTTSASGTGFPATNGLGFEIDGKKSYFAG SNSYWIGFLTNNDDVDLVFDHMNESGLRILRVWGFNDVNTVPSSGTVYYQLLKDGTAT INTGADGLQRLDYVVASAEARNVKLIINFVNNWSDYGGMAAYVTAFGGSQTSWYTNTA AQTAYRAYIKAVISRYIDSPAVFAWELANEPRCNGCDTSVLYNWIQSTSAYIKSLDSK HMVAIGDEGFGLNIGSDGSYPYSYGEGLNFTMNLGIDTVDFATFHLYPSSWGTTNDWG NGWVKSHGAACAAAGKPCLFEEYGVTSDHCAIEAPWQKTALDTTGIAADLYWQYGDTL SSGKSADDGNTFYYGTSEFTCLVTDHVAAIDS PEX2_081700 MGVEASSHHGESITPAQEPRIESSFQHSPVEKPIHPVGIDTPIP RITMRSLVMALFVSMGGLLFGYDTGQISGFQEMGNYLERYGELNTDGSYEFSTVRSGL IVGLLSIGTMIGALVGAPIADMLGRKWSITLWCMILNVGLIVQITSPDGHWYQMVVGR WVTGLGVGGCSLLVPMYQGESAPRHIRGAMISCYQLFVTLGIFLAYCINLGTHTMDGT AQWRITLGITFLFALVLGGGMVFFPESPRYEFRHGKAESAQSTLAKLYGIPENHVRIL EEMREIREQFEAESDDQKWHEFLTAPCMFYRIALGMVLQSLQQLSGANYFFYYGTTIF EGAGLSDSFVTQCILGAVNFGTTFGGLYVVENFGRRKSLIFGAIWMFVMFMIFSSLGH FVLDVETPTNTPNAGKGMIVVACLFIAAYAMTWGPMVWAIVAELFPSKYRAKGMALAT ATNWLWNFLISFFTTFITKEIDFAYGYVFAGCLFVAVGVVYFFVIEGKGRTLEELDWM YVNRVVPWKSSNYEIPERHQDWIADENPYGRKSQGTLHTENA PEX2_081710 MQVLSTLILCSAGLALAYEVPANLQEIYKSHKAAKCENLLAKGF KAEQGAPSDTDYCGDIDGAIFLHSTSKGGAYADMDVDCDGAHNSEGSCSDDRSGQGVT AFQDEVKQFGIKDLDASIHPYVVFGNEGQKPSFMPDKHGMEPLSVMAIVCGGKLHYGI WGDTNGGVTTGEASISLAKLCYPNDKITGNNGHSAKDVLYIGFTGKGAVPGSKADWKA KNSKAFENSIKSLGDKLVAGLGSGGSKRLIKASTSSFTTSVRATTTTSSVPAGTSKAC RTD PEX2_081720 MNEPTNYDPKGLAQICASFDEGGGVALGDAFVIYSIVASSPDWS TTAFKSGLQAELISAASAVGNAIPVLVTTEKVFTVDLVSLPCLEHPPFNSARETSPRD SLPWFLINASKFPKHQIVGRETLVESLLVILQRPWCVLELCCCNSPAISAIHQFATIA AKIIPEIYNNALVLLHQELRRRTVPSLGDLKHLKLSFAKLDTTWFTIKEVYTNLSSSR LRSHNSFWLRLISTCALYLDISCSDLVRILSYTTSRGVHFSEQKHAAHKEHYSSIERM SLGSHSSVTISTFKPVLLKRSTLSLKDQEKCLTLHDLELWSCELQFLLLTYQQVLHII SESLS PEX2_081730 MPSSSLYLSSLLSIIGVAQAEKFPQSLTDLHAQLKSDDGVTFDP LGVAAVLYNPRVDKSAARLYTQFDRGLFIWPHLTMIGGTLPPMQMLVERLNATMKWIH PSIKLCAQDTTMLPVRSDVSGNVFRQLPLNLSNAWLTDIISFQTSKQPGNDFVVVCVD AMYLSTEERQKNVRQQSGNLKWLLGKWTLDSICLVNGAMLMTGMVCGILTADIWAFTL FLLYSSHWFASVLISFTSMVKIHKPDHIKNDLRDRYAVYERDEGGTVIFKGPQKELEE WARSTWEYDRTWVKDCLHWFWTLSGTFSGISSVACMVNMKGALQLAFLGVLVYSSLAE IGATRIARHLQTKAHGHISVDRVLNNQTRSLAIIRATLQITPECRLRGLNWVKMGLLP PMQVFEKMQELLRDISDIEPSIDHSRGVVKEKCDNFLDDVDPSEQMLAKRIVKEVTET LGF PEX2_081740 MYNTGGAENGYSAHNRRMYDHPPLEPAHQKSPADDLVDKEKART FECYPSCALTGNFASIISVDQNIINLGNQLMFLGVIVLEIPSNIILHKIGPRQWISAQ VCVFGIVACLQVLVRNKLGFLLTRTFLGLAEAGYIPGAMYTLSTWYTKQELTKRIAIF FFGMFGGTAVSPLLGAALLKLDGKMGLFGWQWIFLVEGLFSIVVSIILFFFLPEHKEV SVLPNQVHLSQPGGLGPMHKPLPHPGKTHISFNLVWKTLTNVRKWPHFIATGCVFATW SPLTTYTPSIFVELGFSRIKANALCAIGSLLTLPVILFFAWISDKSNKRGLAVMVAIF VYLIALVILRIMVHRVDNWGKFGLWTAVNALAVGYHPIHNSWIQINCKSSEERNISVA PEX2_081750 MRFAIATTVSLMVVTISATPVEVRQSNQVTLALSNDQSGAYAGV TFQADDTDKSIFSLFSGTSVGAGGNIKATAAQLTNFAQSINCVITNNDAIIGTLTAQH TYLDLDGSSHAAIPINLNNAKVHCRV PEX2_081760 MACQRQRPEVGLRIDIIHQPEDIIQAFGCVCEAFGRQVQDGIWI AMNPGWDTPQGRAFGAERMVARWRHKKNDKNGLPNTIFLKATLPCPQVEERRIIVGFC IWVQASSVEGHGDPPVGDIAHSMGLNALYPDSEPEQRYLCQTISSLHRRRNEVIKQKA IAAPPAVMILDMCAVDPAHQRKGIARGLVQWGIDEAQRRGGLEAITEASSMGRHVYGQ MGFQADGPEIEYVVDDEFASRRNPPNLFMRTHGALV PEX2_081770 MTGWKEASDLGYQLRSRYPGFYQDGTPFYVWANQYKSPINESRV VQTARAFLNGYLYEYADAYGTVVSVNSTGSPAAIGNSLGPSDSCPAFGSISSGGNNVT NWDATWLPKAVHRINSKIRGNLTFDESDILFFPYMCAYESQIEGHLSPWCGVFTENEL RNYAYSQDLSYFYGVGPGSIGPSKVLFLPFLKSLLSLLETGPKHVGVGANGTSFEIPN LIMAFTNDNQLAEMTAAMGIFDFEGPLPDDHIPAHHLYNVAHFITMRGTVAFEVMDCS VNKATNGPYIRILFNDAVYPIASCQNGPGRSCSLSDYISLIDNKIDQAGDFLSYCNVT EAGRPEAAAGASFLQDLSLDFLTFVNP PEX2_081780 MGEAGTPLDNGVDDDALPQTMPIAIVGMGCRFGGGITSPEELWQ TVADGKSVWSRIPQDRFNREAFFHPDSQRLGTSNVKGGCFLQEDVGLFDTSFFGLTAE VAASMDPQIRLLLEVTYEALESAGFSLAQIAGSNTSVFTGSFIRDYHDLLSRDPTAFP RYFSTGNFMAMMANRISHYFDLRGPSTPVDTGCSTSMTALHLACQTLRTGEADAAIVG GTCIMLNPDMFCQLSSLGFIGPEGRCFAFDHRAQGYGRGEGIATLVLKRLDEALRDGD PIRAVIRETGMNQDGKTPTITSPSRDAQEALMRACYQRAGLNPDETTYVEAHGTGTVA GDVVEVAAIRSVFGTGRASKRPIVLGSVKSNLGHTEAASGLAAIIKVVKALENRQIPP TINIQQFNGYLGLQDGVLHVAQDLEAWPETDIRRASVNNFGYGGSNTHVIMEDAANHV LRHSGQRPPVSQDAHSSRKVFVLSGKDEGPTKGLKDRLLKHLQGHDLSLPALAYTLGQ RRSRFPYTVAFSAASTEELSINLADQTLRPVYAAGTAKLGFVFTGQGAQWHAMGQELT EEYPVFRQALHDAERIFQEFGSPWSCIEELSRDSSTTRVNKPSLSFPLSCLIQLALVR LLASWGIFPAAVTGHSSGEVAAAYASGALSFREALAIVYFRGLLTSEHVAKITSPGGM LAVGLGQKDVQTYLGRLTTGTIVVACVNSPSSVTLSGDLAGIEEVQQYLEDNGVFARR LRVESAYHSHHMLPLQQQYRELLDKHLGPTRQFNPDVVFSSPVSGGVVHDAHTLGPEH WVQNMLQPVLFDQSLGNMCFEKGSSDTTVSRVDIIIEIGPHGALAGPIRQCLAGASPI TYTSCLTRNQDAVQTLQYMAATLVSHGNPVDLAQVNFPNGEDKELRAIPDLPSYAWNH SQRYWAEPRISREHRLREHPPHELLGNRMPGLSSNVALFRLILRPGDMPWVRDHLVQE EMVYPGAGCLAMAIEGMRQVSVSESSPLPQIQGYRLRHVEITRALIIPDTADGVEVQL LLQPPTSRLGLNWREFHISSATTDGDWVEHCHGLVGVDTEEPPSDQDPTNHLPSTARI QLNSTDAAYFRSIEPAEMFRTLRKAGVHHGPLFQNLSSVRAGSNKAVASFLSVDSTVI QSTSSDHVLHPITLDSVFQVAYATLSKDTQREMGAAIPTSIKEMYVSNSISREPSRAF EAFVNLDQKSARGFNVSIALADQGQQHGCPPAPVLEIDGMHFQRVGGASDGAVPSDHP RGEPLCSTMDWRESIALNDPTLVRRRLAREADAGSKAMESDLTRATYHIVRQVLTKLT DADVATFESRYPELLQWMKELIDRADDNQLAPRSARWATASDGVRQMLLDRVAIESVN GQLLTALGPNLLAILRGQMDPLQAAGETSSVLETFLEKMLHKPAAVKQLAQIIDLYAH ENPRAKILEVGTRSPGECVETIMQYLTGDSADLLFARVGEYTVTGPVTSDGATSDKFS AWAPLVRYQPLDLAEDLSAQGFESGSYDLVITSLLSHETTDLRAAVGNARQLLKEGGK LIVIERVRETVDTRLLFGLLSQHWNPPRSMAEWERTLCETGFSGIDLEVPDCNNEEER CFSVIVSTAAAAAAAAAAAAVDEPETLGSKGNSISVVYTDSLPPEEWTDGLITALSSL TDSTVIVEPLHGLDPREKMIVFVAEIAAPFLCQMDEQDFNALKAILTQSKHVAWLSRG SAISSDIPDQALHTGMLRTCRVENRSKRYISLDLDPGEEPWAMSSISAIGHVIRAIFT LSLPDSEFAVRDGVLLVPRVRFNSEASKAVASSTGAELQPFYQPGRDFRLFIETPGLL DSLVFKDDPEAQDPLPEDYVEIEPRAFGLNFRDVMVAMGQMEEPQMGFECAGIVKRAQ GTAASHFKAGDRVCAITAHGHWANLTRVPWTSAARIPDEMDFEMAASIPMTFVTAYYS LFEIARLEAGETVLIHAASGGVGQAAIILAQWKQAKIFATVGTPEKRQFLMDTYQIPA SQIFSSRDPSFARGVKAATGGKGVDIVLNSLSGGLLDETWHCLAPYGRFVEIGKKDIQ SNKQLEMRPFQDAVTFASFDLVQLSDHRGPALFRVLGDVVSLFEQKAVRALTPITTYP ISDLGRAFRQMQAGRHIGKIVVVPRPADKVKVCASPSPVRLSPDVTYLITGGLGGIGG SISRWMVERGAKHMILVSRNSASRPESQKLIKELGHMGCEAVAVNCDVSRSVNLGQVL FECQETMPPIRGVIQAAMNLQDSILEQMSFTQWSSSIAAKVQATRNVHEFFGDRLSFF IMLSSVVGVVGNASQANYGAGGSYQDALARHRAVQGLPGVSLDLGMVQSVGWLAENKA VGDRLLREGYRPLSEEEVLQLVESAIRDPVRTPDTSQVVTGIAGFAPEAPDAAASGWR SDPRFTGLQLRPGAAHAPGLVTPTTSIRSLKQALAEAISAEQPVAPLITHAVIEKLSE MFATPVSEIDPCMPLSRYGVDSLVAVELRNWLVAQSGCEMSIFDVMGSASLSALADKI ADRLNHR PEX2_081790 MGVLSILYTLAAVWAGGYLIHWLWKVFYNLYYHPLARFPGPKLA AVSNGPYCFWFLSGRQPYTLLDLHRKYGPVVRTAPNELSFNTAQSWKDIYGFRHGHQA FLKSDFYDGGSFADRVHSIVSERDPTEHGIMRRYLSHAFSDHSLTEQEPLIARTIDEF VRQTGVKGAKGFDLGKGFEMMTFDIIGDLAFGETFRGVETFEPHPWISITLGALTQGS LVEVFKRFPTAATVMKFLYPGKIRKLTEQTRQNEQMAIDLVHRRIQRATNRKDFLTRI LEQRDPAQVSDLQLAAHSSDFVLAGSETTATTLSCIMYYLMRNGTVLIQLQDECRTAF ASYEEITASSTLQLKYLHAVILEGLRIYPPLPLALPRIVPQGGDTVDGHFLLQGTIVS TSPIASSLDPTNFEQPFTFNPKRWLGKNERDILEASQPFSLGPRGCLGRHLGWMELHG TVAIITGGSSGIGYAAAQVLASKGATVHILDRNSPKDDDDESYRQNPRLIVHKCDVSK WDELRGVFDLIGPVDFVFANAGVSESTNYFADTWDATTGLLEEPTYDVLDVNLRAVLN VVKLTWSSMKRHQIKGSIVITTSATAYAPEQSLPVYAGGKLALVGLIRALRSVTIQDG ITINGVAPATTITSLLPAHLAAPILAQGLPVSSAHFVGLALAYSATATQPRRVDVYGK ETEAQRYPTTTPQENEERWNGRVILTLGDRYSELEEPIADLRPFWFGRENLALTRLQQ AATDFR PEX2_081800 MLEPFGPNVVSTDGDLWKFHLRVTVPPLQGDVVHRTVWTETLHR SEILATRWIGAAAGKAAPSLRDGVYDLTVNVMSVAGFEKQYHQQLETGKKEKEDSTYF PPLGHHRLSLVESLFRVVTNLPIFVLFPAWLVRFCAHTVYTAYSELNQYMDELLAQEK ADIRARQGTNNTTRAKGNLLTAVVESNNQQPGLKTSGPVSGPGGRTQLTDVEVKGNVF MFLLAGYDTTANTILFSAIILSLNPPIQDAVISEIQQVHREAVAAGRSDLSYDEDLPK FRYLLAFMYEVMRVFPIVIPITRLAVTDQDLVVDGTKHTLPAQTLTIVNNTAIHHDEA NWPHPHIIEPRRWLTTNPNTFDPTNNTTTTNTTSPDDPQQPAETTIHQPTVTSARPGT THRRGTFMTFNEGPRACPGRRFAQVEFVAFFSQLLRDHRLGLVDESTTARENLERQVR LLGGGSPVTLVPPVDVKVCLWAV PEX2_081810 MSPNMLTDPQYIYLSPMDNVMPRFYARLIFAFRCSPNQDHQKVR GLLEQGLRRTSADIPSIAGKVFTRPATPDRVGHLEIRVHPSYCPTVYVRDFSELDYDE LLEEGLPQDMLDPNILFPVIDPPDSEQGAPIFLAQANFVTGGLLLAVGMYHSVIDGTS GGWLAKKWAEHTRHLQGTTEDRASLNIMPRSTDPGVLEDLWLAQGYSPLTVEELKTGS KDSNDPTLWRLLGLDPLNTPPSPQLMAEPEGREDWPMQSTIFYLSPQALEDLKKASTE EPFGRVSTNDALMALLWRSITRARLPNDTSSSMDTNEAILDSTYDGRAGFSPDLPFTY MGSLIFISTARMQRSQLVSPTTSLASIAHEIRQAAKSIDSSRMHAAFGLAASIPDYTK LTFPFATFAGAEVCITSWIAWSLFDLDFGPIFANGGRPEFVRPPRREFDAVCRRCVVL PLQSHGGCEVLISLVADEMQRLERDPELARFACVACH PEX2_081820 MHQHYGPVFRVSPNELSFASVDSWKYIYGAPAGQRSHLIKGEFY DIYGAGFKTGCIGSERDPRIHAQKKKNLAPAFSTKALQGQDGIVQRCIDDFVDKISTL GGPTGLDVVEWYEMLAFDILGEMAFGEGFGCVEREEHHFWIKLILDHLLEITVVDNLR RFGILAALGKLLLPRLTIQVRNRHSGYSRAKVEEYDVLSDIPWNKNTTMLSIDARRLS RTSARQDFFTNIAGKVKSGEIDKEEMTAHASTLIIAGGETVATCLAAATYYLLKTPHT YEQLKAEIRGRYQSYEDINAASALQLTYLQAVINESLRIHPPGSQGFPRVSPGIDIDG YYVPKGTEVYTSAWTVTHDPQYFQNPDSFVPERWTDPMCTDRKEASQPFSLGLRACIG RNFAYTEMSSILAKVIYKYEMELVNKELDWEGESHCHIMWWKAPIHVVFRERT PEX2_081830 MFVELASRLLLTWLAASPLVTTSLAQATPGQPTHDHSQGVVACH ELAAKFPSLVFGSNTSTYANQSALPWSETCLLSPSCVFLPHKAADVAGALPLIKRARS PFAVISVGHMPVPGASTSEGVLISLNQLSQLEYAKGSNKTIAQIGPGNKWLQVYQWLA QSGRAVNGGRYGQVGVGGLLLGGGIGYFSSKMGWGADSVVQYEVVLANGSIVLVDRTS HPDLFWALKGGSNNFGIVTRYDMVTMEVGDAFASGIIWTSASTTQWFEALNAYMAPGG GVEDVNAAIMPIVALTPEDGTYEVISLEFYSEPVASPKAFENFTAIEGPTRLHETNVG SWMFLATALDTPAYAAKDHRQLFWAVSFRPDPRAISIANHTVFDQAMVDLKNVTGCTI SFSYQPISKAWLQASKALGGDAIGLDPEDGPFIAGLISSTWSNAEDDDTMYQFSRNAA KSIRRQTEPLGLYHPFVFLNDAGKGQDPFPAYGGGKSLRRMREIQANYDPEGFLKNHL AHGFPL PEX2_081840 MDNELSSDSSSASAEMKPGDHSQTFCPPSLNSSTLFENTSGSGS FHTTQLSGPAIQRDYDPIFFNSFDWHLSEQDSRGGSPPSMSMPTDIHISQDMNNSPST VGFGNVSSQPKGNLVHNKKASHGVPLMRSSGVVDGSWVPNSIIHTSTVPAPTPNGKPW PTHTVESTASFMTPSSASIPQDPKPVVVPQDRSKCSCLTTAVFLLDELEDSSDPGMHE ERKQLDSHLSSFREILSQCESMLQCLQCRHRPENMTVLNLVLERQTSLSDGIVNAYLT LTSSAAAAAASDSTALTREKHVVTQSTLAPAEKARITSKATEPPTPVTTVASPTPERP EMLLGDYSIAESEWTTMVRVLILTQLLAFDRLVAQMMRIPSLAQRDSQMKRLRASELR NQRLKRQLWPDLSESSPLSDLEFHFISSSRLD PEX2_081850 MPTITYNPDSIPDLSGKVILITGGTGGLGAESARRLAQKSPAHI YISGRKAASADAVIQQIRQSGSKTPVTFLPCDLASLASVKQAAESFLAQESRLDILMC NAGIMATPPGLTADGYEIQFGTNHLGHALLIRKLLSLLQTSAEAADVRIILLTSLGFK MHPGGGIVFDAVRTKQEFSAFGGWIRYGQSKLANLLYARELARRYPTITSISVTPGVV NTGLVENLGRFNRAFVWVTNLGQLMKPEEGAYNQLWASTIAKHTLQNGQFYEPVGVLS NKLDKASQDAALAKRLWDWTEEALQAYL PEX2_081860 MIQLWSAWVYGGIIVLVSCWRIFLTLNSLQWVHWWSKSPSRNPP VYPYWIPFLGHSIPFIQDPGAFVDGLQQRYGDRYPVEIVLGPIRGYFVAGSEAINNLL RSPRNLSPKPFIALVMENMFGTPPGTMPLYRQDDSGIAPTPLPNTHVAPEHRIYYHQH KSAHRFLTGDALRHMTERFTRVLSRELHRDAAIGPDDDWVQLPDLYTFWKSRIFHAAV HALFGPYLTLLTPGFEQDFWHYMDAIPTLTMGLPRWMIPGAYAARDRVFTAVKTWHRF ARAHSDYRQNGPDDPDWDKYWGSTWLKVRQQFGQDSGWMDEDALAAEDVALLVAANAN AILSAIWVLLHIYADPDLHQRLKPEFDRAMVQPFPTSLSSTTTSQQTEFDITTLVNSP RLQSVYAEVLRMRIALLLNRTPVHTEAQLGPWRLKRGQFIVMSTQHAAYDDEAWGPRR MQDGRYPLDQFWAERFLVQDEGGKEQFSLDGLSGAWIPYGGGGFMCPGRHFAKQEILG SVAIFQSYYELEVVDRPKGWLPRPDRRFYGVGAMPPAEPIPFRIRRRRR PEX2_081870 MTRMKNSSSNVTSASGSGSGSGGFVQPLPASLLQPICHPRVTEV TSEVNDYFLTHWDFPSEKLRKKFVAADFPGVTCLYFPNALDDRISFACRLLTVLFLID DLLEFMSLEQGSAYNEKLIPISRGDVLPDRSVPVEYITYDLWESMRGHDRSMANEILE PVFVFMRAQTDRTRIRPMGLGSYLEYRERDVGKALLAALMRFSMALHVSPVELTILGE IDVNCSKHLSVINDVYSYEKELRASKTAHAEGGALCTSVRILADEMAISIEAAKRVLV FMCREWELRHQVLVEELRADGRQSASLTAYVKGLEFQMSGNEEWSKTTLRYNNVV PEX2_081880 MSQSQHSDFLPTLYDADTDNLSYLIPSSRVPTPSGSQLTIEPFD AIDTDFLRPSNLLPIPHSLTRVGPDRRKAYVLYDNMAYEEWVEWWLQTDYGQKSKISW DSNRNSNIWSNFDQVAYTKDGAPKVMSRSAPSLPPIPSATTIRRRLRDVIIERQKSIL QTLPNDAKISIALDCWTSPYNQAFMAITGYFVDSNWVYQEVLLGFKPLYGSHTGLNLS GVLLETLVEHKIQDRVFGVTTDNATNNKTMVEAIQQALSSDVTVIRIPCLAHVIQLCL NQLLDRLKAIPLNENAETKWTDQKSSAAKANAQHQTRRISYTLNKVRYLAVYIHASPQ RQAAFLRLQEKGSQLVPIQDVRTRWNSTFLMLRRAKRLRSFFQPFCEEYDCEEMLLDT QEWRQIDYLLQITRPFFDYTTELSKTKEVTTHLVFKIYNALFDHFFEAEALLKRKRVP WKSDMLKALIAGRLKLDEYYSQTDNLKGHIYAVGTMLAPDSRFQFFLSDNWEPHWRDT YRKSFQELLIPYQERLTMNQGSTNTPTIASSSSRLNMMLKSNKISAKPAGDEMTQYLD SDLIDIEPLQFWRENQSRFPAIALLARDILSIPATGAGVERLFNTARDVCHYRRGRLK SETIEELMLFLCATRFNIKDSEAKQLEQFFTLAEIESAKEQNDEYTEDAELDLISDNE EEEGLLNHISGNNSIKVPRQVVDYLKSIQELTADLIKNPIGQDWKQQFEQLRQETSQI KQDIHAVRIASSNANMNPSGSNRIRSYVDAIKSAPPPTHFLSSHGSASTSPATPSDLI QDRQLIVKLGDSDGIKLFRTRTPAEITKVAEKARVKAAKATDGLTVASAKFVAARQLK SGDLSLSLRTAKEAEIMRCHRLTWVKHLWKGSEVRLPSWGVVIHDVNVRSLRINSAAG LTEPGRKQAICKQLPTENLFHWGDEAEITQISWRVLSEGKKSGSLIVEFSSPHTANKS INNGTLWDSEMLTTVLYDRAARIRQCHNCQKFGHIGNTCSNETRCVFCAEKHHSRDCQ RKRDATLSERKCANCGGAHNGWSKRCPDFINEIERVQALAQARERYHRVPAYLSIGET RQVTPAATSVGSGSSGGSGSRTSTRESSTKKSSTEKSSTKESSAKGASTEGGSGNRAE TASQPSNEPSSGQPASSGQLASEPEPEDTEMAGFTVGEAEAGAIGESIRQSTQRPRGL SQSIHAPLPAGTRSEPPRRRAPSRASTTSSATTRSKGLLRSVLEASEASSGTTPKRAR DDTYIVTDQDVILPDPPSSSAPAASASEYQPSVLSQISGNKRAPTGKQRAGKQDTIFQ TSIPRYNTVRTPGPRGKNKRTLDDQVSFTKEKNPPIHQETHRNNIQDPMNNTPLTIIQ YNTHRSRDVVMADFLAKPGVVQADVIAVQEPWENPYNDTTYHPLKQTHELLFPSSTET GARARVCIYISKRIGTNWTHHAHSEFCQEVRFQTGAGEICFFNIYNECGTTGTVDLLG SLLHDTRKHIVIVGDFNLHHPAWGGIQATQDSGSDKLIELCDEADLDLWLEPGTITRD QNGEQTTIDLVFGTPALTERLVVCELALDCHADSDHLPIRALLDVGIAPAVETKRRLW KAMDAEKFDLFVADNLPTPPQLTTPPQIDAAVNHLVEIVQRGVQESTPWAHPSPQANP SWTKHCGESVKHSRRMYRQYLATHSEEDWQVYKLARNQKGRVIKAALRRGFRSFIEEA VDQGPQGLWRVAKWARNRGQQQGSTMPVLKAAGGGTAESDTEKANLLREVFFPQPPAA DLSDIQRGTSQREQFQLPPVTTTEVKAAIKRAPPNKAPGYDTLPNKVWRILAEQGSRS EARFIPLLAAIFDVCIRLGHNPRHFQTSITVTLRKAGPRDYRVPKSYRPVALLNTLGK ILESIVATRIAWLVEEHKLLPDTHLGGRKGISVDHAIQLILGRVHQAWGEGKKASMLL LDVAGAYDMVSHERLLFNMRQLGLGALAAWVQSLLTGRSTRIKLPNGHLSEAFPTPTG IPQGSPISPILFLLFNAPLVRACTLRGLHYGESEAYGWVDDVCILAVSKSYEENVQVL EKALQRASSWAKKHAAKFAPDKFELIHFANPKIKLDITQPAQVTDIWEIPDDPSGHDG MPVTIPGDTPTTLTPTDTAKYLGKMLAKGAGSLEALRGISGSTWGSSLIAMRKVYQAV IIPQMLWGLSAWYCPAARSMPRGDLDKLTNELTKIQKRAAILISGAFRGTAGAALDTE LFLLPIKLRLQQVVEETAIRILTGPQRACPTVAKATNTRKPTQRRLGGWSPTEAISQK KPLRLQIGEKWEEKQAFVLAPWEAPLPCYIENQEAALKTHDTLYEDILCDQLERDSIL FTDGSGLAGHIGASMISLQQGVSSQRRYLGTDSQSTVYVAELHGIEMALAKTRKEQAR EVTIFSDSQAAIQAVQNPQRPSGQYVLGLIYDHVRAIRSQNPQKLTNVTIRWIPAHVG VDGNEYADCEARGAALLGAGMGVATGTGEPIIRLAAAAKRAVHQRIRERWERQWERET TSAPTKRLVQAPNKKTLRLYEGLAKPQCAILIQMRTMRIGLRHFLFKIKAAESDRCPC DEGSQTPKHILMQCPRYIFPRTKLWDQLYDLGIEMDYDKIISNPQATRYVVKFMHQTG LLQQFQHVGIEDDDDDEPVGVAAMDLGVEDDGY PEX2_081890 MPRRLRRQRMLISIGTQAAIQAVQNPQRPSGQYVLGLIYDHVRA IRSQNPQNPTTVTVRWIPAHVGVNGNEYADSEAKSAALLGAGMGVATGSGTGEPIIRL AAAAKRAVHQRIRERWERQWEREKTSGPTKRLVQAPNKKTLRLYEGLTKPQCAILIQM RTMRIGLRHFLFKIKAAESDRCPCDEGSQTPKHILRQCPRYIIPRTKLWDRLYDLGIE MDYDKIISNPQATRYVVKFMHQTGLLQQFQHVEIEDDNEPVGLAAMELGVEDDGY PEX2_081900 MAPISMYSKDEKVLCFHHEILYDAKILDVRHKDSSDKKSPFEYQ VHYKGWKNTWDDWVLEDRLRKHTEDNRELANNLRREAEASFRLKNTKVTTKKRAGSDR DSVRDSEERGSVPGRGTKRARDSEIEKEESFNIRPSVRIIMPDNLKSLLVDDWEQVTK NQCVISLPAKYPVRQILQDWHEEELPKRSGSSADEDVLEEVVAGIQEYFDKCLDKILL YRHERPQYRGLRKKFEAATGDLADKGPIDVYGAEHLIRLFSTMPELIAQTNMDMQATN RLREEISKLSMWLSKNSEKYFATSYLPAESTH PEX2_081910 MPPSEESILSNFLLSPAPLPTVMSLQKFTELFPKRLRAHPHIRT LYRELQQVREQDMDRVNESIDNEIKQGEKQRAELRKAILATGVEASNAQEQREIDMDL HLFGQPPTAAPGDYHSVASLLAEMETASANIEHEIAGIDQEAAKILAQLNTTVGDLSD LRYGKLQGPAGTAEDVASEAIKGLQNLEDVCYKSSTS PEX2_081920 MPSIKLQPHCLSPAKAPATASTPNNPLPALLQTPSGLALLELQG TINVPSGNEEDDLDYSTSTDDPASTFETPIGKLMFPDYSVHNPDDTKWMKRAYMYVGR YQRMTGEVKKLPRPIAVLQKRQASEGEELEIVEIVRYKIFFKSRPEPVTNI PEX2_081930 MTVLENEDIFPEKMGPEYVGFDHIHWYVGNPKQAASYWITRMGF RPIAYRGPETGSPYLVSYVVANNGATFVLTGPVCGPPTEGSEDSLLRQASTSERATLA EIHTHLTVHGDGVKDVAFRIAGDIEAVWKLAVGNGARAITEPRTSAVDGHGWIVSATV GTYGDTVHSLVNRERYSAGAPFLPGYRLIDTEDPITQLLPPINLLEIDHCVGNQSWGG VDSIVQYYEECLDFHRYWTVDDKDMCSEYSAMRSIVIASPNETIKMPMNEPATGKKKS QIEEFVDYYHGAGVQHIAFRTHDIIAAVTGLQARGVQFLSVPATYYADLRKRLTQVSW TLGADVDVLERLNILVDFDERGYLLQIFSKHVGDRPTVFIEVIQRNSFDGFGAGNFKS LFEAFEREQALRGNL PEX2_081940 MAPIERITLFKIPNEADRDRVLEQYKVLAKTATKDGKPYIVAAA VGASIPDPRNKGYNLSVKTTFASMDDMKYYDTECEAHKALKACVGPVKEDVLTSYYEN IL PEX2_081950 MADMSTPKHSPEYEGESFDDALETPEIQENNNNLNVTIPKSTST RSLTDSPPIGSLMSPELTEKPPFPSEPQDEKKTETAEEQENDGKGPDGQNDKKNKKKN KRKGKKQDDGMDTNDQDNYDTNDEVNTATDEPIEGDKPEEGSEVTQTEAEKKEEDHDQ SPLQKSPLLTSHRLSTSSSLDEVNLMNSKEDEPTDKAQSPGDKTEPPPLPPKDDSAPA SAGLMGLSGGLPSVPWAAPPVNKNPPIPQPAPPRKPSGPFAWFSRSSTSNVAKDIKSP PHSASRRNTATSVSTLSSNLDQIARDGDDLSSVGSKKPRRNSLKDQFKMLRLREESHA PEVDQTSVRSGQASISQSGASPPIIPEEGEEGILAASGVISPSTTVAPGRTASVADGS IPVDWEMWQHLVNNGPQALASSEELNAAIKRGIPQTIRGVIWQILADCQTADMEDVYR ELVARGTAHDKDGRTINGTETTSSRSSVRSGSVSRSSSAAPSPSYEGDTDKLSKEHAS NEVERLKKAKTDAVALQKLEKVIRRDLGARTSYAKYFVSQGSQEGLFGLCKAYALYDA GVGYAQGMNFIAMPLLFNMDEVDAFAMMVKLMNKYSLREMFIQDMPGLHRSLYQFERL LEDLEPALYCHLRRRGVPPQLYATQWFLTLFAYRFPLQLVLRIYDLIFEEGLETTIIK FGVAIMRRNADALLEMKDMSVLTTFLKERLFDAYIDKQPSTSSILESGFFGSSGASDK EIYRSDIMVQDACAIPLTSERLATYTTEWEEKTRTEKEREVELEHLRHTVSTQGARVR LLEERAEASDKEHVQLASELVHVKVENEELRDLKEALELQVSELKNVVDKQPAEVEEK LRLEMERIMKRNIEVQNENRAMEESMSDMEKELVATKMKWAEISENHENLRQKWSDLR RALD PEX2_081960 MPSHGVPRYKPVEKSPETRQQELQKIENYRNLELLVAEHEYTIE TLKKISELLSSNPEYYTAWNYRRKVLQYQFSQVGGSDDDEAAAHSTTELIVNDLHFLI PLLRSFPKCYWIWNYRLWLLDEARRLLPLPDARAIWQQELALVGKMLTLDSRNFHGWG HRRFVVETLKELVTAEEATSMTQKEFEYAKKMIGANLSNFSAWHYRTKLIQSLLDEQT ASDDDRRRMLDDELSLIHQAFIDPYDQSLWFYHQNLMSVFDPSMAERTMAPNLSSSDR LEYIRNEIEEIKEMLDGAEDCKYIYQALIEYTILASKVEGSLSSEDRDQILSWLAELK KLDPLRRERWLDFEKTL PEX2_081970 MSPDRFIPKRDFVEPTSTTFRVAKHPQRLSPQERLLRRLPPGDD PFLPSIPRRTYATNRPQQPTRLQQIPHQRPRLVTEGNITGNNAAHESLRQVSYGAVWN VGGASAVRGSSLIAAPDDTPSTSTNGSSTAPVFVARFLPKKPKYSEQEIHESRLALAL GIDPTTRQLGTTCLRYLDAPLNPTSPDFERLSPFEWKDSAWKKVEKERWSISPARKEV VPPKPFRILDAPFLRDDFYCSTLAYSTTSGILAVGLGHQVYLWSEGHGVDYPPFPDLH PSNYVTSLSFSSEDGERSILAVARRSGQLSLWSTFEKQARFEISHPSTLTCVAFKPRT SRRLSERFMHVIVDVEEIVVGDDFGNIWYYSVEWSDKEDQAKWKWNGSMTLLAKISAH TQQICGLAWSPDDKYLATGGNDNACLLFELAEIVPPRHLNYAVPPITPPDSPSSFSLG VFPYLALGTAKRRVVLKRPALPSWLAAPIKPPAAAALLNHAGTLISGGGRTILVPYGR QKHRLMHSAAVKAIAFAPWQSSLLATGGGTNDKAIHFYHTRSGACLATINVFAQVTSL IWSETRREIAATFGYSQPDHPFRIAVFSWPSCAQVAVIPWGPYGTTWDGPDTRINNFD CGRALWAVRYPGKVPRFSGVRSSGPDSQSSSPSSSSSQTRSPERGAPTRNRKVSSRRA SARMVAPKEKEGGMWCTRTKEEGCIIVASSDQTVKFHEVWTGRRTSTTSACGLFGGSD ILEGLEGIEKSGGEVIR PEX2_081980 MRLQALIQFILPSTILAQSSDPSLGSTLNVTVLGARHNRSTLEC WALQPGFETSDLPGQVGTATLGLGTIGGNASFVVLPAGFDGGRHNAPTLQWVVFLSGL AHITLPNSTTEAWIEGGKNGAILALDTADVSALGHFTTYPSQDRTTSVVIHLGEKGVP GHRVLHEGPCQGEELLV PEX2_081990 MGKKTTRPSTKVASAAASPASGLTYAGSKSSILRAAFSPSEYQL ALFASVIQGLDGQHIRIHDTQTGRLQCEHALGPKETVTSLDWGYYGGSGKGRDQSKKK RKRGSDVNGTVDGLDQGDIVVAFGTNTSDIRMYSPYEDKVVGTLTGAHDKGIKDFKFT ADRPGQEAWSIGGDNKLVQWDLRTGQSTKTIHLPASSVFTALSRPVPSNPPLICASQT PYLIDTEKADEPVQFPAMRNPIHTVISSSSESAGVGAFLASDGDRFVNVFDATTQKLV RNLVADQEVTSITLQTDGVAAPEKQLLAAVTADGSIELFTKPFVQPQSAPSASAKASR KQMTQKANATLKITNTDSSNACVPVAAISFQGPILVVAYTEGGVIPVFERVKFIDEST DELSFTGIKTVVKTKSGSALSSVTTNGVKSAGETRVDESRMNVEQGNLVDDDVEMEDS RQDASDSGSEEDSDDEDNKKSKVSNEKKTKPTKQVAPTEDVEMQNASDSEEEEEEEEG AEPSFGELLRANAGQEVDVEAELDEDVLLGTLVPGKPQAAVQQIPTGVSLATVLTQSL KTNDNGMLESCFHTGDTSIIRTTIQRLDSSLAATLLQKLAERLASRPGRYGHLLVWVQ WTCVAHGGALAGHPDLLKRMTSLYKVMDQRSASLPSLLLLKGKLDMLDAQLGLRQSIR GGDEGMDSEDEDNVIYVEGQDDLEDSETETKTPRKSIRDQAYDEDESMMNGVDESEDD EDDGSDDEDDDEEPLLDIEAAESVGSSDAEESLEENEDEDDDEDSDGSMVDFIADTED DESDDAAQLQLPPSKKSKSSASVGKKSKGGRK PEX2_082000 MATPPPEVPPVTKEDKPQLPPSPTGPSAPEYAPTSAQNVAVTSK TDGPADTEVKSPALNGHTPTATSNTNGTIVATSPPKSPDHQTPSEMIQNKTPSAAGSA PPAEPQPIEEKTGPLQGQESTTPAVANAAAESVVSSAPQAAVHAPTFPASTDGPHDTP EPMAIDTPQGGVTAVKTDLPHHPTPGPAPPALTALQPVDQEMKDASEAPLSPSKISRQ RDADLSEEPAPKRTKVEGDDSVINFQTPGFNSPTAAPPRTSGGPGLTKMQHKFISKSL TSLKRMHDARFYKEPVDPVKLNIPQYRDIVTHPMDLGTMERKLKNNQYSSPQAVADDF ALMVNNTTIFNGADHLVTQEGIKLKATFEKQMTNLPKPEEVEERKPKKNTEKTSAARR EPRTSLPSQPKAASPQSQTFALGPEGLPVIRRDSSNPDGRPKRSIHPPKRDLPYSTKP KKKKFQWELRFCQEVLDELHKQKHYSWVMPFYYPVDPVALNIPTYHSVIKKPMDLSTA QSKLKTGQYENAKEFENDVRLVFKNCYRFNIPGDPTYICGQRAEEIFNAKWAQKSDYL EAHEPHPEQNTDSSDEDSDEDAEESEEDDEKLTLLQKQIAEMSRQVEAITNKKKKTPP SSKKVGKTKLAKKDSKKISSGKRDKKSKISQPGKTRAITYNEKQIISNGISSLPDKRM QQALQIIQNNVPQLKGTDEAEIELDIDELPNDVLLKLLNFVKKHVPNLMDDDDEDDVP TGNVAPPKPKKNKPMSKFEQEAQINMLQNNLSRFQGGAHSPDPVASVEHNESSDDESD SSEEESEEE PEX2_082010 MIPPCDPSILEHNPQFKRLYENLTTSLLNPDASTRAQSVSPART AVVEELRQCQTGNAKKRIKEQMLRQLAFAPDSNLPAECHDNLAIITLYLETPSSAIEP TTPKPETEYKKPDEALTLLAPDIEAFYTNIPAFILPLSKSLSSAVQDLRALSAPNTDP ATAPDADVSAPQHSNHNARARARDRRVRTSMAPVPSLSSQLQARVRALRHTQLSELRV ARRKMAATAAEVVAVRARVLERTVMILERAKHGALARATKAKAEHLAVVAQGVEGKLE VTKLEIAATLYTPETLAALARYRQHLRQTKERLQHRRKMTIQELRAYGDVEMSDPATD IDVDEGSFADIARRYGILAREIEEVKMEIARLEN PEX2_082020 MADDGLNIYDEIEIEDMTFDPNIQIYTYPCPCGDRFEIAIDDLR DGEEIAVCPSCSLMIRVIFDLVCFPIVQPDADLPKADAQSTSGVAVQA PEX2_082030 MLSRTAPRALLASMRPSIRATATTSTLLPRACAIRPSSASQFTR SFQSSPAIRKGIHPESADPPAPNPQSGSVAGAATHITEPSPLSPEEYYEYAEHYFNVL QNELEKAQEEGSDVEAEYSAGVLNISVPALGTFVLNKQPPNKQIWLSSPISGPKRYDW IVEGDYMHEKQDSRPFVSGQWIYLRDGSNLTELLNSELTLRLPKDIYSEIVE PEX2_082040 MVSFTKLFTAGLVATSAMAAPMQAKRTTSGKRGAAYNDITTVSA LTSGGTVSWAYNWAGSLSGSLPSDIEFVPMLWGTNFFGAWVTAIETALSSGSSYILGF NEPDMASQANMSPADAASYYQTYITPYSGQAKLISPAVTSSTETGLGLDWFESFIGSC SSCGISGLAVHWYGDNADDFKTFVTKAVNTASQYSLSEVWITEFALNADVNGSADPAT TAAFLDEVLPWLDAQTGVTRYSYFMCAENYLLSGSTLNAAGQAYVSA PEX2_082050 MSVPQPPPIKNWPHTFDNKYVFKRLGIAERPDDQQAVQDLAQEV FDVAAIEADKDGRPVDRLFRSINSRNKILYEWRRRLPRLPAAVSNHVTDSELLTGALY KYLNCVQDDLVKDFDRRQNQPSQPQLAYKTVQEPAQQKKAQQETPETTKHAEEPERSV QNQPEQQKKFDYTRPYIVPNGDVQIIRADHPDMPIAIRMSDFLNDQENPQNICPNGDW VNIANIRFEMFRENLAQEGFLQGGDTIWLHHLSLDQINTALIANPQAGEVRLASFNLA STLLRTIREHWPRLRNPSPDPFVGDKRSPLPRPNMTIIIRSKVVRGGALPANQPGIAR PTEKMGGNKITPNRHVEQVARYAAVRHSKTKRKRAEAEAASGAEGEPEAAPAAQRRRL EAAPGTATVPAAGIAPGPGTVPAIRQPQEVTADDTAMRALLGPEVFEELRSAREENAE QQPQGGDDDDSGFLGLLDVASFNESGVVGDDSAALQAFFEGNEWVGEPMEED PEX2_082060 MASEALPKDQDPELQAILEGNARFRRLGWKRLTVISIVEAIALG SLGLPSAFAALGMVAGVILTVGLGFVAIYAGYNIGEVKLRYPQVGHYADVGRLLLGNL GSKLFVGSFVALLVFVIGSHCLTGAIAFQNITQSSSCSIIFSVASAAILMLLAIPPSF AEIAILGYIDFASIVIAIGVTMIATGIQSSNGSGDFSVGHSPWSAWPKPGLTFSAAIV ATNNIVFAYSFAGCLPSFMEDMHTPEDYVKTLWWLGGIQIVIYTLTGSIIYAFVGQDV QSPALLSAGPVISRVVFGIALPVIFISGSINTTVVCRYIHGKIYRDSVVRFVNSARGW STWLSLVFVVTILAWIIAEAIPIFSELLSIISSLFVSGLSFYIPPIMWYMLLKEGAWY EKHNLKPAILNGVVFLVGMIIFGCGTYASIAELVNKFESGSKRVIVFLPSQ PEX2_082070 MRTPGSILVIGAGELGTFILQALAHHPRRQPDTTISVLLRESSI NSQEASKAERIEGFRKLGITFTPSDLAVDSEEKLASIFKGFEVVICCTGYMAQSGLQI KISKAIIAAQVPYYIPWQFGMDYEAIGRESGMFDEQLDGKELLRSQSTTRWLTLATGF FTSVIFPPVSGLVDVENKVVYGSGNWDNQFVVTITKDIGKFTAEIVLGPERDAVFVNG AVYVAGDIVSYRDVANAMEEVTGQKFTRKLFTQKEAQDDLQAELSVGTICRSVFAGGK GFLFDPAGLWVPQAHLKTASLRDFAQEHFGQAV PEX2_082080 MAPMKILISGAGITGNSLAFWLSKLGHNVTIIERSPFLRTSGLQ IDLRSHGVEVMKRMGLEQAFKAHSIPEQGMQMVNQAGRRVAFFPSNDSSVNLGFSTNF EIMRGDLCQIIYDVTKSRANYIFGTSIESFEENEKEKAINVRFTNATEDRFDLVIGAD GQGSRTRKIMLGSEAPDAFHPLNGLYVGYFTLPQPIKDGEGYVATTYTAPGRRVIMTR RHNVHEIQAYIGGQTNSEQLKKRLQGDVAEQKEALKEMLQGAGWKTDEILKSLEDADN FYCERLGLVKLDCWSRGRVTLAGDAAYCPSAMTGMGTTSGIVGAYVLAGEIGRHCGGP SEKHFVEGNDTPDALMTALQAYEQKFRPFISQVQEGVSDDGGLWDRISATAFGVALMN HLMGIASFLRLDLLSKFSANPVKDWKLPTYEELLRD PEX2_082090 MVHRWVYAAQAWLSGPLEKDRLSVSALQIDCLTILARQLFSIGA DLVWTSMGSLVHKAMQINLHRDPKHLSGISVLQAEIRRRLWATIIEMTAQSSLDSAMP ASIPESDTEAPSNINDDEIDETTTVVQPHPKGTHTDTSFQVLLLDSLPVRLRILHLLT SLNTKISYLDVLALSSELNNASRAYHSFMKENQSSKITPFHRNLLDYLVRRFMIPLHC HFTNKARTNPLFAFSLKVSLETAMTIMSPELDEGFFELMVNGGGLFREGIWCAMTVIA IELLAQLEAQYINGTLHRNSGYIMFLKRSVNDMISLSSERIRHGETNIKGHMFLSMIL AKVGAVQTGTDCELAIAQSAKDSLEFCHGLLLARANSSSLGLPNNAGITSGSSLDDGS EGPDLGYELDLDWDFLLPDAGFP PEX2_082100 MALLSLLLSPWAMAAAIPVWFALYYIYPYLVSYEHLSQVPGPFV AKFSNIWVGLSAKHGQKYAAVDAAHRKHGKVVRIGFNHVSIADERALNIVYGHGNGFL KDHYYEAFVARTPGMFNVRDRAEHTRKRKIISHAFSPRSVAEFEPFMAENLQRWITQL DRIAASQPQHNGKEFARYNAMPWFSYLAFDIIGDLAFGSPFGMVNKGKDETETQLVTG GPISYTPAVDVLNRRGEVSSTLGLLPALRPWARYLPDPFFTKGVAAVENLTGIAVAAV ASRLDAAEKGMVDSRNDILSRLLQAKDANGRPMERDELIAEALTQLIAGSDTISNTAC GIFYWILHGERSAPGTIIPRLQEELDQVIDPESKIASYSEIKDLPFLRRCIDEAMRLH STSAIGLPRLVADNSLGVDFDGFHFPPGTVLSVPSYTIHHMKDIWGDDVEEFKPDRWL NLTTRQKTAFNPFSYGPRACVGQNVAIMELQLIIGTLFHRYEFALYQPIMESHEGFSK KPKECQAGLRLRECN PEX2_082110 MNLIQEIRQNITLHEQPSTSDDNTEDIIINGIVSEELAIVLLKG FSKLSNRWLFMSTDPVQLRTKSPLLFGTCILAGLHITPSLHGSSTHQALYRHVHGLLG HAHLSSTASLDTIQSMLIFSMWDLRPTLGPDHGTSWLLSGTAAMRVIMTTPFGQLPNT SDADERERAKAQEIMRTWNLICLCQLQFSVGSGRPPIISSQYFDECIKVLDFPSYNAR DELVLAGVRLYRLLWEIISSNVIQNGSAVWSEIDDLRKSQESIYKLDSSEPLRFAYSC AYLILARRTLQHMRETQPEEINNPRTTPEESTLPLIQFAISQSHRLLNLFVSMSDLTT YIHPAYENVLCSFAMVTLAEFVAHLDDVGSIIILMEQAVSHIQCGGKAEPVSRWSLNI VKQHVADRIEQEDCVASMGENSTGIYIPQPVHNATDPWVCNEWGIEQEFPSLEDMFFG NVI PEX2_082120 MPRFLGLRGNSLNVAAILGVLMPGILTVGYNASLLGGVLTLSNF EEQFPEMDIAAADDKSHASTIQGLVVAAYTIGGFFGTLSCIWLGDRYGRRRTIMAGSV VQVVGAVLMASSGSLTQLTTSRVIIGLGTGVLLATIPLWQSEISPANKRGAHVGMKGI FSGLGCAMALFLDFGMSFTQGSVAWRFPFAFVMLLSMSVLVFIYLLPESPRWLIRQGR LSEAREVLAALEDTCVDDQAVEAKIKDVQISLDLFEKKSLGQIFHMGPQRTFHRAMIA ALAMLFLQLTGSTVITFYTTAIFENNLSLGNSTSTVLAAVYQLVGPIGGTFCVFKIDR LGRRVLLLGSAIGNVVCLALVAGLGTQADNPLAMRGAVFFIFLFHFSYIIGFGAIPYL YAAEIAPLHLRTTINSFSISISWAVSIILAAVTPIAFNSMGQTYFVIFAGCNAMMIPV IYYLFPETAGRSLEEMDQIFTLSRSALGPVRIARLLQRGQSIDLSEKGLDPNLKCPEL QLREVYSP PEX2_082130 MIARRKVLHMAAAAALTAYIFCMVLFKSFGDKTWSISLPDLDLS RNYMADSVFEHIQNNTLGFEHIYAISMKERTDKRDFLTLAASVSGFKVEWLDGVRPDE LALKAMPDGLDLSRVKPTVVACWPLILEDDADWDVSLKSQLGEFARGLHALQGTKFVS KEAPYGVDWDLLWIGGCASGPNANETSFYAIPMDPTVPKVHHRATWGGPTDKWKQQYP ELAEDSTRFIYRADMGCCMFGYAVTTKGARKIVSALSIDHLDKPVDDALSELCAGANG RHKIECWAPFPNLIGTYRKAGSASRDSDIESNNAAEFHEELAWNMVYSTRRNIHQLVA GEETVYSQWKDEDVPWSSKAIKHGEFAYPSGYLVN PEX2_082140 MQFISQHTCIPEPKVLCSFTRSGRTYIVMERIKGDMIGRGWVTR SEDLKMRLLSQLAARVREMRNLQLLEGINVASVDGGSLFDCRVPGPSLRFGPFNTIQD FHRHLRMGI PEX2_082150 MGIVDYFSRPRGHQAHGGDETPTMEPPKVIEPNIISSPDFSGAV TPAHMLPSPAGSEDTLIFEKIPHQFGRLGSWKGSLILLVTSGSQFLDNVFMTSANIAL SSIQQDFDVSSTDLQWMISAYTLSFGGFLLLAGALSDRYGRKKILCLGLAWLSVWTLA IGFGQSFIQLTVFRGIQGIGAAMTVPSAIGIISSYFSGVDRTRALSIYGASGTLGFCT GLIFGGFLTSSLGWRYIFYLIVIITGSLGILGFIVLPSDVPSEKKHERMDYIGAVMST AGLILLQFVLSSGGTYGWDQPFIIVLLILAVCLLVGFTIFEKYVSNPIMPLSLWKIRN FAGLWVIGFTGYGTYQNVIYYIVLMAQEVDKLSAGDTALRFLPMGAIGFIVSLGTGKL LEFMNGKHLLIAGLVLAVVAPISSALTSNPEAGFWLNVLPTSLISISSVSFIFVTTST VVLTSVPVEVKSLAGGMLNTAFQIGSGVALAISAAVTNAVDIQQRHSLAEQYATGLWC SVGLAGLGLIIGLFAFNFGNLTFLGSSANIITMNGTEERTKHEGTEDSMTHAPLDISQ SSISNHVKVLIIGAGSTGLALAHGLKKAGIPFVIFEKEEEEPTGKRSWSMGLHWGYEP LQYLVPADIMAGLKEAQVDRHVPIAENNPLPMINGETGALITELKSSKVYRLRRDKFR AMLLQGLDVHWGKSLYDIIHSPDGERVTAKFTDGTEVIGSLLIATDGPHSTTRALLVG EKAAKVTPIDFATTICFTQHTREHALFLRAHPHHPLYQVGPHPEGYCAWLTLHDGDDI DHPENWTFGHYISFPEPRDHVNKRTMREHVAHQKELARRFVDPWRSVFDWMSPDNDGW YSKLRNWDPSLPEHRWDNRQGRVTLAGDAAHPMTFQRGQGLNNAMKDAYTACKAIESF WNQGDFTIEERSAAIQAYEEEMVMRTGEEVRLSEQTTVKIHNWPSVMQSPLVESGLGV KAM PEX2_082160 MASSIINQADFLKYARDAVSDLAKAGKISSGDSSIMNDRLFNAI VSTTNRLGLIRTATNVNLYKGRVFDFLDDSNFEFTGSIESVIELKKWQKILNTAVKYG TSEDQLLDPIRMPIAVWVYLNNAQVLARLNQVRQNIYTETKNVATYVPGMTSMPSIMK EFDKAYFEHAAAESLKWAEARIAVVSSAYTNTLIVPGNSEIVKSTLDLLYNNLNEIKT PDLDALD PEX2_082170 MRAPRHIHLLRKSTFTYPCSRLSSPFLPRRSFGVSECLNVKMNQ LSIPTQPPSNFPAFTPEELSSRREYYPSIADSIKSRPFWGLPIFRLSYGDDGLWNAYM QTLYGEARESLRQANQEYLFPYFFCPVFSDPSLQGSSHDTIREKFTQWVVDSRDPRGT PVRSDTGFSSGAQESACLVVNDDCLKKFNELQHDEENRETAPIVVLTRKWDVEMYRGW HRAEYTDGVTHKLNAEEHNAESEDPEDRYYPKDEDYILFDEVDGFRVPVLGWMWAEIG SIASLYQDLSQHGDQRESWYKAYARPPRVFPDEEDGHWKL PEX2_082180 MTFTFNQNVSAQQLADILPNGVAILTHRYELVSVNRRFRELIPC PSVNFSECWLRSVHPDDYDRVSTGYREVATSKQPLRLEYHTRDPDSIWCVMTLDRLDD KDVQCFGLGDGGVFICTIADITPEKKAELLQRQAAEEAQERKKQQERFIDMISHEIRN PLSAIVHCTEDIQEAIYNKKPDEISVTNITEAAETISLCIRHQKKIVNDVLTFSKLDA SMLPLSPRKVQPQRHLTIPMAIFRPELRKHKINFEYKADVSYSKCDIDWVMADLDRMG QVLVNILSNAIKFTAQSDGQRSIRVSVGASVERPSSYPPNIVFFDSGKSALRQDATNK SEWGSGEVAYIMVAVKDSGIGVTEDAQKRLFERFNQATPKTESIYGGSGLGLNVCRKL CHLHGGEIGVSSKKSHGSTFGFFFKVRRTTGEGVEVDESFGVSVIDKLCVDIQTLGNK MSGVNQSTEEPQIPETPAEDSVFEAHPGGPKDKNTIRTHSIARQVENEQIKRANQNPL SHRDHEKLTGDGQRILLVEDNVLNRRIISRKLSTLGFDISEASNGKEAVDANKNDTFD CILMDQQMPVMDGNCATKAIRDIEKETGGHVPILGLTANIREEQQQEMIGAGMDDIIH KPYGTSELVEKINCMVSKEGST PEX2_082190 MDSNMAFEIANISIAQNELPQIWPSNHPQFGSYLVAYFMSLSFW QYAVTFIIAILVYDQVMYIKRKGSIAGPPLKIPLMGPFIQALHPKFEGYLAQWASGPL SCVSVFHKFVVLASDRDIAHKVFKSPTYAEPCIVPIAKDILGHKAWVFLQGRDHTDYR RGLTPLFTNKAMATYLPVQEKVLADYFGKFVAASKANRGQPKEFMTMFREINCAISCR TFFGDYISQTAVKKIADDFYLATAALELVNVPLSIYIPFTKTWLGKRTADAVHAEFAK CAAACKANMATGATPTCIVDHWVRHMMESKRYRERVAAGETDAEKPTNMIREFTNEEI GETLFTFLFASQDASSSATTWLFQILAQRPDVLDKLRQENLAARGGDKNKSFDLPMLE SLTYTNAVIKELLRHRPPVIMVPYLATKNFPVTPSYTVPKGAMIIPSCYPALHDPNVY PNPDNFDPERWISGDAESKTKNWLVFGAGAHDCLARKYVPLSMAGMIGKAALELDWKH HATERSEEIRVFATLFPMDGCPLVFTERS PEX2_082200 MRQSLRRSCAACAKSKTSCDLRTPRCSRCIKRKVECAYANEPSA GPATPGWQNGASTNPLDGPGTLTNYRFGSLDPFDSYPQTRLPREHVQRLIYSFLHKIA FQYYPLDLNATSNPFLISWWPLALGDPVLFHVSLQTACLDEELLAQKGFQTSELLMAD SVALLRRKVESMSLAVQDGTMNSVITLATIEFGKGNTKVGEMHVNGLKRLVDMRGGIN AVRQTSPLTARMVSWVSMLIMGHPQFETQDDFGIGDGIPPTSEWQFDSTALDDQLFDL STIEVEYAVKNVFNRLRNIFQRARNITFPATQLHDLTCFVIHRLLLSAPATTIPPTSP MTESIRYGIILYMFITQGPTYYSHAVILNKIMIRFMENLEHLASTSRVYDSLDVWFGA VGMVASAGTAHHRWFINRARNIAAALQLENFSDTLVHIKTVLWLEKPQSEDLFRSHWD TVFNLADQSAEHDLCQKASNTEEVGHTRHYHDGHHDPKDDGYL PEX2_082210 MITSTIEIAAPPAKVREIFLNFAAYPEWHTEWLKGIELKDSTKT PQTLSSGDKIEVNIENFKFVAEVKENTESLFSWQGPPVFTIAGLHKFHIEPANDGAST VFTQSEDLKGLLAFIMSPSLLGKKMRAHFDIFHRDLKARAEMA PEX2_082220 MSPPDQDTEAVHSEGRPSSVNDSDSSTPVDISAALEKKESERAD GKRELKEADCYEILGYCWPRWKKWTYLVAVAFVQVSMNFNTSVYPAAVKNLSEAFNIS EQHARTGQMAYLVTYSIGCELWAPWSEEFGRWPILQLSMFLINIWQLPAALAPNWGSL VVARALGGLSTAGGSVTLGLIADIYEPETQQFPLAFIVLSSCLGTSIGGVIGGPIARF LDWQWFFWIQLIFGGVTQMIIFFMPESRSTIIMDREAKRRRKTGEDPNIYGPNEMKTP RISLKEAGRIWARPFYMLLREPIVLCLSLLSGFSDALIFTFLESFAIVYKQGWGFGTL AQAWAIIPINAAYFIAYFSYFPWFMRDQKLRLRHGDAAIPPERRLKWLLFLAPLEPIG LFGFAWTSFGDSKNVHWIGSMIFSGCVGIANFAIYLSSVDYMVASYGVYSASATGGNA FARDLLAGISAMYATPMYTNIGDKWHVEYATTILACLSCLVVTPIYVFYWKGPQLRAK SKFASTLAADREQNNGRRVSRISMEPQY PEX2_082230 MPCVEVQKLPEGKFNKVFLLTMDDGKEVIAKLPNPNSGPQYFTT ASEVATMDYVRNVLDIPAPMVYAWSPSTDEIGAEYIIMKKSQGVELGKLWDDIPGPDK LRIVQQLVVFEKALTSTRFPMYGSLYYADNLPNIHSNQMIEFEGKKNTVSVNFAVGPT TNRTFFDDGRNAVDVDRGPQLEAKKLRAAQSLYKLYDIHMIQDCPESAAALHFRNSLP GQITGLSGSLSSDGEPIVQGLLMRLQEKWQTYVGPSVSCPLSFTGEDKEKQKEDEKKW ANGVVLMEEFLDQVGVYRGWDGWVNHDSYEHYKVRFEQCRQKFLAVLRQIIGAEMESR VNNQSDSFDPDATSYEGPSFGTGSMDRSDPAVLSTPIDGSIPDMISPAEVRRDAAARS DKISITYQTLYEILQRHEALIRKRWYKKGRQQRLKILLSAWPNMPARHRPDFEAFTGQ KKGPKYRDAYMWPYINQEDLLNTKTLPLLLNARGRHHPSNFASVDFDATNMGRVSYEV KLILLDNHTMIINGLVENIENYGKLVAWSERPNASEWTTKKKQCIPGEGLLILEVQER LLTFLVQCCTELLHDIPESTLTSDSFLILPEPPFKPETDVSGFKSLGVMAAEAPYRVP AQLDLSLVESLLTAKGCVAEDHLWALREDPEFFSTTLHEAKDHHQETLKDLNGNNNPL LSRDRSQLLWADIIGSVVSKAYLDLEVFSELSSQAKKLVSLQRQYADDISPSRDLPED YLDALLQLRYYLNHAAAESLSSLKCAVVASPPLRKYFARLPPPDAHSTNISVVLKSGF KMGKMEERLIWLLGTLSENGPFLYVAGMSLIMDELERLLESDTKARDLLSPYVTKVVG DISIISQCLHQLNIYYPWAREFDVEFHQREEKIDQDCMERTRSFAQFLDRLHDTSLLN RTAHLGDPSSGKFTYPIEKRRTKESVQALLSAEAHLDAFWAEIDRNLITKPGHSSDTA VGNLLSQPRISKRTGEWIEPTKASPVRTTNVKSKGDVTTYFSQNPTPEDSEIPAKSLD VAESRTKVKTRGIPQITIAITEAEDLTRPNTASREPTLFVDTRALKVFRTIFFNPTVT STPGEVPWKDFLHAMVSVGFTAMKLYGSVWQFQPTRLNAQRSIQFHEPHPRGKLTFRV ARLYGRMLNRAYGWVGEMFSLAQK PEX2_082240 MSREKFTITEHLVPGSHIREYPGSTVRQEDILMLHVKQYTPRYE GPVPADAISLIAAHGLGLPKELYEPLWDELLEQAAGFHIRGIWVADVASMNQSGIHNE DKLSMDCSWLDHSRDLFLMINHFREQIPRPLVGIGHSFGGNIITNLAYMHPRLFTTLL LIDPVTQLSLPPMGFGTDPPGPMNYTLWRNDIWPSREAAICANRGLIHGWDPRCVDRM AKYYFRELPTPLYPDIEAIKERFDIAADTAAIPVTLTTPKYQEVVAQTRQNFNARSPT TGRMEIPRSTHADVDPLVAHIPLYRPELRSTFRRLETLRPSCVWVVGGATFLKMDEIR EGIRICGSGIGGSGGLSEGRVREVVLSGLGHLMPFQEVKTVAEPCVAWLQQEMDRFRR MEREWEEERRGKSHLVLEDNWYKVLRPMPSGHYKSERKEKL PEX2_082250 MAEIQDYTKALEVLKEYPSADGLHVDTLLDSDSHGALTYNDFLI LPGSITFPASDVTLETKVTRRFTIKAPLLSSPMDTVTEHNMAIHMALLGGLGVIHNNC PPDEQAEMVRKVKRYENGFIQDPIVLSPETTVAEAKELKMKWGFGGFPVTEKGTLHSK LLGIVTSRDIQFHRNPEDPVTAVMATDLVTAPAGTTLAEANEVLRSSKKGKLPIVDNH GSLISLLSRSDLMKNIHYPLASKLPSKQLLCAAAISTHDADKVRLQKLVDAGLDIVVV DSSQGHSKFQIAMIKYIKQTFPDIDVIAGNIVTREQAAALIAAGADGLRIGMGSGSAC ITQEVMAAGRPQAAAVRSVSAFASRFGVPTIADGGVQNLGHIVKGLALGASAVMMGSL LAGTTESPGEYFMSSEGQLVKAFRGMGSIAVMEDKSKSAGGNNAGASRYFSENDKVKV AQGVAGSVIDRGSITQFVPYLVAGVQHSLQDIGVQNLDALRDGVNKGTVRFEMRSASA QTEGNVHGLHTHEKKLYSS PEX2_082260 MLQSLMAHDDAAGIATHKCGTSSALRTGIFAAFLAIAWYNALEL IIICFVSFKRWNGTYFWSLLIASACIILNCLGFMLLFFPTGVTPWLCVTLVLLGWFGM VTGQSVVLWSRLHLVLYNTKLLRGLLLVICIDAVLLHIPASVLLYGTVAYPTSVWAHG YNVMERIELVCFCLQELIISSIYAWETVKLLRLRPEGRPKGILNQLLFINIIIVLLDI TVIFTQFVGYYTVQVMFKSLTYSIKLKLEYVILGKLVTVSRGCDSHELPLNAREINVS SFPSDRDSLWNLESW PEX2_082270 MRLWVEENLLPHTLEWEQSPSLPDSLYEKAAKDGLIMPMAAGAE IPQEWRGKYPIIGNVTPEEWDGFHDFIIHDEFGRVGGIGIENGLVGGPVLSVPALRKY GSQKIKDVVIPDILSGRLRVALAITEPGAGSDVQGVQTEARVSEDGLHFIVDGEKKWI TSGMYAHYFVTLVKETTGEFTLLVIPRTEGVTTTHITLSGSTAAGTAFVDFENVQVPM DMVVGERGQGLKYIMSNFNHESLIYQLSQLSSEEAVLLLAGTTAQLKAHAGIVLEHVA REAIQIMGGIGLTRGGRGERVERIWRDVKAITVPGGSEEILLDLATRRALNVTAKLRA GEAEVGKHKL PEX2_082280 MANSSRTPSVADEKGLAEYEENVMDIAAGRGHLATDEYGQALAE FDKAAESRLRLKIDLFIIPTVSLMYLFCFIDRANIGNAKLAGFEKDLGLEGLDYNIVL SIFFISYIVFEIPSNLMCKWIGPGWWLPGIEIGFGICSVATAFVNNIHQASGVRFLLG LFEAGLMPGIAYYLSRWYRRSELAFRLSLYIVMAPLAGAFGGLLASGILKLDSFGSLS SWRMIFAIEGIITICIGIISFFTLTDRPETALWLSQEEKDLAVVRVKSERVATTELLD KFDTAKMMRGIFSPTTIITAFIYMLNNITVQGLGMFAPTIIKTIYPNAGVISQQLHTV PPYVVGAFFTLLFPFLSWRFDHRLIFFVSCAPLMIIGYIMFLASEDPMVRYGATFLIS SGSFAFGAFCAAHVSANVVSDTARSSAISAMVMFGNIGGLISTWSYLPFDGPNYHIGN GLNLATSSTTLLLGAGLWVYMAWDNKRRDRVDVNAALAGLSQMQIQDLDWRHPGFRWR P PEX2_082290 MASPAGIYEYENNSRDDQAVFAALASDYDDSTPTTTTSISSPNP DYPNESMILSTRFTREYYADNQDSTTENVSTNSYRVTGPIDAGASGEYPASLGTFDLP AQYTDCKYSGNTDSVGSVTCGSKSLLVERVP PEX2_082300 MAWPNKNKDMQYRRVGNSGLHVSALGLGGWLTFGGHVENQTAFD CMKQAYDCGINLFDTAESYADGQSEVVMGQAIKKFGWKRSDLVITTKLNWGLANGEIL VNNHGLSRKHIVEGAQASLERLQLEYVDIIYAHRPDRLTPMEETVRAFNHVIEKGWAF YWGTSEWSADEITEACGIAKSLGLIAPIVEQPLYNMLDRDRVEGQFQRIYSRCGIGLT TFSPLKMGLLSGKYNDAISAPPVGSRFAESNDKFASSVRNDWENEEWARNIRKITGLK ELADRLGVKLSQLALAWCLKNENVSSVITGASRPEQIVDNVQSLKLLPMLTPEIMTEI DEYLLNKPTQDPARQD PEX2_082310 MRMYPVWPIVDAKNVISILQQVTGDIDIETYALATAVAAATIAQ LRLQPSLLHGKPITADTFAAESMRARRFGAYTSAVSLDKVRTSFFLHIYHESKHAGGS ESLLFLREAISLAQMMNLHREIAYIGLPQDEQQIRRRVLWLLFITERGVCILHKLPVV LKTNISTPELDLDGEPQVLPAFLKLLNLFRLFDQSRMFDFIEDDDLGMYSISDEMRNL DKRSFKMLHDGLQDGSTLMDHISDVQKADLCVTRHWMRMILWKVSAKHHQFFPSQWAD SPSFPILVAQELLDIVSKLPQAAIKAHGLGMELKLYGIANSLADAVINMAMLPMASFW NDESRPYDILARLHSFLSTFDGGENKELVDVLYKKMTEAQYKAGRAMWSTVHAPMKSR HLIETTSENQLVQEEPPLPGPDYIITIPDWSEGGDLNPAIHPTGTDWWMPGNLISPEE QADYPICLDDMLACGYDPQFTGGM PEX2_082320 MAEIGQGPIGKTKASDEENYNSKVPVEITQGGLASVTAPHESYE GYHRFDPSATWTAKEEKDVVFKTDLMLLSFICLMFFGLQLDRGNLSNAVTDNFLVDLG MTSNDYNNGTTIQLLAFLSMEIPVQILIKRYGFNRILPFMMIGWSLVSWTQAWITNRA TFYITRALIGAFEGGFIPGTILFATYFYKTRELSVRLAFFWSTLNVARIISSLLAAGI LKMRGVHGHTGWFWLFLIEGLLTFLIGVVALLYLPKSSTNTKSVLVRTPWYTERQEVI MVNRLLRDDPSKGLTNIQESATFKDLLDAWSDKSMWGLYLVGLVAYIPQGPVQAYLSL TLKRLGFSTFDSNMLSIPSAALQIILMLALCKSSEIFQERTFHCLFGELWSLPLLAAL IALPNGGYNWPRFTITTLISGYPYFHPIVSAWISENTFDVKKRALTAATYNVIVQIGS VISSQIYRKNDSPYYHTGNKILISLCALSLVIFIIQREFLRYLNRQKETKWNAMSLEE QELYQADQVAREKEGNKRLDFRFSY PEX2_082330 MSTIKGGHEQSQTRNSQPLEYSGSLDSYSHNDLTPVIGTEYKGL QVADILKSEQCDQLIKDLAVTISRRGVVFLRDQDLTPQQMQAFGEKLSVIAGCPESSG LHVHPLTESGSELGDQISVISSEKQKKGGGLTHQLSDTSRFASAGWHSDISFERVPSD YAMLKIHTLPETGGDTLWASGYEVYDRLSPEMARFLEGLTATHDAKFFHEEARRLGNP LRDGIRGSPLNQGDELEATHPVIRTNPVTGWKSVYVNKGFTKRIQGVTKDESDILLAY LFNLVTQNHDAQVRFKWSENDLAIWDNRSTWHCATYDYVEARAGDRVCSLGEAPYLDP QSKSRRSDLVKA PEX2_082340 MRTIMSLDEDGDSTDLDRGKDNPGLSSAKSSKRLNQIDCVRANG VGDHIALPQLAVCGDQSAGKSSVLEGITGYPFPRKDGLCTQFPTEIVLRHDTQVSSMT ASLLPSQSRSPEEKTKFAGFQREFQDFNELPTIIREASNYMGVRGFSELADAPGFAAD VLRLELVGNTGLHLTLVDLPGLIEVSENEEDVGIVRRLVDSYLESSRTIILAIIPASS DAETQRIIQRARHFDKEGVRTVGIITKPDLINSNTEERVARLAKNLDRTKLNLGFFLV KNPSPDELLDGIGFRQRNQVEMEFFSSLRWKAQGLDSTRIGMNNLRSFLEELLDSHIE RELPKVQQEVRRLLQRVDDEMINLGIERSNATQVRVFLTQISTEFYGIVKNGLEGNYD SWDGDFFATGPESCRLRAAIHRKNEHFAQYMRIHGQRRKVVASDCDDILEEEIKEESK EESKEEIEEDKNKELSVTEKEMFSWIKEMYRQTRGRELPGNYNHSLLQRLFHIQSCRW KEISRAHIESIVSLVTRFVRQALKFVVKDAGVYDKLQKHIMATFNENTDNAHEELSKL IQDERGHPITYNHYYTDNIQKARHDEAKESIRNSVKKAAESDLGGNVHFNNTPDEMNR MILALQKRVEVNMVDRACSEALTDLNAYYKVAMKTFVDNVCRQVIERQILSKLGRAFH PTVVIPSSSRSDNYIIFLNYHFFLTAYALSTTMSAEEFLANVESGIVPVTCHEDVLRI AFIYLDEGLWTDNGVFDVVEKLHAHGWSFGEGELRINRTLDVFYLAQLAAAIYRYSSQ LEGDFPSFSDFPAFYTAHHALLHSSAWRSYYSTHFLAQRTTARLYRLPDLQDLPDSSS PLCQPRRSTVFAHVLKLPRWAYTVSCTRRRQPFLPFVTLTEVALSTLEATMTRLHTAY ASAPPYSESHARFWLDYFTPGLSPSRLIKITFREAWGPNSFGILTAQGKYDIYGLEAE YLAQVSGGEVAEVNSESEQVEWCGLPDGGIGEQAWWRGWEGEIGSEEEVEFLAAVAVE ETVGLGLEGMEMDELDLSIRSHILLAVMQAAVKDRQERECFLVELERRIVQKGIIDEE RVGRWTKEALGIMEPYVRIWQGVWPGGGDAAADLGGECAALCTLEGVTSFEAVRF PEX2_082350 MTKYAKDQPAGFKNAIERIAIVGAGGTIGSHIVAALLKTGKHTV TALSRKDSSNKLAEGVIVAPVDYNDEATIIAALKDQQILIITMAPTAPRDTHSKLVQA AAKAGVPYVMPNSYAGDIENIKLGQDTILGPVGKANRDEIERLGMQWISVCCGFWYDY SLAGGEARFGFDFDKRSVTLYDDGNTKNSTSTLSQVGRAVATVLSLKELPEDESDKSL TVSGYLNKGVYLKSFVVSQNDIFESVKRVTGTTDADWTVTHEPTKKRYEEGLALVKVG NMSGFSKMLYARAFYPDDKSEFSAKAQNELLGLPEESLDEATKSGIDMVKELQSRVER MAS PEX2_082360 MSTTVAKRKRARKACIPCHQRKRKCDAEYPCGTCTTYEYNCRYA DDDTTGIAGGGIHAPPAQAERVSVDGGSSKGSRAAATSRSPDNRPYVAGGPRGADGSS SKSPTGIAASSAATPTAAGIFDEQKSRYAGASAAMAFPHILGVALGSDGPPEMHSFAY NFGIRPEEASNTHGLLGKLISEDDLGFFSGVYFSVLAPIGDYMDPRIYAQRCRDYYHG LGSTAVAFGAVAAGVAALGSFLSPNRHPRESDLVQYAKAILDDPASMRLLGIDHIIAG GLRVLYLRATTRPNTAWVASCTVMHLCEAVGLHEEENIKKMASVAGAAVVGHDADRLR RIFWISWAGHNMLSYEFDRSSVRFGAVTCQAIIPTVGSVADQFVQIAQIIPAPNSPFH LEPQPPTPREELFERLRALDKLQFTHPFLTVTKADLAFCFYRRIYQLKMGISDEIIQL VIDSGNAAVEAAQQLANQGHLFWNVIGSVFQYACILLAIDTPAASVHIAAAFKCLENL VHAADTGLTRKALSMARHLLSLNMAKKRKELAQLEAVEASYQSFQAQPESDANKAVPD MGWAEDWDLFLTEPYLSMIGPGIQL PEX2_082370 MAKFITARELRQHWVNHHEIALLDVREEGPYSESHPLFALSVPV SEIEKKLPPLVPRLSAPIVVYDDGEGYADRAIARILALGYQNVAILEDGLSGYALVGE VYRDVNVPSKAFGELVESINHTPSLSARDVKDVLESEADVVVLDARRFEEYNTMSIPR GRSCPGGELLYRFFEAVPSPETTVIVNCAGRTRSIVGTQSLINSGIPNKVVALRNGTI GWTLEGLELDTKKTERVPSPSVEASQKARQYAESWAKHVGVSSIDGDQLARFTAELED RTLYLLDVRDPEQYALGHPAGFSNAPGGQLVQATDEWVGVRGARIVLYDTDGVRARMT ASWLLQLGWEVYVFEEQSQVPDGLQLPKVPSWLPSKDGSITINDLRTLAGATVVDLAR SPSYRKGHIPGAWFASGPELARDLKAIDGDGPIVLASPDGDVAAINIDDARKSVSREV LYLTGGTNAWVAAGHPLEAESRWLSQPIDVYKRPYEGTSNARKDMQGYLDWEYGLVAQ LANDGVAGFHVVRDTRGEFGKLS PEX2_082380 MLMGASEFDSIPYEISANCGFDSSHWEMLGNGDMCSFPVELLQT SRQNGLHNPEIPPIGLEAYVSPMSFSQSCKCDEEVSDIVRSLSRANMSHDIIPMLRTG VSLTERLLTCPMCYDVSKPPRVTVQNVLLIGQLMFEITSGYQKYLRWLNKHCTEIDIS NETRTVYLDSGLGISSELNLQINGEKLRDLVVHGLQTDTERLLMLGKQFAQRQRNRHM VGHEACPNSEGLCRRKEDATDHDPLDLCPHDPVARKLVPCFRIVDEVRGMIKQVADAA V PEX2_082390 MDSSDAQPLARASSDPYFDLGTLSRKVSTNSQEAQIWFDRALVW TYCFNHDEAITCYRQAIAHDENCAMAYWGISFCSGSNYNKTWALFDQRDRLNAIKQCY IFSQEALRRANNALDWEQALIKALAQRYPNDDPSRDLAGCSRAYADAMREVYISFGHE DFDIITLFADALMNCAPRKLYDASTGLPIASSPVFEVKDLLDRALKMPKVELHPGPAH MYIHLMEMSATPEAALPAAEMIREIFPDTGHTFHMPAHIDVLVGDYRRAVEYNLKATL ADDRYFEKNGGLTFYSYYRLHDYHSLIYAAMLGGKSKAALSATDRMEATITEEILRVE TPALANWMEFFKAVRVHVYIRFGMWEELKKLEPLEDKDLYCVTNVMRHYGKAIAHAAT SQLEEADKERELFKAASKLVPPTRLDFPNKITDILKVASAMLDGEIEYRRGQYDEAFR SLREAITLEDELPFAEPWGWMLPARHPYAALSLEQGRVEQAAQAYAEDLGLSPTPKRA HQHPNNVWALHGYHECLELLGRHAEANIINKQLSLALVEADVEITSSCFCRLGKLPLS CGKPKVNGCHSVESTCNKS PEX2_082400 MVTMADEEHASKDLGRTTATDITSTSDSNADHELHFVRRGEAVA EASSSQESIDGYDPELMSGRTLLTAEEEKKLLRKIDWRLMTLCSLIFMFKNLDSNNAS NARIMNKGTDQNIMTQLGITSNEYNLVNVVYYIPYIVLEAPSNLLLKRFSPSKWQSRI MLTWGITLMCNAAVKNKGGLYTTRFLLGVAEAGQFPGVILQMTYWYRPDEMSLRLLYF YICGNLSGIFGGLLAYGFDTVSGAAGLSGWQWLFLTEGIATVLFSVVIWLLLPDFPET AKWLTEREKKFIQARLPSNAPRASEQHFQLREVIESLKDRRLWLFTAIWATFTVGTNG VGFYQSTVIANLGFTTIAEAQLLNIPITVCGLLFIAITGVTADRSRIPRPLYPLTFLL IILVCYGVFVAHPSNGAIYAVTLIGNALTAGWYPVMWPWRVQTTSRATGSAFSIGFVN SYGQIGGAIGPQLFQSKYAPDYKVPFSVAMGLVGACAILTVITWWVTQDTERDTRRLK LAKIAAEKRGETILEDVVDNDLKNR PEX2_082410 MATLAQPLTPVPTGSDIPSYSQVPETSFDLDWADLATLDLSLFD QPGGKEQLAKQLFEAIHNIGFFYITNFGLSQEDVDRQFSIGREIFKLPIEEKLKFRAE LEKGGYNGYKPKGLREISPGVFDNTEIYNIPKFIPALELPQPEIVNHNRPIIEGFARH IHDQIASKLLTLFAIILELPEDYFLKVHRYDEKSDCHLRYMKYHHRSDEENEKNAGVW VKGHTDFGSLTLLFRQPVAALQVRTPEGEWKWVKPYPESITVNLADSLHFLTNGFLKS SIHRVVAPPPDQKDLDRLGVLYFVRPEDDLELRPVVSEVLQRLGYDKITDNSAVGITA GEWVKARVATGVNKGVTRSEVEDQPIIGGVVAKYYD PEX2_082420 MRALWTLSALALSAEAIKSTGCPLLGPAFPAPTALSENPIFLSK AQELTSNLNEAIDNGTLPSISFAVQVFSSEEDDLAFGFYHTDDPVKVGSVGVQEVDED TIFRIGSISKLWTMFLLMTFEGTRYFHEPVSKYVPELRTNYSSAQRKDKINYLQWSDV TIGELASHQAGLARDYAFGDFGFQSDLVQSMGFPSLQKNEKLTCGSEHACNRKDPLTP TSQTPIYSNSGYQILGYVLESIAKADYEDILIDRLIKPLNLTRSCLRGPDPSLAVIPH NESLSWFDYDLGDEAPAGSIFSSAKDMATFGRAVLSSTLVDPAVTRRWLKPIAHTSSL QHSVGAPWEIYSFLTPRRVDLYTKAGDIGLYSSSIALSPDHNAGFTVLVAGNNSHAMT SAIGEMVADVMLPALDEVARNQALERFGGTYALVSGSSNFSITITADDGAGLVVSEWT SDSVDMIKSLMGLQGVTDRSKISIRLQPSGLETPGRISFLAVIYSLDVSEEAGPLVGS CLSWILLGSMVYGNVGLPEFEFALDHDGDATSLSPRALRVTLPRV PEX2_082430 MNGHNGHSGHQDIHKRCPYANAQDQAKPKTEHEKRFLLSLIKSP VDITNEHAFQPPDFENGDQRGPCPGLNALANHGYIPRSGVVSFVNVIAAINKVYGMGV DLATVLAIMGTVWAGDVLSLDPSFSIGGPDTGVNSLLNNLGGILGEPQGLINSHNFIE ADSSNTRDDLYVTGNSWTLNMDKFMTWYNMSSDGTYDMSLMAERAKIRMDQTIHTNPD FYYGPVTGLISRNAGYIFAGRLFRNHSAENPEGTLTKSHLRNFYGIYGPEHNLTYREG WERIPENWYKTPVDYGLISLNVDIIGFISRYPELGSIGGNMGKVDSFAGVDLGDVTDG VLNLAGLLKDNNLLCFVTEVLKFASPNALSGIYSTVAKPLEFVTNILAVPLLNLTCPA FQDLQMGGNPLWEALQDTFPGALKSKRSL PEX2_082440 MRLSAVAGLLSTLSVVLASPDRRYHDAHKRSSENQNSTIEYDYV VVGSGAGGGPVAARLAIAGYKVLLLEAGDDQGNATQQIVPALQLQSTEYEPMRWDYFV NHYSNLTRQEEDTKMTYRTPAGDLHVGSNAPNGSEPLGILYPRAGTLGGCSAHNAMIT IYPYEKDWEQLASLTGNDSWSASNMRQYFKRLERNRYLPSSVLGHGFDGWLTTSLTDL RLVIEDQKLLSLILAGATAAGQSLLGKLITTVTGLAGILLRDLNNPLPSRDYEEGPYQ VPLAVDVPEYKRTGPREFLMKTVNAVNSDGSRKYHLDIQLNTLVTKLRFDTTGSKPRA TGVDYLRGQSLYRADPRASQTSSNGTPGSVSAAREVILSAGSFNTPQLLKLSGIGPKE ELSKLGISTLVDLPGVGRNLQDRYEVGTVGKSPTDFVLTSKCTFLYTLPDPCLEQYEN DPLFKGTYTTNGIAIAIIRKSSVAEDEPDLLISGAPVNFPGYYPNYSYEGLKDAQHWT WITLKSRSRNNAGTVELRSTDPRDMPLINFNSFDAGVTTDGAADKDLQAVYEAMQFSR TIFDDLVPLDGGFEEVWPGPNVTTENEMKNFIKQEAWGHHACCTAAIGEDGDPQAVLD ADFRVRGVDGLRVVDASVFPKIPGYYIALPIYMISEKAAEVIIADAV PEX2_082450 MLRRIRHSLMCFPRRRCDCDSDSDSSGAQPNRPREIAPKRALRQ SLPKNVVRGAAPANQPQASSEYEDGSEDEDSSDDYYPPAPPPSEVPLYNEVSHTGITP AMSETNLDDDSSSNDPYSRPSRNTRTHEVSNTDPKDASSSGDLHSLATRNSRTGITPE MSDASIGESQYKSFPESGESSLFVSAGEYNTDEDDYNGADEDSLDLNQYDDEEMHDDL GEPETSDHLSQSWPTNSSDRRRRRSLDSQARADAEHKGLYGSRGSSGRSKRQRLEGSS DTASGRVRTGIEPTVKDVTRYRNIGARYQAWIDNPEAPGCRIQRATLTIEEMINEAQP MPWKIDESCFTVEPMALEGGDVESMNIARGGPRYRYTHLRRDPGPNALAANEFEHRIA RGVLVAERIFREDGPHWNEVARAQYLLDFNLKTLRHVIFTDISNEETAPYIRHELYPR FGATWSQSSKVGCMVFEHGSAEYQELLGTKLGKSVACLILSSFPRGTMKITRIVTWCN STAPQMRFEIEPVIAAPIAFATAA PEX2_082460 MPTLFRGKALSVAQALLIVAPAFIVFGYNQSGLGPLATLQSWSN VFPEIDTINTTGAQRAQNNTSKGTVIGSFQLGALLGALSCTFLGDRLGRRKTIFLGAI LTIIGQVLQTAAYGLPQFVIGRIILGLGVGQLSVAVPVWQSECTSAKHRGQHVVVDGI CICLGYVLCNWIDFGLSKVSGSLQWRVPLAISLFFSVIVVFSVFLLPESPRWLVRVGR IEEATSSLAAYKGLSDDDEAVRMEIAGIETSLESTVSSTSLLDIFSFSKPDDERLLYR FCLCIGLQFFQQMCGGNLISTYVSTIFQENLNMDSDLARILSSCAMTWKFLCSFISFF AIDRLGRRKIFIISGTGMSVCMTVLAITNSFKDNKNASIVSAVFIFLFNSFYPVGFLG GNFLYCTEVAPMRLRVAMSAVSTANHWLWNFVVVMITPVALDTIGYKYYIVYAVISAC IPVSVYFFFPETMNRNLEALNHVFRDAQTPWDIVSMARHLPQGEAAEVDVWMRTEEKG EVEQKEDA PEX2_082470 MDTQEDVVPTESLFRPAKKRKFMRRRPDHETLDTEEADNQNDNS GASQAPGSSNLRRPRAVRKGGIGFSTTSRLGDDQGQQVALVPVAGETEDEKIRAMNER FTGYTGQTVDVDKHMYESFPKADRPVRLMWTNEIRMAFIDSEMAKRYQPESKADHSGS NQPTQEEVAGGPSLPGHQTREPASLGKLHEIDLGQEATLRNIARTEAATRQMEKGELP TSVDHAASETGRPGPDGKSWRNRKQRTDADIARDRLVEEVLRESKLEIYDEHEEETQL DDQQAADDRVAEQFRRDFMDAMQSRRRTRPAAKPVANVEAPRGPKLGGSRSARAAMRE KEAQAGKK PEX2_082480 MNPSNPPQAAEYGGDEVSAIVLDPGYSTTRAGFAGEDAPKSLIP TYYGKYNADGQEKFVFGDDVFVTPRPGLSIHNPIGKDGIVEDWDMAERVWEHSFMSRL TGTKAGNPFQNGLNDVSADELPTEMEVESEEKPLSDSPLLMTEPGWNPAKAREKTIEI AMEKWDTPAFYLARSGVLAAFASGKASALVIDIGASNISVTPIHDGMILKRGVQHSPL GGDYISSQIKAIFKANSPQPITITPHYLISSKSAVEAGQQPQANYKTFPADKAPDASY RALLEERTLSEFKECVVQVWPGPTRLSAPSPTGVPNEDMARTTPGRPFEFPDGYNQVF GVDRFRAVESLFDAKAAIPDPESAYPPPTPAQTIPELVKASLAGVDVDLRPHLLANVV VTGGSSLLYGFTDRLNHELMQMFPGPRVRVTAPGNTSERRFGSWIGGSILASLGTFHQ MWISKKEYEEHGPNIVEKRCK PEX2_082490 MAGIFRAIYDWLLRMFWASEMDVTMIGLQNAGKSSLLRVLAGGE FTIDSIPTIGFNTKRVQKGHVTLKCWDLGGQPRFRPMWERYCRGVNAIVYIVDAADRA ALPVATEELHDLMKKPTLDSIPLLVLGNKSDLPDKLSVDEIIEAMDLKSITHREVSCY GISAKEETNLDAVLHWLIARANR PEX2_082500 MAPAATETQWSVEYDTLRRENLFKNPPVDHTAYPSLAESIRPHI DSFNALFDDSKILEAGLKDIGIKTFLDGELETYEQKKARQAEGVRAPKRNRLNVRVRE IFLDKPTLPPTNKYATRNREIYPSECRERHSTYRGKLRARLEYQVNNGDWMESVRELG NVPIMVRTNRCHLEKATPDELVRHNEESEELGGFYIVNGNEKLIRMLVVGKRNYPMSI IRGSFVKRGQTYTKFGVQIRSVRPDQTSQTNVLHYLSDGNVTFRFSWRKNEYLIPVMM ILKALAETNDREIFEAIVGGASSKGMNNTFVTDRVELLLRTYHAYQKHSQYDCRSHLG KAFRPVLGVPADMPDEEVGTEFLRKVVLPHLGNENVTETQDWDKFKLITFMIRKLYST VAGDCAPDNPDAVSNQEILLGGFLYGMILKERIEEWLRSFGPIARDWSIRNNGAKFTD ESFDRDFLSKIVKRSNENIGNALEYFLSTGNLVSPTGLDLQQTSGYTVIAEKINFYRF ISHFRMIHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLSHKCLISTD NLDVSQLPRTLVQLGVRSESSVALDESVVVQLDGRIIGYCSPKQAQKIAETIRYWKVE GKNNIPRELEIGYIPNSSGGQYPGVYMFSQSARMYRPVKYLALDKLDYVGPFEQPFME IACVESDLIPGLSTHIEFTPTNILSIVANMTPFSDYNQSPRNMYQCQMSKQTMGTPGT SIAHRTDNKLYRIQTGQTPIVRPPLYNAYGLDNFPNGMNAVVAIISYTGYDMDDAMII NKSAHERGFGHGTVYKTKVVTLADNDSRRTKSKREVTKLFGFAPGDEVKEQVRNIIDE DGMPHLGARVKEGDKIAAWHNVRFDPASDSYVNVDGITHYMKYKDAEEGYVDSIRLMG SESGNEPAQSLSVKYRIPRKPVIGDKFSSRHGQKGVLSQLWPAVDMPFSESGMQPDLI INPHAFPSRMTIAQMIESMAGKAGAMHGLPQDSTPFQFSEENTATDYFGEQLRKAGYN YYGNEPLYSGVTGREFSADIYMGLVYYQRLRHMVNDKFQVRTTGPVNALTGQPVKGRA KGGGIRVGEMERDSLIAHGAAFLLQDRLMNCSDAQHTWICRCCGSFLSTQVAVSGSSR SRTAINPGAAAAAAKAAPNQQSTNAHAVAAIGAVGGVNGVVRCRRCAREATFDDSRAE VWEDGNGQRYVGGDNVTIVAVPGVLRYLDVELAAMGIRMKFLVDN PEX2_082510 MASEKQSADHVEKHVDSIEPAGHVLPGYSDLQTTAEAHAAIEKE HNMTAIQALKLYPKAVAWSLLLSCAIIMEGYDVVLIGSFFAFPAFNMKYGEIMSDGSY GLAASWQAGLTNAMSVGQIVGLFVNGIVSERYGYRKTLMACLASTVGFIFILFFAPNV QTLVAGELLMGIPLGVYQTLTVTYASEVCPVALRAYLTTYVNLCWVFGQLIASGVLKG LVEHTDQWAYRIPFAIQWVWPVPIFIGVYLAPESPWWLVRKDRREDAIKSVKRLTRAD PNFSAEETVSMIVYTNAMEQQTETGASYLDCFKGTDLRRTEIACCVWAVQSLCGSGLM GYSTVFYQRAGLADSQSFTMSLAQYAIGVVGTFTSWLFMTYFGRRTLYVGGLVILAVI LLVIGFVSIPPATTSLSWATGSMLLVYTFIYDSTIGPVCFSLVSEMPSSRLRTKTIVL ARNTYNVLNLVTGIIIPYMLNVDAWNWRGKSGFFWGGLCILCLVWSFFRLPEPKGRSY AELDVLFENKVRTREFPSAKTGLVQSELEGEKGSV PEX2_082520 MSPAIVSEKWWKNSIIYQIYPASFKDSNGDGIGDIPGIISSLDY ITSLGVDVIWLCPMYDSPQIDMGYDVADYQSVYGPYGTVQDMETLIDACHKRGLRIIL DLVVNHTSDQHKWFKESRSSKTSPKRDWYIWKPAKYDANGNRQPPNNWRSIFGGSAWE WDETTEEYYLHLFCVEQPDVNWENVETRQAVYEEAMEFWLQKGVDGFRVDTVNMYSKH PDYPNAPILDPKSDTQTAFSLFCNGPRIHEYMREMNDVLSKYDAMTVGELPNTHTVEG VLRYVSAAEKQLSMVFQFDLVELGQGKDYKFLTTLPGWNLRELKSAVQSTQDIIKGTD AWTTVFMENHDQGRSVSRFGSDKTPELRVRSAKMLAMMQSTLSGTQFIYQGQEIGMVN APREWTIDEYKDIDSTNYYRMTRKVSGEDPAALSAAMDSLQHLARDHSRLPMQWSAEA NAGFSPASSAKPWMRPNDNYPEINVELQEKDSSSVLSFWKYMNALRKEYADILVFGEF EIIDEPNHSVFTYTKTSHNQTILVVLNFSDTPQRFEIPESVKSEDWKLLAGNVDGHKE DLQAFEGRVFLV PEX2_082530 MNTEREHAAFIYAFTAVTLDLTRSSQFTTKSSPQPASTQITDLM RQSVETQQPLVIGFRPSILRATTSIFIQMCAMSLGHYDLGFFHLREAISMIQMLRISD KSVNAGLSTAERARRQRLYWQCFIHERFMSIVNFSPVTLPPHTQYPEEDAFLGAEIQQ GWMQVIKTFCMLDASFISLWIGDRTQVTASWVERKHRELDDELWEVEVSALSELQQAD LVITRQWMRTLLWQMAMSNCLLSSHASCPSLELEMPLRLSSQLRQFLTKISQNTIRVH GSSMISKLLEIVNTIADVVIHVPQATEEDTTSRIDDIVFMQGVVLSFHNLQVMPKDIL LDKFRLIRGRFPHIEVAMQLAV PEX2_082540 MYNEHPTRNTRSPHTKSRLGCKTCKIRHKKCDETHPACFECTST GRECDGYEQAVDKRTREWREFKAKDSTNSQNNATLQIRYRSKVRPSVTAVPLPLAGVC QIDLNWNERWHLDFYRNCTGRDLYSARYHLTSGYKLFKEWDVQGDNDDTALALRQVFA QLHVQWFFCSNFEIFVEHTELLYGEDRRSSNTTVGLSKDTPHLYSGIDQMDRVQEFAM FVSGLVLDLTICGYDIGPASSIGRGAKVALTKLRLCRSRLIAVLVYLDGLAPEDCDSL KLFSLWIDIIDIKLAVSKSQKPDEMVYDDHLEQFQNITKLLKTLAGLDSGPTDIELSS FNYRHTIVPGLLWSTTKCRDWQVRRDMCYIMHMRPRDDYWLSATAVALKRLIEVESAG VKPGDIIPEAARAYSVNVKIQSEESKVELRYRRPQDVPHRKDGSDEWEIDSMNY PEX2_082550 MDKLVAQYSRPPHQNEMYSEQEQQDLTASVPPLSLKFSLPPVDN RSAFLRAMTDDHSNPSCPIKLAHGTTTLAFRFQGGIIVCTDSRATAGNWIASQTVKKV IPVSRLSRGEDKKSNEPTPGLLGTMAGGAACTLHEIRHKRRITVAAASKILANLTYAY KGYGLSMGTMLAGMTPQEGPALYYIDSDGTRLPGNLFCVGSGQTFAYGVLDAEYKYDL TEEEALNLGRRAILAAMHRDAYSGGFINLYHVKEEGWVHHGFDDMNPIFWETKLQKGE FSNVTSAL PEX2_082560 MYVLNRNLSFRSRKEPPASRQRFSISTLRGMQAPELSRKMTKLI KSENSAIGAHEAAGRERTSIAAQLSEWGESTEDDNVSDISDKLGVLLAEMGEQEDNFA QNLEDYRGILKQIRNMEASVQPSREQRQKVTDEIAKLKYKDPSSQRLVTLEHELVRAE AQNLVAEAQLSNITRQKLKEAYDIHLAAVIERAEKQTILARHARRLLNYIDDTPVVPG DARQAYDHELSARQILEDAENDLRGWEPSVEPITTATGRESPGHANGVHNINAVGANG LDDVSERQSDVLENASVTEGFTEPVGSGALPAKMVVEPAPA PEX2_082570 MDDPATRIPGQLLPHMHLVSRNRYPSMHMMPSETAVEYLTSAPR ICQSQPMHWTFLDGPPDGTVMLTWQPQNHLGNNFASDGYIWADQEQAFTFESRGYTVE MYLHRSGFHPPNEPVATHCRKRYRITSSKVPNAPQPDPSLWIVHYTRAAPQDHHPANR IQVTPQIQGMMGQRRFLQSQGQLARKDFLLHDRNSWPVINFPQMAQQNFGQPGAYPAA APRGPGFYPPQGHPGAVAPNAPVAKGPRGHRAPSAAIASATADFAIEDEDVSSGDAMD LLTPRDVSKMRYQQHHEWMEEIFASPYSISQITPVSLGLGRKGELESLTAGFFDAPVG TSGGEGQEAGDAPQANKMEPAKAEEFAERVSKKVADMTAEIENLKKQHARRMQRFNRT SLLKDAELRLRESAADPTEKGPEIWRLEGRVVIPTEDETPQLDYIEDTAKYRVDEVVR DVETAWKKQIVAEPKVSCVEKGGLLEKIEPEHKDEPESFTNDMVMDDDSHLLNQFGSP GVGVGAVSSVSVNGQPIHGADMSGDVDMDLGDQLHGGTSDQANDWVMVNNESKDHGPV GGDFDFTNIDSAGDALAAYSEQNDGLDLPDLDNSAFGDAFHASDNEHSHNPDADDIS PEX2_082580 MGFLARQEQPEDVIQTAPDEDIEKIAVPAHEEKAPTATLPAIDP ELERRVVRKLDWRVPTLLGFFYLLAFLDRSNIGNAKIAGMEEDLGLDGKSYAWLLTIF YISYTLFEFQALMWKIVKPHQWAAFVVFSWGLIAACQAAVTNWQGMMALRFLMGAFEA GFGPGVPYLLSFFYRRHELGLRCGLFLSAAPLANTFAGALAYGITSGHASLANWRLLF LVEGVPVCAAAVLAWFFVPDSPLDAKFLTEEEKDVARARALQQSGEPDRTGKIQWKEL AMTLVDVKAWLTALMYFSCNVSFSSLPVFLPTILKEMGFTAINAQGLTAPPFFASFLA TIATTWVADRIQQRGLTIAALSLVGGVGYILCATCTSVGVRYFGVFLAACGVFPSIAN ILPWVLNNQGSDTRRGGGIILLNLIGQCGPFLGTNIFPDEQAPRYVKGMAICAAFMFF TTLLALCLRVLLVWENRRLDKKYGPRIEDPTKSVDGPVAEDNYGANYRFVL PEX2_082590 MSPPAAMFESAAVAPTGIKGKVIVPESVTTTLTGQGQSNLLEQF GNKWDEFKFAPIRESQVSRAMTRRYFQDLDNYAESDVVIVGAGSCGLSTAYVLAKARP DLKIAIVEASVSPGGGAWLGGQLFSAMILRRPADAFLTELGVPFEEEPTNPNFVVVKH ASLFTSTLLSKVLAFPNVKLFNATCVEDLITRPDGDDIRLAGVVVNWTLVTLHHDDHS CMDPNTINAPVIVSTTGHDGPFGAFCAKRLVSMNAIEKLGGMRGLDMNAAEDAIVKNT REVAKGLIIGGMELSEIDGFNRMGPTFGAMVMSGVKAAEETLRVFETRKRECAE PEX2_082600 MASSIPSKAPSDIRILTFNCWGLKYIAKYRHERMSEIGRQLALA SPPPEIVGLQECWTQKDYESIRDQTRHILPYGKFYYGGIFGAGLAILSKWPIEESSMF GYPLNGRPTAFFRGDWFVGKGVASARVRFGPGTADVAEVFCTHLHAPYEREPNDSYLC HRTAQAWEISKLMRGAAERGHLVIGLGDFNMLPSSFAHRLITTQSPVRDAWRELHPDS SLAAAIDPVEKARNRPIPTAEFNLAENGATCDGSFNTWRWTEEMRKRLVKGEDIVIDK DTPDPRGKRLDYIFIGDGGYPPAFPPSSWSVESVQISMTQRHPTLLCSLSDHFAVEAV ITRSSVPTYPPSHSTESAPAAPDSLALHKTTSKPASPNAALSPDTYDHIIEIIHKYVS RERSQRRWRLAHFLISIVVSIGCMVGVWWVGSRTYIGFILVLVSTLNFGAGILDGLIG GLFMSGELRALKEFEWEVSNARSLVVAAEAGAGAASEETAREQKDD PEX2_082610 MDHANPQPRRRDPRAQSPAESSSDELAAGSDHDEAERRRASWTM QKGFTPQRPKKSGRLYSESESPDELAVDADEYWRSSRMHNRHRSPSPITNPDIRDDHS QGGSDDLPEDDVTGSDENQDRDADKWSDRSATPVADSAPPKPDHLNYKEKFVMRGHLR GVSAVQFSPDCTMIASAGADAAVRVWDTASGRLIHVFEGHLAGISTLAWAPDGDWIAT GSDDKTIRFWNVNTLKAHTKVFDGHHNYVYQIAFAPKGNILVSGSYDEAVFMWDVRRA QVMRSLPAHSDPVAGIDVVHDGTLIVSCALDGLIRIWDTHSGQCLRTLVMEDNPPATC VKFSPNGKYVLAWTLDGCIRMWSYVESRVYKTFQGHVNDKYSLSGCFGYYGFRDVLYN PPLCFAVSGSEDGSILFWDLVSKQILQRLTGHSDAVLCVHTGTLNGKRLVVSCGVDKT VRLWEEVDGNDTGPNDDDVFSDGVTPTPESHSQKSTPAHDADGDDAMTDVSAVPSAAA TPAEDVMMT PEX2_082620 MGPEGFEKPETPDKPEKRSRRRGAELDPFLRTRLCVLRTTAKWT YKQIQNEYPHIPLSTIKSTILRESNRVNNHSQARSGRPSKLKDEDRARIREAFHANPQ ITYDELLVVVDYKVGKESLRRFLNDDGLRKTQTPGRSSLAGKGATKQADGAQAVQSYD TPPAGWAGGFGAGLVPSESGPASTTASQIVSN PEX2_082630 MQNFKWALLGLAIVPQALAADVLSTDGFDLCMTDSAINVQKMDV TYTRSTGVVVFDVAGTNAMEQKVSASITVTAYGNEIYSKEFDPCGSEIHVEQLCPVPK GTFTASGSMEVPSEYATQIPGIAFTIPDLDGQAKLVLKSKQAQTNVACIESQLTNGHT ANVVGVKYASAGIAAGALALSGISAMGSAGTAGSPSSSPGFGDVMGWFHSMATNGMLS VAYPQVYRSFSSNFMWSTGMISWPGMLQSIDKFRAATGGNLTTESYEFLKKSSVTQSS SSSTNTTKRSWDYTIQFGEMVTRSVETSTDSSVSNSTSSSDSDGSSKVNDLKQVAQEL MVPSADVFMTVLLIFAIVIAVVVVGILLMKVILELWALYGNFPNKLADFRKDYWGMMA RTITNMILVLYSIWVLYCVYQLRKGDSWAAKLLAAITLSLFTALLLFFAVRIVYMARK YKKVEGDTSSLYEDKETWKKYSLFYDNYKKDFWWLFIPVIVYALVKGCIIAGGEGHGM FQSAGQLACEILLLGLLVWSRPYATKASMGINIAVQVVRVLSIVCVLVFVEELGISQT TKTVTGIVLIVLQSTLTAVLAILIAVNAVITCCRENPHAKRRREAEKANRDIDDLTPL DARDSLLMDHPQRKEYNEMSNFNFNGPYESYRDDPKRAGSPFNNERDRLVHNDYGVAH GRSISPESHRSRSSMEGRRPAAPGYGMAY PEX2_082640 MGFPPFTLKYHTAPYPGIDPRLPDVSAAGKTILITGGGSGIGPR IAEAFAIAGSTQITLLGRTKSTLEKTKSTLESTHSGLKVLTFVADITDASAVNAAFQG TRATFGPIDIFVSNAAFLPEAVPIKDMIVSDFAQGLNTNVVGNLITTQAFLATAAPSN AVLIHVTTGAVHLPSMPNYSGYQTSKTAALKFFEAVQAETPNLRVMHVHPGYIATDMN AKSAKGGLGSDNSIPFDHIDLPGHFMVWAASPEGAFLKGKFIWSNWDVEELKERKEEL QGEDKLTMVLNGWPRKD PEX2_082650 MFDLFQRHGHSGVDTSRVYRAGSPEEYLGDSQWKARGLKVQTKG YPTARKGLENLRLKYSRFDPKRRQGGAQQGRYWNEAYFDALDIIRSVAKIHGLTESEC AFRWLSHHSGLDREFGDAVLVGASSYRQLETNLVELEKGSLPEEVVQALNDRWLQVKG GVFKYWR PEX2_082660 MFATASILPALGVITLLLQASVVSSYPKTTTYPLTVIDEFTVPL TFENIATRHNGHLIVSSTASPTLYQVSPLEVVAIANIPGTTGLLGIAELEQDIFYVVA ANVSSTSATPGSNAVWKVDLRGSASCSARKRASSLSATTSLVANITSAQLLNGMCRLE PNNNSTLLIADSAAGNVVKLNVETGAYETIIDEKSMKRLETGLQVAVNGIHVHESDLY FTNLNQGVFAKIPIELHNGTATGPTEVIVNNTAGDDFTMSKDGKKAWIAMNGQHSLVE VDVPGKTARVVVDSTYLASASAVSFGRTRLDRDSLYISSAGTLDPTLTRNSTATGGIV VRVDLP PEX2_082670 MADPEDGDDLFADLYVFYFILKLSDGILIGLLRISYDADDSTNR TTAAVEAPKPTDLGATNPAPAGESAGQDFAPAPVSTYESHPTQPSEFDPGYHNGSGNA YSAPATAQAPPPVEPESHGTGIKEDGKMFIGGLNWETTDESLRDYFSQFGEVQECTVM RDSATGRSRGFGFLTFRDAKTVNTVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGG VSQEATEQDFKQFFMQFGRVVDATLMMDKDTGRPRGFGFVTFDGDAAVEKALSRPLEI LGKPIEVKKAQPRGNLRENNDQRGGHRNGGYRDQNQNDGGQQQAPQQGQGSSMTPQVM AQYWQRMQQYFTMMQQQMAAGQGGMPGMNMGGAMNMNPAMIAQMQQMQQMQMRQQQQQ QQHHMGAQQQGAMSPGPQSPGSQQSMPNMMNPSMMQPNQPNAPGGPGSDSASPAPNAA AMAANYSNGTPNAARGQTGPGYNATEQIAFEQQKYEQQQINRSMDPRGFSPYQQQGGP TSWEGMYDEVPQPHVPTGPAGMRRIGSSGSGTTPQPQSTAPANAPTGPRNAGKPGANY RGGGRGGHRTYHPYQR PEX2_082680 MANKKGKQEMVQVDKSERADSPFWAGRNASLNQGSSKFYSSNTV VGASYSHANIVHVFFGRPTSSHSAPRTPPKPSASNVASNLPRRPGNLVASPPRQPYPG IMTEGVDEWSRDPTEDDDEEDDDDEIVYDDDEDEFGLPSLASMRRKRSAPLKAQNIDF SGGAGNPSTLGYGLAAANRQRANSSDIAEERGAPLYPTARKGDEKILRPQYKDILQDP ANALNLINHSPPPIDASPKERDIHSSRITRINKFKRILQASTVSPTELRDLAWSGVPE EVRPMTWQLLLGYLPTNSERRISTLERKRKEYLDGVRQAFDRGSGASSANPPSTKGRG RGLDEAVWHQISIDVPRTSPHIPLYGYEATQRSLERILYLWAIRHPASGYVQGINDLV TPFWQVFLGVYITDLNVENGMDPGQLPRSVLDAVEADTFWCLTKLLDGIQDNYIYAQP GIHRQVRALRDLTVRIDAALAKHLEQEGVEFMQFSFRWMNCLLMREMSIKNTIRMWDT YMAEEQGFSRFHLYVCAAFLVKWTDQLVKMDFQEVMMFLQALPTKGWTEKDIELLLSE AFIWQSLFQDSRAHLRPAGDEPPENGIFY PEX2_082690 MPKVNKQKRNSAASQGLSDAAAKTKAAHSIFKMNTDIGQHVLKN PGIAGGYLAAIVEKAELKQSDIVLEIGPGTGNLTAKILEKAKKCIAVELDPRMAAEVT KRFQSTPYQKRLEVILGDVMKTELPYFDVCISNTPYQISSPLTFKLLATSPAPRSCVL MFQREFALRLFAKPGDKLYSRLSVNAQMWAKIDHIMKVGKNNFKPPPQVESSVIRMVP KNPRPQINYEEWDGLLRIVFVRKNKTLRSSFIGTTSIMELLEANYRTWCAQNDIPVED GPVEVANDDAMAMDMGEEQEQEQAVEEPADEVMDMDDDDVPDFFKESTNARLEAALKN GASRKKRGKVAELVREKVRQVLEDDTDLGERRARMCDENDFLKLLWAFNQKGIHFN PEX2_082700 MASGRKDFLSQAAPENYVAGLGRGATGFTTRSDLGPAREGPTPE QIQAALTKRAQLLGAAAPTAYGATTREKGGKEEDKEEEDERFQDPDNEVGLFAYGQFD QEDDEADRIYQEVDEKMDKRRRARRLVISLPFPLRNHLFIGLAPVVWTEYRLESLATY REAREKAEQLEYERNNPKIQHQFADLKRTLASVSEEDWANLPEVGDLTGKNRRDKQNA RQRHYAVPDSVIAGARDSAQYGTTIAEDGTETTVDEGENADGTLMNFADIGAARDKVL KVRLDQAALGSSGDKSGTATNIDPKGYLTSLTQSEAKAGEVEVGDIKRVRTLLESVTK TNPKHAPGWIALARLEELAGRIVAARTLIAKGCELCPKSEDAWLENIRLNEGHNAKVI AANAIKNNDRSTRLWTEAMRLETDTRAKKNVLRQAILHIPQSVQIWKEAVNLEDDPAD ARLLLAKAVEIIPLSVELWLALARLETPENAQKVLNAARKAVPTSYEIWIAAARLQEQ MGTFAKVNVMKRAIQSLARENAMLKREEWITEAEKCEGEGAVLTCGSIIQETLGWGLD EDDDRKDIWMDDAKSSIARGNYETARAIYAYALRVFVNRRSIWLAAADLERNHGTKEA LWQVLEKAVEACPQSEELWLLLAKEKWQSGDIDDARRVLGRAFNQNPNNEDIWLAAVK LEADAKKTDQARELLATARREAGTDRVWTKSVAFERQLGNIDDALDLVNQGLQLFPKA DKLWMIKGQIYEAQNKFPQAREAYGTGTRACPKSVALWLLASRLEEKAGAVVRARSVL DRARLAVPKSAELWTESVRVERRANNIAQAKVLMARAIQEVPSSGLLWSESIWYLEPR AQRKARSLEAIKKVENDPILFITVARIFWGERRLEKAMTWFEKAIVLDSDYGDGWAWY YKFLLQHGTEEKRSDVVSKCISMEPKHGEFWQSIAKDPANAYKTTEEILKLVANTIN PEX2_082710 MPSGAPSSSRRSRPTLRQATFVLPKTGQRLKGLVNLRNSTPTSN DNEERRGLLTNEIQHYEGYLDALTRRIHDKWTQTNQFVRSEQGIGVLKYSLAYLVGSL ATFIPAVSAMLGHQDGKHMVATVTVYFHPARSQGAMFKALICAFLAFCYSTFLTITSM FVEMFFQDTLELTALGHAVVLIVFCGGGLGFVGWTKQRLGDPLVNVACSLTALSTITL LTKEGSVQSGDISLAKIFQVLKMVLMGVVAVMVVSFFVFPISARKKLRSNLVTVTDTL ALMMALITESFLSGTEEVLVSTEFVDAEAKHRKAYSQLDRLVREAKLEHYVAGTEKEY RLEKNLVRWVQDITHNMGGLRNAASLQFSLIRETIARESTSPEDLQGSSTHVDYFTPL ERSWSFPDGSFLEPIVERPEEELSPGGSHQLGSAESTPRPALLPADVFTIFISHLGPA MRSLAFTLKEIFKEIPFGPAPHYKVSVNGRLRISLDRALDLYKESREKTLAALYQQKE TMKLKTREAEADLEEVTASCGHFSFSLLEFGEQLREMLSILDELQLEVEERPHGRTWS WLKVWQWSRNTDTAKIASFHAESASRSFNPPANEQALHGHARHASDGLIAHDRLSVNV QTRKGPSENDTTKQRLGYRLWKCLGFFRRDDTKYAIKVGAGAAIYALPAFLPSTRPFY GRWRGEWGLLSYMLVCSMTIGASNTTGYARFLGTCLGAVAAIFAWNITAGNVFALAFL GWIMAVWTGYITIVRGNGPMGRFIMLTYNLSVLYGYSLSQKAANFDEDEGGSNPIMTE IALHRVVAVLSGCIWGIIITRMIWPISARSRLKESLSLLWLHLSLVWKRDPLSIMAKG QRSVLYMTPRQKLEIERFLSRLESLQAAAGSEFELKSAFPEASYANIVRRTRSMVNSF HTMNIELMKNDLATEGEISLLQYTKLERRQLSARVSHLLSVIASSMKLEYPLNDVLPS IEHARDRLLARIYRYRLDHEASQQTTDEDCALLYAYILVTGQLSNEITEIIAEIGQLF GGNTAKFGAHRCIRFWRLAGNPTMQIDPAALSRSDSISNPKGAVPNGSVSAKTSRALI SVPRLELEHAYTDLKAAIGDKWAEYKESTALFLLGHYNQSEYSSRVDYFLCADPKNEH LHNNFVCALIGNLTRDLPDHGVANWVSANDKPSTVSKPVSGDAAEQRLKTEVMKLPPR DRRRIKGIPERDPNETAPIELEESHLAKQFKLPSQVPASAGGLNKTNWELEIRKRYAQ PLAAETGEFPDAESIHARMVPICYEESLPSGAGLPCAEFMAIATETFVKEVLSAVFSR TRSNGPSGTINNMMMRQYRHQLEVEELAFTRGEIAKDAATGLLPVEAREANIRKPLGV RDLRLTLELGGGVLGHMPLIVDQIMNGYFEDELETERHERLENGVGEPHQEIKPEDEM DLDEDEDEDGSLLDWEGGTLGDRDQLSSLLDECLSMAA PEX2_082720 MSLNRFSSSSLLRNAATTASVGLSLCRWSSTAAMSAFTSQRGTS SQTSQVVQRRRLPSRLKFLEDQKAQGESRALERYQTRDFKAGDVYSPHDLSPAEMKKW GKRQSPQTDAFDALNLKPMDLYKNFSVMSEYMTSMGRIKPRSVTGLRPVNQRKIAKAL RRAIGMGLMPSVHRHPEILASEMRAQSIWAASPSTTRGLPTQLSSDSKGERLAYASNK SIFLRSIDDPAVARQYTEHKAQTTVARFAPSGFYVASGDAAGVVRVWDCVGEGITKGE YSIVNGRINDLAWDGDSQRIIAVGDGKQRYGHCITWDSGNTVGEIHGHTQQLNTVSIR QQRPLRAATAGDDRKTVFYHGAPFKFNNGIADKHSNYIYGVGFSPDGSHLVSVGADRK IWLYDGKTGETKGQIGEGEHKGSIFGVSWAKDSRKFVTASADRTVKIWDVEAGKATQT WTLGEEGAMAVRDQQVGVVWPPGRSDNLLISLSLSGDLNYLVEGTPEPRQVISGHQKS ITSLTQTTVDNKETLWTGSFDGRVCNWDVASGKAEEIEGDAHPGYVAGLATTSEGSGR IYSVGWDDTLRSVDVAAKTYTGSASKLNGQPKGVAAGDSTVLVGEAETVEIFQDGKKT GEYKTDFAATTVAAHGSQAAVGGENGSVQICAISSSRLSPRADINASRNPISALAFSP DASHLAVGDLRGRVLVFKVSDGSLVTDRWTAHTARITSLAWNEAGSHVVSGSLDTNIF VWSLAKPGDWLELQNAHKEGVHGVGWVAGGSTIISAGADAAVKVWKVEGLK PEX2_082730 MQSHLTRRVFRAIINNEPLRFSQCHRNRLLHTITPPRVRPVMSR LPYTSRRSIFVFNSDPERSQSTLPSEKGLKPMADLMRALKDRSRTPPSDILAKAFQTF FTMRLETPMLISHFQARVLIVTFKHLRAQQNEMEEEDWQNVFSVENLEKVLDVLSESE CAPQAREVVMNLARYTYQELNLDHGFGPGKISRPALALYINILAMNGNPEDARHTLLK FWGQMSKAVPSPWLTIMKGFAMKDDLREVRKIVDEWGNHGNKFDQASHGELVRFLVDH GQWKAAQTVYDSPIAGDSEPSMAAREALIKYYLLNSRAESAEEIFKSLPDEPNEDTAG IILLWEAAKGSNASALAQKVHAWTAKNPQLKGSIHIDIVNNLVQYANAMQNPQLASDF MALAGQLGLTPDSQTHILELESRIQAGDVDETLKIIEEKVDATSLTSHNLPIANKLIA MLCRSEKRDALFDQISSLLDPLFQENVRLESATIAALTHMLLYRNDLEAVSELLRPRL GSYSDEQKMPVRDALAEYITDKSQPDTDVWNAYELLKIAFPETWVSVRTKIMTSFFNR KRSDLAVLVFGHMRQADEPGRRPRPDTYARCFQGLARTADATNLELVHNMLKLDLEVD LNTRILNGLMMAYAACEMPEKSMEIFRQILQSEEGPCHKTITIFFKVCEKHHNGAQEA IKMMSKVKKLEITANRPLYSAYMEALAAQCEFDLAVEAINNMEAEIGLPPTSNTIGLF YNAIPYQYWKDEVEKWASQNYPEHWEHLMTIKRTDQEQGLEFDGISNEVSV PEX2_082740 MEPFLLLLLVLLPAIVLVRYAFTYGHRTSTMPIGPPTLPFIGNI HQITKKYTHIKFTEWAAQYGGLYMLKIGNGNMAVITDRRLVKEVLDRKSGIYSHRPHS FVSHDLITKGNHLLVMHYGDQWRTFRRLVHQHLMETMVENHHTKIVNAEAIQLVRDYM IDPEHHMAHPKRYSNSITNSIVFGIRTANREGANMRRLYKLMEEWSEVMETGATPPVD LFPWLKLLPQWLFNNYIDRAKAIGVQMETLYVDILNKVIKRREDGHNNGTFMDKVLDS QEKHNLPWHQLAFIGGVLMEGGSDTSSSLTLAIVQALIQNPDVQRKAHAEIDAVVGHN RSPVWEDFEKLPYINMIIKEGHRWRPILPLCFPHALGEDDWVDGKFLPKGTIVVVNTW GMHMDPSQPDDPAAFIPERFAKHPQLAPDYVPGTWERRDHYGYGVGRRICPGIHLAER NMFLGIAKLLWAFDFQPGEGPIDSDPVTGYHNGFLYCAKDYSCRPVIRNEVIRDTIER EYATATADVFSRFTEG PEX2_082750 MTIMAKIDVHHHFYPPAMRQALDRAGGDPSGWYIPPWTLELDQD ITRQMKVTTTILSVTAPGPGIEPDVTKAAALARSCNESAAAIRDAKPQQYGFFASVPS LFDTAAVLKEIEYACTTLRADGVTLFTRYGKGSNYLGHAAFRPIWADLSRRGAVVFIH PTHPVDTQLINTWLPQPMFDYPHETGRAAMDLLTSGILQDYPGCKIILSHAGGTLPYL IHRAATMLPLMPRTLGLSTEELVEAARTFYFDTAISSNPVTLKALFEFAAPGHVLFGS DFPNAPHDAILRFTNFLEAYELPEETKRQVDSGAALELFPRLKGILDKAKL PEX2_082760 MKSSLWVSLAVSLIGLGPAAARNDYPGNYPSSSPPLGPTDWERT PVSVFAKVLNTQPDPDYNLLKELVTYDCTYISLTFDNPTLHGIMPWAGTHTHVGPQAF IDIFTRVGLYWDRGPFSIDHIFGDDGNVTAWGSFTATSRTLGKTVISPWAARARVNSA NQIFEFQWMEDTFTTASSFGSDNSTKVFIANPEGGTAHA PEX2_082770 MRLTSGIFHAAIAVAAVGAVLPEGPSSSKTHRNEYARRMLGSSF GIPKNQTFDYLVIGGGTAGLTIATRLAEQGVGSVAVIEAGGFYELNNGNLSQIPAQDA FYVGTDLDDWQPGIDWGFHTTPQAGAYDRVSHYARGKCLGGSSARNYMAYQRGTKAAH QRWADTVGDSSYTWEQFLPFFEKSLHFTPANDALRGANASVVSDPSVLGNGDGPLSVT YPHYAQAFATWAKHAFIEIGLQIRSGFQSGALLGQSYGLYTINATTMHRESSETSFLR KGLADPNLTVFQSALAKRIRFQDKRAVGVDVETMGRAYTLSARKEIVLSAGAFQSPQL LMVSGVGPAATLKAHNIPLVADRPGVGQNMQDHIIYAPSYRVNVITQSALLNEEFEAQ ANRDYNERAAGIYANPTSDILAWEKIPEPKRSAWFSNHTRQVLAEYPDDWPEVEFLTM GGYFGYQRNYIRDNPSDGYNYASLAVSLCTPRSRGNVTITSPDAGVPPVINPNWLTDP VDVELAVAAFKRTRDFFNTTAIKPILIGPEYFPGSQVATDAEILDHVRKSFDTIFHAS CTCAMGLANDTQAVVDSKARVIGVEALRVVDASALPFLPPGHPQSTLYALAEKIACEI SGNC PEX2_082780 MASTTPSTYKQAVFKEQGAGLTLEEVALTLPKRDEILVKVEACG VCHSDHFAQTNLMGGGFPLVPGHEIIGRVAAVGEGETVWKEGDRIGGAWHGGHDGTCG ACKKGFFQMCDNEQVNGISRNGGYAEYCIIRREAAVHIPDHVNAAKYAPMLCAGVTVF NAMRHMKIPPGELVAIQGLGGLGHLALQYANKFGYRVVALSRDSTKEEFARKLGAHEY IDTSREDPVAALQKLGGASLIVSTAPVPEIINPLIQGLGVMGKLLILSIVGGIEVHTG LLVGKGKSIWSWPSGHATDSEDAIAFADLHGIDCLIEEFPLDKCNEAFAAMMEGSVRF RAVITM PEX2_082790 MSIDASPSESVLESQTPDRVDESIPIKAEETEKDAAPGRDIVGF RWLLVCIAVFSANLLYGLDNTIVADIQAPIAGDFNEYTRLGWLGVGFTLGSVVFILPL GKAYAIFDTKWLFLGCLTMFAAGSALCGAAPSMNAIIVGRVWAGAGGAGMYLGNLNLI TILTTPKEQPVYVGLVGLIYGTGCILGPIIGGAFSDSSATWRWSFYLNLVIFGVMSPI YVFLLPSLPRPAGEGRSFFKKLVELDWVGTVLSAGMHISIILFIVFGGVEWSWTDGRN IALYVVSAVLTIAFVLSQYFCIGTTKQDRLFPGEFLRDPTMLLLYIIMACGGAALFVA VYYIPLYFQFVHGDSGIMSAVRLLPFVCFYVATILLCGYVMPKTGYFIIWYLMSGVFM LIGAVLMYTVKLDTSPSNVYGYSILMGLGMTTTQAAYAVGPAIVTPDRVAECLQFMNI GQGQSQLLGLAIASAIFQTKTLSGLTALLGDKGYSQVDIQSAVAGAQSTLMERLPPAL KTAALKVIVSSISDVYVMAISAGALYVVASCLLPRRRF PEX2_082800 MQIINWASLLLVTWETVVAAELPIVDLGYQRHQAIGFNSTGRYY QFSNVRYAEPPLGPLRFSLPVSPRNRSHEVVNGKGLGNICPQSQACWFNVQGDFVSAV TAGSTFNFTAAYDQVYQQDECTKPRPVADQNPLESEDCLFLDVYVPEKVISKRRDGNG KSNPGAPVLVYFQDGAYVSGSKSDQNPSGLIATSREDGSTGIIYVGVNYRLGVFGWLS GQKFQSEGGLPNAGLYDERLALEWVQRHITKFGGDPSRVTVMGVSAGGGSITMQLTAY GRAIRPPFAQIIAQSPAWEPGTKTPAIEDDLLDSFLTLLNVSSLEEARRLPSQALLDA NYELVASRPYGSGVFGPAIDGSFVPDSPKRLLLERKVDPSVRILTSYTANEGFMLAPA NVTDDATFNRYVDVLLRGANASVRAHTSRVLYPPIFNGSWPYHSQHERANLLWSEVST TCNTRYLHQAVATPGYAIEYAVKPAMHLSDTSSVFYNGQGSSSSLNATIAQLMQRQIV QFVKTGNPNVKGDPHVPLYHGQAHVLSLGDNGVRVEPALTNTDRCTYWQQVEF PEX2_082810 MKLSAEGTVMASSEEAAQLSCDTSSSHARNSKEQDFQPTQQIGS PTALGMGAFAIAFTTLSMSLMEWRSAAITNAYIGNCFFTAGMGLVLVAQWELVRGNSF GHTVFGGFGLFNLAFGAINAPAFGVADAFKDDPAALNNAIGYFLLVWGIFVLFFTVAA MPMNLVYTGMLGTSQITYTLLAASYFSFADDHASAGLALKKAAGAFGFVSGLFAWYTV GHLMCQDALLFSFPLGDTSPLYARLQRKKRH PEX2_082820 MVDNYHSSLDVAKTPIQSDADAQKSEAETEGPSSKSSQIAAGES IADSVRNFLELRQGGIPDDTGVVFDKISAVGSGTGSQDAPTVTSAAQSAFGLLSPLQN RQRKQYSRPILSGFSGTINPGEMLLVLGKPGSGCTTFLKTLSGLWDEYKEIQGELTLG GHPLLDVMKQRPQDILFCEMSPLAESDDHFPTLTVAETLRFATRARCGPQVSAREIDT MVTQLAKLVGLGNVLNTKVGDAKIRGVSGGERRRVSLAEALATCARLICLDNPTHGLD SSTAVEFMEMVREWTTQSRCVAAMSVYQASDAIVSYFDKVLVINSGRQIYYGPVRDAK AYFEDLGFECLSTTTVADFLNVMSADPDVRRAQENRENQVPRTAEEFERAFSASPIYQ EMQKSVQVAKERFQTNPSPLVKTSAFALPIWHQIWYCAGRQFRIVTSDYSLWAVELAT IVVQSLVLGTLFRNQQRTTSSLFIFASALFYSVLVPALQSMAEFGNGFAQRPLILKQK RYQISRPIAYALGLVTTDVVWKVAAICYNIPLYFLTGFQRTAGNFFTWFLIIYLEHLA LSMFFRSVAIFSPNMHRAVLPVGIFFNMYVLYTGLYVPAPQMQVWLGWLRYLNPLYYA FESVMVNEFRDLSYQCSASDLVPSGLGYNDMAHQVCAVVGSEPGDRLLSGASYIHAQY GFKTSHLWRNVGINAALFVFFALCSGIGMEMLKTPAGQLATVFYKSSPGVTHRRDKID SETGQDQGNESPEMSAGQSNDALRLQEHQGPDKSHNLAWTNLCLDIKTKEGDQRLLNN LSGSVKSGQLKALMGVSGAGKTTLLNALAGRSTIGNLTGTLALNGQVLPTFFRSRMGY VQQQDIHLPTQTVREALQMTARLRRPESISVADKNAYVEKVIEWLNMEHIADALVGVP GAGLNLEQRKKVSIGVEMASKPEILFLDEPTSGLDGQSAMLIARLLRRLADSGQAILC TIHQPAAELIDQFDKLYLLSRGGNLVYDGSLGTRCHEAIQYFQPRSRPCGPEENPAEY FLAVIGAGSRNDAHMDWASLWNDSEQGKEREKAEESLVPAAEQAPQLEQQSLYSVPFH VQLWVVVQRTWLYYWREPDYVNSKLWMSVGNSLLNSLTYLQSPNTERGAYNRVFSAFM SLIVGPPLGLQVQPRFVTLRDIFVHRERESLTYHWLAFVLSAFIVELPFTFLSSLVYW LLWYFPVGYFNAPSRAGYSFLMYELFGVFATSLAQLCASLMPNIEAAFAANGFFFMFC NTFAGTLSPKPVTPSGWRWFYNISPLFYLGEGVTVDVLQDLPIRCEESEVSIFYAVNG TTCGQYAQDFLKTATGYLLNPASTTECQYCRYRDGQSYFQQYGYEFAHRHRNIGVFIC FIAFNFTMVLVMTYLTKTRRH PEX2_082830 MVLTTGLKGAHVLITGGTRGMGEAMVHKFLQEEANVSYCARTVT NTEYDDFYSTLAEGNTARAVGTAFDVASKDSLVKWVESSAERLGRIDVIIANASPMHM EGETEHWESSFAIDVMGFVELVKAATPYLEKSPQASIIVQSSFMGREFYRSPPAAYGP CKAAQLQHVQELSHFLGPKGIRVNAISPGPVLCKGGPWELYSKINPEWVEEQRLKIPL KRLGGPTEVANVAVFLASPLASFVSGTNMLVDGGIHVGTQF PEX2_082840 MPGDSCWPSLNDWARFNTSIGGRLVDTQPLGQPCHDPFYTASEC NELKQQWTHPELHDASSSSIMSAAVANETCDAFTPRSKPCTLGAMVRYAVNASSPDDF VQTIRFSQERNIRLVIRNTGHDYAGKSTGAGALSIWTHSLKEIDFLNYTSAHYTGPAV RMTAGIQGTDINPAAHKKGLVIVGGECATVGPVGGFTQGGGHSALSSRFGLAADQVLE WEVVDGMGRLLTASPTQNPDLYWALSGGGGGTFGVVYAVTVKTFPDFAVTGVVLQFEN IDPSSNRFFEAVGHYHRHLPTYTSAGGMAIAQITNSSFLLTPLTLPAYTAAATKKLLG PFLQDLHQLNISYTLNITESASYFQHYMKLIEPNPTQLVQNAQYGGRLLPLDLIERNN SQLTDAVQKLTADGVTFVGIGLNVSSSVTGDIWNSVLPGWRTAAMTVILTTSWPLGAN LTKMKILADKMTTKWVPILTALSPESGCYMSEADPQQPDWKQTFYGRNYDSLYAIKTK YDPLQTFYATTAVGSEDWQVEAGGRLCQATRKN PEX2_082850 MQRLRNKVKDLQDQIAKLKEGAEIQAQTGFATPPLSDAAHTSFD FAELTNTTEGWQGLQQTGQIHYGPLSSSYFVSRISRYLSQALNEPIEDAKLEACMARF HYIAPSHQPSRWDASPASQADQPQDGTEEAEDLTRSQEEHFLNLLWQSFHCVYPILDE REFQQYYESLWSSSPDGMSTRKPSALVDVLLAVCMQYSSTFFVSDDNQQGDTDSEWQA KHANLASRTYYQRAQRLLQSELENPTIMVVQSHIYSIVYLYNTSLLNTAHINLGATLR IAHALRLHIRPLDGTSPEQQELQRRIWWALYRIDSQLSMTLGRPPLIQLSHVSCGLPG DDREHARLSGTVLLTNHEDISWLSFHVQCTKLIFLVQGVQTAFNRKCSQLLNGDKVKD LYEDPRLLETLAEFLGQEMTAIHNWVQNVPQSLCNPRKGGSDPFSTNRDALNLSAYSP LWLQRQRLLLELHYHHLVISTLRPFIRFPPVSSSMTPLTDGYNISCLNHAMAITSILN QVLSETDLLRGWSPIFQYQWDAILCTLGFVLANSVCPPTPSARKSLQTAIRTLDLVSD HFLAAKNAAQVVREVSCQADRLVKNVQQGLTRRQAPRASQALSTRAQNPRQASNQTSF VAPAQPGVRSNSLKRALPPTTLEVSQHQPMPNFEARMQLMDMMPIGSLSELSPSLGTT ESMSMPSVTSADMVIGTEAQWLHASAMILDSWTTNS PEX2_082860 MDILQLAPTHLLAILLSSTSALFLITYLLRAGHRPSDLPNGPPT VPLFGNELQVPKSDAHFQFSRWAKEYGGFFTLKRYNNTTIVISDQKLIKTLLDKKSNI YSHRPASLVSHLITQSDHLLVMQYGERWRMLRKTIHQYFMEPRCERDHWKVQEAEAKQ MLHDYLTMPEDHMLHPKRYSNSITNSLVFGIRTKTVHDEYMKKLFYLMDKWSLVQELG ATPPVDSFALLRYVPQWMLGNWRNRAVEVGDLMQSLYQTVLDQVKERRQRGIQRDSFM DRVLDTLKQTPLSENELRFLGGVLMEGGSDTSSSLILTIIQAMTKYPEVQAKAHAQID SIIGHNRSPAWSDWSKLPYINMIIKESHRWRPVSPLGVPHAVAEDDHIDGKLIPQGSS IVLNVWGMHHDSDRWQEPEHFQPERFADFPALASGYAGSERRDHLGYGAGRRICPGIH LAERNLIIGIAKLLWAFEFLEPLGSDSDISAHSGASKGFLHCPKDYGCVIRLRSPEKR ETIMREFAEAQEVFARFD PEX2_082870 MAPFVPYHYSAGQSTIVKFGGLLTTEFLEPPPGRCFLFRQTYRH TIEGSIPENLRKLINSPDRPKGPPPHFHQFQTEYFRVENGVLGISVDGVVRRITPEDG EISVKAGSVHNFFIHPDSPENMTVYLSASDSGNDYQLDRVFFENWYGYWHDALLHDGG IDWIQFLAIQDGGDAYTPAPAWVPFRRQVGYWTCVIVGRWIGGLLGYKPFFREYTTDW DFAVAKMKGSFFQRHLVHAAFEEEKSWTKQAELEPKGKPENAEFEPWTEDMSPAPLSL GPVAYEQGLFHGVQPGSVNGSNGHSTGVESKLEQLGSRAQRRVVIDDAGK PEX2_082880 MHSVSPSTYPSGGTSPAPADTPGTEYSEYEFSNDVAVVGMACRV AGGNHNPELLWQSLLSQKSAVGEIPEMRWEPYYRRDPRNAKELKKTTSRGYFLDRLED FDCQFFGISPKEAEQMDPQQRVSLEVASEALEDAGIPAKSLSGSDTAVFWGVNSDDYS KLVLEDLPNVEAWMGIGTAYCGVPNRISYHLNLMGPSTAVDAACASSLVAVHHGVQAI RLGESQVAIVGGVNALCGPGLTRVLDKAGAISSDGSCKSFDDDAHGYARGEGAGALVL KSLHRALLDHDNVLAVIKGSAVAQDGKTNGIMAPNAKAQQLAARTALNVAGVDPSTVR YVEAHATSTPLGDPTEISAIAGVYGTNRPADDPCYIGSIKPNIGHLEAGAGVMGFIKA ILTIQKGVLPPQANLTNLNSRIDWKTAGVKVVQEATPWPSSDPIRRAGVCSYGYGGTV SHAVIEEFNPILRPDPLDDGAATGPGLLLLSGPQEKRLALQAKTLREWMTADGKDNNL SEILTTLATRRDHHDYRAALVVDDHLDATQVLQALANGTDHSFTTQSRVLGADVSKDV VWVFSGHGAQWPDMGKQLIHNPVFFAAIQPLDELIQAEIGLSPIELLRTGDFESSDRV QILTYLMQIGLSAILQSNGITPQAVIGHSVGEIAASVVAGALTSAEGALIVTRRALLY RQVMGKGGMILVNLPSAETEEILGRRQDLVVAIDSSPSSCVVAGDKDIVAETAEAFKA RGVKTFTVKSDIAFHSPTLNVLMDPLRDALGQALAPTSPTIKLYSTALVDPRGQDLRD LEYWTGNMVNRVRLTSAIQAAVEDGYRLFLEVSTHPVVSHSINETLMDAGLEDFAVIP TLLRKKPTEKHILHSIAQLHCRGAEVNWAAQMPGRWATGLPTTTWMHKPIWRKIETAP LHTGLTHDVEKHTLLGQRIPVPGTDTFVYTSRLDNETKPFPGSHPLHGTEIVPAAGLI NTFLKGTGGQMLQNVVLRVPVAINAPRSVQVVVQQDQVKVVSRLISSDPSLSDDDASW VTHTTAYWDRKVLGSADRIDLAAVKARLTTKLADNFSIDYLDKVGVSAMGFPWAVTEH YRDTKQMLARVDVNPAVLGDDPLPWDSSSWAPVLDAATSVGSTVFQTAALRMPAQIER VEIFTSEDPPKISYLFVEEASDSVPTSHVSVLSETGEVLAKFTAMRFSEIEGTPGVSG SMESLVHQIAWPPATPAEEPLLITKVILVSPDATARAQYAATLPTQVQSFQFSTTEDF FSNASSLPLEKGTVVAYIPGEVASLAEVPAASESFTWNLLELIKFIVNGSLPIKVFTL TSSVGDGQTPTALAQSPLIGLARIIASEHPDLGSLIDIEEPKIPLSTMRYIQGADVIR ISDGIARVSRFRSLPRTKLRPASEGPRLLPRPDGTYLITGGLGILGLEVADFLVEKGA RRLLLISRRALPPRRTWDQVSEDLQPTIAKIRLLESRGASVHVLPLDITKPDAVEQLS TALDRLSLPAVQGVVHAAGVLDNEMVLQTTRDAFNRVLAPKIAGALALHEVFPPKSVD FFVMFSSCGNLVGFTGQASYGSGNAFLDTLATHRARLGDSGAVAFQWTAWRGLGMGSS TDFINAELEAKGITDVTRDEAFAAWQHLAKYDIDHGVVLRSLAIDDGEPVPVPILNDI VVRRVSELSGSAQAAAGSSGNDAVPSSGPELKAYLDEKIRGCVAKVLQMTAEDVDSKA ALADLGVDSVMTVTLRRQLQQTLKIPVPPTLTWSHPTVSHLVVWFAEKIGK PEX2_082890 MGNMKREIETQALHALEKDGQSDDTADLTPTGDAAAPTTPDKQK ATGTLASANKKNTDRPLPTSYIVQPRHGPPYDYAWYHSPLEEPAVYHMSDPAAALTAY ADIQVVNKRVQFDLRNMKAVLLEMGLIEESGSESEYSSDSSIDFFVGAR PEX2_082900 MSFYNSYLGFLYRQFLVCPPKAPSTTSLQGKAGIVTGSNTGLGY QASAQLLRLGLSHLILAVRSLSKGEIARKSLLASLPKSTKPPMVEVWELDLADYDRIT SFVKRLQQSGIYIDFALLNAGVANFNYTQTPSTSHESSIQINWLGTALLTLFLLSNLN KQAIANPTRLRPVISIVGSETAAWAKFKEAQVSTAKGIPLIEVLDDKTHFDMGDRYYT SKLLYQLFFLELIRSHRSASQGAILNLVNPGFCYGSELHRTAEGAFGVVLSGMKRVVG RSVPMGARTLVHAVVLAGESSDGKYLSDCKMAPFAGYGDSDDGRKIQTQVWKETVAEL SRSVDMDRMLLDI PEX2_082910 MASGLILNPHLLLQTLPLATSTGTLAHALLELTTTTGFLLPSLQ PTSDKILPKWYSHVFNRAVWTVLALNLGTITSAAGTLFLNRYYPRKPLQTTAFYWIGL AGAVGHLVFVPFVINPVKRIVDDVAVKEDLGESGVGASVDMARWVGVHRVRMVVADLP AWIAFLGAVLTL PEX2_082920 MSDAQEKFPPIPPPPADIAERDRTEDKDHNADNTEALHTDEVSL KPTISTSQRWRTASQRVRNRAATIAEKLGRPPEREADGLDSPMLPEYYGATDDIRGFE ARKAIDEEDRLPGTDYDSQARLLVERMGLHPEPRPPRIEEPRSGSTTPGTPDGMATPG GPPGGLLSQLLRVYANNLHTASRMSVVSTTSETDLDTESIPASGTATPAGKKDKLKWY NGSHPNKPTHSYTSSLINASMDLGRVGVPGATGPPPVNHKERKKQKRKSKKNVKLTVH IAAILARQQYIMQLCRALMKYGAPTHRLEEYMMMTSNILDIKAQFMYIPGCMFMSFDD PLTRTAEVKIIRVVQGLDLSRLAETHNIYKNVVHDLIGVEQATRDLDEVMQRKPRYNR WMLIFLTGCASVAVGPYSFSARPVDLPIIFVLGCLVGFMQYVVAPNSATYSNVLEVTA AVLTSFIARAFGSIEHNGERVFCFSAMAQSSIAMILPGFAVLSSSLELQSHQMNAGSI RLVFTIIYSLFLGYGVTVGTTIYGLMDHNATKESTCSNLPEVWGNEYIQHFPFVALFC LFAALINQSKPKQLPVTIFMGVCGYVANYFSTKKLGSNQVANTVGAFTIGLLANLYSR VWHGHAAAAIIPGIFTLVPSGLASSGSIISGLEYAEAVASGNVSSTTNSATSNSSLTS LGYGMIQTAIGISVGLFISALIVYPHGKKRSGIFSL PEX2_082930 MTAEYPVSYLSWNDSGPVSIVLIHGALVSGSWWDLVVPYLSTKY HVLAPDLPGHGQSTHQEFSVQSAADLLAQLIRDKAIDSHAHVVGHSLGARVAIQLACA YPDLVQTVFVSGFMSIPRTVFTPYIPRAVWATQRIENLIPRSVIRWAMDGTDIPRNPS LSTLALCQQTMTPSVELQWPAPWAARTLIVVAAKGGLVPSGDSKEVGVRLMKTGREGN PETIAYSHPEMRHPWNRQNPQLFADTALAWIEKGNIPDGFEKLVHE PEX2_082940 MPTSKSKSTPLTSPATPIALQPHHLLSPPADLLTNTATTLKITP TQDTKSPSPTFTVHRIRAHEDPDSPHRNVLLYTVHGKPWPNTSRVICDADGVPVLVLR RVWLSRKWTVKLPEQHHDLLVASVPSADDGPGSRLGVGGGGFRLEVRFANALAGREGA GVVGGGGGVGVGANLSGSVADEPPPYSAVSGVGVGETSGSGRCEGNAGMIQMNEKVHP PPPSSHSQTPIASTPRHTEHPQSPSILPSYDSVRRDSPNSLRDLLDALEPRQEPAPAT PFPASASQNVHASTSTSTNLGLADAEADATPGSKVELRVMQVTTLGTGVMMGSQKIMD ITRHNAMDYSKSKVRLRPRWEVEVSEGVDLLLAVNIALIMAESVSTLSRWK PEX2_082950 MSSPITTPQSETPNGNGVSLPSRPQPKLFASQDGSSGTGTPIGF QRFPPHNKHLDHVVGSALRQPSPQPTHLGIPGTPHRVLSEEDPGYIAATFEGKQKQME QVMDILEEKGFFPADFVVSETSWFYNQLGIDDTYFQTETVDAIVTQILSLYAAKVAAY ARDDKQLEIRLDKEAEDHAVYIDTSKPGTVSVNGPRYEQRIDEKYVNHSKGANSYRVE TFRSPSTLPGENGQQLRCYFVYKCQFANPTPSPNETNIDIIGEKRFLQKATPNTKAIY QEIIATAVGRSGPVIEMFEIEGSREKRLVIAYRQGSAMGLFSALSDLYHYYRLTSSRK YLENFSNGITVISLYLRPSDNSEISAKFPPIEAAIHQIMKEVSLLYCIPQNRFQGHFA TGRLSLQETIYAHCAWVFVQQFLNRLGSEYTSLAALLDSNNSVHAELLSKIKKRLRTE TFTADYIFEIINKYPELIHKLYLDFANTHYVQTQDTGDDFLPTLSYLRLQVDEVLDGA KLKQLIRGTALNEHDEMVMTSFRVFNSSILKTNFFTPTKVALSFRLKPDFLPEHEYPQ PLYGMFLVISSEFRGFHLRFRDIARGGIRIVKSRNGEAYNINARSLFDENYNLANTQQ RKNKDIPEGGAKGVILLDADHQDKARVAFEKYIDSILDLLLPPVSPGIKDPIVDLHGK DEILFMGPDENTAELVNWATEHARGRGAPWWKSFFTGKSPKLGGIPHDAYGMTTLSVR QYVLGIQRKLNIDPSTQLKLQTGGPDGDLGSNEILLSNEKYGAIVDGAGVIYDPNGLN HEELLRLAKKRAMISEFDMTKLSPEGYRVLVDEKNIKLPSGEVVHNGMIFRNTYHLRS QEKFDVFVPCGGRPESIDLASVGKLIKDNKSTIPFIVEGANLFITQDAKLRLEKAGCI LYKDASANKGGVTSSSLEVLASLSFNDEEFVENMCIREDGTVPEFYKAYVRDVQEVIQ SNAALEFEAIWREHERTGVLRSVLSDRLSLAITKLDEELQMTELWDNVALRRSVLSDA LPRRLLEKIGLETILERVPENYLRAIFGSYLASRFVYEYGNQPSQFSFFDFMSKRMAK LQS PEX2_082960 MATVATKTATPVMSPSFSMSQDISRAITASAQRTEKNRSILIDG MPPAPPPSPVAFSKGKACYNNIPSLSLE PEX2_082970 MVDSESDGEYEYEYGTETESFYLNLDLTAHHGPVRPPRRRPKEA ASLEDTQNPADYMSQGFPSEAYPPIESAETGNLPNERIQILDLHTSNPIISYYNQMFS CSWADQIGTELVFASPDAETDPDNHFPPPLHRGPSYELLAANTVKILGRKAHLAPSAG PGPAQGFTEGPSNTAPTPESVSSQIAEPLGAPRRPAAPSHQAQFLHRLQQIKNSKGER DIVRTVMSTRRHVNTADRQQGWARTEAQLVEIERLNERASRGDLDAKATLERLIQELN PDEAASESDSEVTSDSDDLTS PEX2_082980 MGSSLRKFPSLPLRLWTDKHMYSVYGIRLGYDFYAFPLAPGVTS NPAVLLCALLVPTLVISRPTIVPGECPTAAALPLDSHCIEPLASTGIPKIAVYEHTPH SSALTVYVDSLQQMTRKAKATIAVLSTLIAYVSINSIIHVLRPAAFVWSAEDWEERSW IASSRSWFDRKSCRWLGICGAAHIQTVHGEFGNRDPAEWVNAQDAEPEAPWRSFWLSG TSESEWDAEERARRQIPDYVFHYAPLVHLYSGEQFWPGDIAEHLHHTTPTLNYTPIQA QWDHPTLADLDELNKWGPRHVFLTSNDDVQTRPPWLEGDRNIPQTDENHKEESWADWD GRIDGDIPGDTEEDRAKWYDFYQLQQEAAAVHESNADEHFQAQQILKEEIRRRYGGEE IYDGGAGGRSDAPAILVVMDKGNGIVDAFWFYFYSFNLGNTVVNVRFGNHVGDWEHCL VRFHNGKPKALFFSAHQGGEAYSYEAVEKIGQRPVIYSAEGSHAMYATAGVHEYLLPW GLLHDVTDRGPLWDPLLNSQAYTYDFDSENLRASTFSPSAPTEWFHYRGHWGDKFYPL GDTRQYRFAGQYHFVNGPLGPKFKHLDRHKVCQGPDRSPCVIKNYIEKGKRPRRWGSS RPGE PEX2_082990 MPNVGLKEKSKRTTARSPFENTTANIRPEDSDVEMDDETSSDED DVPEKDEAEKKLERMLFGDDEGFMGALKNQQDREAGMALTLHSDNESDASDDAEEDEG QDLAALADSDLFFLDSGAPPTTDFIPSPETPSDAEDDEEEDEPAVWYDSDDERLAVSL ASQARLRKLRNTEAEDVVSGKEYVRRLRRQFTRLHPTPEWATPEVKRRKTDSDADSEE EIDSDDENENLSMQPLAKLLQNASDLTRIEDNARSSGKRKLRQEVIDIQRLKDIGKSQ PSSVDSLTFHPHYPLLLSSGPASTLFLHHISPSAPAPNPLLTSLHIKRTPIHTSAFAA PTGNKIFASGRRRYFHIWDLDTGKVDKVNGSSDRKEEQKSMERFKLSPCGRFVGLVGT ARKGGGLINVLDANTAQWIAQVRVDGRGGVADFAWWSDGEGMTVVSKNGEVSEWDGRV GRVVARWVDAGAVGTTVLSLGGRSGRTQLGGDRWVAIGSSSGIVNVYDRREWAAAYAN ASAAEKDSPAQSGIPRNPDPVRVLDQLTTPISHLVFAPDGQMMVMASRWKRDALRLVH LPTCTVYRNWPTSNTPLGRISSVAISPNSEQLAVGNEQGGIRLWEIRG PEX2_083000 MMDTATNSLASIATTTLIAATTPTPLGANTLYYHGTNSSSPGQD PNGEGGECKLLGPFSVFVQIALGGLALLSLVYKRWRERPQRPVKIWAFDVSKQVFGSV MLHMLNLVMSMFSAGQLEIRSSYKPNPCSFYLLNLGIDTTLGIPILILLLRVLNYLAS YTPLANPPESIESGNYGQPPRVTWWLKQAIIYFMGLLGMKICVFFLIELLPFIVKVGD WALRWTEGNAAVQIFFVMLLFPVIMNAIQYYIIDIFIKKPVSQYAVDDTIGDAATDDD DAHRREALLAGLDESYSTDSDDEEPGKSPRTTSQSKATANALQESELLPEDQNPVPPY EPPSSSGSCDENDTKPSVTHR PEX2_083010 MYLIISLLCSGSPPPGGSALKLAIYNRDQIADIFTNSYNVTAEQ LFDLFGKFGPIRQIRQGIANTSKGTAFVVYEDVHDAKQACDKLNGFNFQNRYLVVLYH QPEKMVRTKEDITERQENLERLKQQHGIE PEX2_083020 MMSAASFANPIGGRPPQYRTPSPPLRAVEPITPSTTADFHSSWA SRGPPRAASLDRDQRPSNHNRHVAAEGGSHQRSGHGRSSSTIDTLATIALATSPTFTS LPPNPPSPSLRSTIPLFPPDPAESERPTKRARSARNTSPYHPRAGMLTENPHPAGLES MTTDAELLLNLARPTNFHPGNHTKRVSIDETYHHYAGDAIRQSRVGFAAVGHPDQVDV KMNHNSEDIPHARMRSRSDGSAFISRPAIRGIRPTTSSGTFPPIVWEDEHEVKAWHSP ADTPHHTAYGTHPPADPQEPQFPQKSLPIPPKVEEEEGDTNQAICGACHLARIPVETE EQDEDTWISCDGCKGWFHIVCAGFKNDREVRTVDKFICRPCRSVHGQTTFVRKSSRAR TAIDYAGLNQGLVKAATDSLEHHYLEPIRQGKIRFQAENFPRMKPELVTAEYFERGNG WTEPIVIPACWNSTEPVSSENPDFESLVQEASSQEMFDDLLENHPEQEAHIEETADCG QDLLGMVIPRGLTVRAVAELYGPEERVEVIDVKSQQGEDKRWNMQKWADYYESNEQDK PVRNVISLEVSQSKLGRLIRRPKVVRDLDLQDAVWPEELKAIGEYPKVQFYCLMSVAD CYTDFHIDFGGSSVYYHILKGKKTFFFIPPKDKHLKKYEEWCNSPAQDSIFLGNQTKE CYRVDLSEGDTMLIPSGWIHAVWTPENSLVIGGNFLTKLNYGMQIKILNIEKETKVPK KFRYPFFQKIQWYTAQKYLDDDPVPQNVMEAFMQDENYRFHRQYPIYYEFGERANQEP PGSPHHNARFYSQAELEGLLELTKYLLRTALIAGGYTVDGVISIDVRNAVRRSIPKGT GDPVDMIRKFGIWVAWKRGNEKAPLWTRPGVIESNPKVSIADKKPAGRPSRRSERNVD NQRTYAERQAVQWPSEEAPATPNQPASSLAYAQSDGSATPAPFSIVPESSIKDIATPK PRAVQRGSGLGPKRVACDACRKRRIRCRHKDEPGDLVLNGQVAVNAFTPGSGVSTPSS LAQDAVSALNSLAAIASQTGFQNNGHLVDLERFESSARFQSAVMGNSTIAANRLIDVS PDGTNGGKKGRSKACDDCRKSKRRCIHDEYGRIDPIKAQERSKPRANASAKRARPAEE DDMPATAKKAKQESTSPMTQPAVFFDHDGDELMAQDDLMDAYDHEPFSQIDSAKHLPE ANQAPQNQALYASPPAFQTESVDAKELNSVSVSSSKPNTSLVSPPTSLADEMDGVQEG EAVQSVEGEVSTDLHTPNSSSRHSSRQPRNVDRFAPDSTTARAPKQAARATSSTIGAR KTTPVPASTRKPSSRPSSSHAKKSSPMYEKHFHRHAPTSLSPRQHKHTQHITGDEDAD EESLRLIRELQEQEFGLRKRSTRV PEX2_083030 MKLHALLLLALSLSASIAAIPHDPSNSANLQPQYHRPTTTTTKT SHTTKINKPTGRPCAGNTARTRSKWCQYDVNTDYTQIVPNTGVTREYWLNLEELVAAP DGFSRPVMAVNGTIPGPTIFADWGDWVVIHVTNSLYKAQNGTSIHWHGIRQSHTNGND GVVSITQCPTAPGSTITYKWRAEQYGSSWYHSHIGLQAWEGVFGGIVINGPATANYEV DKGSLFLTDWSHPTVDELYLEAQTVGPPTLDTGLINGTNVFGNGTNSTGTRFQMKVNQ GSSYRLRIVNSAVDTHWKFMIDNHTLTVISADFVPIRPFTADYINIGMVTANQRQIAD SFWIRAIPQEACSENANPDNIRGILYYGNRPRTPKTNSFTFPDECVDELASSLVPQVP KTVSAADWNNLTDVTLGRNSANLFRWYLNSTTMQVLWEDPTLLQLFNNENTPNFTASS GVIELPRAHEWVYLMINTSFPVAHPIHLHGHDFFVLAQGFNPWNGSVSTNNPPRRDTA MLNGNGFLLIAFETDNPGAWLMHCHIGWHTDEGFALQFLERESEIEPLIDRKALGDNC DSWNAYDTAFNIEQEDSGV PEX2_083040 MVGIGPKHPQSRKGSMHELPQNLLGSIQDFEKAFTVDRSKLKEI VNHFVKELEKGLSVEGGNIPMNVTWVLDFPDGHERGTYLALDMGGTNLRVCEITLTEE KGAFDITQSKYKMPEELRTGTAEELWEYIADCLQQFVETHHAGQNLAKMPLGFTFSYP ATQEYIDHGVLQRWTKGFDIDGVEGKDVVPPLEEVLKKRGLPIKVAALINDTTGTLIA SAYTDTDMKIGCIFGTGVNAAYMDNAGSIPKLAHMNLPPDMPVAINCEYGAFDNEHVV LPLTKYDDIIDRDSPRPGQQAFEKMTAGLYLGEIFRLALIDLVDNRPGLIFNGQDVSK LRKPYLLDASFLAHIEDDPYENLTETLDLFESTLNIRPTQPELELVRRLAELIGTRAA RLSSCGVAAICTKKNIESCHVGADGSVFTKYPLFKERGAQALREILDWAPTEKDKVSI LAAEDGSGVGAALIAALTLKRVKAGNLVGIRNMDDMKTLL PEX2_083050 MGNESSTEVDEFATPTVLEARNIEAVAKYVRKHNVKKVVVMVGA GISTSAGIPDFRSPDTGIYANLANLDLPEPEAVFDIGFFRHNPKPFYALAHELYPGRY RPTIVHSFIKLLYDKGMLLKHFTQNIDCLERQAGVPGEKIIEAHGSFASQRCIECKET FPDEEMHQMVSKAEVPHCHKCNGLVKPDIVFFGEALPSEFFDSRSLPEEADLCIVMGT SLSVQPFASLPAMVSPGVPRVLINMERVGGLGSRSDDVLVIGDCDAGVRKFAKALGWG EELEALWEVTNPDPQKRAEENAPLQTRDERLQEEVDRLTEEVDRTLGLADAYQNKVRE KLSHDKAHRQPGGLDHVFPHLARKLSH PEX2_083060 MAPRMAATANRHNAVSQNPPGTNASPTDTSPPPQPDIFNASSVA EIKATLSHLHSQEASVTARLDALVTSQKDLSRELGRLDLMRANIGTHASTTRSISHGM LSEAAGTADRISSAVRRLDLEQARVKATLEVVEQVAELKACALGVAGSMGAPQDWEKA ASYLHRAAQIPASVVHGAFAAEMVPTAEVPDPPSVTLDNAAESLCGLFLREFEKAVKE NDGAKITRFFKLFPLIGRSEVGLDVYGRYVCQGVAAKARANLNGATGSAQTKDGFFYA NALTKLFEHIAQIIDGHGGLVEHHYGPRKMGRVIERLQVEADVQGGIILDTWSDERHV DRKLMDIKSYAFTFLVQSFLPAQRAGPPRSQSPAIGASAAADEEGVDMKEIDGVLNEM AIMLGRWSLYCRFLADTCNSSGEDDADDDKRFELPTFLRESPLAQKINDRLVAPFNAM TTFFFRRSVEKAFQLDESPSGLTLNPHRPLKADPPHITSAVDDIMYIVNKVIQQSLAT SQEAVVTNVVPTLSRVLGSDFIGMTQRKMRDECYPRAPVQGGLPTEQTTISFLVQINN LDLGVDYIRRIVQNNTGSKPDTTTTEATSHLLTIFASPSTAKKVHQTLQTLSAAFESK VTDLLTDGIQVIFNNTIKPRLRPILADAFRDIEYAPNTNTLESDNPNSTFDNKELVKP RFTSLWNDLLTPFARILTPSAFDRVLGVTIAYLARLLEKRLWSYGARINALGAARLER DVAGLVGAAVDVGYVAGAPGRYKYRESFARCVQMTLVMGMDEDEWDEVRRGGVAAEVV GKLGREDLIRVRGMVRR PEX2_083070 MAFSGKAPYAPDYASYNWIGAPSNYDLSTNKDLGGDSRTENVNK WFQSGDQAYIIVASAMVMIMIPGLGFLYSGLARRKSALSMIWACMASFSIVTFQWYFW GYSLAFSPTATNGYIGNLRNFGLMKTLADPSPGSPLVPNLLYAFYQMQFCGVTAAIVM GAVAERGRLLPAMVFVFIWATIVYCPLACWVWNVNGWAFKYGVLDYAGGGPVEIGSGF TALAYSMVLGRRQERMMLNFRPHNVSLILLGTVFLWFGWLGFNGGSSFGANLRATMAS WNTNLTGAFAAITWVLLDWRLARKWSMVGWCSGTISGLVAATPASGFISPWASVILGI VTGIVCNYSTKIKYWIRIDDSMDVLAEHGIAGIVGLLFNALFADDAIVGLDGVNTGTG VGGWVIHNYKQLYIQIAYIVATASYSFVMSAIIAYAINAIPGLNLRASEEAELLGMDD DQLGEFAYDYVEVRRDYLAWTPQNHDQLADGHQVPAAQRYGIGEHSEMMLGGAPREEM LEGHSPNGEISQSSRGGSEGDIGMHEIKIAPAPRQAAEQHPAEVQRAEPVVLRPVQEK TEN PEX2_083080 MSSDYNASNIDIDDVKFVEIIKQTDRAFLCRARWQDKDCVLKVF APYRWRRWDPPFRSIDLFKNESRTYSRLKARGFCERGSVPDFYGMVENIDPEAKGWQP QLKEFYDKTFPQGHDPEARPNGVLMEYVPDVNIFDISNYTEERARKFHQLLMDIHEAG IVHLDPYFQNMLIQGDSDRVLWIDYELAQIFDPEHSEHPDFSSMKKNSWLPLWKRW PEX2_083090 MTNKSEKRKSVKYIYLWEEAIKQLNKKLQAKIEFRKKELVDLES VVASAEEKKEEFASKRSEKLHQVFGRVVQRLSKFKDIGDSVAAIEPTHLAIPWAAIKL VLQISVKDFENNETVCEGIEIFSGLAPRYALFERLYLNHTFPLQDILEKALVKLYATA LKYLLNAEEYHTTKAFKRISKGLSFAYDGFNGWMGEIQEQQRIADESARLIDAWASRD KMDSIEGEQVALREGLEYFHEPIQFIRDGLVSLANSYTEHEKNEKERRILKWLTRLPL HEVHKQKLEARLPDSGHWIFEEENYKQWRVSSKSALLWLHGLPATGKSTLMSTIINGL QAKHAHAPGGPLAYAFFSRNDSDRRWQDPGILVCCLIAQLARPGHSAPLRGEVIRSYE KMVNEGGDIAVAEGARPTWNDAIQLLFELIDQGTTTICIDAIDECAEDLRGDFLDLID RLLTRVDGGRVKILISSRPSLKILDRFPLWPSDSIDVGQSGADLQAYARYRVAECVKR MRQRTRSVPDNWEERLIQQLVEGSQGMFLWVKLRANMLLLQSDSTIFEGDLDQGPSGD LPRALLQLFETIYNRIIASSPQGSITRQAVLTLLRWLLCAQAPVTAEDLIQALTAFIG RDRIGSDIHVANITVSMVLESCQDLVVVDKDSNQIRFVHTSVGDFLKLKDGMQSEEQH AAVANLCLATVQNLALEPRAASSLPRSKFHYYAVLYWALHVGQAGQQHQIQTVHDALD DLCQEQPWFKSWLPEIRPASSLILCWDDPHKDKIIQALSSPATSFFTACAFGFTKVAH HCIQSNTNLICQVNDMGATGLHLAAEYGHKEIAEALCEAGADVNFLDTNGETPLVRAA AGGFKALVLMLLEKRSKIQTQGRRYGTALHSAALHGHLDIVEILLSHGADIKITSGQF GTALHAACLRGHEGVVRQLLDAKADINAPGGVKTDAPDEPADRPTAEASLVLAIHDVP KRQHVSEDDEESLYGNMLEELKFELLLDRGVDVNILPGGFGPPLHTAARAGHEAVVNI LLSHPMISIICEGGEYGTALQAAAIAGRTSIVERLLVAKSDPNTQAGKYGTALTAACR QGNLAVAELLLKSGAAINIQAGVYGTALHAACRSGNEILVQRLLDSKADAKLTGGDYC TTLQAAARDGYDRIVRILLKHGADVNVEGGTFKSALRAAALRGHQKVVEILCKAGAKL DGALQLACLGGYQAVAEVLLAYGSDLMGPVNGDTPLQAAIARRHHSLARWLLDKGAKA TDSEGRWGTTLQLAALAGEVEFVGIFLGQGADPWKENNNLDVNVVEELDIKLPTGKYS TYPIVFAAAGGHSQVVTLLLDARLPKVPNDHDNFDKSDRPRSSIKSAVRDALLVALET NQEALVSLLLNRDVPVDLATVHHAFRVSNPNVVVSLLEMLGQRTSDQERYKQSIWAIS KAALCKKLELMDLLLPWVTQTTHFDPTDLEKALQNAVCCENLEIVNKLLQYGASPTSQ SDSLTQSNPSPTPTDEHKDILLSLVNITHEKNEEALRQAAHNGEEDIVTLLLDSGVDV NAEGGPYGTAVQAAAKGGHETIIQRLISAGANLRCQGGPPAHWETDDLSFEWTGTSKK VKQRYETITKRFNEAGVTEPRRWQNGLYGTALQAAAMTGNVKIATMLVEAGSDVNDID SLGQTPLHRAVCNDHLSMVEFLMSMGGDFQAIDCEGYTPVLLAVLRSHQAIFESILGS VTESSTSSAIMKQSLHLASQKGRHSIIKYLIDNEIDLEVCDEYGQTALFIAASKGQCF TVRLLIDNGSDINHLAPRQRTALHEAAESGHDDVVRLLLRSGANMLAVDKYGRSALHC AAAGSHSKVVYLLLDDGVPPNLQDYSGKTALHLAVSEPNREIVTMLLNKNANINAKDN DGKTPIEKLKRFTHDGLRDLLISRGATDTKTRDGSASAPGNVSRRSKRSSEPSSSADM DSMYTYESGSDFSSKASAENGRSSRASAESGRSSRASAENGRSSRASAENGRSSRASA ENGRSSRASAENGRSSRASAENGRSSRASAENGRSSRASAENGRSSRASAENGRSSRA SAENGRSSRASAENGRSSRASAENGRSSRASAENGRSSRASAENGRSSRASAENGRSS RASAENGRSSRASAENGRSSRAFARRARRARDSRNVNQEEDKTTDDDVDDEYDAYQRA KMMMEVQYITTKMIMEVHMATITRMMVMMLLILVVIAVMCTTLMR PEX2_083100 MSRSATPSLPLHNASNAEFLRPPSTTPMTGRPNAPSSTSTSTYS WLEPHETAERLRTSLLHGLTPAEAEIRLARDGPNELPHDEPEPLWLRFLKQFKETLIL LLLGSAAISFVMGNYDDAISITLAVTIVVTVGFVQEYRSEKSLEALSRLVPHYAHLIR DLPTASSAATENGSTSPLGSSIELDEIRSKSPGSASAAVKASSTVPANELVPGDLVLF TTGDRIPADIRITAATHLSIDESNLTGENEPVAKYAEALRTASGRATPALKGIEPPRS PFYDAPATGAVGADVRLNEQHNVAFMGTLVRSGYGQGIVISTGAKTEFGSISASLQEI ESPRTPLQLSMDRLGQELSYISFGVIGLIVVIGLIQGRKILEMFTIGVSLAVAAIPEG LPIIVTVTLALGVLRMAKRGAIMRRLPSVETLGSVNVVCSDKTGTLTLNHMTVTKMWH FDCAEPFEVQREMTTAMAPGPAAQTILRVGNIANNSRLSRMHANSPASASSAAVLSST INSDSAAAKSRWVGQPTDVAILDLMDALGEDDVRDRISARVHETPFSSERKWMGVVIG DSGGSNGGPHVAYIKGALEQVLARSDTYLTKDGREVILDEPRRKIIRDAAENMALEGL RVLAFGSGVVRDTYRGGRGFGSRSSPKIVHGDDDERYNGLVFAGLVGMNDPPRKDVHK SIRRLMNGGVRIIMITGDAETTAVAIAKKLGMPVNDSPHARSVIRGDELDRMTAAELS QAISTVSIFARTSPDHKMKIVTALQARGDVVAMTGDGVNDAPALKKADIGISMGKLGT DVAKEAADMILTDDDFSTILQAIEQGKGIFYNIQNFITFQLSTSVAALSLVLLSTTLG FKNPLNAMQILWINILMDGPPAQSLGVEPVDPTIMNRPPRPKTARVLTKPLIQRVLTS AMIIMIGTLSIYIHEMGDLTDGSPVEKRSRVVTAHDTTMTFTCFVLFDMFNALSCRSE GKSVLRGEMPLFGNKMFNYAVLGSLAGQACVIYLPFLQRIFQTEALSLGSLVKLFLIA SSVFWVDEGRKYLHAIRRRRGMGSGYSVNV PEX2_083110 MFYSETLLSKTGPLARVWLSANIERKLSKSHILQSDIESSVNAI VDQGQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALMKIKMAFRLTNNNDLTSTV VAPGGITLPDVLTESDLFTNLDTSLLFPQTLDLEPAAKHPNGMDFGSQFLPDSSFRRS VSQEPARLEDPSLVDLDLGEDELPLGNDPTMEIGRDAPAPRPVEEDLFNDAGKFNDDD DLGLDLGDDDALDKMDLGDDGHDNLNDLLPDAPMDFNNDDDFGGGETPRAADNRFERD SESPLSDAGSDQMNQLEEEFNRDVSATPDAVSAQQGQRTKRLKLMGLDKEVAISSSKI KDQQNDRSSILKPASFLPRDPVLLTLMTRQQNGNFVSSVFGEDRGRGWAPELRGMLSL DSVKKAGELKRKRDSGISDMDVAAVDVPPLELGDEEAIVPIDEGIALDTTMNQRSEIE FPGDDEDHVLHLSDDEGNNQPMDDLDDTMVHLVETEPVSMGTKHAVHILREKLGSSSD EQKKSVLFQDLLPEQRSSKADATKMFFEVLVLATKDAVKVDQGAKSIGGPLKITGKQA LWGSWAEENASGAMSQLSQAL PEX2_083120 MSRSSTDVSQPDLGEEKAHTGSKRHSSDKTDDDFDRTKSEDVTY APITSPGTHNKGSAPLQKQRSNASKSVASRSLERSWSLNDGVSIGGHEVDEAAVANDD EAYTVGWDENDPMNPRNMSKARRWLVVIIVSMGSLCVTCTSSMYTTTYTQLMSEFGCS QEVATLGLSFFIWGLVAKNTETMIIARFLSGLSGSAFLSVAGGTVGDMFDRHELALPM MLYTASPFVGPEVGPLVGGFINAFTTWRWTFYVLLIWSGVMLASIIFLVPETYHPVLL RRKAQNLRQETGDERWKAPIEKLQRSIAQTVVHSMYRPMLLLTEPMCLCLCIFSAILL GILYLFFGAFQLVFHEIYGLSIWQRGLCFLGLFVGMVIAILSDPIWRRNYVRLERNHE IATKKLDDFQPEWRLPPAILGGPLVTIGLFIFAWTIYPNVHWIAPIIGSAVFGAGTIL VYSGIFTFLVDAYPTFAASALAANSFTRSSFGGIFPLFGIQMYHNLGYHWATSLLAFL TLVMTPFPYLFFRYGSWIRSKSRFAKSQA PEX2_083130 MNMEAKNGSPVPAGDYSGDRDSADITEHEQSDVRPKTNGDSKAD RKAANAKDPSRPRRKKARRACFACQRAHLTCGDERPCQRCIKRGLQDACHDGVRKKAK YLHDAPDGALMPAVTSSNNTNLYNSTLRNNLPISRNGTNAVNPNQQHSQHSQQHSHQH SQQSTNTTNNNFYPTPQTQAGSYNPYQESPMSQSPFTTQSPVSPTFNMKSSTNGRNPS LSSTVNQQPTPGALSGDTSQSQNPFAGPFFDPSDPALFNFDLSSMNFENRYGALEFGM LGHMATGAAGDSPTDSAGQRGSIGRSGSAQFSTPAPGFGESPGNQPFMFGDPILNDWS TGPASGQHVNVGGVYGQNAMLPEHMKANAPHAFAIESGPASFTSPGSAQSPNIATAAF DDGPFNNIAPAPKSNSLVPNGQRQVTSASILKHPNLHVGSKRRHRNPSSIYESVKEPY AYTSGFHNLTAFIQRRFSPQKTVRIAKALASIRPSFIATTKTLNRDDLIFMEKCFQRT LWEYEDFINACGTPTIVCRRTGEIAAVGKEFSILTGWKKDVLLGKETNLNVNTGVPGQ SGTTSRGSYTPRGSTLESSGTGRPQPVFLAELLDDDSVVEFYEDFARLAFGDSRGSVM TRCKLLKYKTKEDMEGAQSDDNGKWNNHLRKGGIAGEAGMNQLGFKDGKVECAYCWTV KRDVFDIPMLIVMNFLPCI PEX2_083140 MRSDKPRDPVAGPDAGPELPYPVRLSGPVIKGFGRGSKDLGIPT ANIPADDLSEKHPELTTGVYYGVVALDPNTYHPETSEGSASTAVVLPAVLSIGYNPFY KNTVRSVEIHIMPALTEPSPTAAGQDGQTKFNRLPDFYKTRLNLLILGYIRPEFDYVS LEALVEDIRVDCEVARESLLREAYKCYLVDSGKTSGKVSEDRAWLVSFD PEX2_083150 MVNVFQRLTRRRAQSSGSNPPDIDADTTGPNSTASHPHGIHRTV STNSGKRSWRYEEPAPRHLLLISDTVEFDTHVVHRFQGEGFDVIYISFLGSGNEEKDA KALTNAVHEKEDELENGERYAIVAYHRPAYLLLALHHTVSSNTNPFPHLCALVVYYPL SSTDQFTYKEKENCEPPGCSDTSSIFGPVSKSTYLPIQIHIPGPRVQPCALWPWIGLS VSEGDITYKKKHRCYVYTYPGARPGFAEREVAEEKEGEVDWDLNADDEISSQLAWSRA LGCLRRSFNVGSHWAVLDIETVWEEYWDHVIGELELRKRKLEGSGSEPAVGMLTGHGH YGEMGCPAGEVFVKCIPTDAGGSDISTLRDFFAHAYIPAGPVDQHIRLLSRTVGVDRI VDEMLFACRHTAEIPWLLPGVSPTDREIKVIVVVVASFSAGQIIRQSLYWDQAGVLVQ VGLLDPGLVPVSKAAKGY PEX2_083160 MAENYSAQEIIKTLNLSPHPEKGYYVETFRDSYSSDDRSHSTCI YYLLEGKSGLSQWHRVLDGAEIWHYYAGAPLQLLLSWNDGKPIRDTILGIDFAKGQRP QAIVERGEWQHAKSLGDWTLVGCTVAPAFLFESFEMAEAGWEPRSDNVIEGESTSS PEX2_083170 MPSITTDQWLEAAAYRRSVHGLAGTSKVSDERVQEIVSKVLSFA PSSYNTQPVRISLAFGEKHKELWSIILKEAEPVLKSISPALWEKLGPLFQSHKAAYGS VLFWERGQTTKEAAETHKATGHMFGEWGDHSQGIHQIFVWTALELEGLGANLQHMNAI PPIEAAMKKFAGVPEDYKLKAHLNYGDKQAAHPETPNKLPIEETLTIL PEX2_083180 MVGRYNSAQSPQSAGTGYLNMNDTATTQLPRILCLHGGGTNAVI FRMQCRVLEKRLGCSFRFVYAQAPFTSVEPGPDVTSVYKDCGPFRVWLRDHRMPGVWT SRDVAAGIDAALALAMAADDAKGATGDWVGILGFSQGAKVAASILYRQQRCGMTSFRF AILFAGSGPLVWLMPDLPQPRGLVDAATPFTHPAPAWLTLGSDEHILRLPTIHVHGLK DPGLDRHRDLLREYCDPLQATLLEWEGDHRMPIKSRDVETIVQQIHKAAQETATQSLM TSLDGVMFREDAYKIPVEKGFSMQRISVYTEHSYGIA PEX2_083190 MMVPTKPTSLSDVREATSFIFGGHIGPQSKISLEKQVQQIVDGP NGEWILETLAGLPRYWEAMTEKIPKVASTMQGARLLADLESWFRHGPASVESLAPDAE IPDLWIGVLMVAIQLDQYWRYLEFRFNSPTGKGADDLQAKLVKQQQQQPGGSNKVETV GFCAGMIAAVAVASSHNRQEFEQYGAAALRIGALMAALVGATEEWTKGLRKGGSVSLA TAWRTPKQGDDMTRIVSKLFPDAYISVLFDESRATVTASERLAPKLVRQLRAAGVTAI PLAFKGQLHTPTPERERHTEALIEVCYSIPELQFPDASQLALPTYLDHPEGKQVSGEE VDLVGMVLRSILANQLNWTSTVSKLAANKEKISLIAFGLDRPLPPTILRAFGSKQVHF EDVEDDISKSNMHLHQQPQNTVNVSDAVKPVQVAEPSRPSVKPEEDFEDVVAIVGMSL KVAGGRDLEEFEQMIKTGESQHEVITPERMTADMLFRDNADPDRKWYGNFMRDPDAFD HKFFKKSPRESMAIDPQGRISLEAAYQALEQAGYFNEMATTSVTEHERRKHIGVYVGL CSYEYDVNIHCHPTSAFTGTGELRSFIPGRVSHYFGWTGPSLTFDTACSSSTTALHMA CRDLLSGEVPAALCGGVNVLTNLQWTQNLAAGSFISPTGQCKPFDTNADGYCRGDGIA YVFLKKLSTAMADGNTVLGTIRSTGLNQNLNTTPLFVPNVPSLSTLFNHVIRKARVDP REISLVECHGTGTPVGDPAEWASIRNAVAGPLRDTVLPVGSAKGHVGHTEGASGLVSL IKVLTMMRGNFIPPQASFKSMNPNVHAQPSDNMEVVTSLRSWPEDRKLALINNYGACG SNSSVVVAHSAHKPTKAFLAGSAARLPFWISGLDARSIAAYTTVLAPYLRSHTGPEDG QANLADVSFNMKWQSNPSLPQGLIFSCSSLEELQDKLAKATGATKDTAASIGIAPVKP ERPVVLCFGGQVSTFIGLDRAVYEGAAVLRYHLDSCDAAITSHGLDSIYPDIFSCEPY QDVVKLQTALFAMQYASAKSWIDCGLTDKVVSTVGHSFGEITALCVAGVLSLEDTVKL IAGRARLVQSAWGPDPGAMMAIEADEAFVHDLLKESNLTSDGSAGIACYNGPRSFTVA GSTKSIDAFATTLAGKGSEAEGVKSKRLNVTNAFHSALVENIVDRLGEVGKGVTFHDA VIPIERATEHGDSAAPLDWSFVGSHMRQPVFFNHAVQRLAKKYPQAIFLEAGSNSTIT VMASRALGQTAPANSDALHFQSVSITNTKKGIDRLTDATVDLWKQGLRVSFWAHHRVQ TGEYAQLLLPPYQFEKSRHWLELKSPIEQAVKVAQSMIGSNGLLLAAGSAQREEVNPK TLDLWTFIGFQDHKSGSNKKKTKLARFRINTASDKYQRLFSTHVIAKTAPIAPATLEI DMAIETLFSLNPEWRPNGFSPVVRDMLSHSPICADSTRDYYIDLEPLNKAETEWYWTI HSISASSVDDKHAEGRINMCSPSDPAALQEFGRWERVVNYAQCQAVLALGPNDEGVEA LHGRNVYRAFEEVVDFGSVYHGVRYVVGRDDGESAGVVHKRHTGDTWLDVPKADSYGQ VAGMYVNLLTDIPASDMFVATGLELVMRSPKAPTVTDGQEHGPSVWHVLARHARQSEK AYVTDVFIFDASTGALAEVILGLKYVRIPKATMSKILARVTTDKSFVRSTAASLPSSA RPLATLDGPTNAAIPNSKPAPVQSRPKAKKTNTKKTKPASGPHDVTNEVRDVVASVSG IEASEMSLDSEMADLGIDSLMGMELAREIENTFHCTLDSAETMVATTLREFVACVSNA LARSGGGKDVEEEEDDEDSDDDSTTGERDVAFSDSEDEDITSDISTPDDASDFTSKSQ DSVSASPVPAAKVINRGDVAAREAEALRLVAAYTTGWESAALEAAVNGSISTHPIDGA VVVVTGASGSLGSHIVQTLAERPDVATVVCINRAISEVPADKRQAEALSSRGIKLSPA AREKLRAYGTDTSKPQLGLSDQEYTWLAQHGTHIIHNAWPMSATRPLKAFEPQVKVMR NLLDLARDMATGIEPRRIGFQFISSIGVTGFSAESNVLEQAMPMAAVMPSGYNEGKWV CERMLTDTLRRHPQLFRAMVARPGQISGSTASGFWNPVEHFAFVVKSVQALKAMPDLG GVLHWLPVDKSASVMVDLLNIDCRDDATEAYPVYHVDNPVGQPWKEMSTVLASALDIP PHGIIPFEEWIKRVRQSSLSPADNPAALALGFLEGHFERMACGGIVLDTQKSSEHSKT MAAEGPVSAEVVRSYVSSWKTMGFLN PEX2_083200 MASSSTNGNGIARPLEVAVVGGGIIGVMTAIGLHRRGINAVIYE RAPTWHEVSAGFAFTGAARVWMEMLDPALVELLGRISQKTDSTTSNAYWDGYHPRTQE EALDESKSLMFRTPTNNLSFWGCVRSQFLQGMADLLPEGSAKFGKRLASYDDDNQSGK VVLHFDDGTTAEADVLLGCDGIHSSTRKVLLGADHPATRAGFSHTVTYRTMVPIDVGI AALGEKVAKSACNHLGPGADLLVYPVMSGTLLNIAVFAYDGSEFPDPDKMTIPAERSE IEQLFKGWSPQLANIWKLYPEKVVKWGIFDLEANPPPTYAGGRVCLVGDAAHASTPYL GVGACTGVEDALVICTLLESVQQKAFGGDALKEALTGALQTYSQARLERGRWIHHHSR QMGQMYHWRYGPTGRDPERMKETLEKNWSTVVNYDVLEALEPELRELARSHKKVV PEX2_083210 MVQNGTPLPAKLAGPPLSDRDAIADACYRAFLSIDQSSEELLKS SVTPDVYTDIAFKVCNGYEELRDKVWTNVSERVDTIHYLTNVRVSVDTETTARVTFNA QAVHCILGKGYEPDSVKFTTGAFYNCDAVKVDDLWKLKTMKSTHIWSTGDRSIMKPPQ PEX2_083220 MSLASASTANPSLTELEDPPMCSTHNCQLINYAAQMEGSAHGHT SRGRPSALHTPGPGQLVVRNAAIGINPFCVGDCVLAMAGLIPSNNTTEGAFQLYTVTR EWLTTPQPSHISFEQACALPLALIVAGVGLSRVVITAGGASSVAGTAGLGATDVVDYS SPIMGDKLLAAMRWRQLTGGGEPCEADF PEX2_083230 MDTENSEWASEPIAIIGMSCKFSGGASNPDKLWDLMASGKTGWS EIPEDRYNIKGVYHSNHERTSTTHVKGGHFLEDDVAAFDAAFFNYSAEMAQVVDPQFR LQLESTYEALENAGLPLSQVMGSQTSVFAGVFTHDYQEGIIRDEDRLPRFNVVGTWSP MSSNRISHFFDFRGASMTLETGCSTTLVALHQAVHTLRNREADMSVVTGANVMLNPDT FKAIGSLGMLSPDGRSYAFDSRANGYGRGEGVATIIIKRLSDALAANDPIRAVIRETA LNQDGKTDTITTPSGAAQIELMQECYRRAGLDPRGTQYFEAHGTGTPAGDPIEAGAMA AIFGGGEGRDNEENYLRIGSVKTNVGHTEAASGLAAMVKGVLCLEKGLIPPTVNYETP NPKLKLDEWRLKVVRTIEQWPDSLVDGPHRMSVNNFGYGGANAHVILESADPWTLTPG LDLSPVNGNGSHSVNGNGHSNGNGYTNGSYHTNDTTDDTKVLILSARDERGCQQMASD LKAYLKKHKSLGHEASEQLLRNLSYTLGERRTLFQWVAAYQVRLEKDDTLDAAIQALD SPRFKPGRRASDSPRIGMIFTGQGAQWYAMGRELLSSYPVFRQSIEEAEAYLHALGAD WSLLEELQRDRKTTRVHDTKISIPVCVALQIALVRLLEAWGITPSAVASHSSGEISAA FAVGALTHRQAIATAYYRAVLVADETKRAPGAAEGAMAAVGLGVEAVQSYLDRVTTAN GKAVVACVNSPQSVTISGDADAVQEIEDLCKEDGVFARRLKVQQAYHSHHMDPFADAY RECLRVEMARGVAQSSKQHPQAAKQQLKAVFSSAVTGGRITDIKDLANPDHWVGSLVQ AVEFVDAVTEMVLGDPDDPTGRSVDVLLEVGPHTALGGPIREILSLPEFEGLELPYWG CLVRDEHAGDSMRSAAINLFREGQSLEMNQINFPVPAYDDESPQVLTDLPSYPWNHAM RHWQESRVNRAIRERSQPPHELLGMPVAGNDPSSAVWRRMLRVTETPWVRDHMVQGSI VYPGSGYICLAIEAARQLEKAGTTNEGISGFRLRDVNFLFALVIPDSAEGVEIRTTLQ SVPEREIGARGWRRFEVSSVTLDNRWTLHAKGMIMVEREATAIETAEHRPLSTYTRQP DPYDLFANLRARSVYHGPLFQNTTKIIQDGLEPRSVCDITIRHEVSSDTDPVVAAQNT LLHPITLDAVVVAFYSVLPSVGALQEDPKLPRSIASMWVSSNISHEIGRTLHCDTSLL HDDAQSGLADITVFDGQTDATVLKIQGVELASLGRGSGATARQDAANKGGAAITSKWE QEVCSKLVWGPDLSIRNPLALAQIKKQLVPTDSDADAEIARNLQRLCIYFAHDALQAL TSEEVAKLQEQPHLANYYAWLHDLTAKATKELALEGPQERQQCIAATTSQSVDGKLVG RLGPLLPSILRGELKLEEVSGLLNEYNANAMRRSSALRQLSALLRKVAHKNPGARVLQ IGTGTGAHATRRILEALGTPKTPLVASWHITEPSSESLEDARAQLADWADLLEFDQLD IEQSPAKQKFTPGSYDIVVAFQALRATKNATSAVANVRSLLKPSGTLLFAETTNDQVD VDFIFGLLPSWWQGEESDRPTPTASSWDNVLRDAGLSGVDLEIPDSESDIIHTNSIIM STVPLVEDQKSNLGKANNGESFVVVTSSKTPPPPGFVDLLSRRIQALIGADTVTPEHL VLEQSSFDTYKTKICVFVGEIDKPIMADLDAARMEGLRAMVTQCSGLLWVTTGGTVES EAPERAVHQGFLRVLRNEYISRRILSLDLDPAHAAERWSSGGDAVVSAIVQVLEEGFG RADTEAGPTEFEYAERDGVLQVPRYYKDEQYNDMVTGPLVPSWSEVLPVAKDEKGSID AISSIPLEPLFQEDRPLRLEVGIPGHLDTLAFTHDKEEHEELTPELVEITPRAYGVCS RDIVAAMGQIKDRSMGLECAGIITRVGTEAQAKGYNVGDRVMALLTGASFASRAYVPW HGVIQIPSDMDFVNAASLPLAFTVAYAGLVDTARLAAGQSVLIHAAAGAIGQAAIMLA KHIGVTEIYATAGSQEKRDLLQREYGIPAERIFTSRDASFAPAVLAATKGRGVDIVLN SLPGLLLQASLSAIAPLGYLIEIGKKDIEGNSLVALESFSRGISFTSLDVPTFLRRRG PDVHRALGEIARLIEQQVVKPVHPVVIYPMQDAQAAFRFVQTGAQMGKVVLLTGTEEQ VHMVPQPKGITSQTQLRPDASYLIVGGVGGIGRSVAHWLVAHGAKNLILVSRSAGDLD LVKNKNTDGALFIRELREMGCRVKPISCDISLASSLTVALRVCENDGFPPVRGIIQGA MLLRDAIFEQMTLEDWSSGLRPKLYGTWNLHTEFSQPGSLDFFVMLSSVSGVVGIASQ TNYAAGGSYEDAMARWRQSRGLPGVAIDLGPISDIGYVSTSSKVAERLRKDGDFAMLD EDIVLRALNAAVLHPLGARSQIIVGLNSAPGPQWDVNGRSQLGRDARFAPLRPRSKAS ARPDGESNGASLSAQLAEASDPQEGAELIGAAIAVKLADIFMTPVEEIDLAKPPAHFG VDSLIAVELRNMLVLQAAADISIFNILQTASLAALAGLVAEKSRHLQDA PEX2_083240 MMTSAKNVDEKGSAAIEGHRNARLVPLPGCVAPEDLQTQLEKQQ GTSTATSETRAFSPFQEGGNTDNEGGSGGSSTDNNEIQNTTSTTPDTIGIPQWPAPVE FQFPIMPMEPWGCFDPSYTSSPFPSFPSLDNLQDLVHIPMEPDLLITPMMHNDLDQLY FDRAYAFAPILQTHRYRSWSKQPNKSKQKTCLQYAMWTLASSLSSQFQVDGRKLYAKT RQLLHALESEEPCHQISLEQAQAWTLLAIYELTCQDFHRGMMSAGRAFRLIQMMRLYE LDVPQTPPTMQLKQYQGQLTLQGLVQDNWIDIETKRRTFWLAYTIDRFTSMVEGLHMF FDERLIRTRLPAPEANFASGRPADMDFLADMIPADDQEWPHKNLSSFTESVIGATICG RVLEHKQKPPTRPCQEFCHRHRSLNALLAKRIRMLRIYASLEYPDPIITFVTLAAHVA VFMLYDLVESRPLGIDAQGTQLTQALYTEHKQQSLDAVADIALLIAVLGQHFQMHPLT PILLLLGARFSQSHPGLNDAYIKLMPSIVTTLQASTGLNKLAQNFLQLLEPQNDNWSA LA PEX2_083250 MAVSVTHSASGLLLEPLPNLDIPTRHTVSHALHRIKFVGTLGQW TNFKTEVANTYNSQTWNPHEIASRLTANFVAGSVHEEQVFVSDERGVQGRLEGRAGIA LGAVFGAQNHDLKLGASKGALPPYPGYKKAPDFVLMTSAHKAKVVGEVKVPWIREHNL RKLITEFESGAKQDHFRHVLGQIAEYMFDSRLKYGFLTTYEHTVFLRKGDVGRAWGLE YSPVIYHSDRGSTSGRTVSFCQSLYHIGLLALADSNFDTSTGMRNQKWTVPL PEX2_083260 MSSSYSAWFRKGPDPGTIITLDQPVPSRWKILEKLNEHDYQVNE EENDEYGFRSFASAKYLCCNPISRTKNAFMRIYIQVPHRKTELDDADTRSRQATTYNP PELIAYRDLTEKGSSDTPKLLGYKIDKQDRSGLVPGGFIIWLVWEIVPGLRLGDGNGA DPFWALESDEREQVRLAFLRAIAYFIGPFEKAGKPERPIMFGANWIAHFDLAKPDPST DERDSDWDKDTSCWQW PEX2_083270 MLTTFFCIASAVGAFAASVHGRDQFDPSAYAAKDVIERDFAIIG GGAAGTYAAISLADQNKTFTLVEVADRLGGNTRTFQDPATGANVDFGVQIHLDDPIVR NFFARLHAPLTNVELKDFGLPKYYDFTKRVALPNYTKGSIQSDYVAELNKYPYVQNLV DLPNPVPADLLLTWPEYVKKHNLSSGSTEAGLTWPATPGDPLETTALAILNDGNQIEL AEFAGAAIKNANHDNSEIYVNALAELKAHVLLKSSIVAAQRGLTRKGGVQLVANTPSG KKLIKAKQLIIAMPPVLDNTKYFGLDQQEQAILSKLSGKHYYGGVVNNTGLKDGIAYT NIGADRPYHVASLPGVVEIAPSAYPGYQFYWYNTNQAKTKVEIEAATRSTIKWLQTQT NATALEPKFLDFRDYSPFHLTPPTKDIAAGWYSKMNGLQGHRNTWYISALFVVGSTQV WNSTHNLLPDIINAARS PEX2_083280 MASKARHDLAEVSFDEIPLGQGSNSSHEDIFSDLSARIPSLQPL SSGARAATRAERKMSLLTGCRLYPKAIAWSIVLSSTIIMEGYDTTLLVSFFTFPVFKQ KYGAPDPSQPGNFEISSKWQSSLTSAAVVGQIIGLFLNGFLADRFGYRRTILVALVSL CLFIFLPFFAVNVQMFLAGQVLCGIPWGIFQTLATTYAAEVMPVALRAYILSSMNICW LIGQLCAVGVVRSQAGKGTEWAYRLPLALQWALAVPIIIGVYFAPESPGWLIRNERPE EARRTLLRLTTADKDDFNVDEVVAMMTHTNETEKYLGNGQITYLDCFKGINLRRTEIA CMIWITQQASFSSSGTYAAYFYQQAGLSVQNSFSLAIGMYGIAIVGAMLSWFWMRRTG RRRIYLIGLAVSMAILTIAGGIGFLPTTNAQSWALGGLITFLTFIYNLSIGPICYVLV AEIPCTRLRIKTVILARIVYNVASIVTNVITPRMLNPTAWNWKGKSCFFFLGFSAVCF VWCYLRLPETFGLSYLEIDILFEKRAKASKFRELQRQLANRGYFSVPDEVQRQPAMW PEX2_083290 MQLISIVSFMALVPAVLSSPVTNFKKIAVRADNRGNETISGLGA RKQEVVGAGGNTRDLAIAMLETKTMTTDYTYGDGKSGDSTNFGVFKQNWYILRNSASE FLGQTVAEVDNGAILNASSDLDKDIQARHEGEEHYGYETWFSGHRNGESGINNPGTAD INTYIDAVAWIQQQIESDEKYQSDDTRFWVDVQAI PEX2_083300 MSDFKTTSEGLEEISRLSLISFAPAEAIITDVKHLASYNWIEAS TPTIAVPGSPAQWSAPLGPRQVKKDSGLVYISQNAARHPDSPLEPLFRSLYIEHPSFD INSIDIVTDRNNIRKLLSFVKPALSKNGLDAFTIQVDMTAQTAIFSRDETATYEVIRP GEFRGFGHEFEKAFTIPQVKGSTGHYRIISYRLGGLSFLVRHETDGYVKDLKSSVKDK KSTGDDLVDILNSLSLTPKTTHIEEPSVKSKLTIKKEGQIVSRESTLEIKTRVFHKSL ELTEVGAQL PEX2_083310 MLSRTVFASRAAVRSGCLRGTQLPSARRYHGLAQSKFFQVSEEV RDAVATGKPVVSLETTIYTHGFPYPDNLALAALLESVVRANGGVPATIGILGGVAKVG LSTEELIELASTAQDKSALKVSRRDLGYICGMGMVGKPMYGGTTISGTMILSELAGIK IFGTGGLGGVHRGGENSMDISADLTELGRTPVTVISSGCKSFLDIPRTLEYLETEGVC VGTFADGRDGPVDFPAFFTRESGIRSPRVIQNEAEAAAIVYAQSKLPVSSGIHFANPV PLEHSIPKAEMDLVIEEAIRLSHVEGYHGSDNTPFVLAKIKELSGGKSVVANRALVES NVKRATLVAVELAKLEEADLGTGSRHMPSIPGVALPSQVASQVEDIPEPASTEAPITP TLKADVVVAGSLAIDLSCDYTPFGDELTQVAPVPHTSNPAVIGQSLGGVGHNVAVAAN YVGSEVLFCSVVADDLSGRAALSTLEKEGLSTAGIQVLPATSSTRTAQYIAINDTKKD LLVAMADMGIVELPESQLDFDGFWEPLLERTKPNWVVVDANWRPEVIAKWSAVARKHG ARVAFEPVSTAKSRRLFGSHVNSTGATIGLNQTIPNNAISLACPNRLELAAMYTAARE SLIFESPQWWHIINSMNMSPAGSRERLIAITSLSLVDEGLPQQTVQLLPFIPCILTKL GGAGSLLTQLLPPGDPRLTDPESAPYILARVSPGSDVPFGGVYMRLFSPDTILGADDI VSVNAAGDTLLGVVVAGLAKDSSVRIEDVIPVAQEASRKTLASAGGVSEKLVELRGLL GL PEX2_083320 MRFFTATVLGLLAPSLCAATNLTTPSRLDLPSGFKPPQVFKNTN LVRNTNLEKSYVRETINVVVENVDKKPQNDYYIPFPADVFDRIGGFEVRDKKAPEKGR FDVDYTEAVSSDGNQYFVVHLPEPLAPKSQVTLGISYSVLSSLSPLPATIGQADKQYL TYSFSAYMPSAYTTDTQKTKLKFPSTNVPDYTTTDGLKTGSDPERKGATYTYGPYNTA KVAPGTEHPITVRYEFTKPVITVNLLERDLEVSHWGGNLATEERYWLRNNGSELTKQF SRVDWTLTTYQKAPTSAVRELTYPLLPGSVDPYFIDDIGNVSTSRYRPSVGKSAGNLE LKPRYPIFGGWNYSFRIGWNNDLASFLRRAAGADSDSYVLKAPLIEGPKMAEGIQYER VIVRVVLPEGAHNVRFEILEGKNSNGLPGANHIHARVSSLKTYMDTLGRTTLTLEVDS LTDEARDSKFVVTYDHSMIDALRKPFTLFAGLLTVFVAAWGIGKIDVSIKKR PEX2_083330 MLQIKESPGKPRPPPVDDNTTQDSPSKLSLSSTRNPLGPVVVFI ARASSPVDHNYPKAAMNSNQMHQYLRSLLIITAAEHRKRFGLLGLRPHKMQTIIQPAP SRISSVSRVGKFLGMALEEARASKNECIFVLHGWDGWTTDKMTIADLCEQFRDVPFSL HVYANRGDPRDFFEVNAHKVNAYFRHQVAANDPSIVGDRSTALYIRILETLPTLQYAR YYPVLSATERENLAKYDKRFVGIYEEDEPEAPKLEVANSDAPKPGV PEX2_083340 MPVVKGGVWTNIEDEVLRAAVSKYGLNQWARVSSLLARKTPKQC KARWIEWLDPGIRKVEWSREEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAESRENDELGLGGPGEESAAPSADDVRRLRPGELDPDPESKPARPDTIDLDEDEKE MLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINVKVVTRKPGQM DYNADIPFEKPAAPGFYDTIEEKDQNERQREAFDPRKQQLANKRKGDQDDDAERKKRK NDKSGASAASAAAARAGQMQKIREAEQSSKRRGLNLPAPQVSESEMEDIIKMGMAGDK ASRMSSDEAGTRELLGNYSTIVGGTPIRTPRAAPEEDRIANEIKNIRALTETQSSLLG GENTPLHEGSSSTGFDGIAPRRQAIVTPNPMATPFRQANGMGATPMPGGVGVGATPLR TPRDHLALNREGEGGQLIGSTPRDIKMHENFTRQQMRSKLASLPKPKESQWDFDTPSE TAEPTISEEISEEDASERDRRNNEAREKAAKAEFKRQSQVYQRGLPRPAVLDLNALLQ RASKVTDTIEGLIANEAAILIAHDSRKFPVPDAQVKGQAPKLSHLDDRFLDAARATIA AEIASTEAQQSEWQEKFDDGWSSARAKSLPGLENYEDDEQDFFQEEQRMNAAFETVQT SLFATAERGNKLEKKLSLHYGGYQNRAKTLRSKILEAGAALPAAENELDAFRTLQISE ESAVSRRLERLRDAVSFVMRREREAQDLYKSRKDELDELLAGTGMVNGWH PEX2_083350 MSTSVDAPQQFKQTSRKGKKAWRKNVDITAVQEGLRELKDAEIT GGLISEKPSDELFVLDTVGNEEVRKSIARKQKKPLKSDEILAQRSKIPALDGRKRVNP NVTDGVIEPKTKKPKSDWVSQKEYLRLKQVAKEGNPLGKKTDNEFYDPWAEDAEPTLT YDDPRFDFLQKPKPKVEPVTLKHAPISLAANGKPIPSVKMPHAGTSYNPVFEEWDKLL ETHGAKAVEAEKRRLEEEAKEAEKRRLIEEAKNDNGEVKSDDESAWEGFESEYEKSEW LNKKRPERKSKTQRNKVIRRKAAEGKAKWEAARVKRDEQAQHILKITEQIQQRELERQ NQSDADDSEDGEGDDTTLRRKTFGGKRAVPEQPLELVLPDELQDSLRLLKPEGNLLDD RFRTLIVQGKLESRTPITQARKARRQITEKWAAKDFKTGYE PEX2_083360 MDKTALSSNWKKLQATFKKDPTVKRKPSDRESGDGIVKKRKLTE KQKPYNQDAKPTLKRKRMSDKKETGDSADASAPKIETSSRHKENEGRSSSAELGKYVA MDCEMVGVGPNPDNDSALARVSVVNFNGDQIYDSYVRPKEMVTDWRTHVSGIAPKHMV EARTLEHVQKEIADIMKDRILVGHAVSNDLDALLLSHPKRDIRDTSKHAPYRRIAGGG SPRLKMLAEEFLGITIQEGAHSSVEDARATMALYRREKDAFEREHLKKWPARMLADTR EKGEGQKKKKKKKTTRKR PEX2_083370 MPDMYTIQPCSKASSQSGEKDSTLQCTPNIIPCRVHHDGPIDSL DRYWTVKDEKDNTQTAHFRGRKLRGRRVALPDGYQGVVATPTDRVLPAAQQADSDGAE DAEAEPEEPVKIMEVQSTFDEFVVWGHEALPAADDTFVKGVEEWLQFADAMHTTPPAA TNGKETTA PEX2_083380 MADSSETDKIAPEALITKFQVSKLLKQDQNGRRIVLLGTIDNTQ GILTAERAAFATESLAILQAFHAAISRINNLGDNDIYRWYLASSSGNNNDPTPAEASA NPQQDLKLNLIWPCTAQHIKKYSDQQLRMVTETAEIYRTHVRPYMQAKREEGRLNWVF NILEGRTEQEDVILRDEGHGPEDGFLMLPDLNWDRKSMGSLHLLALVQRRDIWSLRDL KKSFVPWLKYLRERVLEATVSMYPALEEDQIKLYVHYQPTYYHFHIHVVNVMLEAGAT QATGKAFGLENLISQLETLSGDGDASLADVDLTYYLGEASELWTDIFKPLKQGKQPGQ PEX2_083390 MTDEHLRKTAVQTVAAVFLPIATITVMLRIYVRGWIVKAFGWDD GMMVIALLFYAMFCGTMIGGSIYGTGYMLESLTVENRLIAMRFWWLCEIAYCFASVGC KISVCIFLMRITIKPIHIWILYTVMALTVIAGLVFMFLMLLQCRPLEYFWTKLAYDPN IIGTCMDMKIIVIMTYIYSGFAALCDFTVGLLPIFLVRKLHMKRQTKIAVMGILGMAC MYVYLFLISGFPYYFQITDKGFHSASSAVIVRIPFVKTFYDRDFLYATYQIAIWSNVE AGLGITAGSLATLRPLLRLWTGSHSDPYYSSGFPGGRSRSASRPLGGAENRPFPLGSL DESGQSRLRPDKLAVTVTTIQSQRDPDDTYSTSPSNSEERLTIDRPSPRLPDLGLGIH RTFEVTQTTTERDDPEGIIVREHV PEX2_083400 MAHVDVPATQKAFVPLENNPEVMSHLVHQLGLPPTIGFTDVFSI DEPDLLAFVPRPSQALLLVFPVSQTYEASRETEDASKPTYTGSGPSEPVMWFKQTIRN ACGLIGLLHAVSNGEARKHVIAGSDLDTLLREAEGLEPIQRADLLYDSKALESAHADA AKLGDTAAPAAEDNVDLHFVAFVRGLDGTLWELDGRRKGPLARGILKEEEDALSEAAL ELGVRRFLKMEAQGGNPDLRFSLVSLGPLFD PEX2_083410 MSGTSTGRSSPSELAGSGNPRMPFGPSPGSIGSSRPGAGSPSHD LASRLYSKRAREIQAQEGISPSIWGPPTSGHSTPLRENIPESPSQDSFPDLIPTTNGS MDSPGRRARAGTVPSRFPPMGTLSEMDLQQPYMPQTSRPTPSTSPFRPPGVSGIDTGS KVASATGVPNPASLSRLRAGSMPQRSNFLGGGGPFGPSLFSTNWSTGRERATTLTSIR SSEGPTSPSHSAFSRDGLADTDVKTLDYLGLAETPQQGLSSLTRPSMEALMQQQQQQA SALPPLLAELAMMKNNNRFRSYSVNAKEKYADDEDLEYESRYSQLPSGNMTPTAAATA AQLAATQAQIHQHNLAVQAFASHASVSRPRARTAGILEAPPQRSSIRNYLATPSRLDN SFSAADLQIPENGEYDELSEAVQLMHLGGNGIPAMGGRQAEMADENNQDGPTRALWIG SIPVSTTVTSLEAIFGMYGKIESTRVLTHKNCGFVNFDRLDSAVQAKSLLNGKEIFPG AGPVRIGYAKVPGASATGTPGANGIQSSPTPDQNFRSNLAAADGANQADNANVIPQIP ALADLLPEMVQIVQEFGASEDDLKNITASIQTSIAFQAFEDEIPSVQETSQSRIFDAP RLRDIRKRIDNGACSIQEIEETAEAMLPEVAELASDYLGNTVVQKLFEFCSESTKEQM LAHIAPHLSEIGVHKNGTWAAQKIIDVAKTPGQMQLIVDALRPYTVPLFLDQYGNYVL QCCLRFASPFNSFIFESMLSRMWEIAQGRFGARAMRACLESHHATKDQQRMLASAIAL HSVQLATNANGALLLTWFLDTCTFPRRRTVLAPRLVPHLVHLCTHKVAYLTVLKVINQ RNEPDARDIVLKALFFSPGDEILEKILSDQTSGATLIFKVLTTPFFDESMRTEVVKNV SKVLTKLKASPSQGYKRLMDEVGLSSRGNGREHHHGREQSTPEKSQHRQSSRHGSTGY AAQPSMDRQYNGQFTPNAFGQNPDNRPLSADQSNPPPLDPYSSNGLGNHLNGLAGAGG YSQEPLSQQQLQYQAFLAAQARGVPTGYPGMPGANYGYPAGSPAVDTLRSMQPQQASP LSTSQMLPQNNYQQFSPVGNPAQMYQYPPQYYPQAQPVQGQSGGGRRGRLRVVISLSY LFALIGRWIAGRGESDPGEELDTWGIGGEKVLCLFWVEAQFPFPSTEPKKPAALAKVL DTIPISERRFHSELILPLVRDSLKTIKAGFSHDRPWCEARAVPSEPESQDSRAPNEHP RKRRRGNDCFESAPEEQVLTKNEPPMVLSTTSSNDFESLLDLAIVKNPSPEPAIIRVG SWHHDETNSPPCEYIVPPESSFVLCTLPLFQSEVDRPTTSNNYPIPGLPKHQKFNLIL MDPPWPNKSVRRSRHYQTHHYSEMDVLTEGLRDILRVHSHDPKTKQGLRRSGPHPETI QSEQSIAAIWITNAEKARRAAYEALSGAGFCICEEWIWVKTTWDGQPISTLDGLWRKP YEILVIGRKSGHNVNVNANGNADDAPLLSQMDDLTRMSEAITRRRVIAAVPDLHSRKP NLKSILENVFFTEAGQLQGYSALEVFARNLTAGWWAAGNEVLRFNAQNGQNDSGVNEI SERPKCFNSTFQEVLFVLTATMAIGQQSFFQGCIVGVTASIGKDLHMNSAEITWINAG ASLTSGAFLLTFGKLADMFGRKVLFIIGMGGFTISLLIAGFATNAIYMDVFSGVLGVF AAAVVPPAVGALGATYERPSKRKNLAFACFSAGNPLGFVGGMIISGVAAHLYNWRASF WALSVVYGIFTILTVWTVPADGFARTPLSVQALKQFDLLGMVLVVVGFAFFSSSLSLA SDAPDGWKTGYVLALFIVGFFLLVAFLYWESVATNPLMPLWVWRDRNFSLLIGTCCLG FMGFSAVSFFLSLYLQNLKNLSALEITVQLLPMVVSGVLVNVVCGLVLHRVSNKILTG IGALGYTASFLILSFMKQEASYWAFIFPALVLVVVGADIQFNVTNMYVMSTLPPSQQS IAGGIFNTVIKLCSNLGVGIATSVESSIALRMTASTPAIRPYLSVYWFAAAASGASLL LVPFLTIGRQGNDAPQNEQLITEEGGEKTAVTANTSSVVSSTVDITEHEKR PEX2_083420 MLSKYKHRWAVSKVFDDNLTVCSGCMTICGWPKAIENKKMERMI RVQRDRGGWK PEX2_083430 MADIMEEDPSSGLPSSEEEEMPVESLVRGRAKRSTAGLHMSALL EAAADDDLALLFEEVEDDNEFADVADPDAEDDLLESSDEDEDQGPNAQNDYEGEQKLQ KDERKKRRAQNDLRFQTLRKRVKIDPTAPSTMSAAPRPKKKSERISWIPTVEDGPTRQ SSRRQTMVNKELTHARLKDSQEKRVRIIATMKEAEKRKAHLKPKEMTQEDHLAEAARV ERLNSKSLNRWELSEKRKADERRARIEALQNRRLDGPVISYWSGVATWTNGRLTRVGK IDIKPKTDKEESRKKKKEKEDKEKAVAESKALGSATIVEPAPASTTGTSQPPPNLDAA PTNPTNPALPPPTSTLDQKTPENKPPENAAVTTTETTDTPVVSSRPNVNQPDAKSPET KSIATPAEQSKDNAVEMKAPEVDANTPVAPSEQDQPITAERQSSESSKQSERKMFAVE IPASRHVSDSAGNGSTPKLPEPSPTKNDDAMDIDQPPAAAAAAESTKNDQEVPESKDQ AILATPQVASAQPTSIETPTSHAAGTAVQEPPPVDDSRPVESATAISPGVALAHKAPV TAAPGQATVPENAPVQSLNQPSTLQSESQLSNGMVREPTEPGIPEPPPVIEHTGRCLT ILENFDYATANHRKYSMYFNAKKPARLTKISSSLCVITSLPSRYRDPDTALPYANSYA YGQIRRLLSEGYIWSSMLGCFVGPAEAARGVPERFTGKPGPGTVKLQTDKAEGQTESI TGKRVDKVVLGGEVPSTPTPATTRSAPPGEPMEIDKA PEX2_083440 MSSNTTPPTSPPLSPRTIVAPLTPTRVPSHSIPAMRIPSHVHDA KSDLDPTEWKPVLVRSASSLASSDAWNYLSQFHRGTGEPMLSRPTVQRSSSSLPALAG GVAHSHSHVPSLTNTPSTVASSYSSTASDYLGSMYEARPLPPRHNPYFSGTSVTKGVE LVVPHIASVHEDGPIGVSDSGSIFPASSAVWEDNSYEKRSAPICMARGIGGRPVETCV KN PEX2_083450 MHLSALLLLSASLASAQFGPSPEELEYLKNINGGQDNDQTQNQN QGQNYNPNGNINYGNYDDEYDNYQDTIPSPHTPIEAPASSPTPLTHSTFVVRPSQTAV APSQAQAPAPGYAYNYPSPAASKPFATPVHEAPAPQVPESAPQEQSWDSSRYHAPPVS PEGEDVSAGASGGIAPIDPLRPDSNVAPPPLFTSPKHGKDEGNTFCVGKCFADESDAK CAKPYGLGIDVGVIGL PEX2_083460 MSDRTTANSTNGQGGPGDHNGNRQTESASENAASLNNEHNNDSS QRCQHICQQQLDLDYYRKFLEDLFVVVRDANSDSVARLISLIRSGASNEEIHSALQRV QADS PEX2_083470 MASTTIYIDEDVGRDESPATGTETAPYKTLVHAYVEHPPTTEGI QYLTRKSQTDAAGEDVDPAAKLEWKPATKSAMKKANNLWEQRKKKAAKEQELAIREKA EADKRQKVLEEAKKIVIKEDSSLPKPVRVRLDVTDPATIKLRTPESDEAGTRVRVLGR VHRARAQKDVVFITLTDGYGYLQCVLTGDMVKTYDIMTLTLETSMSIHGEMRAVPPKQ HAPNDRELHADFFEIIGKAAGDKEAITTRVAPDADPQTLYDNRHLVLRGETSSSVMKV RAATLRAFRKAFEETRMLEVTPPAMVQTQVEGGSTLFGFDYYGENAYLTQSSQLYLET CLPSLGDVFCVCPSFRAEKSLTRRHLSEYTHIEAELDFITFTDLLDHLEDIICRVIDY TLAEPEIAGYIKQLNPDFKRPSRPFRRMKYDDAIQWLIEHEIPNEEGQPHQFGDDIAE AAERRMTDIINQPIFLTHFPADIKAFYMKKDPEDRRVTESVDVLMPGVGEIVGGSMRM DNWDELMAAYKHEGMDPSPYYWYTDQRK PEX2_083480 MQASDVSSIPNNSRLIPPGSKYALNGDTGFSHGAYNNNHPNPIQ GFSDRNARRANIPNINTAAGQHSDMASGFDMNYTPLLPSQLLVGSPFQPGTPSAFASP QFASFGGFPQANGNGHNQNNQNHMHSPTQGMQNHSLYGMMSPDGMGASQMMGPQSPIN GLGGMGNAALGSPQASVAPGMLSQTSRTVYLGNIPAETSSEEILNHVRSGQIESVRLL PDKNCAFISFLDSSSATHFHSDAILKKLAIKGNDIKVGWGKPSQVPTSVALAVQQSGA SRNVYLGNLPEEASEDDLREDLGKFGPIDTVKIVKEKAIGFVHFLSISNAMKAVTQLP QEQQWQAPKRVFYGKDRCAYVSKTQQQNAAQFLGIAPGYAHVLNTADRDLISNALAQQ SVAAAAVATTAGGVNNLGNRTIYLGNIHPETTIEEICNVVRGGLLHHVRYIPDKHICF VTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGPLPPAIALAVSGGASRNVYVG NLDEAWTEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIKAIEGMRNREDYRR FKINFGKDRCGNPPRQAGTNQNGQQNRNGTGMEGQSPPSVLNGFQQNLSQSGSQSSPT RPALSPASGSTGSQNGQQRHPLQNVTSPSGVLNVGSSNPLTMYLNQMSAHQAQDQENH LNDHMALSSFQAQSQSQQSLYNGDMSNGHVDPSMHQHKSTNSYLSVANGSGHGHHAST SSLSVPRASHSRAVSLPSFSQEPFGPVSGQPGHTRAGAAHQPQSSFSSFSALGGLNHS GFGLAIQNENSLPGWAEEEIGAK PEX2_083490 MALPPEQINIKRRREEEPVETLFIQSALHQTKRRFTDFVFHRVT LKASESGNGDASPSPPATPAVQRLLKSPRSVSSLHVNRRTPASSMGVPMVRATSPGAE FREAQRITNARKEAEENHRRAVYGGPSPFTDLQPSSAATSDVSYQPAVRDSSPASVET SSSRAQALRRFQISRSNLDSLRSSPGGIQKRRAGGPAPGVAVLVEQLQRNAHSRKASM VSDLVAEARTLSLQPKELVQDTDTTPPSPVKPRKRPVVNHAERRWREERKVAISAAKQ HLSDTLEKSAQTQQRSWEQESERLAHDLEQVALELEREADQDHVMDLDPRIAGKPAPP PPASLQHAFSTAPKPPLKHQPRQPKEPRVAPTTPQSSEVIEQAVEEEDDDEGDYVYDV YVRRPLSEAEMLKNPLAEYESDQQQKDIAKAQPGVGVIVITAEDEEYWDDFIEDDEEE WDSEDADSNAENNPANDYPDEEASSDEDNDDFDFDDSASEDGGTGYMSRYRGHVDSDE DY PEX2_083500 MATHTESYATLPSARQSKMKIDALLNPGDEEISPRTQHASIPPS HRHSYHQPSPSFPPSPNYWYNRNYHDTSPGALSSTTTATTQSTSTNASHHGVNPSQSS HQMFFTYRPSGTSTSTSTSTSTHRASASTNHSSLSAPSSPDPYHPRERYSSVSSSSST NGDRRRPPRPKYEEEEMYFIWYHRVDLCQEWKEVREAFNRQFPDRQRRGFQGIQCKFY RFIKEKKCPTLREQRRMRDGEFLREGASAALAGDHGSAPKFGVREYTTVWYPWMRKEG DVASRRM PEX2_083510 MEKPKVIGPDGLTPINLQRLSDIRITTEVIYDVITNCNMISLEE SVHAPAAVRNKARADRIAGVQTVSARLNSRRFAQLDVDDTPKLHNASKDIEKAMKAEK DKKAADSRATTLCTPATAKKHSSIDPRAKPFVPAGAPEAAGFKDQVAHAPLKSTPRKA TTGSKKATPVKANGESKDADNDSVASSKASQKAESTGDKESTGSPKKPRGLTAPADFM KQVRLLHLQKQMAPKAVPTGPPRRIIFGNLPEWASISCILQLVYGGAIECAWGENGEV AVQFVNQDDCTTYYENHSDGIKLKDGDEDLTISIAMPEEGLPDNAELLNRVEEGASRV ICLSGLPAGFKTSDNENVLGIAMDPVWGSKSFERILIKQAESGVDVQIFFYDLHDGWD FLHSIKEGAYDCIASFEVDPCALAQGFHFMDEPNLMFSGILAVD PEX2_083520 MAVISEALISAWSHLPAILVTLALFHFTRNYLKPGVASIPGPLL AKITNLWRFIDVANGHAEVTLYNLHQKHGDYVRIGPNVVSVRNLDALKTIYGINKGYQ KTNFYCVQQQLAKGRPTPTLFTTTDENFHAAIKRPVSSAYSMSTLTEFEPFVDKTIHT LFGKLDEFVAEAKVCDIAAWLQYYAFDVIGELTFSKPLGFLQRGGDIDGIIVALEHML DYSGKIGQMPWLDYLFIKNPLKSLFGGGSTGAVARFARARLDERLNQNQKSTSPVANK KKDFLDRFLEAKNEHPNIVNDNQVFSYTISNMNAGSDTTAISLRAILYYTLKDPRASM KLHQELTIALEESRISLPVSWKQSQEQLPYLDAVIKEALRLHPAVGLMLERVVPAEGL QLPGSGPFLPAGTIVGANPWIIHRSHVFGENAESFVPERWLKMGTESEMSFQDRRQKM LRATFTFGAGPRTCIGKNISLLEIYKLIPSLFLRYKIKFDDPSAEWETVNAWFVRQKN MDVRLTYNKEG PEX2_083530 MTARLLHPDEWELASRSSVDSQGTFNLDEADFEAQVPSSSKFGK RQLPWLSRILSSASAGYRRLNNPSRPALAGTPRPSCSRRFCIRRCCYLPVVAGIVFVL ALFTSILFPSYTHLPAHYSTLRKSVLESSYPGRGNPRHEKVFIAASLYDSGGELAQGQ WGTQLLELVDLLGPDNVFLSIYENDSGEEGERALRALEKQVQCQKAIVSEKHLDPKSI PTVTIPGGSKRIKRIEYLAQVRNRALQPLIDHPEMKFDKLLYLNDILFHPAEVPQLLF STNANEDGVAQYRAACAVDFDNPIKFYDTYATRDLEGYSMGLPFYPWFTTSGKGESRS DVLAGKDAVRVRSCWGGMVAFDAKYFQKGSPPTTPNPARFRSGHDLFWEASECCLIHA DIQDRPTNVDEITDTGIYMNPFVRTAYDHRTLSWLGVTRRFEGLYSFLHNLGNHLVGL PWHNPRRAEVPGQTVQETVWVPDAAHDGGGSFKVVDRVAGNDGYCGRRGLQVIVEDRK PGQKGFESIPVPSSTL PEX2_083540 MSQSQFKFANSDAKVPGKGTPPPEFTINAPASTDIWAKPPSTIR FSAPILYKSVPLKSFKRTRVAFNALWEKNYDQGGVILVLNTADGGQKWVKSGIELTHG RPHLSVVAKDNWADWSLLPVPSGGGAATLELVKEKDNSLWIYLVEGLQKSPIREVTWV FEEENVKDFWVGVYAARPSSEGGELPVNFGHLIIDQE PEX2_083550 MPLLDPRSGPSYGAIEHANARMEDERDQLLQEDYEVEGQPSGLS TPDEMQEGVQKIEAINLTWTTKSLMVAYISIFLMAFCTSLEGQTVMSLSAYATSSFSK HSLISTVLVVQNVVNAVIKPPMAKIADVFGRFEAFCLSILIYMLGYIQMAGSTNVQTY ASAQIFYSAGSTGLQILQQVFIADSSDLLNRAFLALLPEFPFLVTVWLGPTIADAVLR NSSWRWGYGMWAIILPASFLPLALTLLFNQRKAQRLNLIKKRTRRRTDLVSVMRRTWY DLDVGGLALLSAAVTLILVPLTLASTAKNGWKSVSILVMIALGVVCLCLLPLWESSRK LAPKPLLSLHLLKQKTALAGCALAFWYFMAFYFSVQPYLYSYLQVVQGYDVATAGRVT QTFSFTATISAFSVSLLIKYTRRYRIYITIGCVVYMTGLALMLLCRGEGASHFQVLGT QIIVGIGGGLINVPVQLGVQASASHQEVAAATAMFLTSMEMGGAVGAAISGAVWSSAV PHKLLKYLPEESKGQAAEIFGKLTTALSYPLGSPTRIAINRAYQETMNRLLTLAVIAT LPLIPLSLLMVNYKLDKMGYGSPERRVDPTPVEAEAEPNPDNGHSKRT PEX2_083560 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQTDLRDDAGVRDKLARQKMSPIRKEDGDRMAKELGAVKYVECS ALTQYKLKDVFDEAIVAALEPAPKKKSRGCRLL PEX2_083570 MPTWKTFLTLALAGSAASCAHHDNGEVVPEHERAELLKKWDQEW SFSGIASFAHLKPVKCLIEPDEHYDIAIIGAPFDTAVSYRPGARFGPRAIRAASARQM AATSYNTRAGLNPYQSWAKITDCGDIPITPFDNGLAERQMYEAFLELGSRSIVNKAPK ANGIGAKHPKLVTLGGDHSVALPALRALHKIYQKPITVVHFDAHLDTWNPVRYSAYWQ SEQTQFNHGSFFHKASREGLICNTTSAHAGLRTRLTGVDAGDYTNPGPEQGFIRIHAD DIDELGPMGVVETIIARTGLDPEQPVYLSVDIDVLDPSTAPGTGTPEPGGWTTREFIR ILRGLEKLNIVGADIVEVSPAYDNKGETTALAAAQVAFEIITSLVKSGVDEELGGWYG WMEQEGAQEKEIKDEL PEX2_083580 MRRVVVTGLGAVTPLGVGFRRTWKRLLDGHCGVVNVKDRDERYA EQPCQVAAVVPQGSRENGGWTASEWLSRTEERKMAMFAQYAMAATEEALQDADWKPEL FEQKEATGVCLGSGIGNFDEIYNTVIAYDKGGYKKVNPLFVPKLLINLGAGHISMKYG FMGPSHSATTACTTGAHSIGDAARFIACGDADVMVAGGAESCIHPLAIGGFGRARSLA TGFNDNPEKASRPFDADRAGFVVGEGAAVMILEELEHALARGARIYAELKGYGCSSDA HHMTSPKENGEGAFMAMKKALKQAQLRPAAVDYVNAHATSTIVGDAAENAAIKALLLG PDGKDKAADVNVSSTKGALGHLLGGAGAIEALISVLAIHENTMPPTINLDRLADGFNC NYAPKDAQSRQIDVALTNSFGFGVHVYDTFALTMANNGDPGQGTPYLVPVKTAKHLGY FDIKLGASKIYKWSKLIQCLLTGRGSLVDASGYTFTDKDTKPGKIKVKKTSKASTKKK ADEAKDGPIDTSPTASPLPTLDGKVVAVFPTGKPREDDLLETVICKHCKRPTLKQTAA DHVRGCLKAKQEKARKKKEARDAANRLKAGDDRDDDGPDKADGETHNMGQKSAKKSAT KGTEDGNKKGKKRKADEDDGKESKKKKKKDEPKQKTAKPKGPVDVEKQCGVTLPNGAQ CARSLTCKSHSMGAKRSVPGRTLPYDMLLQQYQKKNQARQQKAAIDANAPLQEDIENT GPIDSDEERDAVMAAIARSAPQPLVRHPIITTKSKYRYVRIKEQMSHAMGVRSGGGLF SNDDSQPLFGGILFSTVASDLDSSSSVDANGEPDVSAGGIDVGKRSAVPGNRKTPVTG AS PEX2_083590 MGHAVPDLDAIGIKADHDLADQFRREVAKLLGRNNLNFPGAQPV SFSSRHLGELQRQDYFVCEKTDGIRCLMYFARGDPDSDMPEIHYLIDRKNDYRYVPGL HFPLPNDESFQGYHVDTLVDGELVNDTYEDGTTQLKYLVFDCLVLDGQSLMHRTLDKR LAYFKEKVLRPYNAMYRKFPEEKNHRPFAVEDKSTQFSYGIEMMFREIIPKVKRIHGN DGLIFTCRSTPYRIGTDEHILKWKPPQENTVDFRMRLEFPILEPDTEDEAEGITQPYV DYDTIPTCHLFVMLSAGEYRLFGEMFLEPKEWEDLKALRVPLDDTIVECAKDTEGRWR FYRIRDDKNDANHISTVEKVLESIEDRVTEDDLIRLAPIIKTAWKQRQHNMAAGDEEK KRRAQAMPPSANANGVKRKFEGS PEX2_083600 MGVRDSHGETTGTPDPVDKGFATLNTIRIGVKAMVQKDGELRKA EILSIRQRKDGPSFYVHYVDFNKRLDEWVAAARIDLSQEVEWPQPDKADKKKTAAASK AAPSKNVSANKRARADSRDLSQTPDILGGKNLNIGKASRPSKAGGKENLGADTPGGDT SLFPSEAVSTVGTPKATESEDFEMADAAAESKSVKEDQVQTATGEVITRGEEIERLRT GGSMTQNPTEIHRVRNLTRLQMGKYDVEPWYFSPYPDSFSDVDLVYIDEFCLSYFDNK RAFERHRAKCTLTHPPGNEIYRDDYISFFEVDGRRQRTWCRNLCLLSKLFLDHKTLYY DVDPFLFYCMTTRDETGCHFVGYFSKEKDSAEGYNLACIMTLPQYQRRGFGRLLISFS YELSKREGKLGSPEKPLSDLGLLGYRQYWRETLVDLLIEPNREAISENELAVLTSMTE KDVHETLVVFNMLRYHKGNWVIVLTDHVVEEHEKRLKKEEIKGARKIDPARLQWKPPV FAASSRTWNW PEX2_083610 MSYIARRGLSTLIPPKVASPNVSYPRATIFDFVHPFHTRPAPKP FFAIGAAQDAARMERVVTFYAGLPRGPAAAVKPTGLIGRYQARYFNGKNASGVPFIHA IAGILILGYSMEYYFHLQNQTFHLKENLSDPQLQPELTLESRIILPIFVSSTVLTHTP PLFALIPFWDLLGCGYPKTHAPIDSAIVLSTSSPTRHGLSHQHPRELADTIPGCDIPY IQGATSQGTSATVAILESSIVMAEQSNRDVVDQTLSGGEPSPSDVPASTNDNLSAGGD AGKTEHIAMTTAISNNSNLNDQQNHEKTNTLDSRGEKAAGDKDAGGSTTDTSKQGAGV VATRVLELNGLASASDGGEDTASQGGSESDASRSDGRNQTHSGSVKKPAGFKPVSFAK FSVNKVPGVPAPPKVPEKAPTSTTPLGTPQPSSRPRLVAKTTLGMRDSFSKTGAGGGK PGGSGPDPNQVWNKNRPVVPAPPKHLTDEELKQQYGIHMTSRIQEDGAVSSEAKWADI DDDEDDWAPETIEWTDGTKVNLTQPHIEPPPAPSHREPKEKELPPVEPSIVKEPVKVA PRPAVSMGSRAMVLKVGANAERQARTAGASSNGTNDKVPSSSTSPAPPSKSPWAPLPP VERVSPVNAPAQPHQQRMPVRYPQRDDGHHAPMAPPKEIAADDFNRAWKDQSDLPQLF NPRSSQYEPVAETRRGSWRHDQHPRALAVLQRPNDHPSGPAEPSAAFQTHRSSHQDGM GWGGRRRTSSNVSGGSGGFARRMSVGRFDAPPRYNDARRGSQVNGLGDSAIVGHEQHH GKDEILPSGPNGTTRPAVDVGMSPVETQAEVPQVPQVPQVQQESQEDPVALQERIMKE KRLEARQRRIEQEEKEEAAKKERIRQRLAAMGPAPEKKAPETRNPPSSLQPQPQPHSH PLHPPQTPSQLPIQPIHSISSPPKPPVPEPNREPKQYGMMKVHHPDSVKKLVGAHDRT SEAKHLNRRVSSPHQETHRDSAPASASHFKTEPHSPAQSKASDQKLDEHGTQWQGNLT STSPWSHPNIAVPSTSAKNLWKPFGSDRTPTLGNGIFDQPLGTFASRENPLGQLGLDP SNMPTPPKFSAPKEPTDSLPSPEARHPSFEPLNPIGRPSPIGPPITRNARAVSDWNNF HENALEKESQNAKEFLKRLNDRQKGAPAPPPAPVVFTETWKKTRATEDGRRVVTRVTE RVINNEEDIAKAKAAANQPSNPLTSFDTPMDGLNVSDMGVRPAGNVSTRSSRFFPSAS EQSKRQVVEKERGSPSPPPPEEVSHPVYYGVEERPHVNIPAPKPPKPVVKLPPKAIGP PAPPPTFASMAAAPPRNVVPPSSTALSWQDKINGLFGKTTPTQKKSVLAVTSATKEPL PVHATAVSVSIPQTKIGSQAGDGDFAVRQVNEQDEMFEDREPGSLPAVRVPNMAPQNS WSATRPSERSRGKNFKPVQSQSIQPFLVGYNDRDNHGNTRASVFLPGGSEPKTVLIQR KGGPPRGRNTNTNVRPRKGINNKPGEVSGGGNKKPTSNTVPRQQPRQRTGWSPEPPTS R PEX2_083620 MSLFRIGGAASLRVSRLSAPVNARFVSVNAPKGVPSLKTTTADA PTTPPKDSSLITKETPSEAMTRHQPDYEATIDHGTSQFSPVPKRVMDGSEPGNTLPAA VLSGAPTDLQARNVRIYRPTKAATQSGTWHQHHWRMDWDILQRGHRWENSLMGWQSSA DSMQGTNLKFKTKEDAIAFAQKQGYEYFVQEPNERRFVPKSYANNFVHEPKKIRHIKT K PEX2_083630 MASETDNTVPTLRKVLVSESEPLARRFRALFSLKHLACLQPTTD QTLPAIEAIAAGFASPSALLKHELAYCLGQTKNFESVAYLQHVVKDAEEDAMCRHEAA EALGALGYADSLDILKRLRDDTNELDIIRETCDIAVDRILWENSEERKAEKLKPSDFT SIDPAPPMPLEASAEPCIPDLEKTLLDTKLPLFQRYRAMFGLRDLASPPDLPTAVDAV NALAKGLKDPSALFRHEVAFVFGQLCHPASIPSLTASLSDLSEVGMVRHEAAEALGSL GDEEGVEETLKKFLNDPDQVVRDSVIVALDMAEFEKNGETQYALIPDSAAPIAA PEX2_083640 MDQNGRPAVQVVINGHDQMPPYGSNMQFQNQRPLSVDEALQYSS MSSAPVFGLDCILRPDVGRPSNTTSINHVLQEGRKTLGELDTEMQSGQDDSSRLETSR EYIQQLLDGDQLTEFKFKLPRVPTRSQQLRPNDPDGATSGLNSLGSFARMVLSSTDIA FRYPEAPLKTTPETKDLTPKTAASLNQRAYIANKSNSASFSTSFEQNRPPISSQLSVV IPIKPVPQHSDYVNSKKLPVKADGQDVMAAVRLKDQKEEADAALQKLQDLLHEVFEAE DQLEPDTSTPATSDTPNPIFVNPTSLEVHGLLLSSDAHTRLQKALRKVAGFDRLQDIP SDYLNRIQKLCEKPIIAAQSPDISLGDSFSELESQEWLGKIEDVLNALFAIGTLLQTM SGRQTERELCPEDLIEAIPTVLNQLFDHCIIPAVESRPGGKDGQHFHFFSAQKRIIGT LVHQSKKVLTLFADFLSRIDVSEGTITAAEFFAAKLIFVENAHNDKDSALGYQKYEPA RRGAMDVLAKVFSKYPEQRPFILDEILVSLEKLPTTRQNARQFKVADGKNIQLLTALV LQLVQTTALETPSRSKTKRRIKAQADDDDELMGDGEDHSNGASDDDESDESLERLASK ANRLYDNAMRSAQYIVNFIVQRAMNSTKTGDQPYRNILDLFTQDLINVLGSADWPAAE LLLRILALRMIQITDQDKSAATAKSMALELLGWMGSAISDLIATAQHMLPALEDSDSE LTDYLKQQFEEFSSGALHHQDLITPTGPYRIALEHLLQDKNSDNWQLTSARGYYLAQW AKTACALYYNSEDQEEIAHDEVTDDLVTLLTRSFSDPRWLETHKEFDKIPNVHGKFAY ILTVLNSNFGKAFDTILKVLLASFLGDSAKVRSRSLKSVIYMLEKDPNLLDRDPSVLR VIHRCTTDASPMVRDSALQLIGQCIGLKPKLEEEGCRSILACAADQTAGVRKRCIGLL KELYHKTSRKELKLAILDSFLQRTGDLEESVASLARQTFEEIWLVPFHESIDSSSEGP KLKMALGEQVGLIVGLTERSEAALESLSVCLKAVLSDKSKSATLNFKVCKAMVSTMFQ RLVEDSDGSSKEFQQALLQTITVFAKSNAKLFTPDQLEALHPYIGHLSTADDLFIFRS VVVIYRCVLPFLSSSHNTLLKEVQNDLFKSVAKLARSELNEVMACLWTINSVLQNTNR LVKLTVSVLKPLQQYKSVDLSSTTHAAILARAKSYIRIAGCVGRHCDLEKYASHFKSE FPTWAGGSVAGLMVDSIIPFTASNSPFDLRVMALESLGSICQSWPGQFGRERTRQTFA QAFKEDAPSLQNIVLRSFADFFAIHEGKSEKAVMPTAEAAAQEDTTRLGGSLRASDND GAAALIAQHFLSNMLQVAQSRQDTYSLTAIELIASINRQGLVHPKECAGVLVSLETST VPAIAKIAFDTHKMLHQQYESMFEREYMRAVQEAFYYQRDIVGDSNGANARPYVSKLA PLFEIVKISNSRYQKKFLANICSKINFEPKKLDVTGNPPEHLLLARFISQNLAYFDYG QLAEVVPTIACMERIVSSTGTIIAHAIETEISSTPIGPPQLDASNDLMPGFAPETPQS TVIPRQTNPATLRLLATAAASLSMLWEARTHLRRLYGVNAHSKTKEGKPATKDLNKTA TKVHGVTGDKFWEAIARNMTALDSEEAMHQKCREFATLLSIDEEFRVDGDEDAEGDSL DAAGDIDETLPPRPMKRKSSVSSSNLSKRPKNRKSSTGKKKSSAEPDEDLDWD PEX2_083650 MLNAMLRRTRADGAAVKSMGSVPSRIYASKTLFTFRQPLSRSLH TPRRRPAPQWRALAPGSMPIRFKSELAAKVKPFKQSRTIIAIRKVFTFCGFFIITTGA VVVAFFIYDASTYREGASGMDIPVSELALNPRLGGPKNLPIADVLVGDYDSEAMLRQK DKPRLVILGTGWGSIALLKNLNPADYHVTVVSPTNYFLFTPMLPSATVGTLGLRSLVE PVRRIIDRVNGHFLKASAVDVDFSAKLVEVSQVGQDGQTKNFYLPYDKLVVGVGCVTN PHGVKGLENCNFLKTIDDARQIKNKVLENMELACLPTTSDEERRRLLSFVVCGGGPTG VEFAAELFDLLNEDLLRSFPRIVRNEMSVHIIQSRSHILNTYDEALSKYAEGRFTRDG VEVLTNARVKEVRGDRVLFSQVEDGKTVVKEIPTGFCLWSTGVARAEISETLSNKLEG QNNKHALETDAHLRVIGAPLGDVYAIGDCSTVQNNIADNIIRFLRTVAWEKGRDPEKV HLTFSEWTEFASRVKRRFPQASNHLRRLDLLFEQYDKDHSGTLDYGELSELLHQIDTK LTSLPATAQRANQQGVYLGRKLTKIAAALPGLRANEIDYGDLDEAVYKAFKYRHLGSL AYISNAAVFDFGGMSFSGGVIAMYLWRSIYFAESVSFRTRCMLAMDWAKRALFGRDLM SF PEX2_083660 MSANLMSRTPSTATPTWHHFERKLEEVKPSKTDINYLVMDYLIT NGYPAAAKKFAVEANIQLRTDLEAIQERVEIRTAIHSGDIQAAVEKINELNPQILDED PSLHFSLLRLQLVELIRTCMDTPGSDITPALDFATAQLAPRAPTNPQFLADLERTLAL LIFPSDKLAPSLASLLDPALRKEIATRVNEAILQNQGARKEARLRNLVKTRAYAEQKA REAKKDIPDTLDIGLVGDSHENSNSNDLATNIRDTLMSNNSDIDPMIS PEX2_083670 METISPLYSSSPEVRYSPPWQDLSIIGIAGSSGSGKSSVAIEIV KSLNLPWVVILVMDSFYKNLTPEQHHKAHANEYDFDCPESIDFDVLVETLRDLKKGKK ANIPVYSFSEHQRQPQTTTLYSPRVLILEGILALHDPRIVEMLDVKIFVEADMDVCLG RRVLRDVRERGRDIEGIIKQWFDFVKPSYTRFVEPQRPISDIIIPRGIENTTAIDMVV KHIQRKLQEKSDKHTEALRRLGLVAAEVELPSNVHVLPSTPQFLGMNTILQSPETEQE DFIFYFDRLASILIEKALDMTSYVSANVETPQGNTYLGLHPKGIVSAVAILRGGSCME TALKRSIPDCLTGRVLIQTNESNEEPELHYLKLPSHIEEHASVILIDSQMSSGGAALM AVRVLIDHGVEQGRIVFVTCAAGERGLKRLTAVYPRINVIVGRIEEEGEPRWIEKRYF GC PEX2_083680 MIYFIVDDFDIKIDANALPTAANEETISEETVRIQVMGRLLDEF KANFDDPLGELFGMEEEGPEEYAYVKIIDVFYFCLNRTQRQPSNLSRGPSVKTAKDPV RQTQKVLERNSGGFDVQIEAKLPRPLDLIPVDRQNYSKHLLLKVNELHSNRYGEILER HPTVEICAKLENNLMPGVRLVSDGGLFSLDGLRSISGDIKTTAAGIYMHILWPPNDPQ HFCLTLTAKDLDTYLPPSADRSSAGRHLNVVPPIWQMFYDENLPARKEVFDRAGFEAL IRSDDPAVRSWARQATASYNDIRNSPDPRLRRYWFENNQRQLQQAWDANEKRAIDRMK VYQVEGKERTIRCAPGRNRGYITCGKFNIPLPQSLSMSPESKVFVQFHLYEMAVSHRY ASEAMPCDPASRLAISIKGCNSSSDEIDEWIQSSGKKAAMRINTLVDMLEGITLTETR SFCRRWDVSRTEKGASRKTNYTNE PEX2_083690 MEAQAWKTGGCLTASPETLAKNWESRKAFVLAPWQAPPEVIIED RDVAVDRHNQILFKTSRDRPLILYTDRNGIEGKVGAAVVVNLEDHIAHSQLGDDDTST VYAAELRTIGMGLALVLNSTEP PEX2_083700 MAGPDKKKSKAGKPKGETGPAITDPRFANIQTDPRYRLPSKRQT HVKLDKRFAHVLDDKDFSSNAPVDRYGRKLRKDDTKEKLKQFYQVDEDEDEDEDEDED EDEDSADDDEEVQKELKRVNAASYDPARDGGFESSSSEEESSSEEEDEAEEDVIEFPD QQRADVPLGEVTNRIAVVNLDWENIRAEDLMAVFSSFLPSGGRVLNATVYPSEFGKER MEREEVEGPPKEIFASGKKKNINDDSEEEELDSDEEEEEIKKSMLKADDGEEFNSTQL RRYQLDRLRYYYAIIKFSSKDVAKHVYDLVDGAEYLSSANFFDLRFVPEDTDFSDDKP RDECSRIPDGYKPTEFVTDALQHSKVKLTWDADDKTRKEAQARAFRGSRKDIDENDLK AYLGSDSSENEDSDDEDGGVEVVDNTAGEATTTKLSKKEAERQRMRALLGLSAEPTRT KAERPVGEMEVTFTSGLAGGHGKDTIFENEPEIEESTIDKYVRKERERKKRRKEKLKA KSSAEDGAAADSEDNEAAPAEVPQQEEEEMGFNDPFFDDPDGKATAGSRRKEERRKKR EERAAEEAASAAQRAELELLMVDDEKVNIKHFDMNEIEKAEKQARKKGKGKKGKKVEP AQADDFEVNVSDPRFSRLYDTHDFAIDPTNPRFKSTSGMKALLDEGRKRRRAGDDAEA EAPTESRDSKKKQKKSSKESSSEELKRLVAKVKRSH PEX2_083710 MLGRLLSTAASTLNPAAYSGRTNGTPLESVTEEEHTSGLLFPDA SLLRRSNTHAFPLQTTFNSPNASTAGAYDDRGGMELDAIKDFRVIVAQNALGDRDACV LLDTRASDSSPAGLGIDPQGNDQPNPRHTRAVSSLSRGTRRNLLTQSSLVESSPLSAA ADARRSSPAGPGAFSRARGRSSTLAPPGTWHDPGPSRHSSDSNDTGLLNCIFGSSAFS YRGSSTKMHIISADESPATGVSASPAARSPLSRAYTTGSPSAPIGVARGVDDKPPAKV TVLLTRMFSVNLPEGPEASAERHDYASALYQESLPEMEFPFPDVSKRKKIKEKKTPMY AVAITIQIPLLPRNTGRPVSRFSTPGPDSPRPGFSSSLDSDHRWPGAFFDDSLSSASP PASLDERLDLLVDHWDVITRTLSHLERLVRNEILFLLKKVDSLSGPQPKPAKPPNMQR TNQTIVHLPANILSVNSKLKEEAIRSSRRISLALQTPYVVTGQSRWGVWREEGRSIVR GLGDKEQNFFFLVVLTAFLGNHTEWLNSLGPEWYRRRHNQQHKAQQDGELILANRTVI ICPDKMTARRLVFLLSAFLPSKQRLEPLPSPLRPGTSASMRAISQSPPTVPVLRQESL RRAIERRARAQRMIMGDNDHHQRSVSVSSQETAQRSIDGAEITPPAEHSASARRGSDA RSIRPPGANIHPKDARAMNTSGATTSMITQSSTVPVPHFTSQPSHSTQARTERSAAEG NDSLASETLLKSLRRSDSSVVSTNGSLSSAGGRWGGIFSGLWSSRQGSSTESSEPYSP SEARRRSASTISGPARRGSTTLTQMVKEVTENEDEHRPETAPSGNISIPSTAAPHNTG PASPEPSSLTSQVRESPLKLSVRAEEGVVDVDLPLPGFMSLSSSGDSTIASPKKTRTS VTSMDAVASTHSSGSGFPGTMKDSDGPTSHVAGWLKFFHEDFSLQAVRPYASLEAEIK QAMRAEPSPYIPSTSDVDGSEKWVDVATTLIADTKASTVKRLRLRRKIIVGHSSGAAH TPPSPSSATPRGFTGGASTSQFTNFFSGHEKATHGPATDERDQNFVEERFIEEPVMDL DGVLVDAVERVLGQSGYSSMAQSRAPSPNRARRAEDKGPSSKGDEAPPPEVPRGECRK MVLGALEEVVRLVTAEHCREDVDSTLGIADRERRRALAGADNTLREGIRKWLLDVEEV W PEX2_083720 MKFTDGMWCLQEGVNIEWMSNVERFSIEDESVNLLLNKFQAHRG HTLNSPTVSTRITSPVEGVIGVKLVHWAGQNDNGPHYHLNKTTGHTKIQHDDAAKKLN YTSGSLNLALNTSANELALEFQSPAGKKLTGQSFRSIGYVRDQRAEKYRYEDGMYAER QGYMLAGLDLGVGEKVYGLGERFGPLVKNGQTVDIWNEDGGTSSELAYKNIPFYISSK GYGVFVNHPGKVSLEVQSERTTRVNISVPGEEIEYFVVYGATPKDILNRYTALTGRPA LVPSWSYNLWLTTSFTTNYDEETVTGFLDGFRDRDIPLGVFHFDCFWMKSYQWCDFDF DSEMFPDALGYLKRLKERGLRISVWINPYIAQASPLFEEGKKNGYFIKRTDGSVWQWD MWQAGMAVVDFTNPAASKWYNAHLERLAEMGVDSFKTDFAERIPIKGVQYYNGADPER MHNYYALLYNKTVYETLSNRVGRNQGLLFARSTAPGGQAYPVHWGGDCESTFEAMAES LRGGLSLMLSGYIFWASDIGGFEGTPPPALYKRWVQFGLLSTHSRLHGSSSFRVPWIY GEDCSDVLRDCVKRKILLTPYILQEALKGHGNGTPLMRPLFLEFPEDLNTYAIDTQYM FGPNLLVAPVFTEEGEVTFYVPNSPDDEAGKWISWFDHSKSYESGRWYTETHGFDTMP ILIRPGSVTVTNPAIKAPEDDALEGIELLINGRLRAETTVEIVNSAQSDEILKTVRVS PTRDGKVEASGHSVKLVYVA PEX2_083730 MSLRGPMRRSHLRQVSAASLESSSTHSLEASNRDHDHDQATDDR TVRLSSASWTRHQCALWVHDETFSREEILLNPSAFGETEVQVGDVVEILPMRGSGDAG YSTLKPEAASKAARESHAELNSAAQADSTSKFKTPLQSRCLFVVKALPPETKARNPQL EISVTNNIANIFGFKNRTQVLLSIVDRDQCAASHVDIAFRDQYMVRSDMWRLVMSELS DKIIYKGQKIMFMGSIKATVKNIFIREKKCLSGYFSPQTIPIFRSESAKYVLFIQMSK EMWNFDSEGTGDILFSRVINGFLPELFKRWANSDAKHLVTIVLFTRVEYDRPTNSSQP KLGAGNLNSRCGPNKVPTRDFYRVVVNDMASGHWTTILDELKREFRTFLRDVSILKPD DIDAVSGSGIKSSSKPNMATIAGHPSSALRGNILEAIHLASAHLAFDHIDRDMVHTGT SIIVITPGSGVFEVSYESLASTTEALANRGIAIDLVCLSPMPLHSVPLFKYREPVEQN SGNLGGAGFSGVNRDRMSPEIHHSLSSVVSRSSYLSPSSYLSATRMRERATPQAKDWS FGIPHWLDISYWNPETYREARRILKKDPNAPISLTVTRPSKAFTPRVRMYEIQMMGVM ESEQANITIPYLTEGRGGTRPRGSWPGYSSANRVPPKTRFANPSSFKGQLSDSLRPGS FVQNTADQNELQTRDTQSSRKAVMSWMDQYDENLFAVSPKRHQATKPHKAKRPSESEV QAAGGGVHERLSARSITRLRHHETNPADENDSLHSGPRRIDSNMTTPISPSSGKSMSP IKPALKKTPAPIASRISRTISFALRGLSATPPRAMASTGVNVEHASAMPMTNQRTPAG SISDARSITSLSPSETASTTSVFEVLSPSSTPVKSSQTPAKPISIKMPPKNTPKESEP PEQEGPHGSLFVETSAHEAGRDHGENHPNDHKIEFTLNHSPREPHARNSPSKALSPWV RSVNPCNTPKDVLRDTSWFGRWQHAYPRPPHVAVVKWKSLKSPAVLPLTTEEFPTASV LASEYLQTPYRVFPNEDSEGIEAPKTRGVLLREMISLRLSHGFQIIVGKHVAEVSAQP ALESLNVFDFRGLERDGLTIFLSKGNTIHRLVCIQGGEVEVTRFTHQNSAVMTSPKKP TFTLYQPAMKTILSAEYEVKDIKLDPTSEEYNWNYADNYVAGHRDYLQNPAQQLHFWR VRYVLIPLQLHANSRRNLQTSHEDNEEEIHLLGISQLTHIWQRYKYVSPEEKLFETSN PKRDQNPLNIMYQTRNPSEIVTAELDRLLLTDPGLDNAPAQLLPESELLDRSSISLMT LAQIIQGEKGVRMMDRRWHWRLHYNCFIGFEFTTWLLQNIRDIDTRDQAVKFGNELID HGLFQHVEKRHNFRDGNYFYQVCADYRVTRPESRGSWFPQLRPDKSMPSTPAIGTAKD SPASLKARSDSIDERIPQTPNTPSKAKNKVAIMLSKSMKFDVDPRKRSNRPEVIDLHW DRLHNPENCFHIELSWMSTTPKLVEDTVLSWASTADKFGLKLVQVPIAEACAIDKTQP FRKPYKIKLKVPPPKGPLHTVFNNASFAQPGPPDRLYYQKAILRKFDFVLDFEAASAF PADVEVSYSWGKPDYIYPQFIHRTGSLLAQITDEGHFLLLANRLVSTRPTASRDAGRF ESHNRSDFRGRAPNHDGLDRISPRLSPITRPVHDIGSIGSPLTLSGSASIDSSSLYRA PENILNLLAEFCGDAAQLEQFYSESHARPLSTKVESTTTNVMDASIPSLELPASVVSH HISPPPGLPSRITRESREGLMSPEVTRARARGESLSYNASPRSGSLRPLI PEX2_083740 MSENPGASYFEYTNLENEFNYDWAVPGYPQPSPFEFNPETIPPH DSRDIRDYSLFKGDRANAKVAIPRNAQPSDRQLNDLTTRVETFDALLRSLYPKLDASS AQQVDQTLRELNARTPLTRVIPPTPIPALFTNPSSHTNQSEFSSRADDSPISILSAVV DYTEEDFDRDEKVQATGFVGEHSEMAWLYRLKRDLDHNSSKGTKEILERPSISSVNYF QDDSGILFLDDIDLACRPPQPIANRLVDTYFHVVHPTFPIIGKAIFLNQYRSFYANPN VRPGKRWIIVLNLVFAIATRHSVLIDQPQPNCDDHQTYFARAWKLNAQVEGLAAFYLL SVGQVNRSWRLIGIAIRSAVTMGLNIRTESDSITNFLKALRYRVWWALFMLDIVLCEM TGRPPSTGDIFCSTPLPVPFVEEGFWDKRILQVITDQRTRSAFLASLTSDTTAASPKE SMDLGTPEQHGSGKGKQKEKASQTGAVNLTPNSSLYFLYAVDLAHLLREAINTLYAPR AMRRSWHDIEIAIPTLNNHADNWLSRLPAQFDFTTLDTDHQFMQHRSSLAFRLYATKL IILHPCIRRLFQQSETSSSGTVCEQMAALCVQMAGQMLDLLPEEPDSTWLYSVAPWWC VLHNIMQATTILLTELLIRTNIGTAKAVDITKNIKKATRWLKEMSTKDLSSQRAWLVC IDLLSRHGSRFGFGDDTEL PEX2_083750 MESKLDLESQKPTPQIDSPHDSESEDELALKPVPSNAYGIPTWR KCLILFVVSWMTLAVTFSSTSLLPATPEIATDFSTTSEILNVTNAGVLIAMGFSSFIW GPITNLFGRRNAYNAAILVLCACSAGTAAAINLHMFIAMRILGGFTGTFFMVAGQTIL ADIFEPTVRGTAVGCFMVGSVSGPAIGPCIGGIIVTFAQWRIIYWLQFAMTLLGLVLS LLFVPSIQEKNRDSNEPRKLRTVISMFNPMRIFRQFVYPNIFLACLTCGLLSTFQYAL LTSARSIFNPRFNLTSPLISGLFYLSPGIGFLIGSVVGGKLSDHAVKKWIVKRNGVRL PQDRLNSGIATLFGVLPVATLIYCWTLEEEVGGMVVPIIAAFFAGVGLLGAFNGLNTY SAEVMPHVRSEVISAKYMVQYIFAAGATAVVEPIISAIGVGWTFTICVFFAITGGFFV LAITKWGIDMQRCNDSAKSPKRRALGKNLSEVRPHPAISIIAQFEKPENKGIGQMVRK RRALTEQEQESRESQRRKFVAYLDQILAENQRLKERSITSAQVAETNDPSQRRPSRTA DAPDGTGIQNPLIGDRAWFHRYDPSSPPIYIGEAACSAFATRFRRFLSGNSAMPHIPR TQWEREETIAAANEADVQWPSLHHARLLVRIAIHQIGHLYHLMTRKSTLDKLEEIYQT QDFDCAANKCKFFALFAFGQAYSIRSEPNSGSRVPGTSYFARSMGLVQILPERTSITH LETLLLLSLFSYYLNRRHSAYVLIGNAMRMGLTIGLNHNILESQVIDPVERQHRIQIW WTIYIFDRMWGSKMGLPMQILDEDIHVDMPSTISPRWRHEEELSDTEYMTANIKLARI VGETITKLYSRRKYQETFLQRVQKLLKALKHWVETLPESLRLNMEDLESSKKPIVSLH MAFNQCVILTTRPTLLHLLMTLSKKSKPRPDTADNTSGAGAGTESQEVSVSQPVLTLS EACIHAARHSHSMILTRWINGSMPTFGYFHAHYLFSSALILAMSSFVPIGNPTDMTGF DSALDLLRSMTENGNLAAAEFYHNLEQVKVCLAAYRGGSRGEGATEMAAGGGVAPNTN ANTSGNAPSGAGALALSRPGSDLAAATTPFLNTLPLNPSTSASTSASMPPTSLMPTTH DILASATTQPVHSQGIDPYTYPLRDTISGYTTEMAFLEPTMQDFLAQSDIDLGLLHPV DTFFNEAENLYTCHEF PEX2_083760 MDHKEAQDTETSPSVLPPVEKHHTAHLVLVPQPSTHPRDPLNWP INRKYVILAVLCLASFSGAIAPLSGQLNLADQEKLYKKTKLEESYANSAALAGMAAGP FFFAPISHMLGRSSVIFWCLLFTLVCQIWGAVMTDPGDYIAYVISRLFAGFFGAVPTV LGPRIVTDLFFLHQRGRAFTALHMAFLFGTIAGPTFSGFVSAHSFFPVEFWWTVGLLG FTVICCFCFLEETGFDRERLERNPDVSGGILANRFATFFFGQRVVLPTTWKETAKVGI TPFLIGMCPVTIIMGIFTLISFGFYVGVNALTPVWLQKPISEGGYGFSLEQNAAFTFC HWIGIIVVQFYGHYLNDRLPLALARRYNGGVWKPEYRLHVLWLPSLVINPIGLGIFGA ALQYHLHYMVLALAVFIVTVGSLASVPVTVNYVVECFTRYPAEAGIVIGAYRIVYGLT ISFYINPWVEAVDVGWVYGMMAFFAVFSYMFVMLLMWKGHAIRKVQFASLGSSEEGER LLGR PEX2_083770 MEAIKEAFAKCKATNRIALITYVTAGYPTVSETPGIMLSMQAGG ADIIELGIPFTDHVTEGLTIQHANAKALENGVRISHILQMVKSARTQGLAVPVLLVGY YKPVREYSYGEEKLLRDCKAAGVDGLVIVDLAPEDAVHCRDYRTFLSFPLLLRILIWR MGVSGSHEKPYGRMEYLADHVNACTGNSVPVAVGFGINTRQDIADFARFAEGIVIGSP IIYILGNAAPGTGAQRVKEYCLRVAGRTEDQIVITERRQQANQALSSTTVYLSYDGAG DTPEDNNKANKAINTHLGTFSGQYIPESLMEGLVELENGFESANADPTFWAEINSYAT YANRPSSLHLAPRLTAHAGGARIWLKREDLNHTGSHKINNALGQIILARRLGKTSIIA ETGAGQHGVATATLCAQFGMKCTVFMGSEDFDREPLTVLRMRILGAAVVPIDAPCSGE KGTVRDAVNEAFRVWAMELETTHFVIGSAFGPHPYPTIVRTFQAVIGNETRIQFGAMN YGRLPDAVVACVGGGSNAVGMFYPFLGDSSVALVGVEAGGSRSMAGQHSASLDRESVG LLYGLRTYLSWDEDGENGKTHYVSAGLDYPGVGPELSSWKESGRATFVGANDTEVILA FSLLSQLEGVMPALESSHAVAGAVRVAKELGPGRDVVVCLSGRGDKDVETVAHIMSTL RVDDDV PEX2_083780 MSFSFHHKRKPNSSTKRKSNRARAHRKAHGGLRGDKNYEELSLE RSEDINILQRYRLRQYWGQVRRDKTGPPFISHKLLFVEEDLREVVQEEISIKEGSALS KDAKERLNVLNAKYWLLEQMWWHYHSCLEAAYQLRAFDLWRSHPQWYMHYVLIEDCAS RRGCCARGCGCCLNRKIDPRRRLGVGHCTVECGCCRRARGFDVPKDDKKLLKEQCREE LSSLHKHRIIRVAIWGFVGDSYESPFDMIDVPPSYNAKEEAAKKKDKTR PEX2_083790 MGLSSRYAVAHLDPKGAGDARPTALQIIQDEGSEGKLAGKVIVI TGATAGIGIETARALSATGATLLLTARNRSKAEKNLARILEPGRVSLIDLDLDSFASI RAGAEEILTASKGQVNILINGAGVMGLQNHTLTEDGIEAQFAGNYLGFFLLFQLLKQA LLASVTPELNSRVVVVSSSAHRAAATLPASDNYNFEKGGYQHEMAYNNAKLAAVYLAN TIERLYGAKGLHATSLHPGAINTDISRNMPPEFLETIMINPYVVKILKSSEQGAATTV WAAVGKEWEEKGGKYLEDCKEADRGQDDGQVFGAGWVKQTYNSQEEDRLWKDSLKMVG LGLESEA PEX2_083800 MRQGTPQMLPLRQVSTEDHVTAAELTTKGNADVIKFANIGGQSR PVTQPQPQARSEDVETTPVTGTVPQYILQLIGDLDEIRLTAARFFEHIHQWMPFISKK RFYDFYLQPSFHSRPDVVLLLLALKLITTFPPAGSRSPRTALYNSTKQFYLKVEGSFS ILVLQAGILVALYELGHGIYPAAFLSIGTCARYAHALGINVSRTVVTRRVLTLVEVEE RRRVWWAIVILDRFVSIGCPGRPFATADPKLDDFLPADDAAWDQGIVKPDNFSTLSSP MTGHMSKFALLCQAARLLGQVLNHLSTDFTSEDDWVQLDRTLQSMLVAALNIDCPDYD QITFVYSALVALYTPWLSSDRVQEIDTDRSRRAKVILQQITDRISANLIERQCFLGRD PEGMSPWGLYFAYRICGAHMRTRHKSPHGLEVVRSLREGFMAIDVRWNVSGVYLQLME AQEAINLAA PEX2_083810 MRSSIACARCRRSKIKCVNAGIDTTCRACESSGRECVYPTPAIG VSNTKRDLATLAEGEDRNGDWDGPKRHRSRKVVGISGSSTGSKLGVDALDSSILTTKV WEAVFDLFQSHFATLLPFLHPATFLGQIRQISSPAPPPTTFDGQDPRNPIYKVDPSSS LIPLGVLALTARFHPPLTAAHSPASPNHPSNPLAASEYYAAALRSRLAGLDGACLAQP DLARVQALLMLALHEWGMCRGKSAWVYVGMAIRLAQAMGLPFELENEFPARDPSRSSP GLKTEADHFGLPRRVEPREPTSDDIIAQETKRRTFWACFILDRCLSSGKYRPRMVRVK ELGIQLPSDNAFAFGERVRTARLNEPTVRRPQSFSSQSMQIPSIRQSIGGFGEEKLPG PNGTPDNKPWSPISRRKDSSEEEVDRWEVGAEESVLSRVIRIIRIWGSIAKWSCAGGR RTEQYPPWHPESRFISLRQQLNEFQDSLSRNLQYSPRNTDTHIMYKTTLASYTVMHVV YFLSVIVLHRAYVPFLPIRCNEPSGPLDEPLFAIDKINGPPDGFWRDSARELFKAARQ MIDLVATCQARGALVENPLVGFAIYNAAFVGVYGTHFPHMDPDGLMGSKPPPATYDSH HLGVAQARKALDIIREMRPRLKMAMGWFRTLNRLHSYFSKVKRDFRRVSRNRLDSMSD ASDHGLNGIRPLREGGAGGGLEEFKMLEKLFLDFGSIEDQLTEPGMDEDSIAVPAASE SIYERTNMSDAGSNAVRSETGDPGDQMLDGAGGRRESWVPVNSPGLSLPGHDGDRRPS LPLPNSRQMPSGSPYSLPSLQQHHPDGPMYTTSSPSFPSLSATTQSPSQYLTATTNRL NPINTWLPARPQAPPPPYSQSLPPINASAAHTIPVLPPPGSVGQLAPSPPLTSTEYPD SSLLSTSLGGDDVLAFLDGSEWGQLSMLAPSEIGIPAGWLSTVWSQFSR PEX2_083820 MHKIRRAARASAWYGPSFNPFQKIQTRPTRSNSMQLEDGLSHAQ TLDDLSADQQRRQEMSNGITVPEYSNTFPPEFAGSEHETHKSPPEPSMASQDPINVSS VRGVDSETVGSVQARQRKGGILGKFKHRHDNDEWEDKKSLSNNQSFTLASQLRATILN SWINVLLIAAPVGIALYAVGANPIAVFVVNFIAIVPLAAMLSFATEEIALRTSETIGG LLNASFGNAVELIVAIIALVQRKTLIVQTSLIGSILSNLLLVMGMSFFFGGIPRVEQN FNVTVAQTAASMLALAVSSLIIPTAYHKWSNIEKVDGTAALSRGTSVLLLIVYGCYLF FQLKSHADMYNRPSEKAERRHAKVGEGDASRGIAQIGKMTAVPLVGQNPDHMQMEDAE DAPEEPQLSVTTAVLTLIISTAFVAACAEFMVDSIDALTDTGDIRETFVGLILLPIVG NAAEHATAVTVACKDKMDLAIGVAVGSSMQIALLVLPLIVVLGWIIGVDDMTLNFDGF QVVVLFMSVLLVNYLIGDGKSHWLEGVLLMMMYLIIALAAWFFA PEX2_083830 MKASIIAGLSLLGAAMAADIPSIEIKGKKFFYSNNGTEFFIRGV AYQPNFTGRNGASGTDSYTDPLAIDTCKRDIPYLTQLRTNVVRTYAVDPSKNHDECMN ALADAGIYLITDLSSPSESIVSDDPTWNADLFTRYSKVVDAFAKYPNVIGFFAGNEVA NKVNNTDSMAYVKAAVRDMKSYIKQKKYRSSLAVGYATDDDATVREGVSNYLICDEAA DSIDFFGYNIYEWCGDATFKSSGYEERTKEFADYPVPAFFSEYGCNEVRPREFTDVPV LYSDKMTDVWSGGIVYMYYEEANKYGLVSVDGNDVKTLPDFTNLSKQMAKATPTGVDS AKYSVSTTVGRSCPTIGADWNAASKLPPSPNADLCECMYNSLECVPNSDITDKQVGTT FGYLGGEKGVMDGVKSNATTGVYGAYSMCSAKQRLAWAMNVYYQQNKAKAGSDACGFN GVAKTKKSTSASGSCATQMSAAGSAGTGAVSGGLAASTGAAASGTASGGGATSSGIAA GTVPQAVHIGAWQAGAYAVAAVASGVFMIML PEX2_083840 MAPLRLNSKNLELIGKAGKGRIQIPSHRFLRRPIKEGIVHVGVG GFHRAHLAVYIDQLMEKHGSTDYAICGVGLQPFDATMRDVLRQQDHLYTVMERSDKGS FAHVVGCINSYLFAPDNQKAVIDKMAHPDTRIVSLTITESGYYYNENTHELISDHPDI VNDLKTENEEKPRTTFGFLYAALAERYKQRQDPFTVMSCDNMQKNGSITRNMLVSFAR KRNPQIADWIAEKGAFPNAMVDRITPQTSEQDKKALAEDFGIQDAWPVVTEPFMQWVI EDEFVGDRPAFEKVGVQVVKNVHDVEQFEKHKLRLLNGSHSAIGYPGQLAGFEYVHEV MQNPQLRKLVWEMMQMEVKPLLPEIPGVDIDEYCKTLMKRFSNSTIMDQLPRVCLNAS GKIPQFIMPSIAEAIMESKKEQREYPFRRLCFVAASWFLYIKGVDDHGKTFKVIDPML DELLAAAGDDKGPHGLLQIKSLFGDDLRGYKRFTDEMEKAMKDIAEKGVLETLKEYFP EDAI PEX2_083850 MESDGICLLAQPSQAVTNTTLEGWQFDDNSRSTWTIVWTCFSTI LACTWTVLRVDVPPRSWSASQRNAVKIFLWLLTVLCPEVIAWGTVVEMFAARSTAASC NSAQALVDEPKGEAQGQLVHSWSIPQGYCVKMGGLALQTQDHWLYTVTPRNILVFIRA GMITCSDFRDEDIQDRAKVDALGIVFTVLQSLWAMCNIVTRAAYSLSISPLELGTVAY VACGICLYGFWWNKPKDMATTINIALRYSRDDIPEEVQRIMDASPQGWVHLRGLPSES TPPPALKDSSGRFTFFFADGPARFPSAGEDSAIQLSHGRKALTAVFATFIAISFSGIH VAGWNFIFPTEAEKIAWRVFTLIAISSSFIPLVVAQGPLIAVWLAGKKLLPSCMRSLG DPNSPVTVLEIVLADGSLYLYFIARFGTFALMLSSLRALPADSYITPDWLSSIPHI PEX2_083860 MPCVENTWGAELQAWKACRSVVKSVAFSPDGRLASGCHNGMVWL WDTATVLQQTLKAGANVVSVVFSPDGGQLAAGVRHQNHMVELWNITTGVLQLSLGGYP NGPISVAFSPDGRLASGSGDGIVRFWDTATGALQRTLEGHSAGVTSLSFSPDGLLASG SDDNTIKIWNTATGALQWTLEGHSASVTSLSFSPDGLVASGSDDNTIKLWNTATGALK QTLEGHSAGVTSLAFSPDGRLASGSQDRTVRLWDATIWVLQQTLGSHTAGVTSVAFSP DGRLASGSEDATVKLWDTAIGDLQRRLKDYSGTPEKMLFSFDGRLLACAYDNDIVRLW DSATGALQHTLEGHLQSSTPGNLTFSSDDRLLASGNASCTLRLWDTTTGVLQQTLEGY WGVFSSDDRLMAFPSSKYIVAPFEHKVRLWDIPRGVLRHTFEGHSDEINSVSFSPDGG LLVSGSRDRTVRLWDTVTGILRHTLVHIQMVSVVTFSPNGRLVVTSCYGRTVRLWDTV TGTLQQTLEGFDWPVSSFAFSSDRHVLACAFDLENRGNGEEY PEX2_083870 MDGLSSATSVIAVIQLTGSIVKICGSYIQEAKNARDEILELQQA VTDLEGVLRELNVLLQSPNGSKLSSSQTLASSITRCRSTLEALEEILQPGRRKQAMRR LGLRALAWPIKRKQAKGIASDLQEYKSTFTLSLQIDQI PEX2_083880 MDDYDYIIIGAGIGGLVLANRLSQDAAIKVLLIEAGANRMGDPR IETPGFLATMYGNPDFDWDYMSVPQIHAKNRQIGQPRGRVVGGSSALNFSAVVYPTAS NFDSWQALGNDGWGAKDMAPYLRKFHSYTAPSEATAELLGTGRYMKVDNQGSDGPVPV SLPDVYGPFNKAWNETFAKLGWETDADPLDGHKLGAFTCPLSVDGKTGARGYAAAYYS PEVAARPNLRLLAETMVERILFVQQDGSVIATGVQVKTQDSSYEIKAKKEVILCAGSL NSPQLLELSGIGSADLLQTHDIPVIIDNPAVGENLQDHSIASINFEIADGQVSGDILR DPNVVQALIKLYEETRGGPLAGMPLSMAYLPLVDGTGAVPKEEINAMLSKYLNDDDTP TNLQAQYSHIRKMLLQSDDPSSHYLFLPAQLHMNPGKTTLTDVLAKTLPENYVSIMLL HNHPFSRGSVHISSGKSEDKPTYDPNLLSHPLDLEIMARQLQFIDRIADTAPFSTLLK AGKRMPENTTGLSDLDHAKDIVKDRLYTCFHPAGSCAMLPKEIGGVVDSELKVYGTKN LRVVDASVFPLEPSGNIQATIYAVAERAADLINPRS PEX2_083890 MKLYNVFTFHIVRDQLIANTILVALATLLVILRGVSRRIRKNHI GWDDACCVAGLFHTYGMLAMQFHYARVGMRHHITAIPPENAVLIAKMLMVYQIVYYNA MVLAKFSYLTFYLRIFVSKEFRILTWICMGCAGAYWTGSMLQIFLICTPFEKNWNPTL PGHCASQNVAFSTIGAFNLLTDVMIMALPIRFVWKLQMSLATKLAVVGIFGLGIFISS ITIIRIHVLTTVDFTDLSYSMIWAAFWSVTEPALAISNSCAPMLRPILKAAFPSLFSS ARAAYSTQPSTGAVLSKNSTGKRVHGMDEMDSEFPLTQLDQRPGSADGGSLNDQSQDG PSHYTLYQTHRSVVGTPKELS PEX2_083900 MGSVTPQRSPLQIFDTTAQKKPDQLYCVHPVSSDIFQGWRTITF ADLGSAINRMALWIQENVASSDAPQTLAYMGANDVRYCAFMFACMRLRHTALLLSPRN SESASSHLLGTTGCSKSVYSPERSKQIEELKGANPSLKAWVAPGLWELFDSSSAPAPV VKEPAEDSEDRIAVYIHSSGTTGLPKPIPMTNGYFLALEQTGLLPLPENRESSLVAIA ERGKLMFVMSPFFHFMGILSIMQPILFATPFVLSPEKPLTAELLAQIVTDTHPETSII APSVLEELSQSELGMQSLSWFTFVAFGGAPLAQEIGDRISEITHLQSVLGSSENAVFG AMKHQDKSDWQYLEWNPNAGFDMRDAGDGFFELVVPRGEGRVAHAVFHTYPDKDEYKT GDLFVPHPEKQGLWLYSGRHDDVIVLSNGEKFNPTTMEEIISTHPLVARTLVVGQGRF QSGAIIEPNWSSWSGEPNALIDEIWVNIKKANESAPGHAQLMKNRVGVSSQEKPFQLT PKGTVKRRVVVSDYAEEIDALYAGGDQVDVAQISKDATHQDITVYVTAAVSEILDVPS FDENADIFSSGLDSLQTLHLGQVLQGSLKSARPGLGPVFGSPQLYSRPTIAQLSRYIL DILRGNEAVPDAANIESDSDRKTRIAELIGKYTEDFGKGHAVILTGSTGSLGAYLLHE LLSDLSVTKIYCLNRSDDAATRQLQSLREKGLRTFNKFPRRVEFLQAQFGAEKFGLDD AKYDHMLQEVDTIIHNAWKVNFNHRVEAFENPHIAGVRRLVEFSVASDKSAHIHFISS ISTIEGYSLERGPSIPEVIFNDPSSALRQGYGESKHVSERICAAASARCGVPTSIHRV GQIGGPTTEKGIWNKQEWVPSLIATSKTIGQIPNGLGSVSVQWVPVDVTAKVIIDIVR TRHETEDNEPCAAFHIVNPRAAEWPSLIPAVTKYFDMEQVDIKTWIETLEGFTNPTES DLQDKPALKILDFFKAISFSDEAGPWTETTKTQAASKTLQRLGAIDAPLMENWIKQWK F PEX2_083910 MILSYIFGLLVATSGLAKAVNITGYEYVVVGSGAGGGPLAARLA LAGHKTLLLEAGDDQGENYNYTIPAYSARASEDEKLAWNFFVHHYENEERQARDWKAS YDTPDGGIYTGLNPPEGSTLKGTLYPRTGTLGGCTAHNALIAVYPHQSDFEYIETITG DNSWTPENMRKYYVKLENNGYSLPAAKGHGYDGWLSTETAPLSIVLKDTQLLSMLTGG AFALGNFTDHLMNIGTLLLGDANADKKTRDTEPGYYQIPIATDDAHRNGPREFIIAVR DAKNDDGSKKYPLDVRMNTHVTKVTFDETESPPRATGVEFLDGEHLYKASPMSKSALP GTPGSATASREVIVAGGVYNSPQILKLSGIGPAEELEKFDIKVIKDLPGVGTNLQDHY EISVQGKIEKDFSCLDKCTFSIRGQDDPCISDWESPILGDRGIYSSPGLAATMLYKSS TAGDDFDIFEFGGPVNFRGYFPDYSINATDEHNWFTWAILKAHPRNSAGTVALQSADP LDVPKITFNYFDTGVGDYDADLTALYEAIELARDAFKRQVVNVTEVLPGADVQSKEDI KNYIKDGAWGHHASCTCPIGADDDPRAVLDSKFRVRGVSGLRVVDASVYPKIPGTFTA VSTYMVAEKAADEILSELKARS PEX2_083920 MTYENTRESCEAREGVVESPNGTRLSIIAKGAPRSANNPVVIFE SGLGVSGAQWAAVQRLLDPRIRSYAYDRAGYGNSPESKTPRNAANLAAELLDVLRAAK ISPPYIVVAHSYGGIIAREFLAAADAEAIAGMVLVDTNQENTHPKLRVPFSAIQALCG ERDYSDVIGLFRENSWTQEELDRIATDGSVPTAASTTDKEAALILQSSIDLGEKHQLD TRPLGMRPVTVIRGDSRRDFQRLRTAAQEGDCGTKEHFQEMDEFLESRFDVFDRELQM QQLSLSGNSRFVQATNSGHSVMATEPQLVADEILAVWDSSL PEX2_083930 MADALPDDSKLTKTRVLVFFSLATLSLMSALDGTSISVALPIIS HELHGSAIETFWTGTSFLLASTVFQPNFAAFSNIFGRKVLIMTALTFFFVGAIIACLA KDFTSLIIGRSFQGVGGGGINAMTEIIITDIIPLRLRGQYFGILSAMWAVGSVTGPIL GGGFAQSVNWRWIFYINFPFIGIGGILVLLFLKLVRLPGSVLKKLSGVDFTGTVLFVG SISSFLIPLTWGGVLYSWSSWHTLVPLIVGIVGLITFAVYEAKGTANPIIPVTVFQNR SIIISYVTDILHGMVLWCILYYLPLYYEAVKGYTPIISGVALFPITFTTAPSSVVVGF LITRFGSYRWAIWLGWATSTLGLGIMCLMDINTSVPAWVFLNLVGGLGLGILFPALAF AVQASVDSEHLAIAVALFSFLKSLGQAIGVAIGGVVFQNQMLKNLQQYPALALRAVEY SQDASGLVQVIRDMSDGQDKSDLKQAYADSLRIVWAICCVPVLSFLPPTGGGTLYLGS ETPILAVWGGVGFTRLPLKDLRDLYALHKDDLEEFLQRCSQTMRSFASDEGERSTDNV QQDLMEWITDSSRRLPPGHALPAEVSGVVTAILQISRYVIMCRRCRLTPGEMAQSFSA FSGHSVGIVVAAWMAVADSWSSLYDLTNVVMSDIYYGIRQASTIWDQGARVSQSMTTE CVRRGEGAPSSMLSVTGLERSKITKAIDEMNIILPEGRTVHLALLNDVDSFVIAGSPE GLVALASRLRTSQSPDVDEANTPLSDRQPRCSLQFVPSHLPFHSPLLQKAATEIRKKP NQHRLRRDQIRVPVWGLDSLLEKDPSLADNLEHCLVEMSLSQPVDWPKTLEPMTGRWQ VLDFGPGGSNGIGMVINKMKPGTQTKIYTVCPAERWRTRTQDSPSANLISTLSIGPTS RQYSNVEFVASEISRQEPSNERVASRFMHAMGLPNILVAGMTPTTCDPGLVAAIMNAG FYAELATGGYHDAPSLENAVWALAKSIPPGRLITVNVVYANPQGLSWMIPLLASLVRK GCPIGGLVIGAGVPSAEIAAEYIKVLELSYIGFKPASASAIYQVLEIAKSHPTLPILL QWTGGRAGGHHSFEDFHRPILETYHAICEVSNVLLVAGSGFGDADGSWPYISGEWSSQ FGREPMPFDAILLGTCIMTTLEAKTSHDAKQALVNARGVADTEWPSTLKGCTGGVISV ISHLGEPMHVLATRGMRLWAELDKTLFTLPKDKMITALHSRREYLISRLNDDYQKVWF GFDYVSNKAVDLADMTYFDVLRRLLELLHPDTRGDWTFPSYQKIFNAFLERIMERFGG VPLDSSITPQEKLDAVASAIPHLAFHFLSLEDTHYFVDLCQQKRQKPVPFIPVLDLEF PVWFKKDPLWQSENLDATYHRDAGRVCILCGPVAVQHCKEANLPVSTLLRQIHHGYLA KGPRLTPPAAWLDDKHDLSHALEALHVNLTDDVIQHPDRSLDAVSSERWLLLLGSQLG EWGRRLFGAQKLVSHGQSYENPVRRIFSARPGILVRLLEKAKDGYCGAEICDRATGLV DARVSINGSTITAAILARCSLGGHLRELSFQFLYDPSCSYAPIVEITEGRTQRILDFY HSVLFGPTMIRSKEVKPHFMQHSSLTVSKSHVLAWMRAVDGRELDAADPLGPNGEVPI EYATILTLMDQWRHLFTWDWDISKLLHQRTMVEMREGHRMLAVGDEVRVTTQIRALHN RDSGIEVVFDVKYEREGASIMDCIQQIMMLGQRAAESECSDSGEPTTWILPLRSESDV QAIQAKPWFSLIDGNESLNVGQTLIFEIKRETRLQDGSSVASTTGDVWLQEPKHLCGK VKLMCKATHPDVVADFMKREASRTGEPLRLPPQTGMTFQINPPDNSIEYAVAGGDYNP IHTIPTFSRFSGFEVPVYHGNHTIALVLQLIRREIPGANVMTLRKYDVTFSAVVFPRE KLTVLVKHVAMDEGRIILSISAQREGSEETVLAAEVVLDPPTTTLLFTGQGSQFQGMG LDMIAESRACRRVWAEADAHQFSSPVQWISTQDSLLNGDPDGRFIEIGPADTLMSMLK KTFAQGSNDATGEQNAEFLTFMEEIARVHKVPDMVLEEPTLPSQDIGVSGTEAVEPVK ADEPIKAAIATNTEVSVGTTGQISEIDDVPPLASEALLAIVASALKASRASIDTANSI KLLARGRSALQNEIIGNLVTEFEQLPDAVEEIPLVELSQDLQRSFSGKLGIYLNEWLG KKISAKLAPGFTLSKLRNHLRDSFGLKEGHQNSFFLTADFDEITTRLPDDGKSWDAVN RWTHLYMKERGLEHALTQAVTEDRSQPAMQQDTTSPQLARFGQDLAHLMAKHFTPPES GTETETKLQQKQEHDRKIEHKIDQLSKELGPEFISGVEPYFCPELVHRYQSSWNWAVQ GLYVTLSKIITGSTEMSGQQELQEWIKKSSDRLQSRSTDRLRRCAQYLHHKWEQLPQT PERDGCLLLLRPLVEDIESPSSGSGSTRRDEDYVDGDSSDSPSSYSSLPNKEAFTPSD SSLSIVQSPIRVSVKDQNGAWTTDIDLTNRLHEVETSPHLKPMTGTVLVIGAGKQSIG FVLVKQLLRAGSRVALCTTRMTPSTRRLYSRVYAEEARAGAELVLLPFNQGSVQDITK LIDYIHSDMGWEVDHLVPFAAISEIGKTLEDLDSQSELAHRIMLTNTLRLIGAIVSSK RDRHVLNHSTQVLLPLSPNHGQIGGDGLYAESKLGLEALLNKWSSEQWSDAVSLCGVQ IGWTRGTGLMEANDILANDIERLGVRTFAADEMASLLIMVMEPALFEACSVQPLLCDF SGGLQTLPDLKACMDSARLRIQTDATIKQRIQQEILHDQISSKADTQLNKPPAPQEPR ARPRNDYPELPECYNEQVPPDLYRLEGLVDLDSMVVITGFAEIGPMGSSRTRWEMEAE GVFSMEGCIELAWMTGMIKYERHRLHNGNNVGAGWIECESGEPISDIDVKSKLEGKIR KHTGIRILDLDDHCNPNPRLRNMLHEVGTQEELPPFECSSQAAEGFKARHGDAVEILQ DDGTTATVKIRRGASIFIPKALNTDYFVGAQIPTGWDPAIYGIPPEILAQADRPSLFA LVCTAEAFLGAGITDVYELYKYIHVSEVGNCISSGSGGLTAFQALHEHRMLGREVQQD ILSEIFIGTAGAWVNLLLLSASGPLKTAAGTCASSLESVDTACELISSGRSKACIAGG YEVFTRPIYYEFGNMTAIINSAQDSKRGREPSEMSRPFTSTRNGFVLSEGIGLQVLTS ASLALEMGLPIYGVVAMSHMAADKIGRSIPAPGQGILTAAKQTGAPGLSMNPTLRAKR IRTSLGHIERQAQADMDALREEISFMESRGEPVCAEAMEARLRELQEEVAFEKKTVLR ELGNNYWRNKPNISPIAGGLSVFGLSVDDITFVSMHGTATKLNDKNECATLEAQMQHL GRHPGNPMYTIAQKSVVGHGLGASGSWALNGALQALHSGIIPGNRNADDIDPVLQDFE GLLLTNENIKLRPSDMKAFCVESFGFGQKSAQVIVVHPRYLYSAISEKSYQDYRKKQI GRARIAARELDRGLHGQGMFKAKEETPYVGDEHAFLLNPLARTQ PEX2_083940 MAGFEDARMGYSSVIEQSKPNNCNGEHTGSLNEQQLIVLREIWA DILDIDVEDIDQDAHFFELGGDSLAAAEVVRAAASQGMQITRGQIFAAPTFSDMASLI SFGEPGAWEEPSSSLAPFALIDSDVSLIRQLAAEQCGISPEEIEDLYPTTALQAGLMA RSISVPGVYMSAWLFQSVHPVDPVWLTGILQRVVQKLPILRTAMVTSEEHTFMQAVLP LPATIDTIRVRSISELNIENRKPAMTVGRPLTKFSVVVSEDCDRPYVLWYAHHATYDM SSVALVERHIADLATGEESLPAPPSFALFVAHSIDIRKSPECKPFWTEQLDNCPASLF PFGARDAPAVFRTDSQYEISFPYVGTNSGFTMANRIRAAWAFLLGCYEQSEDVVFGVT NGGRYEAVPQCADIVGPAIATSPMRVRLNRESTVAEFLQKISMQVVSINAFEQTGLAN IQQLGQDGVRACSFRTLVNVQVTDAGRGSNLLTPVEPDKVEPLDYALVLEPFVLGGGS KLRLRLSYDSLVIRHEKVIQVAAQLKKALRLFTTHPNKKISAIERLPIDSPSSLASGP SVPPGKVPKPFQLISSPAHEIRKHAAVECGVSEDIVHDVYPCTPAQNRMVMASLRYPT AYKSHVVFTPPENQNDTDILHAWNALYRQMPVLRTRFFHSPMEVTSSKNDRELRMLQA VVDEPIQWSEWGDVQECLSADHGLIFSSGQPLSRFALIRNQPGGGIRQLVLTTHHSIF EPSITQRMLRFLQEITDENTHDLETTPFTLWAEAALQSLKEPSKIFWAQALKNCQAPS FPKVPATHAPLTTDTMHTTIALPAIESGIESPAPSKTLLQLAWALTLSQYYDSNDVVF GIAVQGAHGDRRNVMGPTFSVVPQRIVFEHPDMRMNDLIRMFEERTEELLDHAQYDIS MIREIDDSCATACEFQNLLVLKTTPDDNGTSPLYGTFRKAAVSTVAGKVVAGASTHIH NFALAVEVAPLGNEVSVRMSYDPFVLKAVQVRRLMSHYESIIRQMSTFDHSRTVSGIH HVPDDHLAEILSWNAVLAPRVSCVHELFEAQVQSQPSSPAVCARDGEWTFSQLDNAAE RLARFLRSMGVGPGSYVPLLFEKCGLAIIAMLAILKAGGSSVALDPGHPKDRLQGLIN GMGKCTILCSRENHILAAQVAQRAIVLDEQTLSTLSKQPLQRRLSDEEPVSAQSTAFV LFTSGSTGMPKGILIPHQAFSSSIRGHSEVLRFSTGPGSRNFQFTAYTSDVSIGEIFT SLAVGSCVCVPSDWDRKNNIAGAIRDLNVNWAFFTPSVATLLVPSEVPGLRTMVFGGE TASPENFQTWAPALHLINSFGPAECSIWTHCIPRKVTLDDFGSNIGFGVGCATWITDP TDHHRLLPIGAVGEMLVEGPNVAAGYLNDPVKTNATFVHDPAWMPPDRGSMRLYRSGD LARYLPNGMVQFLGRRDHQVKLRGLRIELGEIEHQIREHFSDGNMLVAVDIVNPRPVG SPPILAAFIASKEPEVLPEDQEGVLNLLADKSDQICQTLHGLESALVQILPRHMVPAA FVPLRQMPLTASAKTDRRVLKNLASSVSAEELSRLMVEVQSREVPSTVMETILARLWS SALGWHVDVDRQNSFFRVGGDSLSAMRLVSFARKENVRISVEQVFQNPILQDMAQCAI LDDSSAVDDINVLPDIAPFSALGDGDKVAGAVRSASVQLGVEADQIEDIYPCTPLQGG LLALSQDSRGSYVAQMVFELPTDIDRPRFESAWVSLLEEWPILRSRFFQWHQSNGTSQ LMQVVVKGRTRWLRARRLSEYLKLDRRDHMQLGDRMLRLAAFTDTSDKKQYFVMTAHH AIYDGWMLALLFTALRRSYLGLPALETTPYRVFVNVNLADRNSEESQRFWKRYVWDAD RPSWPELPSPDFRPKPTSVRKLATHFSTGNQRNFTPNTIMRTAFAILLGAYSHSENVV FPSTVYGRTSGRSSAATVAGPTMATVPVLVRLERQSTIHEIMDTVQAEGAEMLTHEQE GLQNIKQYNRGALATIDTQSLLVVQVDQPSEEWKNDDFALRSVDVSGLANGFLSSALV LEATVSGNELHLHMTHDDRVVAPRQAERFLEQLAHVVHQLCDASQTQLLRELDLVPQG ERDEILSWNAAVPKPTSALVQDLFAAQASQQPDAEALVSWEGSLTYRQLDEFSNRLAG YLWTSCGLRSGIRVPLLFEKSIWTVVAMLAVLKIGGTNAALNPAHSADHLKSLVEDIG ADFILCSETFEPLAYEITPRCYCVGSSVQHLEGQNGVSSIAPQHIAFLLFTSGSTGKP KAIMIDHVAFSSSIKGHGEVLCYRKGSRNLQFTAYTSDVSMGEIFTSLSRGSTVCIPS DNERMNDLAGAMERMRVDWAFLTPSVASLLHPDQVPTLKTLVFGGETATVTNIQTWSP RLHLINSFGPAETSIWCHAHPHFTVTDDGSDVGWSIGCATWIVDPEDGSRLMPVGTIG ELVVEGPNVAAGYYKNQAKTEAAFPESLPCLPPGQRQRIYKLGDLARLLPDGRLQFLG RKDGQVKVHGQRVEVGDVENMIRLALGDDHLEVAVEMVKIPDELTGSRLIAFISCTPA DQPQDRNKPAVLTNNEGLLAFQERTSSLREELASRLSKHMIPSFFVPLTSMPLSASAK MDRKLLGSLARNLEISELARFSLSSHRDILPPETRVQKLLHSLWSSVLMLNSDDFGIE ADFFECGGDSIGAMKLASLAHAAGTPLSVQDVYDHPRLGKLASTIEGRDPTDLVKSVS EIEAFSLLPTDHFDELDTLISRVASVCGVRPEQVVDIYPCTPIQRSMIKRTANHPEAY WMHNTFELSSEVDELRLKQAWQGVFASHGILRTRILPHNGQYVQAVLDESHEIQPVSG VSLDEFFIASRERQFTDGQPLLCASMVSDDTRRYFVLEFHHAIYDAWSLTKICESLQR QYLSLADQVNIQSMSETPIIGFNYFVKAVQQQNQAHALDFWSKYLLGTKTSSLAPSYE GDNADSTIRHRIALPDPMVAGSSITPAVMVYAALGLALHKQLQVPDTILGLISIGRSL PLTEELVGPTVTRVPLRINHKEQSSLHDYLLHVQSQARQPTAFEHVDFEEVVRLHSDA HDACHAAPQVVVHPYDPYTEQPTAKMGLLRQELSVVNNDGIALSIDISLVLRERALEA LDVRLMFASSIVGEEKLRLLVGDLNAIMLKIHALQGDLHSATVEEVLQGVPGSAVELA VERIQ PEX2_083950 MEEVMDSHSSNETEKGLQTQLDGMTSRTDVSNIHYGTQPPPMDG GINAWLFLAACFVMEALVWGFAFTYGVFQAYYTDLPQFKDSGNIAVVGTCAMGIMYLD LPLVFAAYRQWPKYQRFGCGLGVLLMCAALGLSSLASNTNHLIVTQGIFYALGGSIAY APCILLMEEWFDRRKGLAFGVMWAGTGIGGVVLPIVMEQLLGKYGFRITLRGFAVVLF VLTAPLVYFVKPRVPIADNRPSPPAPNFRFMFTSTFAIFEFCNIVEALGFFLPSIYLP TYAGMIGASTSLQALTVILFSLASVVGCVFMGAIIDKLDVTICILVSTIGSSIGVFLV WGFSMSLAPLFVFSIVYGLFAGSYTSTWPGIMREVAHNNPSAESSMVFACLAAGRGVG NLVSGPLSEGLIKGLPWEGSAGYGYGSGYGSLIAFTGVTGVVGGGSYIARRMKWL PEX2_083960 MGSIGKEEFTVPNPFRTRILNGQVTPIVTIKYALGNEIAMMAKM AGFHGIFIDMEHSAFSLREVSQLILACNYVGVSPVVRSPSKSHWHISRILDAGAAAVV VPHIESVQEVSDIVHHAKYAPLGARGCTNNQPAFKFQHVPAIKQNEALNSQTMLIPMI ETPGAVDLAEEILAIDGVDGILIGSNDLTTDLGIPGQYDDPLYLDSVIKIISAGKKYG KPIGVGGISGRLDLLERWFSLGATWSLGGQDGAILQKAFKQIVQNYEEINQRVQKQRA PEX2_083970 MTLSVDDNESVTRQYIGDLEQRVKSLENELAAARRIGHASREPS APAGPSDSQDHREHSPNFIEGGGISFMRHLFADSEWREHDPSLLQNFSKGSGPAEAGV RAALLPPPDEARLIFDKYLNGSHVQNPFLLRREVQSIYSKVFLSTSEDKQGKASERDA KQSFFRAFMILAIGSVLPYRNGEFEHHPYGYYLSALKYFDDSFLSGGLASIQDLLLVS RFAIYHYVGTSIWEVTRLGMRMCIEQDLHKAIHSSRKIGLLQEQLQRRVFWQCYMIDR YSSITLIRPFAIRDKYIQIGLPVDANDEEIEAAERSGAFSDLDSLGSMSSLTSVTTRI TEMSIFFACLRLRQISAQIHREFGGKEIRRAMQSDTTARGVIYSSLDKLLKELDQWRS STPVFHNPQSLYQMPEWYDLLCLRERLLLVRKAIDIVPKRDNIPPRDLLSLCLECAFG AITGYCQLLELKKITFTRSYFQMLFTAGLSVMFCLSVVKDFDPQTIQKSTDAVIMGEN AMKKMSEELPDAKRYVAVYEALRWYVIRKYGRPLQVECSNSHPSLEVNNAHSRSLAPA LMVDPKACHGQADGRPHLDQPEILSTGASVSPGLHVHSSLHATLIEPAFTHGNVRTQD GTNLSEGSILSWDIFEDNTLWNMEAGLNEYAYGDPPMSLYFDGMFDLI PEX2_083980 MGPSSPIGQSTLAPGSKQRGQASSVSCIPRKFAIEPISNAGGNL SHAAIPSYVSTLKRKRDDLSDQLERQRASRSGEAPAEPPQTPRSASRHRQEFQYATGP SRQDCIENTVQAAMGEIGFLSTSAMAEPRDETSGFSEELAMGRMVRAALALSGATPSQ SNIDSYGQQIAAINGPTVHLSRQLAVPFFTTFLDMMGSQMIHIDSNELWTDFDTFFTE SNDLVEKYSSNCTAKIFVVYMSVATGVLLSRESGSLQGLAGALHQKATKLLPGIMRSG NKIEILQCMLSLILYSMQNPQGGSTWHLVGLAMKKAIAFRFHHGSDSSVNIPSHTLLM RRNIFWSLYTVDRTISTIMDRPFNIEDDEITVKEPDQYMSDLPHMKNKLARQSVAHAR LMSEIRDGAVNSVLYHYSNLCYWRDSARNIKSEPSASEFPRAVVIELASRAMVEVLKT NGSADIEPSMIHSSQNIERDIVTTCSEFIEHAYQSSDRGEFTGSFVEAYDIFAAGVVI VCLNVKSPSSFTDAGVMNKCTALLTTVGERFAGLRVFRRVLWALFNTVSGNSKSDPIV HELPPIIPDGIRNLIAGILR PEX2_083990 MGDKKGNLPEGMEPQGEHDVQHIEENLKVSVAGKINQGEDSELY LEAIQRYPNDEAIDKEAERKLKRKLDMHLIPLLGICYFFYYVDKTTLSYAAIFGIKDD LNLSGSEYSWLSSIFYFGWLVWAIPSNLLMQRSRMAWYLAFNIFMWGVVLMCEAVSKN FATLAALRIISGAFEAIADPAFMLVVSTYYTRAEQPWRISAYYLWNGIGIAGGGLIGY GIGNIKGGLQSWRYEFLIIGAVCAAWGIALGLLLPNSPATFWGFSRDEKLMMIARLRS NQTGIEGRKVNWGQVKEAYLDYKTWLFTILGFLANVPNGGISNFSTLVIQGLGFDTLN TALLGIPQGLIVVIWIVLGGYANQYMPKNSRTLVCALFMVPTIAGALGFLLAPDNAYV GRLICFYLTGSYQASFVISLSLVTSNTGGQSKKMIVSGMIWFGACIGNIASPFFYKSE QAPAYPLGIGSLLVANCLELMLFFVLRYAFIWENRRKEKMRDELRERGGESELMADST AFADLTDIQNPNFEYVY PEX2_084000 MGGISSLKLLPFVASCAFAGVKYPQIPTDLTTPFQQRLSVYGPD AVSVAWNTYGQLEQSCVSYGLSENNLNTKACSSLSTTYDPSRTWSNVAVLTGLTPATT YYYKIESTNSTVNHFLSPRTPGDKTPFNMDVVIDLGVYGKNGFTSKSAKKDTIPVVEP ELNHTTIGRLAKTIDDYELVIHPGDFGYADDWYLKFANLFDGKEAYEAIIEQFYDQLA PIAGRKPYMASPGNHEADCSEIPLLNGLCPKGQNNFTEFMHRYEKTMPQAFVSSSTNT TAQTLARTARNLSNPPFWYSFEYGMSHVVMINTETDFPNAPSGKDGSAGLNGGPFGTP NQQLDFLKADLASVDRSVTPWLIVTGHRPWYSTGGSSNICSPCQEAFEGLFYQYGVDL GVFGHVHNSQRFAPVVNGTADSNGMEDPKAPMYIIAGGPGNIEGLSSIGSKPAYTEFA YADDFSYSTLRFLDEQHLQVDFVRSSTGEIVDSSTLFKKHATDFVDQ PEX2_084010 MTGNAEAWTLLSLALVTISVRVGVRWKLVGPANFQLDDYLMPLA GIFFVLETVAAYLVGAKFGGLTNSYMTPEQRAALDPNSKEWSHRVAGSKVQIIGWSLY VAILWLVKFSLAVFYSRLTTGLQHLPTRVRVGYIILGVTYIATALSLLLSCQPFHAFW QINPDPGNICQPSNSRVYVFVVVILNVLTDIYLLSIPLPLLWTVNLNLKRKIPLMVLF SGATFVMIAGVIRAATIMRSSPDGAEAGSKWACRETFVSIVVSNLPIIQPLIRKGFKK IGLSHVFSSSGKTSGNPYQLSSRGLKTLTTRGEGDTKKSKTNAAAPTHMQTSAWGSDE HILAQSEPSSKDITVVSETVVRSEPWTLEEGSGVGTSTTPPKEWGSQLSHR PEX2_084020 MSTFDFIVVGSGPSGCALAAGLAHSTTKPRVLLLEAGENKEDRS LRVDGQRWLTFQDQSMNWGFKTTPQVDCNNREIDYSRGRCMGGSSSINFGVYSVGARD DYQEWARIVGDETFAWEGVQQKFKDLETFHAEIPEGIDRKYVDPKMENHGSEGPLHVG FAREWERDLTDLLDVFEQAGFPLNPDHNSGNPIDYASKEVILSAGSLNDPRILMHSGI GPASQLQQYNIPVVHDVPAIGQGLRDHCFVPMVNTRTATSTDRKSFYGDKAAMEAAQK QWEEDGTGPWAKFACELGIGWFKLTEQLISTPEFKALPTDEQKYLLQETVPHYEVLTH FPVHWVIPDFPTEALNYSCLLVFLFNAQTRGEVTLQSSDPDSPLSFNPKFLAHPFDRR LAIEALRDSFRVAKHESYTKDNVAELAMPKGDSDEELLEYWRQNISSSWHMTGTVKMG TKDDVDAVVNPDFRVAGIENLRVADMSVVPVLVNAHVQAVAYVTGAICAEKLVREYSL PEX2_084030 MDNDTIPGIPSTLPVLKPGDRTSNAGPWSKHIKRLPWSIAKEGD LVKSVFVKFMMSQEKKREPVVRPTMGLNPERWNEDIGSNIHNVEAVFVHMAGGSLHYV WWLMSQGSLSAVETATAVAYQHEALINVPMYPDLDQVELKLK PEX2_084040 MPSATSEKGNHLGAILVKDSPNKSVGQMIQSADHEVFSESAEVD FRTVTWPRATIIFLKTVFATGVLSIPIAISTLGAIGGSLSIIGWGLLNTYTGMIQGEF RLNNPSCHSIADMAGEVGGIIAQEITGVLFIFAYLIATGSGIVGASIGLDVLSGHTVC KVWWSFLATVIIAAAASVRKFQHIGWLTWVGFISIFTAVFIVSVAVTTRDRPAAAPAV GAFDLGYQALPYPVPTFAAGMAASCTIFASFAGTSAFLPVISEMKKPRDYRKALFVCM AFVIASYLSLSLVIYRWCGTWVASPSLGSAGHTVEMVAYGVGIAGIIVSACLYLHVTA KYCFVRLLRNTTHIQRNTMTHWATWIGLIIGLAAISFILAEAIPIFNYLIALVGSLCY APLAVSLPGWLWIADHKSWIRGSMAQRMAYGAHMFLVLLGLFMLTGGTYGVVDEIIVA YRNGSIGGWLSQQQKDGNVLQPYHLLISLLGRVFGCKST PEX2_084050 MPSETSKTLDIGVFLPIGNDGWLISTAAPHYKPSFGLNCKVAKL AESYGFDFALSMIKFRGFGGKTEHWDYNLESFTLMAGIAACTSRIKLFASTAVLALPP AICARMAVTIDDIANSTAEAEQYKNRFGVNMVTGWQSAEFTQMGLWPGNEYFGYRYDY AEEYVRIMKELWKNGKCDLDGKYFKMDDCRLEPRPAGEIKIIAAGQSDRGTKFAADYA DYNFTLGKGINAPTAFADSNQRLVDAAKETGRDVGAMILMMLIMDETDEAAEAKWKLY CDNLDEEAVAWVHSQSKMDTKADAQSMVARFVEGASAGHLVNLNGGTLVGSYSKVAGL LDEIAEVEGVKGVMLQFDEFLSGVELFGKYVQPKMKTRVGKDPRSNGV PEX2_084060 MMSALISTSKGQNMAPVYKVAVIQMHSETLQVEANFNKATSLIR AVANQGAQLAVLPEYHLTGWAPEDPDFKPACRSWNIYLDKYCKLAKKCSINIVPGTLV ELHDTRNESEKLLNAAYFITDKGDIVGKYVKKNLWGPVERAHLTGSAREPHQVFDTPL GKVGLLICWDLSFPEAFRELIAQGAKLIIMPSFWLLNETSEEGRVVNPIAEKLFIDSL LTGRCFENTCGIIFANAGGPPAQSFIGLSQVCVPFAGPLARLDWAEGILVVDLDMGII EEGESSYKIRADLAREDWHYDYRHTHAK PEX2_084070 MAAPSTFLDAMHYRRSIYQLNNTSPISDDQIVSIANSVIQDVPS SFNSQSTRLVVLLHDEHVQFWNIVLECLRAIVPEGAFLKTEKRIAGFRNSYGTILFYE DEETIKDLQAEYELYAERFPQWGEHTSGMHQYALWVALEAEGLGANIQHYNPLVDQQA AIAWEIPENWQLKAQLIFGGCESAARESLPPKTQRPVEARLSVHGSRSDGVGSV PEX2_084080 MQKPIVSGLMELNNSTDIDPWGGILNWAIDRQCLPIDPAIAGSS SSQLPTTRKTIEKPRAGRRGGRVYTGCFTCRQRKIKCDGRRPTCANCERSRRHVCHGF IVAPAATTAIAQIFDNKAKAAPVLHTQRSEADQPAEAFFAPSPRISIASSPLESVETN ENLNPPIISSPGGTGMSSPESMDNSTHGQTTLQTPPGWPSNRDGPDDHEVLLIRHYRE VVGHIMMPAIDSPRNPWLQIYLPLALARSPDRTTLALRHAILAVSALHRAQPSGSERQ SLLVRAKVYALDAAQLVDNCLSDSDAEYAEAQKHALLACTLSLISSNVFASDSKGYQE HLDLAHRVFTMTGSSCFWRSSFRSSVLYQIYRFYELLVTTTQGPLGSGPQYNECSPTK SASPDEDADNFSTFSRSSMEFQDPERIAMSSHYVLDTCFGISQRTISLLYRTVRADRQ IAKWDPRVSIEESLVKEFEDVRAQLYDITLDSEALSRSALNDEINFYVPDPKLKLLWS FGEDAVIPTAVRDELLESHQWAFHNAVILFFGRCFDSPQFRRSETDYPDKGRPRWANL ETPFQDYQKFVALTFDSLETIQCLTRGRSIRPAITLWPALVAACEAVDVELRHRCLQW FQNASAKGIGNLSRARALVLEVWKRTDRHLDTDGRLPPSSRGLGFVDWRDVMAELKTP IMLT PEX2_084090 MITSTSPYIHSQLAQGLAPAISSISSTAKEDSLPRSQIALVLQG LRQPYTITPAHPVPLLSYPDELMIKIQAIGLNPIDWKSVDYGFGIPSLPYIAGRDFAG VIIKAPRASSYIEGDVVLCASTDYRDQRKAAYQEYAIAQEHTVCKLPSHVPVTHGAAL GVAYVAATLALGVCLGLRFPALTGNDLCDVLQSLPPDSFSADVRSECQESIDEHERPC TGDWVAIWGGSSTSALFLSQIASLAGLKVILVVDVARHGARLANSGCLLVDCHDPARA VVTIRGITQGSLRFGIDTVGKETATQLTQCLEAGPEKRAHLVALAALPKKEVPGIVYH SVPMKIFHEVPEIGKCLMLWLGIALEKSLLSLPTISTVPGGLSGINTALDQMRQGQVS GRRLVVPI PEX2_084100 MQKSVHMDPSNARGSGQTPYPYDTNHISELLKRKRRTRGIKSCF PCRHRKVRCDGNMPCSSCVQRHHPELCCVPSSSGNEPSASNEKGSSFPMNREDDEGRQ ETSTLSISGEDQSVYINENDNYTPGIDLILIRLEKMDQQISSLKEELQQTRLNSGSAL HRDATGATIFLGSHSDPPVALGYRKAGSDPILNDAMLLDQLVPRTYPFTNLWKQEPGA GEICETLPDESDIIRINDTACTEYRTTRRDSLRHAKLHRGTIPAKTPVAQIAPSQNVC AICQILLERARQDNTETLTETLSFKSRMAAISKDAAPFLRDKAHCRSLQDHLERLALN IHICYTICRLCRLILESGSIEAHNPAINADSIKVECIDCAAQAVQSFLDMHRLAATNL VDSSLQGSRADVLVKRLIVVLEREEQQSVWEDTDTNLRYFGPYSRALKALRETSDGQ PEX2_084110 MSTMFYLIGDDISTAQSVTLDSKWKIEDVKRAVGAVFNVAAPLG LTFHHGENEQELSLAEEILSAVSSHTTPIGLRVDGQAVQSPQGPEGLPLVGSFYEIFP DHLGNHYRLFRKYGHVIKTTNMGKTTYLTDSPELAAVALAESVYMTRKINENHPLWGV KDNTAIFIGDTETENWRLAHKFLPPAMGPKAVRHYTPLMQECVRQSFAVFDELDSCGK SWNAYQYMVKLASQTIGKFSLGTDFEHFTDVDAPLHPIVTNITSLLSLNKKITSRGEW YRHLPFGDPARLRVVQRTIYTLLQAKIDLVKGSGIDGMPMNQAAVEASCVVNYLLNTV DETGQKFPEGLIVANMLIVTGAGFTTTSALMSWLLYCLVTYEGAQDRLYEELCERGVA NTEKPIDWTPEFAHSLSFLDCFIKETKRLHNASFQPGRTTKTEVVLPGGYRLPPDSVI VPNLYSIHTNPEVWRDPFRFDPDYWTGEETKNKHRCAYIPFATGPRGCIGFNFALLEV KILLSELVSRYEFTREGLEAIEYDPEFQLIRPLNFYVRAKKRPAAA PEX2_084120 MGVKPRTDRPVAVIGGGVLGRRIACVFIAAGYRVHIRDPSPAAL RDAAEYVDHHKAEFSLMPRITKAREEDAEGKGTNPKDGTSISQVDLESHTSAPYGICK TFTELESAVSNAWLIIEAVPEVLQLKIDTLADLDKHAPADCYIGSNSSSMKSALMLVK VSSKRQRKVFNIHFAMPPSIRMVELMTCGKTDPEIFPYLETVLGECGMLPVTARREST GFIFNRIWASIKREIMQILSEGVSDPSEIDLLWEHMFRNGPLPCQLMDQVGLDTVAFI EDNYIQERGLPSASTVDWLRKEYIQQNRLGKKSEKGGLYPPLAKSSTAKATPASPHSA AKDIYLLDVGLGGNAKDVSQVHSNGKILRLNLATQKLTPVVVGQNLPDGIDVSLDAQR IFWTNMGRSTAACDGSVWSADMEGGDMTCLIPVGQVHTPKQLAVIESRKQIYFCDREG TSVHRCDYDGSNHIILVQRRAEPGTSLLEQMTLWCVGVAVDAERGLLYWTQKGPCKAG RGQIFCAGLNIPAGETAENRTDIRRLWNNLPEPIDIELDSESRTLYWTDRGEHPFGCT LNHAYVGGEEMDMEKVILARHFHEPIGLKLDKANNIVYVADLGGSLYSVSLEDGLKTE LVRNDVCYTGLTLV PEX2_084130 MHFQTLLPILSALGTTSAAIASKPAHLWATHYNGNVYSLTLKNN NLSLSQTLNTCGDMPSWLTLDAHTRTVYCSDETGTADASTHGSLTALHVRPDGTLREG AVAKTVGGGVNSVIYESDAGKKFLAIAHYEGSAISTFALPIKQNQPAQQAFHFNLTRP GKVAQQDSPHPHEVFLDPTGSFIVSPDLGADLLRVYAIEDGPSGKLSECPSLNITYGS GPRHGVFWTDGTGRSAGVGSTHSRKIAAVGETMLYLANEIGGTIMVFNVSYSRSGCLS FEKTQTLVPYPGGVMPEGATPAEISRIGNEIYLSIRTDQGFKPSDSMVVLDRSPADGS VKVRDSSSAFGKVPRTFVINRAGDLVAIGNQASATVAIVRRDPKTGNLGEKVAVLQVG EPGKVGTAEGLSSVIWDE PEX2_084140 MPPRSSLTSSFSVTDANNEVEKRYRSMQEHIRRAHPNHYIPKLP ATEESFLMMVNTPLEQRVQLSPPEPAQPRRPHDVAPERDIYVADGSPATPRALDEPHP AAATAAVALAQLHHHRLASDWDTDMDTHSDTDLSHPRLRGAIELPPLRDHFKQESLPP FTPRPRELLPSILNHSPPGRSSTLPPIQRRDKFCRPRKSSITQSARKPKQDRPKSKEF GRRPSLGDRKALSAEPQTAAWAQGKRWEDLIEAATSATEVDDEPYSEAGRSPTIAPLL SNVTSVPSGVKNRSSLPPAFQSAGLPPITSHRPFPPHSYAASPLHKSLTPPPYENHRS RESDLEPFPSIESSLDSMSSASGRNFASSVSGVAPSINSDSSPVMNLIPSISQRQQHR FSNPTPASFRNKEVQDQQVTLVLAGVHDAERWEANGKPSKSTSSERPQ PEX2_084150 MAQHKIHVAVLDADIPCLSVYKARGLYSSQFRVLLQAAAQRLNK TPETLQKGPLAVHVTAFDAVGGSLPPLETLRTNPQSPAEPHAGEPLSPIDAILITGSA SSAYEDQPWIHAMQSFIQTVHTHYPNIKLFGSCFGHQIIAQSLLSTKANPNNPPKSTF HVAPSPAGFEMGIQPITLQPSFTAHFPPLARATAQNPFRIQLVHGDAVVPTPEAEAAA DQTGVSLPAPWSSIGSSAQCAIQGLYNPGRVLTYQGHFEFDTFVNGELVQEFGRRAGW SATFVAEYLEHIYRSRVPGLEDDDDAKAAAEAVLLFFAGEDGDLMGDGGGTGIITPPL D PEX2_084160 MAPAATSSLPAAPHDLTGPISWDLFPDGLKTTGQHPPLYDHIIP FEKFPKQIDGPTVWKAEDYRENPEKWTHVFTQDQIDELSTASDAFLASKTPLTGISKT NFQLPSLAAYLNDLRADLIDGKGFILFKGFPVEKWGNHKSAVAYMGLGTYLGYFVSQN SRGHVLGHVKDLGEDSTQIDKVRIYRTNARQYFHADDSDIVGLLCIAKALEGGESDLV SSHHVYNTLAVERPDVLKTLTEPIWYFDRKGETSKGQEDYIRTSVVYLERGEKGRVYT KWDPYYVRSLGRFSDAGIIPPLSDAQQEALRVLEETCVRLSLHMILDIGDIQFLSNAH VLHARTAYTDHAPPAPRRHLMRLWLATPESEGGWKLPFWDSNEKKRGGIQVDDQAPVA LLDAE PEX2_084170 MLSTVPLNVGRRRVCGSVFSPASLEAHPPKSTSDFQPEQTPVAS FQESTDSSSTFKADSQSTSFATIGGSTDAASPEEDILNQARQTAKEFLSVPNLGFELL YNFRGTDGSQVLKEWNRLSPPSKETAEALEYLMRTSPWTLFEWYGNDIRRHFLVNLRT GLSKLLKSPGEEGLLRTIVDCLQLARRIYLAPVVHYILPLIATPHQGGFLAQLQRAFH TVVQYSLPWKKISPLLARELTEDAVIILGIDTLDEDSDADRMSLDGTEMERAYSVSYK DWRHESPEAQTHMMVEGEDGSVTIARDRLLAFLNGLQLVGLGGDQAQKVFANVMNIMM GDFIRAAYAGEWESPSTAPQHLEHWVENVYARLAVQVLAIIHSDPSGTQSGVMEVSFR DVEKWRAMGIARLGKLRVSELFDVVVDWPASSGAVEDLRRFTNNSSGARLYVTHFFSI NLLQRLLHPGASTVEILQVYISIIRAFHILDPKGVLLDRIARPIRRYLRDRDDTVKVI VSGLLADPAAAEGPTTTGDTLSELAAELTKVHQHSMQNNRSELDYDDLYWMPDPVDAA PDYKKSKSVDVIDSLVSLFDSKETFVKEMQTLLADRLVQKRQNYDQEITVLELLKVRF GDSALQACEVMLKDIADSRRLDLTVRNDQILSGYRKQAGVGKLHAKILSRFFWPEFQE QEFKVPDEITQLQERYAACFERRKDSRKLMWRNGLGQVTVELELENHTFKDEVTTWQA TVIYAFQSDSSEPATRTIPELAEELEMTLPLVRSACLFWVSKRILTEVHRDTFRVLEI LPTEDEEHHAHAAGDFSDASDSEAGDTANAAAAAEAAAAAAAKESAEAAAMEKMNVHW QFIVGMLTNQGPMALARIVMMLKIAVPGGFPFSNEELREFLGGMVSKGKLEIVNGGNY KIVH PEX2_084180 MASFMEDLWSSIFTPGPTPTLLIATNVTFAALQLVFFALLLSTY SLHFVALSIISGGLWWSINWFAAEVRLAQLAQETEKEKQEEADANTRKSPAVGESADS DTETEALDVKKADDKSSAVATGSASSGLLPTARDPKKRPSTRGEGSGYGSTDSEWEKV DDTQS PEX2_084190 MVDDIPATKELSHDPAVEGFSHHANDIASDREPYGPPGLRGLAA NPFVVLCAACSTLGGLLFGYDQGVVSVILVMDQFLTEFPRIDEGNPGSGFAKGLLTAM IELGALIGALNQGWIADKISRRYSILVAVAIFTLGSVLQTAAYGYPMLTVARLIGGVG IGMLSMVAPLYISEISPPECRGTLLVLEEWCIVLGIVIAFWITYGTRYMVGEWAWRLP FLLQLIPGFVLAAGVYALPFSPRWLASKGRDEEALDSLCRLRSLPASDRRVRQELMDI QAEVRFHQQMNRENHPDLQGGGTKNSILQELSSWADCFRKGCWRRTHIGIGLGFFQQF IGINALIYYSPTLFATMGLDTSMQLIMSGVLNVVQLVGVTTSIWTMDVVGRRKLLLGG AALMAISHIIIAALVGIYSVDWPSHKAEGWTSVAFLLFYMLAFGATWGPIPWAMPSEI FPSSLRAKGVALSTCSNWLNNFIIGLITPPLVQDTGYGAYVFFAVFCLLAGVWTYFFV PETKGRTLEQMDHVFKDNSSEEEKAKRRVIEAELIRAQYENVHQEFA PEX2_084200 MSEPAYNAIEDYGLIGDMHTCALISKAGSLDYMCWPVFDSPTVF CRLLDARKGGFFSVKPYKTVVDAHSKQRYLPYSNLLETRWTNEDGVATLLDYFPVTPK KGAQSSRLLSGYCPCNEPGTNRFKSGLQHSGLIRKLECSRGSMELQVQLFPAFNYARD SHNTRAKLENDMSKHRLQTVHFESESERLQVEIFADSREPDKLGFPPASLKLEERDGL RGRGLVAWIRLSEGQTIHLVLHSPEKAVPSSATMAAYLLKMEEETSDYWTDWTRKCAF RGHYRETVERSLLILKLLTYKPTGAIVAAPTFSLPENVGGARNWDYRYSWVRDTAFTL YVFLKMGYSQEAEAYVNFIFERVFPHASQDLDPNSKKPFLPLMFTIRGEYDIPEVELD HLEGYKGSKPVRIGNAAVFHTQLDIYGELLDSIYLYNKHGNPITYDQWNSIRRMINYV VGVRNQKDMSIWESRGQIQNFIYSKIMMWVALDRGIRLAEKRASLPCPDRFEWIRVRD EMYDEIMTKGYNEERGHFCQSYESPEVLDASILIAPLVFFVAPNDPRFISTLKRILQS PEKEGLSSAKMVFRYDHQKANDGMTGGEGAFIMVTFWLVEAMARAAKYDVPIPNIWKL ALSHFDNILSYANHLGMFSEEVAISGIPTCLMAGASLDWADKPNTDLALSSDEEGLVS SPSTTSRELEGAAKQNLTLTLGNLRLEQEGFNQSSEQDATPTTPRTEGPNQTPPELEM ANNPLQLLDLPLDILKEIIKEVTHTTDLTSLALTCSALHSLAIPHMYSRFDIVWPESL NPSTDDYSGVDALSYGLSTLVMGEDVFNRLPLFPSDQAKSSCVNCGCDNLHHQPNSDP GDNGVRLRSRRGNHYAQYTRTFSIGNGPLSWVQEYSVTKEVGKMLGTLVALAVARMVN LEAFIWDMPTGVVREIWLALASLADRPGQDCRLERVWVRWHDNSENALRSSSAAATRL FQKYKHVEHPSLSVLPPLKNVAVLDIDEPAYVEELALLIDRSRHRLSELRIGIAEKAY MSAWLLCGKESDGSTSWPRADGVLGILTRRHLDNKQDNAIIASPDDDKSLSSESAISK SLNSTESTVPLTTNEEQQSPNTTKDKKSPPSSGLPKRSARSPLPSYESDSEILCLKVL ELERVPLSVPTLLPAVDWTGLTTLTIMRCEDHEKLWRALRRKYAPPVMPTKRPQDAER RASVSSVEYSLNLKHIRTDTVSPYLILFIKDALAPNTLESVYLHEAPGHESAVQIDAI YRHILRKHRQSLRKVLIDATDRIIGTGYSRTRWHKWMFNHDMVSFVTSGKMPQLKELG MAMHYRDWHFFLQRLPNMPQLRALYLPHIHQAVHRYLKELALQVLDIVSIRPDLKIAY IGLHIKCYQILEARHDDNPLDFDDHPAIDHSPTHSEDEDEGWANPNHASDEDSDDSDE DGSGAADTDLLSSDPDDYDTEPDEEQGASRIRYRLQEILFYDEKVSIFKARHGVL PEX2_084210 MGLSAGAHHRRRSSMLTGTGRASQPILTERRDDTPWSHGDGGNH KREEQEPLTTEDDTDVSDVLSAAETLELDPMTSDDDQYDEETGLTSHQKRQRRRRRKQ RRKLDARIADVKGQGSIREILSDRNVVKKLLINGGLILLWYLFSLSISIYNKWMFSES DIVFPFPLFTTSLHMAVQFSLSVIILWIFPSLRPQQPTGSAATSPIDVTEEPQPLMSK LFYFTRLVPCGAATSLDVGLGNMSLKFISLTFLTMCKSSALAFVLLFAFLFRLETPST KLIIIIATMTVGVVMMVAGETAFNALGFALVIASAFFSGFRWGLTQILLLRHPATSNP FSTLFLLTPIMFLSLITIALSIEGPHEIYQGYLALASKHGNLFGSFLLIFPGVLAFCM ISSEFALLKRSSVVTLSICGIFKEVVTISAAGIIFHDKLTTVNVTGLVVTISSIAAYN YMKIAGMRSEVPEDDSSSRESSPASDTDEGEHSSGDPGDYRRVAHQDSSIISPAPGGY LNDDPQSSSASDQRHSFRVRSSGARRGLSISTSIILEDDNTLPPLKSAPATITTMAEA GFPHLHAPDHEASPGVQSSSSVSPIRSQHFTQH PEX2_084220 MCEDSGSKVSHKRNLSNGTSELSPPRRSKRQKSTTNLKELSSPE ASESEAEKFTPAVKKEADEKPLVDTAKENKKKAPVKKAPVKKESNEGAKPTKVRKSKK DQELESMPLAPRTKGLRMFVGAHVSAAKGVFNSIHNSENIGGNAFALFLKSQRKWENP ALQNDHRDQFRKLCAEKGYDAAKHVLPHGSYLVNLAQDDKAKSKQAYTSFLDDLRRCE ALGITLYNFHPGSTNQTPLPEALSRLAEMLVQAITETTTVVPVLETMCGHGNTIGGAL SEFRDLLALIPKEHHSRIGICVDTCHSFAAGYDLTSPAGFKAFLDEFDDLIGIKFLRA LHLNDSKAPRGSKRDLHANIGTGFLGLRAFHNVMNEPRFEGLPMVLETPIDRVPGQNV ATGDDTADNSESESGKKKQPKKGPKRPAGAGAAAVPDPGVWAGEIKLLESLIGMDPEG EEFRALEARLSEEGRAERAKHQDQYERKLEADEKKKAKGQGKAKGQKSLMDMMKGGAA KE PEX2_084230 MAASDELVDFDIIEAQKENVQSLPGGRSARELARIFSAGSNGDK IPSPSPNGTRTINDAMRQEFESELQSIGESDDPLDVYDRYVKWTLNAYPSAQATAESG LLPLLERATKSFLSSSHYRNDPRYLRLWLHYVRLFSDAPRETFAFLARHQIGESLALF YEEFAGWLEGAGRWSQADEVYRLGMDREARPVERLGRKYSEFQFRYDQRPQDTGPSSP ALPTVRPALAAKMNPFAPSDTSADLQASRPPPQTGGAAKTKSGKPKMAIFSDADSAAP GPATGGSTKGWESIGSMRDRKKENEVEAKPWAGETLKVGKKAAPTQKMAIFRDESNPD LPSKKPMPPKQVPEHHVREAINPRTGRRERVFVDLESVYPDRTNPEHEMSFEELRAIK RGWMDRNWQQQKEPLQQISGNAGSTESLLGKSHSPGELPEHLGQNLTTASHVQSQYED EVHGRKPGKASYTKIKGETTQTQTVKMKFDSPSNNKKVRRKSTREPTMTMHTRAATDE IYSIFNQPLKAETEQDADSFCGSDYEDDDCASGGDSAGTGHISAASSDFGDEETQTFD KSYDDTDYANTTRAESVDGDGSDWTEFNPDRDIPDLENAEVTSHSVVSDGAEGSSTHG TPERKGFIPEMPEDYAPPWGTYRDPAIMAQNRLPFMTPIVERTESIPSLTAARNSIYN AKTPSKPRSPAGNLFLSSPLGTNTPYQGDHTVASTADVPFSPMAFKALAPKFRRREPI IRDAQCNPTDKGIRNTILNSLERPLATYTGYHGHVEDSNYASMIQKFVKTNTRRSKSG GNEAFDTPILEFPGAERSYIIRRELGAGAYAPVYLAESVDSLESYDSDSDDSTSPNEK TSQLRKSNAYDTPRFPFEAVKVENGPSSAWEFYMIRTAYSRLRQSTDHSRATDSIVRA HELHVHQRESFLVEDYRGQGTILDLVNIVRNEAINSNHTSEGGLEEVLAMFFSVELFR TVEALHAHGILHGDIKADNCLVRLDDHNPNQPLSKALSLLDLGTEETAFDPRESVHYS PSGSHGWQEKGLALIDFGRGIDMQAFSPVVQFIADWETGTHECNEIREMRPWTHHIDL YGIAGVIHVLLFGKYVESTAIDSSDGNPSSRTYRIRESLKRYWDRELWTGVFDLLLNP GAERWQRIERENGVDAGPSSAPILPVLHSMRHVRRGMEEWLLANAEKKGLALQIRKIE VLLTERKKKLEK PEX2_084240 MAQRQLSRTLPKNFTFSLGDNEPKTPERPRTTMNVPPPPRHSIS SGRLPRVRARAGTNVCARMDMDMFQFHGSDVPLPSIEVPQSTTDFEAPSFRDFANDEG YLAPPRARMDFKTPPAQICGTSFDSCDTGNPWPSWDQTPGPNNIKRPDSVCSTLSNSS IESIETFASRPSVGSFTSVESDLFDSYFPLEMSKEPEIESPSRPQKQSVNIKVSKKSW TREMDTHLWNTYQIYLQDPTMTPFKMTPGSIPPLGVTSRVARRAKKTWWQKSSRIVES VSMKSVDPIEISTPKAMEEESQPAWPKSDSKTRRRLKMLCRRKFSISPHYQRIMQSRT PEPVTEMFGPPTDTRVKDFADNSAYTTRDLGVSLVTSSEPTPLSQVTQDLPLTTDWFN NPVPAHVEPPVAKTPSQHLRVESAPSIPRLGSPFVYSTWGPGGANRQVHDPNTRRGTV HAPGYRARRNTYFDRSLPDTDDVFASVSREQKNPSDQEVERRLEDYVRDNKFQDMGHG RVRIRNRGATTSAVNPRDMDQLFSPPSSLNSGPLEPEDTTPILKPPMNPLLDLGDNIK RLGSPFRVEGGHKRREAPKRLIRQAPALSDPFSSAGPSYVGPDDNTSPMQVSPAQVHD KAPGTLPYNASEDGLSDAERIRRQILNMPFTRN PEX2_084250 MVARLSSLIFVGETISHDDEWIDVSVNYAIDAFVAMRDLREWPS ILHPVVHWFLPSTQKLRTHLEMARSIISREIDRRALIRAGKLPADDLSRGPDAMDWYR ETAEAQNNLTFDQSCAQVGLALAAIHTTSNLLTNVMYDLAAYPEYIQPLRDEICAVAA EDGVLKKTSLLKLKLMDSVMKESQRINPLSLTSMNRLALKQIVLSDGTVIPKGANMFV STKILEDDSIYPNAATYDGYRFYNKRLQPGNEHKHQFVATTSEHFVFGHGVHACPGRF FAANETKIMLLHLLLKYDWKLQSGGRPPNIENGVESITDPRIQILFQSRESEVDLGFL GE PEX2_084260 MGDSGTATPYILSTPVGNNPPQPLASPNEISVLITGFGPFKTNL VNASYLIASSLPSSFLFPSPNDHDSEPRRVSLHVHPTPIPVAYATVREALPLILEEFA SSHGGRRPDLIIHIGIAAPRQYYSVESLAHRDDYNITDVNGRPGYVDGEKQWKGLGLP PILIPGRVTDDPSSASPYQPDDQFLETWRSFAPESDLRISQDAGHYLCDFIFYTSMSL AQLQGQDRNVLFLHVPGASEDANIEQGRVVTLALIKAMVACWLDKKHSA PEX2_084270 MLQSHNMASAVILGLVLLIPILLIPRFTGWPKSVQSPTKLLRLW PQRPKQSSEIISLRMYPIKSCRGLEVQSTVLKQHGLDLDRRWMLIDTSSNTFLTIRQI PDMTCIRTALSAEGDELVVSIPIPETNESSQDKDQDHQFHTIRIPSHPSPQWLAENTN LGPVHIWDTETDGYRYSDEINAPFSAFLRRDVALVYKGPTPRVLKGNGAPRRLGRVQT TGFPDVHPVLIASESSLAELNVRLGKVGVDPITVERFRPNIVVRGGAPWSEDSWKLVR ITGRKASGISSDTAGDGKAVIQSPLDLDIVARCARCQVPNVDPDTALKNKKQPWDTLV SYRRVDEGIKYKPCFGMLSAPRNEGAIEVGMKLEVLEETTKHRYITGF PEX2_084280 MGPPFAGSKKRRREPHNVDVKLVELYEDLASEKDDIRLKAAQAL VSQFTPDQNPADEQIKKVLSRLFRGLCSSRKAARIGFSIALTEILTQVFSSPRETSRF GFSDALNLWESQSSSYGSESGQEQRDHLFGRLFGAEAIIKSSVLFQPTVPFEQWTQVV DLVFELAQKKPWIREECGWIIYQCVYDLAARKMEPKYIQSALEQLCVRDLARSPEGVA IWLAAKDTFPNATFPSKIWKHDDPLDTKERSSMSKIMKESGAAASDGETKVNTAKSSG VWNNKLHFAWDAVLSRTSVEAKDKSKKSRMTFTDFWTEVVDNGLFAAASSDERKYWGF LLFNRILNDGGPQQASQIFTKNLMRCLTNQLAVEDRYLHRMAVKVAKAIQARVSKEPE FAAAAIRGLMGTSGTLNFDQATKTKTVEKIVAEANYEALEEIVPFFEQLIQNPGTTEE KTAASNRQFVAGLLLSIVRSKASANEDDAEDLQASLEQILSIFVRFAYFMDAGKGSTA PEPPLSSATQELLRNRINSCLNSLISNEKFAATLPYAVVKQIRDAAKSGEFGKFIIAI DEKLSESVKAAFKSLKKLSSREKKEKGMAAFKLLYSMTIMQVYNGDADAVSMLDELEF CYTKFLGDKETKTEDAGDASDALVEILLSFASKQSQLFRRMSEQVFGAFANQITENGL ESLTSILEAKESLAGQQEMFEEQDDEGEEEGEDDDDEMMDVDEDELDSDVEVIEAGGS GSGSDSDEEEEEDNEEDAKEVAAFEAKLAAALGTHRADEDESDSDADMNDDEMDELDD KLVQVFRARRQETSHRKDKKDARENMVNFKNRVLDLLEIFVKKSHTRPLALDILLPLL RLSRRTSVKQIATKANGVLREYTKVCKGNSLPKVEDDAQAEALWELLRSVHKEASHSG PMGHATACSQASLLVTKVLVAHDKDAIAGVVDVYAATRKDQLMSTKCHVQPSFFSEWN NWCVSASKQLK PEX2_084290 MSGFGGNPFNFKELSMKKSSSDYFNMKPVRGSSPTASLAADLSQ NFHIDQSPQLATPRRSLFTASMLGQANGRDDVMTTPPLPSSSPAPAMDVMEMSPLPHK PAFFVTAEIEIQSPTPVGSPMESPMVSPLPSPLQASPMESPLCPSERKRPTFLRPSLA RSKAQSFQLGMTRPAPESKAPPFRFGTGAKTSLSTSTSLEDMFGDSPPHERPISRNNS STHLAPPRMRPSLHHARSSGSPAPSSIRKPSHSLMRPRKQCRRSLSMFENPADVIADK EAKVATNTPVQSISDISSPPSMKLPHFIPEDRADSLPRIDKSTLLELMNGKFNDQFDN ILIIDCRFEYEYEGGHITGALNYNDKERLAGELFSSPQARTALVLHCEYSAHRAPIMA KYLRHHDRAINVDTYPHLSYPDMYILDGGYSSFFAEHRSFCFPQNYVEMSAKEHEFAC ERGLGKVKQRSKLNRAQTFAFGEQSPQMEDSPTGRCRLGDNERNRYLSSPFSQSPVPA RTPGRRMLSY PEX2_084300 MSPANITLWVRDTASDLESVPSTFSSWDSCMDKSYCKRRNKTPK HFDDPPFHQPPPPAPNPVYQAPPAPPAYRGAQQTAHFDAPKSPAAHVNEDALPEMPTW AGAIDKHVEDPNHHDDVEMEPLNPPDRRQGAGTPGAAYADYPPNPAMGAAAVGYRGFG PTDPRARRSPGPGAALNPIQDPYGRRSPGMPSSGAAMDPYGRRSPGPAALAAKDPYGR RSPGPAAVLAVSQDPYGRRSPGMSPSAPAAGYGAAAHNPYGSHSHGAPVHNPYDQQPY QDSYHDHSYDDHSYGAGNDYHAVTAPSPVAAYKPHTNYSPVPMAFSPSSDIDHSAAAV APTPGFQRQPSFGSSQYPPTYTSQPSYRAFSPGAPSSPPPAFSAPSPSEYTTYNPHAN TTPMPEPDNTRPPSLLQSGKKPTVNAYSNF PEX2_084310 MAPKCIVIGSLNGQIRPVFTKLAKLQPKQDFSFAIILGDLFGDG SAEDELEDITALIQGNITVACPTYFTLGNRPLPPQVVEKIEANDEVCPNLFFLGKRGT LKTTDGIRIVALGGTMGEAEGRSKPDSNASGKFQPTYSESDARALFGIHKTDILITNQ WPKDVRLGSSCNVSGDKETVPSELQCLADVCATLKPRYHFSRSDGAFFEREPFFHMPT EEGQDVYPLTRFISLPAFGSTKNAIYAFTLDPTAPLPNSISPGSTICPWSAVQSRRKM LPSQNESYQRYAPSDRDRDPGHQRRHKERAPPPGPENCFFCLSNPNIATHLITSIGND SYVTTAKGPLPTNNFYASLGFPGHMLIVPFEHCPTIDLIFDPAIRASTFAEMQRYRES LHQMLNEKSGGKLGAVTWEVSRSNGIHTHWQFLPMPVEKIRSRLVEMAFKKEASNLEY STFKTVPNPDEIHNHHMIDYFRVFIWTPTPSTEAEAEPDNWSSPEHKGVWKTESGEEN VIMLKILPENRFDLQFGRRVMGKVLYLDERINWRDCVQTDDEETKDAEAFKEAFKKHD FAMEIEE PEX2_084320 MVGTGSKPKPVRRLKTGTETTKNHRFEGFSSRITKLKIDPIHRV RRASFGEDEDETSSYFRAALDHWFEMNLSDNFTQFVRRVNPLSESFAQVLYHEEKIMG LLVEFIEKRDQLSMEPLLSLLAQFARDLGVKFEKHFAAAVTLVSSVAATHPDIEVVEW SFTCLAWIFKFLSRLLVPDLRQLLSIMTPYLGKERQKPFVARFAAESMSFLIRKAGLV YYKNKAPLETAVSFLFDDISKAADDDKDTENYREGLMTMFSDAIRGVNNGLHSNGMDI LNCVLSQIPPTSGNHNDPAEVIFGLVVSLVHATTPDTFGPILDTVKAYIEDRSKSTPN PNLSECCRIMFLLVTTRKGVRIQNWKIVHQIQVSLLQQVSTSDVSSATISQILTSVAY ALQMSPMDELLPFLRPIVDLVSTGPLAKYFLFFCTTFSEWGSERFQSLLLSPFQKFIN SSWQKSEWETCFTLLRLHEAGCITSETSQPGYISCPDAFKSRITDSLEYPTKDEAFLN ALVKLPVTISLFADSATLSKMVSKLHSNLLSAFQDGAAEGNNAQTKGLVFFLGQGFKT YVELANKVGELDSNLLRPIIATATKFSRLPVFLEGALAFISSLPESADLEHPTLEDFA QDLIVNLASPSHRLRLVSLQLLRELIVRIAKDDPSPVELAIEVEKSPLTMDSVRTISM HIRKLAILYPQIAHRRWMATLVPYFCFGLFSKKLAPLWDDSAAALKTISENPQGEKIV SNLSIQWLSERDAETPSDTTTEDDESSFVKSHFQCYNVAKVEKVLAANTKSTEDPYHI LSQQFEHDHTVADILPACPRTHALRVLNAAPGVAEKRSRQIVPLFLSWALREDQDDAP PTDEAKVEDAETGDVQVRWGFRDRLSMLDLFARFLNPIVLFKAPEVHAAVLGLLCHGN SDLQKHALKVLFTWKDPSVRPYQENLLNLLDEARFKDELAVFVHVGDDESLIQQEHRV VLLPVVLRLLYGRMISKAGSAAAGQAGRRKTILRTISHLSEHDFGLFMQLSFGPLADV HVVKENNECDPTAFQEELTSPRRQLGLLKLIDTVFETLQTRMTEFASQTMDVVVYCLV RACRAIYNEGSDRTDERLLPVFQNIRSACIRCLNLVFSIVPNQDWTPYVRIIFKEMID SRLDQFPIETAQGVSGLLRLFSTWAAAPRSTFYLVQHNDKVLTKVIDCLAVESARDEV KNFILDEVLIPLVGQSTGKKLQETEDMSDFPAEQIKSEVLSPYLEHALFHLGRLLKRG PSKPVLYSGVNALSLIAPCVESSKETASLVGITTYMLRQPADRVSPRTKSGLLKILEH FLPLWDPKEDAELAQQVFDAVCSMFDYFKDDANREVLARVFGALATHDENLKIVADLC ADLNSRSTKRLEPDYARRLQAFRIVSDELSQTLSAKQWKPLLYNMLFHLRDEDELAIR SSASFGLRRFIDRASTEPDADFETLINDVLFPSLQYGIRQKSELIRSEVVAVIGYFVK LNPTRPSVQDMHVLLVGDDEEASFFTNILHIQQHRRQRALRRLAGDAAQGSIQATNLG TIFIPLIEHFIFEDAADENAHNLIAEGVATLGVLSEWLEWGQFRANFRRYRSYMTSKP EKEKNILRLLGRMSDALSSAMNRKKGTENQADSEDDKMDIVEQPMCTLAKSVPSLAKV STELTTNFIPFLTKYIHHKQEKEMSMRLPASITTVKLLKILPEEELAIRLPPVLLDVC TTLKSKAQDSRDTARKTLNELALLLGPVYFGYILKELRNVLARGYQLHVLSFTVHSML VTTTDHFKQGDLDYCLKELVAVVMDDTFGTVGQEKDAEGYTSKMQEVKSSKSYDSMEL LAKVSTISQLSNLVRPLQALLREKLNSKLVNKLDELMRRISIGLLRNPDAESRDMLVF CYEVIKEAYRDNAPDAGPAAPKTRYEERFLIRLQGAKRGEHRGTTSSHVYKLTRFSLD VLRAILSKFNSLLTASNLSGFIPIIGDALVQSQEEVKVSALRLLSTIIKLPLPEIDEN SHVYFTEAVKLVKESPSTNSEAAQASLKLISSMLRERKDTKLRDGHLAYLLKRLASDI EEPDRQGVTFNFIRAVMSRKLVVPEMYELMDNIATMMVTNQTRSARDLARGTYVHFLI EYPQAKSRWTKQLAFFAKNLDYKHQAGRQSVMEAMHALLSKTGPELAQDIISTFFLPI VLAMANDESPECRELAGTLLGEFFNRADREQMKTILTPLRSWLEQTNNMALCSIGLQA MRIYFEAEQTEKDKQARFVIDILPGLMQPVLDDHENGNWEALYYALQLFTKLCKTAST LALSPACASIWTSVQECLFFPHSWVKTCAANLIGLWMADLAKTNAAAGYASLPLTGSS GLAMDKPAMLQLLRASLRSLRTPGIAEELAMQSVRNTVFLGRCCSQNGLELPKLAGED VESEDEDEDVESEDEDEDEDAGSESEGQAKEATKVEYNRSAIHYIFKQVSSLLRRETV SGRANALIPKTAAITLLAALIRHIEVDQIRPSLRVILIPLQHLTDPSIPAPRSSDETF QNTYKSLVSNCHEVLDLLQKKFGTTEYVEQISRVQAAIKERREGRRAKRRIDAVADPE KHGRDKMKKNERKRDKRREKGLEHRGKRRGW PEX2_084330 MRHFTLGLRPLNALVSQAQSYTTVARTQSSRFLSTQSLSLNSAR PSSFLPRSHRILAQTQLRYNSRAPRPLTESPKSQREQEAEWEALNQERRKNEEAYRIT FTCKPCGHRSAHRMSKQGYHRGTVLIQCPSCDSRHVMSDHLGVFFEEKTTLEDILKEK GQTLTHGHTEGNLEFWEDGSVKSYDLEGKEILGARDDDKPA PEX2_084340 MAAMRARHKIRAPRRGVSHANTEDFDSIWTVLSSSLTEINTKNA STLAFEEIYRHAYKIVLMMRAPDLYEQVKQLEQDWLKTNVRTHIVDSIASSLVRAQKS TDAHDQSSERREAGEKFLTVLKDAWEDHQLGMGMVTDVLMYMDRMVASRTHPPIYVAC MALFRDHVLRAPIRAGSALTVMDVLESTTLFMIQLERSGHIIERPLIRHCIQMLEGLY ETATEEESSKLYLTEFEPAFLKTSKEFYQAEGQRLLEIGDAATFCRLATQRITEEEER CRYMLSVVTESKVLELLDEQLIRNNIEEVVNLEGTGVRRMLDHDQLEGLRNVYMLNKR VDKKKQALTNMVNKRIVEMGKEINASSILLPQAPARSAEKDGEKEKKPEKGKDKEKPQ NQQTVSAIRWVDDILGLKRKFDDIWENAFASDQGMQGSIGASFANFINMNPRNSEYLS LFFDENLKKGIKGKTESEVDVLLDNGITLLRYIKDKDLFETYYKKHLSRRLLMKRSVS MDAERQMISKMKLEVGNQFTQRIESMFKDMTISEDLTTSYKEHIARSGDPDQKPVDLE INVLTSTMWPMEIMASKEGTVQLPCIFPREIDTLKQSFERFYLDKHSGRKLSWQASMG TGDLRATFVRPNGKAQRYELNVSTFAMVILLLFNDVSDGEALTYDEIKTRTRIQDHDL IRNLQSLAVAPKTRVLKKDPMSKDVKPTDRFLFNQDFHSPFVKVRIGVVSGGANKVEN QDQRKVTEKKMKEERDGTIEAAIVRIMKQRKTLTHSQLMTETLSQLSARFVPDVNMIK RRIESLIDREYLERVSEEPPTYGYVA PEX2_084350 MDIDDILASVDHGPGASPESAALDHQLLTRFWVAERAVSEVLPW PAPLMERIMDRVRIQIETIEDLAASSETTLPTTANTHNPNLNLRLSILQTDLARSQYI VRSLLRARLAKITKYSMHYLVLLASRNKNPLASQTQSTASSQNPNQQPEDSVPDISEL TDLAPLSEPESTFLHAHQTLLAGHYAGSFMGAFPRQLRRLDDNAGGTSMIQGPELKEV VFVRCLGAEVPVVVGGEEEEYETGVTMRMGDVWVVRWEGVKGAWGRGEVELL PEX2_084360 MPVIHPRYCSSYYGGDDCYSTWDSWGRWVAFAVIVGVAFLLFFS FACFNARRRRTHGQRPLTGTGWMAPPPGPPPPNQPIYQQPPYGDPYYQQNAPPQYSPN PQHHGYFGAQSAPPQQQGIELQQPPNAYGAHGYAPPAGPPPNGNKD PEX2_084370 MEFTETPVHTPEDLVNKQSVTQRTSTPYPSHPIPQLQGPFEESI VETTDGSATKWVVDIDAQTRRRDLLENDEYERLCGRKWRQRASEKYHPFWKLVSQMVF GVHLLAKSLAKSEASVMKILQKHVNELDGFLERTTEDFLIVRLDVRTRIQYLSLPLGN LDVFDEMLGDRNFRLSVVSYNDQIEHSIDRFTLAITDSLKDLQKAKEALGALWFYFRK LTDEGCFKSESLRDFHQAMVDNMEGWIVALSKLRRRGTALQKALTQLGLATTEMQRRV GIASRKDVRSFIKYTSKIADRNKSVKQRLFDRARPVSEKPLPHDPLSRPKRTPTASKQ FESPPATAKHQPDTPAGQCTPSDGIRHRIMSRARSCSALIAEAGAGASNEPPPPVPST SGRLKRKLSKPFLPKRSASEKIDLAQARPKTAPSEPSRLSRNISIEQLRAFCTTPRPR TGQSTVKSPTQARQEAHNQLTSGRETMKDQISQFLKTDRVVEAWDSVSKNACCTLPIA KARDWPSSIFRTKSSTPFENKGNTSLSRLDLERQMSWVQETFDVLPTYSFKTKPDMSP RIHVLSVQTAHEDANGVAERETSLDLKSEVGSSITALPTVPPSVPPIAMEHRSRLIDC A PEX2_084380 MRVSHWIPFLPAVVALAVPSDDGLERLEALFRRQLPEHPTGVKT IKTANNVTIRYKEPGKEGVCETTPGVNSYAGYVDLSPTEHTFFWFFEARHDPENAPIT LWLNGGPGSDSLIGLFEELGPCGVNKNNESYINKNSWNEVSNMLFLSQPLGVGFSYAE KAAGTLNPITGSYEDPSFAGIDGRYPVINATAIDTTVLAAKATWEVLQGFLGGLPKLD SKIKSKSFNLWTESYGGHYGPAFFDHFYEENLKIANGTKDGIQLDFNTLGIINGIIDE GIQAKHYPEFAVSNTYGIKAINETVYNYMKFANEMPNGCQDQIATCKLTNRTSVSDLA LCAEAANMCRDNVEGPYYVFSGRGTYDIRHPSDDPTPESYYANYLAKDSVMNALGVDV NYTQSNDEVYFAFQQTGDFVWPNFLEDLEDILSRPVRVALIYGDADYICNWFGGEAIS LAAKYKHSKQFQKAGYAPFLVDGVEYGETREYGNFSFTRVYEAGHEVPYYQPEAALQL FNRTLNGWELPKGEKKLKQNSGSTGPESATHTQSSVPLPTATKASRFGELF PEX2_084390 MTEPEKQEKEKQTERPTEQDASMSASMSTPQDPESGVVDQAVIG PAWMYKPLFKIGKWEAPYFASPEMQLYLVSIVCFLCPGMFNAVSGLGGGGQIDTHDVN NANTALYSTFAVVGFFAGSIANRIGLRLTLSLGGLGYFLYVASLLSYNINQNAGFLIF AGALLGVCAGLLWCAQGAVMLSYPHEHEKGKYIAIFWVIFNLGGVIGSLVPLGQNMHS TAGNVNNGTYIAFLVLMAIGSVLCWCLVDCKHVQRKDGSRVIVIKNPTWKSEFLGLWE TLIHDSYIVLMFPMFLASNWFYAYHFNAVNLAYFNVRTRALNSLLYWLMQMVGAFVFG KLLDLKGLSRPWRAKLNFGVLLAITMGIWGGGYAFQKRYTRETKGLDTDFTDRSYIGP MFLYMFYGFYDAAFQTCTYWYMGSLSNNSRKLANFAGFYKGIQSAGAAGMWSLDAKET AYMTEFASCWGMLVGSMLVASPVIFFKVKDSTAVEDDLRFSDETAAEVLGHTNEMSEM DKPHTETHTPTETLRDSHEETTTK PEX2_084400 MPGKTSTPRITKFTNCRLVCGLNLVEQDLWIDSLTGKILKDQEA FYGLHLSPDEIIDLGGRIIAPGMIDVQLNGAHGFDFSVPCETKEKYDEGLRMVNRGLA RTGVTSYLPTLVSSTPEVYWKVLPSLGPTAGTHTPQDGAESLGAHAEGPFISPGRNGI HKSEVLRAAETFDDLIYCYGADNMGSASPIKMITAAPEVGNMMAHIPEIAKRNIIYSI GHSDATYEQAVAATHKGARMITHLFNAMRPFYHRNPGVFGLLGQSERRRPFYGVIADG IHLHPTSIKIAYNAHPDGLILVTDAMRLCGLPDGVYDWTNGERIVKTGARLTLEGSDK IAGSSATLIECVNNFRRWSGASTAVAINAVTAAPARLLGLEGVKGSLESGADADLIVL GESEDPFTGPALTVDQVWKLGSKIHDTDKAATFAV PEX2_084410 MATTNELPALDVNSYDYIVVGGGTAGCVIAARLAEYLPNKRTLV IEGGPSDFMDDRVLNLKEWLSLLGGELDYDYGTTEQPMGNSNIRHSRAKVLGGCSSHN TLISFRPFEYDCRQWVSKGCKGWDFETFTRIIDNLRNTIQPVHARHRNQLCKDWIQAC SSAMNIPVIENFNDDIRKTGELTEGVGFFNISYNPDDGRRSSASVAYIHPILRGDEKR PNLTILTNAWVSKVNIEGDTVTGVDVTLQSGVKHTLRAKKETVLCAGAVDTPRLMMLS GLGPREQLSALGIPVVKDLPGVGENLLDHPESIIMWELNSPVDHNMTTMDSDAGIFLR RELPDAAGFDGKIADVMMHCYQIPFTLNTTRLGYDEPVNAFCMTPNIPRPRSRGRLFL TSADPSVKPSLDFRYFTDPEGYDAATIVAGLKAAREIAKQSPFKEWIKREVAPGPKLQ TDEELSEYGRRVAHTVYHPAGTTKMGNVATDPMAVVDPTLKVRGLKGIRIADAGVFPD MPSINPMLTVLTIGERAAELIAGEAGWSRNQPRL PEX2_084420 MSLSFPPRQLYYNGKAQPATSGKSFQTINPATATPLADIQIASN ADVDAAITAADHAFPSWAQTPLIARARILHKAAALLRERNDEIARIETLDSGKAFTET STVDVTTGADVLEYYANFIGGGGLNGETTQLREDAWVYTKKAPLGVCAGIGAWNYPIQ IALWKSAACLAAGNTMVYKPSEYTPLHGQTLAEIYTEAGLPDGVFNVINGAGDVGAYL TGHPLIAKVSFTGQVATGMKVAGAASGSMKYVTMELGGKSPLIICPDAELESAVNGAM MANFYSTGQVCTNGTRVFVPRTMKAAFEKSLLEKIQYVRAGPLFDEQTNFGPLSSKAH YDKVVEYIRHGIESDRATLLCGGVEKPSLPKDLQAGFWVQPTVFTDCNDSMRIVKEEI FGPVMSILYYDTVEEAVQRANTTELGLAAGVFTKDLNQAHRVIDQLQAGITWVNTWGE SPAEMAVGGWKKSGLGVENGRRGIEAWLQNKSTLVDMSGAVVSVFAKL PEX2_084430 MCVTSSMRVIIREDPPEVSVYIADYIISRIKSFNPTPEQPFVLG VPTGSSPELIYKILVQRHRAGEISFKNVVTFNMDEYVGLPRDHPESYHSFMYKHFFSH VDIPPQNINILDGTATDLAAECASFEARIARYGGIELFLGGVGPDGHIAFNEPGSSLS SRTRVKTLAYDTILANSRFFGGDTDKVPRMAMTVGIQTIMDSREVVIVATGAHKAFAV QKGLEDGVNHMWTLSALQLHQHPLIVCDRDATLELKVKTVRYFESIEQAGTDARTQGP ALVYRPRTYVPAPLPTKAPKSQQPTPDGTPEKVPKDLRINTELQRRALEEEELTPDSM SSRLVDSAIGGIDGALKSDLIFDRMGTRITTL PEX2_084440 MARKEDMLPPSWEDLDRQMGQLFMMGFDGTSVNPQIRSLIENYH LGAVLLSAKNLKSAEDATRLVLELQTIARDAGHPVPLLIALDQENGGVNSLYDEIYIR QFPSTMGIAATGSKSLAHEVAVATAHELKAVGVNWILGPVLDVLTNVRNQPLGVRTAG DDPQEASQYGVQFMKGYQEAGLVTCGKHFPSYGNLEFLGSQTDVPIITESLEQLSLSA LVPFRNAISQGLDAMMVGGVSLSSAGMNVMHACLSDQVVDELLRKDLQFQGVVVSECL EMEALTHNIGVGGGTVMAKNAGCDVILLCRSFPVQQEAINGLKLGVENGIIGRQRIEQ SLRRVLDLKARCTSWEQALNPPGLSLLTQMQPSHTNLSTRAYNSSITVMRDKNNLLPL SNVIEADEELLLLTPLVKPLPTSAVSLSVAESAHASLDPLSSERTASILSGEGVFKEL GRSLSRQRNGRVLHTSYTSNGVRPIHEDLIQRASAVVVVTADANRNLYQQGFSKHVSM VCQSQYTSSGERRERPLIVVAVSSPYDFAMDSSIGTYICTYDFTETALQALVKVLYGD LTPSAALPGSIGRTQKIHQSRQHWLVENWNEDRDSFALDALLDTIRAGYALGQPSELL GATASSFLLRKEDIDEAHFVVRNSSTQALYGFCATYFFRSTGTGVLACLIVDPSRRKL SIGHSLHGRAIRTLLQRSGMKRFQLGSRLPGIYLGIPTVNPVERKRLRQWFANMGWNT ALSRPVCSVILRNLSTWVPPEGLTTTLQSAEITYDLVYGWDFAPAILDHIKTYARQGV ADIYRMALGGAPNCGIIRAKRPSDGAILGSIVIYNERSALAEHMPVLRATQASVGGIS SPVISPCGDDYSTVMQGLILLAIRQIRKLSANAVVMDCVDGDGNFDNLSTMGFTMLHS FEEVNCDAATWTMMHA PEX2_084450 MEGFDTMAMPPYLASPLSLGNLQSTDYLNAMSGLDLPDHRSNFD SETFVSEDLANFAPPNLSHQLRRFSSAYDDPFTEMVPPFDPAPQEQPQDSSIDHNNKL LSFSLPVYHFTLLDYSMRRTSLSVAAQLHGMFFLAESPYTTSPSENAPPQQGAELTCY RRNLFQITGSVTLPRGMRYIMTDQGDRIPILAQELTVSATESVEGNPVKIISVPWKTP SAAAANSGTALEENNPSTGAKIEKEPPPIPLDIMAGQDLDSDYATFPIAWKRLQFRVA TANNGRRKELQQHFVVRLKVVATLSTGAKIPISEVQSGPVIVRGRSPRNFQSRKDLPL SGSAAASRKNAQANSSNSAMNRTPTSDSVPRRASVTPAKTKPAAQSSSPETTSVPPPS IMQQTKATPDWTPIPQSASNNVMSHATKSMFRHSSPEQLSQATESHRRVSSTTAAAAP INLSLLDEEEAADSNLHLDQKMVSPFAAELSHHRSLSLDQSAPPSKMRKLSHAVSQTP SRSVASSMPLIETANLPQSFASSLPFPNESTDFLYEYFPLGMDDWQAPVDAVYRPHVV HHTNMPEMKFVASRGRSKRYFAAEDVF PEX2_084460 MQCYTELLPPTGVTHALAVPFTSATASNLIVVRTSLLQIFSLVK VVSSQPQKEGSEPHASQFSQPETKLVLEKEYPLSGTVTDLNRVKILNTKGGGEAILIA VRNAKLSLIEWDPERHGISTISIHYYERDDLTRSPWVPDLSRCGSILSVDPSSRCAVY NFGIRNLAILPFHQAGDDLVMDDYDSELDGERPNQNSGGGAQIEKSKEGTAHQTPYSS SFVLPLTALDPSLLHPISLAFLYEYREPTFGILYSQVATSTALLHERKDVIFYAVFTL DLEQRASTTLLSVSRLPSDLFKVVALPLPVGGALLLGSNEIVHVDQAGKTNAVGVNEF SRQVSSFSMTDQSNLAFRLEGCVVERLGGDSGDLLLALASGDMALIKFKLDGRSVSGI TVHSLPAHAGGDILKSAASCSTCLGDGNVFIGSEDADSVLLEWSHSSASTKKARVESK QTADNLDDLSDDDDQMEDDDLYSSAPGLTQVDNHMGTDNSTPEFYNFRLNDRLPSIGP LRDITLGKVFSNTYPESQATTETVSAELELVASQGSDRGGGLVVIKREIDPLTTMSLK VDDADGVWSASVKKRRGASSTDNPPRQYVVISRSMDSEQEVNEVFVAEEQSLKPFKAP EFNPNEDWTIDIGSFAGDTRLVQVLRNEVRSYDMDLGLSQIYPVWDEDTSDERVAVSA SFTDPYLVIIRDDSSVLLLQADESGDLDEVPLSNEISSPQWRSGCLYHDNSQSFSVAG SSPKGSSEGEVLLFLLNLEYKLSIFRLSDMKLIAIIEGVDCLPPVLSAEPPKRSNTRE TLTEFVVANLGDSSSLSPYLIVRTENDDLVFYRPILVPGNSGHGSSRRLHLFRESNHV LPKSPLGEASSQIQKQQRLRPLRVLPNISGFSTIFMPGASSSFVFRTAKSSPHIIRLR GEFTRWLSSFDSPDTGCENGFIYVDSQSCIRACRLPSQTQFDYPWTLRKIPIEEQVDF LAYSTSSETYVLGTSCQGDFKLPEGDDLHPEWRNEELSFCPKIPESSIKVVSPKTWTI IDSYPLDPDEQVTAVKNVNIEISENTHERRDLIVVGTAIAKGEDMPARGTIYVFDVIK VAPDPERPETGRKLKLIGKESVKGAVTALSGIGGQGFIIVAQGQKCMVRGLKEDGSLL PVAFMDMQCYVNVAKELKGTGMVILGDAVKGLWFAGYSEEPYRMTLFGKDPEYLEVVA ADFLPDGNKLYMLVADSDCNLHVLQYDPEDPKSSNGDRLLSRSKFYTGNFASSVTLLP RTAVSSELTESSEEEMDVDETFAKHQVLIASQNGSLALVTSVAEESYRRLSALQSQLI NTVDHPAGLNARAFRAIESDGAAGRGMVDGNLLRLWLNMGKQRQAEIAGRVGATEWEI KADLETIGGDGLGYL PEX2_084470 MALLQTSLIWVVYGAVVAILLAVASVFIYVYQTPRDRSPSVTLT CIISITSLLATVLLLPVDVALVSSTTSSKLGQRKDWATQDVVDRITHSLTIIYYLLYS LDALLCLLVIPFTYFWYEEYDEVATEEGVQTLGQRIWGALKYTLSFVAVVVILFLVGF FVPLSQGKNDMDLDYFRRLLTENHGERALTFSLGLLMTIGLCLYVLYTSVGLALLPIS LIKTAPSISSATLRASTTQQLDTNQERQRQLEGRCAGDPGLLSSKDRRELDTLVREER TLIRRQRLAEESQGGGRSWLIRAWYKIGAVFRPFKLLGGILLLFIAFLTWVSMLLTAI DKAKNSICKHRCGYILAHVNIFNPVNWALVKSARFFPIDYAIFTALVLLFFCSSVVGI AVVGIRFLWIRIFQIRNGHTSPQALLLATAMLMLIILALNYSISMVVAPQYSTFGPQT FCDRAPGTFIGKPDCSNSKELIKPCSELAKNPAAQQVCTPSVVSTFLNRVTLNYPFFG VVFFWAQFFFLGLYLVVFVTSLLRSPRLDEAQLDEDAEEAEEEGLLANTGRRFNASWQ DITGRAARSERSRG PEX2_084480 MSCSICSRAPHSRLSFHCPTCARNQLYQLRIDSTQVLLEKEVLG KQIEAAVTCSSSWDKPSPHGHGQELVDTDKACSPCWVLQTISTRHAVSLAKRDLVSHQ LDALKLEVEAKKAEIAKQKEALARRRSDAESAKFQLEERESGILAGVQNTSKRVEHVW HSLHSKTAESRIYLCREVANLYGLRKAMKKGQSRETYISTSFSNIAHLLIIVSHYLSL RLPAEITLPHKNYPVPTIYTPSVSYRSRDGHDGADYQSSSSPAASRTVDPRTHTPRPR PLFIDKPLPRLAKEDPVTYAFFLEGAALLAWNVAWLCRTQGINISSDSWEEVCDIGKS LWQLLVAPPAHPSTLMRAFAGRDTQTQMKSTKDSPRTTIQRTTSFPMLGHYSHGTAHS FLGASEGVEFMRIWKLPTPTKIVDKLKSNLLGEMASAEWELLEEKEWDDAAVDSPQPS VSQNPKVAIPSQSGSVETDRTTSKTRSVRTPGHEDGSSPRPKGTKGWTKVAGRGHGSA V PEX2_084490 MQNAQDWQTENDLPSDLKRALSAISKIPDALLRAELLRRDGASD ATPACGSKQRGAYNTPLHVMALFLILGLSTFACSFPVLARRFPRLPIPRRFLFISRHF GTGVLIATAFVHLLPTAFVSLTDPCLPRFWSQTYRAMPGFVAMISVFAVVIVEMFFAM KGAKHVHGSEYDNLIGEVGHDSRSDGEIPDVDYSRLEARQISDNINLDSIGHNPKLRM TRPSGSHESDRLHLADSSPDKEDDEDDLEGLDDYMDDDGLISGQASHSAQSQPVHRHR PHMSESHREQTDTDGPIQNPQRQLLQCLLLEAGILFHSIFIGMALSVATGTSFVVLLI AISFHQTFEGFALGSRIASLIPDLFAPNSMKPWLMSLAYGTTTPLGQAIGLILHNFYD PASATGLLMVGITNAISSGLLLFAGLVELLAEDFLSESSYETLKGRRRVEACVSVACG AILMAFVGAFA PEX2_084500 MSSLPPVYIVSTARTPVGSFLGSLSSLTAPQLGAHAIKAAVERA EGINASDVEEVFFGNVLSAGVGQNPARQCAIGAGLSDSTVCTTVNKVCASGLKAIILG AQTIMTGNADIVVAGGTESMSNTPHYLQTSRSGTKFGSQTLVDGIQKDGLMDAYGKQD LMGLAAEECAEEHGFNRAQQDDYAIRSYEKAQAAQKAGAFDYEIAPIEIPGFRGKPGV TISQDDEPKNLNPEKLRAMKPAFIPGTGTVTAPNSSPLNDGSAAVVLVSEAKVKELGL KPIAKILGWGDAAHKPSKFTTAPALAIPKALKHAGVTQDSIDAFEINEAFSVVALANL KLLGLSEDKVNIHGGAVAIGHPLGASGARIVSTLLGVLSAKGGKLGCVGICNGGGGAS ALVIESI PEX2_084510 MDDLYDEFGNYIGDAESDEEHHEDVQPQAFKFDEAFDDEEEEEV NDQQLMEVDEGPSNAVILHEDKQYYPSAQQVYGEDVETMVQEEDAQPLSEPIIAPVQQ KKFAIAETELPPVHFSREFMSDLLNFPEQTRNVAIVGHLHHGKTAFMDMLVKQTHDLT ERLEKRTGRKREEQLRYTDVHFLERERGLSIKSAPMSLVLPSTKGKSHLLNLLDTPGH VNFVDEVAASIRLADGVVLVVDVVEGVQANTEQIIKHVVLEDLPLTLVVNKMDRLILE LKLPPNDAYFKLKHVIEEVNTIIENILPGQGERRRLSPEKGNVGFASSSMNWCFTLQS FARMYADNYPSLDSAEFAARLWGDIFYNPQSRKFTRKGVEENAKRSFVKFVLEPIYKL YSHTLSESPEDLKQTLASVGVDLKPSQLKTDAKELLSLVCEKFFGPATGFVDMVVQHV PSPVEGAQRALERYYTGPVDTKVGASMVACDQDGPLVIHVTKLFSSTDAGSFYSFGRI MSGTARPGQQVRVLGEGYTPEDEEDMVVATISDTWIAETRYNIPTNGVPAGNWVLLGG VDNSIVKTATLMPLKLEDDEEAYIFRPIRHMTESVFKVAVEPVNPSELPKMLDGLRKI NKSYPLISTKVEESGEHVVLGTGELYMDCVLHDLRKLYSEMEIKVSDPVTRFCETVVE TSAIMCYSITPNKKNKITMIAEPLDDGIAEDIESGKVSIKDPIRKVARYFEDNYDWDK LAARSIWAFGPDEMGPNILQDDTLPSQVDKKLLGTVRDSITQGFSWGTREGPLCEEPI RNTKFRLTDVSLADQAIYRGGGQIIPTARRAVYSSFLMASPRLMEPIYSVTMTGPADS VASVYTVLSRRRGHVLSDGPIAGTPLYSVRGLIPVIDSFGFETDLRIHTQGQAMVNLA FDKWSVVPGDPLDRDVKLKPLEMAPAMATARDFVLKTRRRKGLAEDVTVSKFLEPELW KGLKESGVLDS PEX2_084520 MTEMQNSNPTILNAADLPTRLRPTATRKSEYAGIFTSALPLAPV DFESALSSDSEDDNLLEEPIDEQEIYDLISSISDPEHPISLGELAVVSLPDIAIKPTL PDVLDSPLQTVTVLITPTITHCSLATVIGLGVRVRLEQSLPSRFRMDVRIKEGTHSTG DEVNKQLADKERVAAALENGALMGVIAKMMETCH PEX2_084530 MQGYSFAPPSGPPREGQKNYVFVDEHNRHKRLKVMRACNGCRKR KIKCDAATTNTWPCSACTRLKLVCVPPTIGQDGDFLPDGQGESTLETGGPSNVAEGSH TFPVAPVFKDNSQPAMNTIPPYDQMSMYSQFVPPQAQPGIYNDLQSPQMAMPHQTFQQ PQMFPGPQTPSMGSSDRNVYVDNDQSTAENLSDVLGELKIDESGIAPYIRRQRTDRIE PDAPVQDEVEEQFPPLRTGSGVTIRIPPELMPAEDDVMAYFKIYFGEIHPYVPVVSRA HLYYQWQHDRHSISPLLLEALFACAGRLSDEPSEGAQWLALANRHETSFMDVPRLSTI QALLLLLKARESLPKKGYYYRSWQTVKTIVSMAKDLDIHEHYSNHAEGKPCGLGPIEC LVHTRVWQALLVVEVMIGGPQGRSDYGVDPETVEMRPTLDIRGLDHYEIDRSRQYAYF VRNAHHIRIITDIYHKVKKQKDWGADARFVQNNPLFADWLRNLPPDLQVDYPADGSPP WLPSHFVGNMHSHCHLAIIMLHRPQLFASQSFAAGGEWKIHMSLCYSSAKSLCRLQEA ILQRFGLSGLLYMQRGINFAIYCILTCTMLHLVAITSPDPEFNSDAREFFTRHMRILE TCSTAWPMPEIQAQIDSLRLAFSADMHRPFELKPSFPYGSPSEPYHPSPPMDAHYHPH LNQLQSRVRYNPLPVTPPISTGAEDSKSDASSQIQSLGMVAHQPPTNHPLDTPSVDET HWDPTRIITQWDIAFSVNPATVSANSPPMPINNSVPSVQNVMNPQYPIQYETPNKVPS VTSTQSLSPPQFQAPPVVFSARDWQQSVASVYDPQGLKRRWNYPVDVSPDNMSKRQRG PEX2_084540 MLRRKPTAIAITSEDIAAFEEARLRKLSEENKHPEQHAKGTSNV NLDPSDELKPLPGDKARIVRTREERIGISRRG PEX2_084550 MFRPQLRQISLHCERAKFGLSTPARQFSCTRTVAAEDRDQPSEN HKPNTRPASATPSHRPRNPNGPPARNNQHQSRPPRVIDARSFAAARASGGEQPKIIRS PRSRNVRGGSQPPNRKPKPSAKTAKGNRKGPRRNAKSSENDEGEDAEAAAIDEVLQEQ IIKSRPTPIRYEPQEINYSTLKKTWPSIPTDVNSRSAAVYEKLSGLGGRIANGYIPPY ELGRRLWKGQSVLFNNETEKAEALEETKRLAQLRADRISQRKGDLVEPRNVEFSPIDA KDTKSLMETFVQGTYPTSEVGKDGHAVLREVSKNLRNNETYQTTGKTSQFMAKVESLV SAGRKTKNA PEX2_084560 MQRIITQSIRSFPSRLVAHPQGTQVFRHLCLLPRTQCAVPLNRR EFAHSPALYKKKDKAKKASASASEPEESSSAPSEDPFDLSQLHNGISTAIARLKDDLS KLRAGGRFNTAVLESLRVQLSKDSKDSVRLGDLAQVVPKGGRMVTLLAAEEDVSSSCL TILIFSFFFFFFFFFFFLVAAWVCYYYPDEPTLIHFEQHLKPLTSAIVSSNLSLTPQP DPHNALQLNIPIPPPTKESRDKNVQAAKQAFEKAAGTVRDSRGAMHKRLQDMQKKKLA RPDDVRKAHDQMEKVTDQGQKEVKDAFEGAKKTLEQA PEX2_084570 MADSEVYDGAVGIDLGTTYSCVANYEGTNVEIIANDQGSYTTPS FVSFTDKERLIGDAAKNQAAMNPANTVFDIKRLIGRRFEDPIVKKDVESWPFKVIDQG GNPVVQVEYLNETKVFSPQEISSMVLMKMKEVAEIKLGKKVEKAVITVPAYFNDNQRQ ATKDAGAIAGLNVLRIINEPTAAAIAYGLGAGKSEKERNVLIYDLGGGTFDVSLLNIQ GGVFTVKATAGDTHLGGQDFDTNLLEHFKKEFQRKTGKDLSGDARALRRLRTACERAK RTLSNATQTTVEIDSLFDGEDLNSSITRARFEDLNAKAFSGTLDPVQQVLKDSGMAKN KVDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSA ETADLLLLDVVPLSLGVAMEGNIFAPVVNRGQTVPTIKKRTFTTVVDNQSTVQFPVYQ GERTNCADNTSLGEFTLAPLPPMRAGEAALECVFEVDVNGILKVTATEKTSGRTANIT ISNAVGKLSSSEIDQMVDDAAKFKTSDEAFTKRFESRQQLESYISRVEEIVSDPGMSM KLKRGNKERIESALSDAMAQLEVEDSTPEDLKKKELALKRLITKAMATR PEX2_084580 MADEKGHGKKRPAEADPDGAQPLTKRFGHLRIDNSAPISARAKP KGHIHPIQPIQPIQHVQHDHIDHHQHNQQNQHNQHNQELSSNDAMILDDTKHTIYIHN LDQELMEADSPGLVFLPFAEKVLSVPQSVLSDSNPSGKELVLYTEPSSLTVPKEKDNV RRAILESRARARENKVTEDLYDDPMDID PEX2_084590 MASLGSEWTAQRVRDTFLDYFKQNGHTFVPSSPVAPLSDPTLLF TNAGMNQFKSIFLGTVDASSDFGKMKRATNSQKCLRAGGKHNDLDDVGKDSYHHTFFE MLGNWSFGDYFKKEAITYSWTLLTEVYGLDPDRLYVTYFEGNEAGGLEPDLEAKELWK SVGVPESHILPGDMKDNFWEMGDQGPCGPCSEVHYDRIGGRNAAHLVNEDDPNVLEIW NNVFIQYNREPDSSLRSLPNKHVDTGMGFERLVSILQDKPSNYDTDVFTPIFQAIQDT TGAREYRGHFGADDADGIDTAYRVVADHVRTLMFAISDGVIPNNEGRGYVIRRVLRRG ARYARKYFQVDIGNFFAKLVPTVVDQLGEMFPELKRKQQDVIEILDEEELSFAKTLDR GERQFEQYAQQAKVKGTDMLHGADVWRLYDTFGFPVDLTRIMAEERGLRIDDAEFEEA RLKAKEASKGQKKAAESTVKLDVHDLGKLEKMNDVQKTDDSPKFGRGNITSHVKAIYH GKTFHNSTDDVPDGAQLGVILDCTNFYAEQGGQENDTGKIIIDGQAELEVGDVQSYAG YVLHTGFMKYGSFAVGDSVICEYDELRRWPIRNNHTGTHILNFALKEILGDGVDQKGS LVAAEKLRFDFSHKSAISDAELEKIEAKATDYIRQNCAVYSQDVPLATAQQISGVRAV FGETYPDPVRVVSVGVELEEILQNVKDPRWQEVSIEFCGGTHVQKTGDIKDLVILEES GIAKGIRRIIAVTGEDAHEVQRVAEEFGQRLDRLNALPLGSEKEQEAKQVQVDLNQLT ISAVQKAKFRERFAKINKQVLDGQKAQQKLESKKAVDTITSYFEAPENQDKSWLVVQL PISANAKAVSESLNHVKSKMQGKSVYLLAADSEQGRVSHGCYISQGLSDQGASASDWA AVVSGAVGGKAGGKGPTSIGNGTNADKVEEAISLAADYLNKFKL PEX2_084600 MAEPNPVVFFDIALGGESLGRVKMELFANVTPRTAENFRQFCTG ESKNSKGQPQGYKGSKFHRVIKDFMIQGGDFIHGDGTGSCTIYGTSKFADENFTLTHD RAGLLSMANSGPNTNGCQFFITTTATPFLNNKHVVFGQVIDGIDIVKMLEHTRTTKDK PNQDVTIVQCGEM PEX2_084610 MSSTPTSASSSAATTTASSGEGSGSNNATSSPLLFFVALGFGVV FTNLWIIVGVKYCFRYSQRNRQLRSEEVGEPIDLVTMPRPHRRRREKRLMTMDEVNGR FPLVKYKVWRSTRANQGLSTEGGITAPNSRPQSLKDDGGMVTTAVGVHTAAMSPSTKG HGRVDSTDTSQTPIQELQDGPLVPVLEKGPAVSGMPSTQQSTGAITQKEKWQHSLANE SMNIGLEDHDDDAYIRNAVPTDLLPNPGDSCAICLDIIEDDDDIRGLACGHAFHASVT VQPRPAQMQSSDLLELLGIVAGQMDSGSRWAYLPEYLNRHLQGKEACLQPGNIICRVG EISPGTQSMSLILRLNLLGLD PEX2_084620 MRWVSTFFALALTGALQANALDATIFTFSPKTATSLAASHQQQT ISEDEARLVLELRMKSSVASVLGTVDADTVNHLNQFAQDDLSLFGGVTGGIALKKSIF ILEGIDQEVALVMQKAQPNHLHIPQISSPFVGFDLLESFTESSPTAKGDRGQICTYFN DASRAASSTSQVAKPTLMTFMFPR PEX2_084630 MCDMSLISKCLLYGTAFHLWSTFVLVRFDDDSDDADPPPVTNSE ETAPRQTPLGSREITGKEVKNEGALFIPLAWSRLQQGELYTASDPEWQEFVKLSKDRK KLQKLRDELATIVLDNAGRQMSQILGEPLSLTGFWLVHQFPNRAPPGYVRSGVEIKHD SISWATKPMDPEIGDRLQTFMKPVHVALAIRDAYLVLLLRQLDRFRKPTGEPLDVFDF LNDSSAAPSGERGNWIGRKDQSKLQPPLTDGLKENMPPNTENSNYHPSSLISLLQRLP LPDLGPGSDLHLASQAFKLRLNHEQAQTPGPARRGAFFITGPVGLKGPNGFCRFEVRG EYDPARREWRTVEMTLKDLNMRRQKALGN PEX2_084640 MDIDPARRNKKPRLLLETEREKLDEFVDSIHYSARSGPNAIAID SPLTPYRYNDDKFEYRHVQLPKNMLKKIPTDYFDSSKGTLKLLWEEEWRALGITQSLG WEHYEVHEPEPHILLFKRPLNYQPPLQQ PEX2_084650 MTSKRRAAAAASPDMKFRRTKRQKFSNNDFMNSKESNRASSHLD SEPPEKADQSPESQAESEADFEGDSIQTAQDKIMSELTRLKDSEGQEVAYPFIGKPDR NLYRDYYEIIQHPVSLRSIQKRVRGTDSRKNSSKTTAYPTWQSFEEEVSYVWRNAREY NEDDSDISILAGVLEDHFHRRVAEAKKLVPNPLEVDGTLDMPRIKLKVGTAVPSVAQR LTLRMPGQSSDTLPKHNGHPSNVTSKNGSQGHAQDIPGAGLARQEISRSPRGCSLGGQ LASPRSSTTATPSGSEQHQNSSIGAQGLLGSMTNATTLAMSASQNPPNTSSGVSSGVP LHSSSSSGMLRLGGKMARAPYQPHFGSLPIDSLLRRSGQDPGIALIRNVRILTHASLS LQPDFCLDIPPSSLVSQQNIIVHLPPSHNVLTVRPRLAASTSQRQVKIVTLMGMERLH SSGDATTLSYDIHLHPGMTRVDVEAIAAPARGVPKSGPPGSDIDYERVTLFFNLLCQ PEX2_084660 MLGHYDVRKYLNKQLALSCSLIALSSFNYAFDNQGFAQTQAMDA FEKRFGVYDAEAKNWALDTQWKSYFNGLPYLTFACGTQ PEX2_084670 MDDPDEPFSYHHDVIEASKRMTRGSDELDWYNATTAFSEDKYKG KRPADLRKTRAGTLTVNLFQRFHTAIVCGTKATRLQDGKSCAVYSEPGALLSFFIGGG MEIPHFGLHLRLQVQNSKTLYRDFTMVWTAWDDDDFDSERTPTFTPSDTERFTFSASL TDVNLKKVKLDENSAKACNGKDGAEAFEIQWTSHTIPQMVSGFEIPDAQLEQLFRPEQ IQTFQRFRNMRAKNISIRVVTRIQRDEILHNWCGMAAMPRPTLPPYPFYACRYVKQAK MFTMMKAIPPVTDPIIKHHHRRRKFGWPSMTDSGFQETPELLTHTNAPFTVFLNEREY EAIRMIGLLREADAQKETAITQFNNFYEFELFRASDVHNVKGKGLDEYFYGVIDAKFI LRDREDAQEMLEQAMPLPQPGTPVTISPQRQKGSEEPRKVNKNRLWQGVVVSSQGISS IVNRQSSYHAVCVRLKRPAIQESIGMRLNLAGFATFGSPNPAIVQARRAIRYAMWGND HFAVARDNKVKRLLLAHDNANIEFHRHGDLVDQKTGQFINNIQRTRNEEQIKAIRSAF SDGSLWWNFLSLVTGPPGTGKTSVSVSIAAHCLERKWPLLIVCASNHGLDVITERIIR LGTDYRESHEMQMQMPRESSSYAGDEDENEDENEDEDDYYRREPSLMFRKVDRDLRER GVDPELRQVVISSMEGITDPSHRFSLGAHITNSLERIMARGQPKQITRDKAASDISVK ERDCLWDFITFQELLRRQGDLFLESTALVLASGSTYADESPPRTSHAKLVREQKRLWL NLQELYLKNAKIVLCTASTAGRKALRGFRPSYLIVEEASQMVESQALNGIMRNLNGLK KVILSGDTAQLPPTVISRGSNECFNTEQVSLFERMIQTGHPHTQLRTQYRMAPDICKH VSESFYDSKLTTHQSCFNRPKAKDFSTKMAGWYDCRRGTSYFVSVDKSSLWRRKGSTS VLNPEYVDFICGLVSQMIRGGIPQDEILILSFYDEERRALSSLLHDNLQLRAIEVKSV DASQGSESPFVILSTTRPGGQTGIGFVRDRNRQCVALSRAQDGLVIVGHENMAKGHEG HGYDSWRKVIRDHDTAGRLIRRVGNRTKVVGKLAISEKDWEQLH PEX2_084680 MSDESDSSDGECFKALPDDTIEAIGSTASAIRKVIRENEETTNV VKYIRFTNVPPAIAENFSLRNTRQMFNHSTRCMIIKLVTKPHDLASRHLNVEVMYVLQ EMGLHRSISMTGTHGVRGRYCEKQAEESWLPRQPILGRSTKWPTVTVEVGVSESYRKL KADAEWWLTNSRGDVKLVIIVSVNRKTPQIKFETVTLTPATLRLQRPRDVPTIRQSIT TSRDPKRPDATITVSPLVPLTIQFEELFCRQPVPPEHNIDLSPDQLREISGQVWDHQV F PEX2_084690 MASVGAACGLNIKDLGFIYFDAHDDLDSPDMNENGYFDAMGLYA AWRELENLDQHRMTSIWGETERKVDFTAELKKHLESGSYSPALVHLDLDVLDESYGKV NDYPSPGGMFEEELVACMGLVPQKATPKSLTVCSFDPNAGDGDKIAGIAIRAVVAFVK SLVEADTLSTSSKP PEX2_084700 MDIDNPPLSSPRGPSSTPAEDRRKSGRQTRRPEIFSQTTHSAEE QAAGGKRKRGEAREDGDEDASESDSDDIGDDETDEEELKEKRRAARRTGAKKSKPKAK GSHASKKPKVASNGLGRRLAFRPATNGRLPAARPRKPKVRPSLAAGERGLFAEVFGKS SNADTVAAQWLSQYQRNNGQAMRDLVNFILRCTGTDLEIDINEVEDIDNAPGRIGDLQ NLYQAEGITEYPIISKAKKFRAFSVLLEEFTVALIQTFHASSILYTDDTLLENIQIWI SSMSTSKCRPFRHTATLISLAIMNALCDIAREVTTSVSTSRKQLESEKKKKTVNKGRV EAIKTAVSEGEQKVERLDEFLQDGFDTVFVHRYRDIDGQIRAECLTALGGWIRTYREI FFEGQYLRYLGWTLADVVAQTRLVALTELLSLYGNRDNLAGLHSFTERFRQRIVEIAV QDADVSVRMAAIELLNHLREGGLLEPNDIDAVGRLVFDLDPRIRKTAGRFFVANVQDA YESITEEVGEEISELLADDDEDDYESPKHSWIKFKCLTELFQAYDEQQAEKQSEPMSR DTLLGAAVESRFALATEAVFPHLEELSQWPSLAGYLLYDHSQITDEPSEDDTAGVVKN MYKMREGQETILLEVLCAAVKLRVLDITKSDIDKRGRKTKALTAKISELQEELSHNLA QIIPGLLNKFGSTPEAASAVLRLEHLVDLNTIQDLQKDATAYTSLLNDINKQFLTHSD QDVLAEASVAFLHAKSSEEMREALESKVQELWDDMVEALAALSRKKDVQEGGSISDPT LTNLSNTVSRISNLAGITDCTSILETVPNSRSKSKKDHTEAPFNSLIRFAERGLRGED DDEDIAKVENELVSSSIRSLLFYFMWKVQALSTALNDGKASFSTSYFEALTKSRETFA SALLNIMETRSGLDDLRFTATTTYLDLQTLFSTLRNIGQGNGNDEDVLFQTQSLIHEI GPDTQALITKIHGTAERTSAKKSRLNLEPADDDAPASEDELDSEDEASDSEDEAVIND RLCSSIVAEQRLCELTGKLVLAIIARIIDASGSKRGSLKKRLLRNKTALGHNYREVLS YLEERKPRSAPRAKGKQPAKPAASPAKGFKSAEHIDDDDENDQPEPIEEDDEEDLRAR GLVEEDVEHKNGDEDEELSPAPEADEDEVMGD PEX2_084710 MRQPAMPIPSPFQSSIAKPGQGKVVLSLLPPNNPTLTTLTYKYP LKLVPRTGGFVPSPDSSALSDTRPSNPVHLYLLTYGGGLLPGDHIEVSITLEPKTRMV VTTPQGSTKIFKTTPNNTGTAPNVIKGHRDQMPANDHLSDMSQQTLDVNIGRQAALCY LPDPSVPFQHSRYAQVQTFTVDATAKGTERSSLCVLDWVTQGRTSRGEDWNFQFWRGR NEVWAEDDKGKRRLLLRDSVILDDESGDLEDTAISDETEVESENTTHTGLENPVDLPP QAPAGLTPLNIIAERTRPHGVVGTLILSGPVFEALGSFMLNQFQSQPRIGSRNWSDHA PSSVPEPSISQKGDVTWTAARVRAGFVLVKFGAKDFETAKHWLGGLIREEGSVAREFG EEALCCL PEX2_084720 MAPIKRKGNAPEENTARQPQKRAKVGAEEVKKDHKKSNDATTST AGKASELSVLRDDEPSFPRGGASVLTPLERKQIQIQANRDVLFEQKESGNKKLSQKTP SKEFAEESDDDVEMEDEETTATTKKSRKKKSKGKKSADKETDDKQNVRIEGLSFKRIV PGAMILGQVSSINAHDIGLSLPNNLTGYVPLTAVSKGLEDRLEKMLNDEGEDDDAEDS SDDESFDLKDHFYLGQYLRAFVVSTGNNPDDPKSKSKKRIELSVDPRQTNTGFSKSDL VVNSAVQASVVSVEDHGVVMDLGIEGSELKGFMSSKETDPSVDYSSIKEGSVFLCMVT GQNPSGNVIKLSSNFQTSASIKKSNYLSSAPTINTFLPGTAAEILLTEVTSNGMIGKI MGMLDATVDLVQSSINGKIDLEKKYKIGAKIKGRIISTFPAAEPLKVSFSMLDHVLKL SSDARGPGSSDDAPAISAIIPEVKVVKVDHGLGVYARIGETKHMGFVHMSRLSDGKVE TIDETSGAFQLDAVHEARVIGYNSIDNLYILSFEKSVIEQPFLRVEDVNVGAIVKGKV EKLLIGADGMNGLIVNLADGITGLVPSMHFADTMLQFPEKKFREGQKLSLRILSVNLE KRQIRLTLKKSLLNSESTIWKDYKDITPSAQSPGTIVNLQSHGAVVQFYGEVRGFLPV SEMSEAYIQDPAQHFRLGQVVNVHALSVDASLGRLAVSCKDPSTFTEKYREAFENLHP GHLVTGVVFEKSNDDVLLKLDESGLVARLDAEHLIDGPPSKQNSMLSKLRVGQKLNDL LVLNIQRAHRLIKVSSRASLKKAAKQKNIPGQFEEVQEGSLVTGFIRNITPDGVFVEF LGGLTGLLPKRLIEDANLEQPHYGLSKAQTIVVNVQSVDQEFKRFILSMKPVQATQAA PKKAAQQNDETVTNPVDENIKTMSDFTFGRIVECKVVSIKATQVNVQLADNIQGRIDV SEIFDDWKDIKDRKQPLRFFKAKQTLSARILGVHDARNHKFLPISHRTGKYPVFELSL KPSYIKAANPAPLNMEQVQVGSFWTGFVNNVADDCLWVNLSPNVRGRLRFMDASDDLS LLTDIEKNFPIGSALKVQVTAVNAEKGHLNLSAKQGYDKLTFADISVGMILPGRVTKV TERQLIMQLGESLVGAVNLIDLADDYSKANPTVHNKNEVLRACVIAVDKSNKKIALSL RPSKVMSSSLPVQDREISSLKDVKPNDIVRGFVRRVTDSGLFVAISNDITAYVRVSDL SDSYLKEWKDSFQPDQLVKGKVTFVDAEQGKLQLSLKESVLDPNFKAPITLKDLKVGQ IVTGKVRKVEEFGAFVVVDGSSNISGLCHRSEMAEKRVEDARKLYDEGDAVKAKVIKI DLESKKISFSLKASHFQDEEEDDSEDEDSMSIDGLGGVELGEDDSEDEDDDDESMGGV DVEEDSEEEESEDEDEDVLVQKSSKAGGLGASGFDWSGTAQTDAAARSDSEDEDSKKK KKKNRKAEIQVDRTGDLDANGPQSVADFERLLLGEPDSSLLWLQYMAFQLELGEIEKA RAIAERALRTITMGQDAEKLNIWVALLNMENTYGDDDSLEEVFKRACQYNDPQEIYER MISIYIQSGKNQKASDLFYAALKKKVSSQSPKFFYNYASFLFDTMASPDRARALLPRA LQSLPAHTHVETTSKFAQLEFRSANGDVERGRTVFEGLLSSFPKRIDLWNVLLDLEIK VGDAEQVRRLFERVLGLHSGKKGPVSVDASKKLKPKQARFLFKKWLSFEEGLAADGDE KMVEEVKARAVTYVKSLQEDSLDTEDPSLNGPDSVERVGFPTRSWRQVWTALAWSSTS PELSEDSSKRKRSCQSPYLHGLWRAIIGIFIMLGIIQFISITCGIVLSFFPDEYDRAV HNWLDSADSITTVDNTRWPTDISRDIVPVSCHSHNDYWHRVPLYSALQAGCIGVEADV WLFDDELYVGHSTSSLTERRTLQSMYIDPLVTILERQNPTTKFTQGGDSPAHGVFDTD PAQSLILLIDFKTAGPATWHAVMKQLAPLRDRGYLTHFNGVDLIQGPVTVVGTGNTPF NVVTANTTYRDIFFDAPLDKLVDADQPDNNPHPDAALIPGTDLGQGQSGMPAIITAST FNTSNSFYASVSFKKTIGRPWPFHFTQRQMDRIRSQVRVAHQHGLKVRYWALPSWPHS LRNHVWRVLAQEGVDVLNVDDLVDATKGDWNSAVFDWWP PEX2_084730 MSAQIVSDGKNATSTVSPVAEIDLKEERSLVWRLDIFFLTIGFL GYAFKYLDQTNISNAYVSGMETDLELYGNELNYFTTFFNIGYMIMLYPSCIIISHIGP SVWLPTCEVYGLRFLIGFFEGATWPGYFTIISQWYLPHEMALRMSIYNIAQPVGAMLS GAMQGALSTNLEGALGRSGWRWAFIINGVCTIFIALLAFTLLPGFPDRPNPLAKFYLR PRDIAIAEERTRRIGRDPQVGINIKTFLRCFKFWHVWLFSIAWAIGTNTTPSNYFNLW LKSLKNPDGSLKYSVGMLNYLPIAGQALQLVAELLFSGFSDYLGTRLPFLLLHSVINI TSLIILIIRPESESAYMAGWYMNYVGAVSTMLLCAWASAHLEKEPQVRTVLFATGTLF SYLFSAFLPIAAYPAAQAPHWHIGAKLYLGLSVFDAALFVSIFFAFKWQARRDKRKER AEQGDSSDL PEX2_084740 MAERYIPEHRRTQFKAKNQFKPDELRRRREEQQVEIRKAKRDEN LAKRRGIQTQDGRIGVGGMAAATESDDEASAIESELNVELPQMVKGVFSDQVEEQIQA TTKFRKLLSKERNPPIERVIETGVVARFVEFLRSPHTLVQFESAWALTNIASGSAQQT QVVIEAGAVPIFVELLSSPEPDVREQAVWALGNIAGDSPHCRDFVLGAGALRPLLNLI NDGRKLSMLRNATWTLSNFCRGKTPQPDWNTIAPALPVLAKLIYMLDDEVLIDACWAI SYLSDGANDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIIN CGSLPALLSLLSSTKDGIRKEACWTISNVTAGNSSQIQAVVDAGIIAPLINLLANGDF KTRKEACWAISNATSGGLQKPDQIRYLVSQGCIKPLCDLLACPDNKIIQVALDGLENI LKVGDMDKEAGQPGEARVNRYALFIEEAGGMEKIHDCQNNANEEIYMKAYNIIEKYFS DEDEAGGDIEELAPQQTQTGFSLGTGQQQPGSFNFGNGGDTMDM PEX2_084750 MASGDLVDITTACSSQGNFNVNVLGVVVDTLPLFRTKGSSACIT FTIKDYDFDGPTWQGGLKVKYFNDDESYLPNVKLNDVVLLRNIRVTIYHNKPTGVVSQ HSHVPWVIFRPQPSLSSSPFITSGPIPFEPSLKEKDQAQSLLDRVSAAGISVPQPTPR SVPAFQQSSHVQAPGAAPKFGGLPCIPIQFAKVRLLCQLLGQVVSLNTYDSEKSVLYL TDFTENEELVNYKKPGEDDEESGPEGDRFNYVKKTKNWPGPWGKLTIQVTLWEPHATY AREHLKAGDIALLTYARIKEGRGGLEAAVHEDRRFPEKIHVRKMNSNDERVQELMTRR TEYWKIHGEPKADTKKAKKRNKRVEQKKEARKEDGQLTMPAASRIKVNQHVKTRNYTV PTLSLEKILLAETHINHAPGGIVYQLPFQNVNYHSQVRVVDFFPPRVEDFAIQTTSAP LFGNENGAIDPKFGWEWRFCLLVEGVEPKPSKQQPREVMKLYVCGQDGDCLLDDDAFN LRDNPRRLEAIKEKLFLLWGNLEEEKSKAMASGQQSWGPVKSCPFECCIKEYGVQCTH DKDPNVMDVDGEVCTHPDCFGWERRFAMFGTTIHT PEX2_084760 MATQAYLERYRQLSALDQQKNDFIEELLQRVTALENSFQQEKLD HERETRFNREVQVHEMELMDQISVVKKMMVSTALDALPKFGLTYPSFPFQDREPFVVL LLDGEGIIFKDEFLQLGEQGGRNAAKQLWKSLQGYVTTILSTITEPKIMTKIYLNVKG LMETYIRGGIALEASTISDFIRGFNESASFFEIVDVGTGKNKAHDKIKEAFKLYLYNC HCHQLFLGCPSNEEYARSLTELISGANYKGRVSLVEGLPLEKEFDYFRDQDYRITQFP DVFRTTKIAPITLTAPSAPNAPSWAAPWKSAIPSRTLLTPSPTQQFQTPAPLSRTSTS TSVSNLGAPLAPVTIKPESSDFQVVRSKVPGTSPPKTVERNKYGQRVDRLDFKSIPRD DLNKLKKLKLCNYHFLLGECPNEENCYHDHDRKLSRQDLHILSAIARMTPCRFGLECD DVECIYGHRCPQSEPGKKTCFRGDSCRFEPVAHGIDTNIVKVTKI PEX2_084770 MTRFGFLSLALLSLQAFVGTGFAADAENAEAEVPALAVSAQASF PASEIFGIKLVNGHPTQALIAISNDEPNPITVNFVGGSLRNPDDQAKIVRNLTATRYA IEVPAGEKETISYSFATEMHPQDLQMTLSAIISDSEGRLVPIVAHNGTVSIVEADTSI FDPQIIFLYFFLLACVSGTGYFFYTVWVAPYFPQKRKSGKTSEKRAPGGAKRVETPVT EEPSTGAAVSSASAYNADWIPSHHINRPEARKVKGRSKARA PEX2_084780 MSGEHSNPSKGDPKEQTGTSEKRNAFTELLAPKSKQPKHATKTP SDRNAAKGRTAFGVRDGLGAYIAKPETYAPDVVIYHNDDFVAIHDMFPKSSLHLLLLP RDQTKTRVHPFDAFEDAEFLEKVKTETRTLRKLAAGELRRKYGKDSAQEQARQAALSA DPPPDELPQGRDWEREIVVGVHAVPSMNHLHVHVLSVDRYSERLKHRKHYNSFSTPFF VPIEDFPLAQDDVRRNPTEEGYLKRDFTCWRCARGFGNRFAELKQHLEQEFKEWKKL PEX2_084790 MPPKKKGDGKGGPKPGTKQAKAVAEKAGEVKKQVPEEPKKPSVK DVIGGASWTGKLPVNMLAEHCQKQKWEKPEYSMVKTADGFVSSVTMKKIDPKTKELIV IPPIKLPPSHKQLAGQPTALEARHFGAAFALFRVCNMRNIHMMMPPTYKKLWKEDFGE IKAAETREGKGWMYEADPFLAKQERESAAADLAKKRADREKAQAKEKASNAELGLGNG DARSKRIWSQAPKVDMGTRIRRDIEGLLRQHTVWNPYGVKIPESQKKIIADEFTGLGF RRSHVEEAAAECKDREEILEWLLIYVPDDDLPRWSLPEGYSAGVSLGSGDLAREGKIK RLASVGYPADMCSQILDGKNGDELAAAEHLQGTLAHGTQFSAPSVADEDEEAWAEEAT TLEAIFGERYHRISAKVCEINSEAPDIPQNTIFRFQKPTAHYPTTPPIISIQAKGVPA YIRLSAIRRAVQYAEDNFTGESMIFNIMDWLEVNLASIMENPGKLREISAVTASSSAE THQIPVRSARKNRRGIDWKVDSERSLAIRDAWEAKQDTAAQIEMTRKRKALPAWNIQH EIIHAINSNQVTIISGETGSGKSTQSVQFVLDDMIQRGLGGAANIICTQPRRISALGL ADRVSDERCAVVGDEVGYVIRGESKAKPGSTKITFVTTGVLLRRIQSGGDADGNVASS LADVSHVVVDEVHERSLDTDFLLALLRDVLNYRKDLKVILMSATLDAGIFMRYFGSQR SVGLVNIPGRTFPVEDYYLDDVIRYTSFAPELAEGYEDEEEEPSRGEETLGKALRSLG MGINYDLIAATVEYIDAQLGDQPGGILIFLPGTLEIDRCLNAVKRIPDMHPLPLHASL LPAEQRRVFQSAPRGKRKVIAATNVAETSITIEDVVAVIDTGRVKETSYDPKDNMVRL QEVWASQAACKQRRGRAGRVRAGICYKLYTRKAESNMAPRPDPEIRRVPLEQLCLSVK SMKGIEDVATFLANTITPPESIAVEGALNFLHRVGALDHDRLTALGRYLSMIPADLRC AKLMIYGSIFGCMEPCLTIAAMLTVKSPFVSPREKRDEANAAKASFSRPGDGDLLTDL SAYQAWSERTRAQGGYWGTQSWCAANFLSHQTLRDISSNRAQFITSLKDAGVLPVQYS DSLASAWNRNAANRNLIRALVAGAFQPQVAQISFPDKKFASSVTGTVEVDPDARTIKY FNQENGRVFIHPSSILFSAAGYPSSAAYLSYFTKMATSKVFIRDLTPFNAYSLLLFCG SIELDTVGRGLVVDGWLRLRGWARIGVLVSRLRTMLDEALTERFDNPSVVSDGSSLAD RVIEAVKKLIEFNGLDQ PEX2_084800 MDAKPQRFRAVGDENVPPPSLHQTHKVIHQRNKSSPALSMMAQN NAGRRAFVDVSNTKEMSRASRDDSGVGGKPALVEVKAPGLSQPAQRRMTLSGTRGPVE NIATTKPMNPAGKAPLAYKSKRSNAIYKDQLHTVPEKDTSKEANTDTEAKASHKGADK GRHSNNIVVEPSLDIASLVESDATVSETEDAKDHAHVKEPVPAVSEPEEWDGEDTEYH VAPTYSSRGDSSDGTTVIYPCMNNITTREMFRAKDIVESEQTQEDIDEELLDTTMVAE YGDEIFLHLRKKEIEMLPVPDYMARQSELQWSMRSVLMDWLVQVHQRFNLLPETLFLT VNYIDRFLSYKVVSMGKLQLVGATAIFIAAKFEEITAPSVQEIVYMVDSGYSVDEILK AERFMLTILDFDLGWPGPMSFLRRISKADEYDLETRTVAKYFLELAIMDERFVCTPPS FIAAGAHCLSRLLLNKGNWTPAHAFYSGYLYSQLIPVLSTLMECCENPRRHHAAIFEK YSDRRFKRASMFVEAELATDFVLPEASALNDPDRLDGYANF PEX2_084810 MQAVQHEGLSGLVEQAGLADSATVARDMSLKGPAPKNIAFELLL DENSKVRARIPMRVQIYPHDTTDSIVTTVKNFYGIYDGTASGVSFEDEHGTTLIARYE NLKNNRTVYVRVIPIQPYGDHFYNGFNVEPRKRPSLAEPFQMVDGAAPVLDQPARPAS RLARKRSTSPSNRSLRSASQHKPPSRAGNKSRASSIHGGFHDDDDYSDTESAYARRAR NELFASSDISMENILQDGRRKRPKFDSSELPLFVPPQVPLTTSTSSISPQRRSIGQEG AGSPFARPPQRPYSYQHALPSPQSYGHSEYGVHSGRNTIYATPVVPDHGNRMRDTGVY NNSASRVSGSGVLPTPDPTIASCISDEDVAMQLIRLGDASNFSHGRTSASTLDDAFSG AADAASSTGATSDGEDFSEDEDDLPARRQRLDSSPMLPPGTIKRHLKGLDDILPSADS SDHSSDGADDGIKSEAEEDILYKEFTPKAKKPKSRPTSFKVRNQKPAPAKPNKSKIAM PAPRKLSEKSAAPVPPLSPASSRKVSGGSVNFQHQLGADEEDLSTKPRCQRCRKSKKG CDRQRPCGRCKDAGIGIDGCLSEDEGNGRKGRYGRHMGVPVKKNGEEMDEDEEEFSLT PATPPISSSIADKNKKRKR PEX2_084820 MPPKAAPRGSARGGAANRGARTDTTTPTPAGGGTAQNASTATGA PGPASRASVQRLQSLNKRTPSGSIAPSNRPPSALGGEPPKPVLKHKPKAVGRRSKQER DEIERLEQERYNERLKEAAAIQRGRGGTARRAGFRGRGGPMAMGMSGFGGRGGKRGRG GYGGGGGGGGYGGGPGGAGSGYNRSGLTGGNRGRAYDSSDDEENALRVSIDHINLDSD EEDWDTPKDFKGKQPSRSSGERGLRPVRVERHEHEERVISVNMESSTSRTADLRKKAE KEKVAQDVGRTPTKVKPEPQDDDATMPDAIPHADDDDFLPEHNVRVRSAQSMSPTKRS TTELRSTQPTPEPELPDPRSLLHTKEEIDEYDRHMEDLAIIRDLLVPKESKKATTEAE TTEGAAEDKPAAVEGQEGDQASEEKEEEQEEDNPLHGQMFLMQFPPMTPYLRVAGSAQ DNQHQAAAAATAAPPQNEIKREAGDDVEIVENTQPTELKENSVITAGQPWSLPTGRVG KLNVHKSGRVTLDWGGISMELDRGAPVGFVQEAVILSKVPPESEEDTQKHVWSMGQLS GKFTVTPNWDEML PEX2_084830 MSLRPLGYQCNISYAQFFRTGQQTRHTITQQLRSFSRSRDTHCA HRWSTTSPHHANKASFSLRSLLSDLLRPVRTLQSHGKGSWTQQCRHETSAAKPSELCV HCGGKTTHKARRHVEPGNSSSGWHCKACVRIARAHGHLPNEEQLASIYRRRRMRASGE ASKTSPCRHCGAMTAPRSSRKFIDANNPSAGFHCRTCVRHINHHGTLPTEQDLAAFEY RKGIRKRSPHPSASKNNASDISKREPIPLHTCGSSGDSKSVKQMKQSRDEYPCMHCGD ITISSSKRRLVEPQNPAAGYYCQPCTRSLLETDSLPSTVKIAALRKLRATRMQSNPRV LKSPCVHCGEITAPSSKRRLVESKNAQAGYYCRACADCLVQTNSLPSEIRLAVRRSRE QVRLKNLRASQSKKSPSPVSPQDGEAIPMDTPTDNTANTCVNCKTEEHTPDP PEX2_084840 MKPSTFLAFLAPVAVLADSQQPAAGASLSSDFAHNSPAVSLPEL VPREQFAPFADRDLPSDEMRLLAARYVLQARSEGSLGQTPWIGMAIGLTFTALAAVML G PEX2_084850 MATDEYPPFVPMPSLRNELGVMFGFFSLCIVVMGAYVALWRRYQ TRLDAQDLAHRKSLRNKSQTQPLLGTTTSVTAGRSPNPSNDTTTSKTKASVQERMLDY ISMPENRAELPVHGMEMYAPRKGNAITSHIRCPFSRSTPLSSASPVGGGIAAESIVGM TLEGGRSLNQCLLRVDGFRSASPARRFGGGSGPAVEIGPAPSRE PEX2_084860 MPSTTEEGDNPSKHTNPLSETQNNESWQSSGDRVAPAPQPASAA QPLSKHATHIYTVSYLIFFSLLGTLARLGLQALTFYTGAPVVTGVLWANVTGSLIMGF LSEDQKLFREEWGKKPAKEPATDRAEDEPKIKNKKHMAVKKTIPLYIGLTTGFCGCFT SFSSFMRDVFLALANALPDPSRPTGSHPASRNGGYSFMALVAVILLTVSLSLSALIVG AHLALALQRVTPTVPFAFTRRVLDRVVVVLAWGCWLGAVFLAIWPPDRHNGPDVWRGR AVFAIVFAPLGCLLRFYVSLHLNSRIPTFPLGTFTVNIFGTIIEGLCYDLQHVSGLGA VVPAAMTGCQVLQGVMDGFCGSTTTISTWVAELKGLAHRRHAYLYGSASVVVALGFLV VIMGSLLWTRGFAEPVCG PEX2_084870 MPSFCKDHTPWIKYEKFKEGAKPGKTCLAYDTDSPGRVVAIKEY KTSGIDKTCHLKMISHPNIVNLLDAFKQSRTLYLAYEIMDLSLEQLQSGIQLKESDLA FICKELLHGLWYIHRDLGVCHTALTCDNVFISSQGNVKIANIAACLLERHQGSEQFDI KSIGIIICKVLEPGLSAHDLEASYASLSHGSDGIRTFISTTATATIQALLQHVFISYA ATEGCLVVPVMKVRGLVLHDYE PEX2_084880 MTSPSSTILIEDDELPLSWRPTDSQVQRRSPRTSLEVLIEPNDA ASGSIESSRKRKRTKGTHRDTLDTCFKKLRKTLDEEVGYRDQELERLQKQVQEIESKL LLQEERHEEELQSRAA PEX2_084890 MADSSTGQLPSFKSPADVKTYYLDTLQRKPSRKGKSRAFQKWKE NVLQQIEDFLKDDFDINFCDREISTSPLWQATMGLETFQKVLENTTTLVNLSGEDGRT AVFLAVEEDNRDVLERLSKAGAEIDCMDKKNRTPLSYAAEQGFRETVKFLVEDKKADV DSKDCEQLTPLQWAIGSGRTDVIRYLLRKGADVNHRDGLGRTPLLMAVGPNSSLSKSQ KDETINLLMKHKADPNSADNEESTALVLAVRHSSITTIRQLLASEHFTIDVNQYAKGR TALSIATELELVGIMEQLIPKANVNLRDDNELKRTPIIWAVEKEKGLAVIKLLQMGGI KVNSSNPQGRTPFSLAAEKGWVDIMQMLLKSKADPHKEDKSGHTGFWWFLRARSGPPV IHATLGHKLPKMYRSSLPKLMEVLEHLEPNRQDSAGRTWLSWAAEYGDQKIVQLLLAY KNTDPNFRDGVKQNEKGFARTPVIWAVEKQHEGLVQWMIADNKNDLSLNYLIREFRTL REELGTDKALHIVKTFISYGEVEGLGFIGREDLEGHTPLHLACFQENEEIVDALLEHA YPNPRDFTGRSCLQYALDRGNERIIRRLLRSMPILEYVQSSDWFCIKKQNTCWVQVYK LNDSGIGFDWKLTGNLRRDQLLSKGSQRIYFCEQSLWTHVPALFDAESDMKSEKEESN DIQYIRKDFSGSIVSCISIHFPLQDRFLSGKHEMRQSPWGIAWIRRPEAEDTDTFLSS ISEGSFPTSDSHFFRLFLRDLKNQWAIACSIASVRIEEIQKEQLEQRGRKSTLIDQLA ENASIRINVRNCLRSHINRLSHQVKTDRFFTKDTRTELTALVNEIGNDATGRLDDMER ASRELLQMELAWVSKSEAASIKRLTWVTFIFLPLMFTSVRSP PEX2_084900 MGSTLQTLAFSALAITSYASPLIYPRAANTSYTNSNGLTFNHFD NSLPNITILATGGTIAGTSDDKTATAGYKSGALGINTMLSGIPDIFNVANIAAVQAHN VNSGDISSSLLLNLTHTLQTQVCDDPTMSGAVITHGTDTLEESAFFIDATVNCGKPIV FVGSMRPSTALSADGPMNLLQGVTVAADKNSRDRGALVVVNDRIVSALFATKTNANTM DTFKAYEQGSLGFIVSNKPYFYYPAVQANAKHVVDVSDVDAVPRVDILYAYEDMQVDS IYSAVKNGAKGIVIAGEGAGGVSTEFASAINDIGAKHRIPVVLSHRTVNGEVPTADLT GANAETKIASGMFNPQQSRVLLGLLLAEKKGLKEIREVFLKATVA PEX2_084910 MFRAVLPRATPRSALRHAGPKAVPNNFATPMIFIGHSKRGFASE AGDHDLVIIGGGVAGYVAAIKAGQEGLKTACIEKRGTLGGTCLNVGCIPSKSLLNNSH LYHQILHDTKKRGIEVGDVKLNLTQMMKAKDTSVEGLTKGVEFLLKKNGVDYVKGAGS FVDANTIKVALNDGGEQILRAKNIIIATGSEATGFPGLNIDEKRIITSTGALALTEVP KKMTVIGGGIIGLEMASVWSRLGAEVTVVEFLGQIGGPGMDAEIAKQAQKILGKQGIK FKTGTKVVSGDDSGATIALNIESAKGGKEEVLNSDVVLVAIGRRPYTEGLNLEQVGIE KDDRGRLVIDQEYRTKLPHVRVVGDCTFGPMLAHKAEEEAVAAIEYIKTGYGHVNYAA IPSVMYTHPEVAWVGQNEAEIKASGVKYRVGTFPFSANSRAKTNLDTEGVVKFIADAE TDRILGVHIIGPGAGEMIAEATLAIEYGASSEDIARTCHAHPTLSEAFKEAAMATYSK PIHF PEX2_084920 MEKGNMAQERGNDVDTKTPEDPPSPHGDTRLEGYSQFTVAQKRA IVAMGSLASFFSPLSSSIYLPALNTIANSLHISVSQVNLTVTTYLIMQGVAPMFIAGF SDTAGRRPAYLICFTIYLAANLGLALQNSYAALLVLRCLQSAGSSGTVALANGLVGDM ITSAERGSYIAFASVGSMLGPSLSPIIGGLLSQYTNWHWIFWFLLIFGGVFFLILGLF LPETCRKVVGDGSIPPPPLNNSVADIIRHRTRKQKGLVPDPDKEAEVRKNYSLRFPSP VPTMKVVLDIETSIILLTTGLLFASFYAVMTGASTSFHKIYHFNDLHASLMYLPIGGG GVLSAFTTGRLVDWNYRRHAKRAGLTVAKNVRVDIRNFNIERARLEVALPLYYVSNLS MLTYGWVLGHKVHLAAPIIILFIAGWSIIGTSQVLNALMVDLWPGKSAAATAANNLFR CELGAAASAAISPMSSAMGDGWAYTTLALISIAVSPCLWIVARDGIKWRQKRNQKEEE KSSCG PEX2_084930 MSDKIPSWNVVHKLEKRQLLIGINCVAGLSILFFGYDQGMMAGV NNAKDYIDLMGFGYTEVVDGEVTPVVTNSLLQGGIVSVYYLGTLFGALLGGWLGDRTG RIKTIAAGALWAILGAALQCSAQNHNWMICARFVNGIGTGILNAIVPVWATETAEHTS RGQFIAIEFTLNIFGVVLAYWLEFGLSFIDNGESAFRWRFPIAFQIVFLIALFAAVWF FPESPRWLVKVGREDEARYVLQRLRGSSGEDLIRAEAEFQDILSIAELERTVNHGDSY LSMLVGYKSGDLHIGRRVQLVIWLQIMQEWVGIAGVTVYAPTIFGIAGFDSMKSQWIS GLNNIFYMFATLVCVFTLDRIGRRWTLYWGAVVQGIAMFLAGGFSRLAIDNKAAGDMG KASSYGAAAASMIFIFTSAFGASWLTVPWVYPAEIFPLAVRARGNAFGVVGWSIGNGW LTLLCPVMFNAIGEKTLYVFAASNVIAIPMVWALYPESNQRTLEDMDLLFAAKTPWTW DAEKTFARLKEENPGMVQSLGPKGSVVDPETGKPLSVAAVVAASKAGDNAVGAEHVDR A PEX2_084940 MSLSIAQPADPQIPTPTPDQQDSKPQKSQKAPTPTLPTAQTKKY LHRISLHPTLTPYRRRVYRTLLSVPPGRWTTYSAMATYLGSSARAVGNAMRSNPFAPE VPCHRVLAADGSLGGYKGEWKVDGHKGGGGFCEEKRLRLEEEGVVFLDGKVRGVCFKE FVDLGAK PEX2_084950 MQSRFNYTDSYSSYSSSPGSMDFFFYGGQPVYDTLCDLDTTSPF PMQEVAPELYNITSSPYTYQRPHDYPVFDRPAMPQSAPSSCGSSYSSYSSSFNFPSEE INLPSYPISEYEPSADSPAPQTLKPAKPFGCDHCGKSFTRFADLKRHQSSVHYPVFRN CPVEHCSRKGSNGFPRQDHLVEHLRSYHHMDVPKRGSCKRSAKQMS PEX2_084960 MAARKTQQEIEKTFKKVSEGIQTFEGIYEKIRQATNPTQRDKLE DHLKREIKKLQRFRDQIKSWAAGNEVKDKAPLMEQRKAIEVCMEQFKAVEKEMKTKAY SKEGLSAASRLDPKEKERVECCEFLSTMVDELQLKIEALEAEEETLHAQMKKGKKDTK KADRMADISHVTERHKWHVNKLEFLNRSLQNGNLDVGAVQDLKESIKYYVEEGGSLDY SGEDETLYDDLNIGDEIEAQFGMGGEGDRVSSQDAQSVQDEEPEPKPKVKQEAPAARR PSTQMKSPLPVLATLHPSASSSATPGMKPAPLPTRPPGETLKYASAAAAAAASDKSGV GILPLPPPPGFSPALSVALPTPKSSTASPSVTPAQPVSKSIIPPTVAAVTEELAGPVR PKIPASASVSSPAPAKVETSTNGKLETEGTETDESVYHLPPGLQDLLQSFEVTKSRAS ANPSPSVQRLLATSLTTCPEAGDAEKPRHYKPQNPYSTALYYPQEPLSIFDDPRLYDT GRIDTDTLFYLFYYRQGTYQQYLAAKALRNQSWRFHKQYQTWFQRHEEPKNITEEFEQ GTYRFFDYESTWSVKDSD PEX2_084970 MKLVIQRVKSASVTVDSELVSSIGKGLLVFAGIGKEDNEKDAEN LVNKVLKAKFWPDENGWKKNVKDIEGEVLCVSQFTLYAKMKKGNKPDFHDAAGPESAR KIYDFFYDKMQEGYTPDRVKNGVFQAMMEVELKNDGPVGVDYCSEDAAVTIEINTNLP KKEPKEPKNGDKDSDEQEIKGPFEFQIPPELLQ PEX2_084980 MATAQRSRVFFDIKIGDGKPNRVAFELFNDVVPKTADNFRALCT GEKGIGTQGKALTYKGTSAIPRSHSIDTH PEX2_084990 MIQGGDFTAFNGTGGESIYGEKFPDENFDLKHDRPFLLSMANSG PGTNGSQFFVTTVPTPHLDGKHVVFGEVINGKSVIRKIENMKTQSDKPFQDVTIVDCG ELSGEDYENANKRQQDATGDPYEDFTEDHQGEALTAPLCFKIASDLKGFGNTAFKGGD LNLGLDKYQKGLRYLNEFPEPEENDPKELGEQMKALRFTLHSNSSLLGNKLQKFRQAQ NWATYALQVADSAKAKDADKAKAYYRRAVAHEGLKEEDAALKDLQEAEKLAPGDAGII NEIAKVKKTVKDREAKDKAAAKKFFS PEX2_085000 MGIKGIRTALKVDLDKPAWEQPGLHNRWHPDVPAYGKIANNEVV KIECLDWTGGQIGNNDSADDIKNVDLTQIHYLSGPFDIETAEPGDVLLVEIQDVQPFE DQPWGFTGIFHKENGGGFLDQLYPEAAKAIWDFEGIFCSSRHIPHVRFAGLIHPGILG CAPSAEVLAEWNRREGELIATNTVADRIVAQPPNPQNAHAGSATDEVKSKIANEGART IPGRPEHGGNCDIKNLSRGSKIYLPVHVPGAKFSVGDLHFSQGDGEISFCGAIEMAGV ITLKFTVIKNGMAKLDMKSPIFHAGPVEPQFGPGRYLTFEGFSVDENGKQHFLDATVA YRQTCLRVIEYLRRYGYSDYQIYLLLSCAPVQGHIAGIVDIPNACTTLSVPMDIFDFD IRPESDVVKKEMGACAFVTK PEX2_085010 MSSFEPNAVIRGFQLTVVGTVRALANPELFKYDHFRQAALAIAI GIVIQLIIQIPIISVKFSIYILSWVINMEQAVWDDKLLNGLEFMSKSVLQVPFLLMTL MRYVTPTLDEIFMQSIQWVDTTYVQKHKSEDPHHLRSLYYPNLVQYSAKGGSSVSRPI PQALKSFFNRYAKKIGMMLGIYLLSMVVVIGRFVMPAASFYTFRSHVGSNPAAVIFGV GLILPKTYIVTFLHTYFASRSLMRELLEPYFWRIKFTPEQKRQWFRDREGVLFGFAFA FTVLLRIPYIGVLMYGVAEASTAYLVTKITDPPPPPAESTNFAESQVTWKNKHDFLRL SLDNLDKLNVEHDQKEPASPGKKFT PEX2_085020 MPESPSELGQKQSLRRSLTLPTKLNPLAQRRSSVPNTPEHVIFY HPSAKIVHFSPRALAPIPSSSAPSDFDYPVDTIETLPWRSATERTVATAPLRLEKVHG STAFLKCGNVVHAILKNSQCWCVDGVSKFVLRIRPLTYYRIEIPYETEDDRSLVRDLK IALPTVLRYEVTPCPFKRAFSVELPDDAMAPRRKKAWQPKDRRDRPPNALEPPRETPS PSSIRSDCIDSVSTGDDTDGNLTDDSCFTSNKANSTILETIPDDRESSPVEALSPSPY LGPPRRSVTELPPTFTSLLAKFEATSVAEDEHDFENVLNPEYASSTDAALQIDHEMNV TGRLEPEFVADGVAEAGSESGAVLDAEFKVEAQLEAKSELGLEAIPQAEPFIETAVGA DPIVPIETDAVLAAETSEVIFRVEAPSAVEAEALPEGNSMNAQEVQPVQPAAEPKLEA DYDASFASSPESFHSAEPQSPASVSTHPTSVGGHDLQESEDMFKLSSTKHIISGLESA QADSFEMPQLPAIKIPQSDDITKPTSVSGPALMNNTSLDTLPRRFADAFSDTSPSMAP TSNVNRPSRFADASSDIQMRPNPHAKATALNTDFDHMSAEFRRRAKATRERDVSPMPH SSALYQPSGDDGSSFISKAITLVLIPPAYLFVILLHIAARIVINPTTQPTITSTPSEP HSHFQQTRKDPATEDDFSFPLEPQTSSEYEDAEIFKKLDPWDLD PEX2_085030 MAPHLGHDEFFKSLAELLSKTSQKTQGSVNLTQKPLIDVPGATT TNSQPSILIRATDGNTNTPNLKSASKETQISKKKSQKVKLSTIVNPDEIEAFYVRYAE VCKAGMSGLKKRDRRGRKAKTKGGATKVTKA PEX2_085040 MPRPRHNTTALPRDLRSELGIRDVYGDKKRRLNGPASRKERRQN ERTQKKSRHAAPQHKKTFERPQQRSQQKPQQQAQDDSDEEDGMDLDEGPSAPQIKSKS TKEAAKKPKSILKKAAVEQDSESESESEPESGFGSEIDLNEDEDEDEDDESDDDAYED EPEDEPEETEAHIPGSVKSKLAQDDAEIAALEKKLGLKKGGKLSKAFEEDGLEDLLGD LGGGGGDGSEDESKKRKREADDWLRNKRLKAKSLQAQQAQQLENDDSEMDSAEDLDLD EEIFGGDDSDEAAEGSDFDGFDEEERKVPKQKENPYIAPVTKPEPTAQKYIPPSLRGR SDSESESLTRLKRQAQGHLNKLSEANMLSIVAEFEKLYRDYPRQHVTSTLISLLMGLI CERAALQDTFLILHAGFIAALYKLMGMDVGAEIIQTVVETLDADGDERGKFQGKEATN LVSLLSQLYNFHVIGSSLMFDYVRLYVQDINEANTELLLKVIRNSGPQLRLDDPSALK DIVLLIQPAVAKVGEDSLSVRTKFMIDLITDLKNNRSKASAAAGAGITTEHITKMRKI LGSLNSSRVIRASEPINISRDDIHNSSKKGKWWLVGASWKEDPLVTAQKEMAGVQMTQ PGVQDDESDGEPDFGVLARAHRMNTDVRRSIFVAIMSANDFQDAHVRLMKLRLKRAQE FEIPRVLLHCAMEEQVHNPYYSLIARRICGEQGRRIKMSFMFALWNEFKKMGERGDID EDEDNNFDPDDEENGVSMKSVVNLAKMFSTLIVDGSLTLSILKNLNFAYLQPKTKTFV EVLIISIIQQSQKSKKKKKSKSSTPDEPAKNEGPLMQIFLGVKETPHIAKGLIYFVRK VVAKSDIVSSEELKLVRWGCNVAADALKAVAE PEX2_085050 MADLAGTAVGIISLGLQLCQGIVSYSEAWRGYDEEIQNTNNKAK ALRTLLKTLRDTIEDLQETRPQVAADLEEKAMSMHSSIEKLRKIVERFKPARSEAFPE KVRAQLKKSVYYFQRDSLQDMQNHLDQIQNVLQTSLLIYNWQDTMESRAMQISMYEEM RSMHSTLKDAISSTGMPSPSVLQLACDSQLKQLPIMLSNSEPMVQANLGVVRYDLYKL AA PEX2_085060 MILSLIAWQDSWPDISQAIVRTLTFDALGLTHTCCTEINSHRVT YFSFLARGGRDETEIDRILDDQHLLIKEFEELMEEMESKLDEPGLPLEEFLDGYWYDR VIEYLSRRDHYDEEHVTEARRMGIFLKAEEFCIPDRVSLRFRPRVQELQDDSLLNGPG PEX2_085070 MPFPATPSPFRLSRRNPPTRRSGPQFAPTPRFLLSQSATQKEDD NLDVIDDDGPSSTRKVARDPPATQSAPRSRQQRDVIEDSDDDADIGHVRIRGREADNL PDDAINSTPPEEPDNPGILDAEFDALFAPVRDGNKRRRVEGATPFRSNLTQIDPILSS PPRTANPPADPIDLPDKSRQNTTTWEMGTQGTPAPSARPFAPPTSTPSNMKTPFRSRP RFMLSSTAKPPSSQSTPKFKLDTPRISPPERRKPAFVLPRSPSPNPDVEDIPAPFSPS SRTLHRRGRNRAGVSNYIPGGMAAEVRGWILEMGTKRDQLPKTPLVEAPDSRVADASL EKLNAYLLTARVIHASQSALSGCGSFAFVQAEVLTGNHVQGRNPDTALNIMIMGPSRS KSAVRPIPSHSDATLTPHLRKGDLVGIHKGLNWSLELGDPFFEHRTPGQISDQVLNCG PPENGGHPETKESWLIAMEWDLVEAAE PEX2_085080 MTKAPQDLQIAILGAGMGGLTSALALAQQGFKNIDVYENASDLG FVGAGIQLAPNMARVLDGLGVWKGIEAEAVNIEDTSVRDGATDTEHAYVDLRYIEKTY GYKHMVGHRASLATGLYNGCKQHSTIKFHFGVNADNVDSFGPRPSFTARPRAEGAAPF KVECDVLLAADGIKSVTRVEMLKRLGVDVGVKDTQQAAYRIMIHKEQIKDDPELLALI NSNRVTRWIGAKRHIIAYAVSNNTIYNLSTTQPDTNFAAATNATYTTKGSKPAMMDVF SDFCPMVQRMLNYVPEGEVCEWKLRVHEPLPTWVHDSVALVGDACHPTLPHLAQGAAQ AIEDGAVLGVVLSKLPDTTPESINKALRVYEKIRKSRAEALVEMASASGRALHLGDGA AKEERDKQFAALRAGKGPVPDKWADADVQREIYGFDCTKVAADNFDEYFSQM PEX2_085090 MEDTRENLHPEGRPVGRRTVLPPTPVKGDEMIVPGPWAIHIHKL PFRVARQGDILQGRYLDAFSAMPNQREPCLRYGIDWTAQEFQQQMNDSLPRVEAALMQ VVGQQNELEFRSIDGLSACANCHWTESDTLCQYTQAIPTLDPLTPARNHMNPRQVSLS ASTPRDTSRNPFYPLLPSTGATSRPIAPPAQPHGLIKYASEQVWLLNEYSKLVRQLTG LHDKSQCLGAQLEGLEHDLTAANTTTTTTTTEQPIQQTVNDVRASHQELEQKIRRIMM HVINMMEPTPRGPT PEX2_085100 MSPCQAMDVDHDLSAFIFHHIFFPLKLPQEAENNLVELENCMVV VARDVLQDFIQNVSPESQQPWALALSMLNSWILLHAEQGISKFGLENALSNVKITGAI ACHIRAQNCGWVAFYDEDKDRLLVDAFEVSPQAKPSLSSSGGLLRRFPGVSVAISADK LADPRFRSYLATTISKLASEEVSQMRPKGIKGGSQVDETRETIHPGLVTEGLMAQLLA LGTQNEETKLMKCVRDEVNWMSALLPWRRSPAWLGLRVALQLVLRRCFPEAEHRLQYK NFILYLMAKLAANEDLVVHSDEAVDCWKISHARIGRRIHKLNDDVFPFVANQVNSTSK YLLGKLMTIQEHIKKSNRMTIPLIPPSASQDDLHLSLVHCKDYFQIAMCSRFDEAQPT DFCPTHTPSLDWINGFPIPKFNSIKSLTEFEQWVDEHLASWFINQPASRDVEVCRGLE NTISEYLNLARPKYASNPQATSIMLLVVLELWVVLDKAALRLCPLLREFSPEIPESFL EPLLLPKLSQMKRAKDIEQHIHLRHKGAVKTNPSIFCDPAQNCFGVQYFESSEEHQIL EQQIEDHAARGRVLKRVEWEMLSDKYKTLCGRGEQLAHYYGYNFNHQTVHLNHQCEKC SVEKQAGSVSIKVHEWPLPTNLVEKRVAVFELNLPIWFTSWRNTTWKLLHNVGRRDTV ICDSKELEWLQYEDIHAFANQVMATQRDCDKMLSLHEFEAFGCLRSGEHLQWCNIVRN LASSALSLNEEAVVSLIKQAAWELGTPSGSVRRVTHQAFEDIGFGDCLLDLLHERLTT IKTNWNEHCTFDLIVTLGLRVLALSNGSPSVEKVVEFLRQCRQVAMDWCDKLNGGFLR GQGNEDGGKQGLILRIASACQATYDVDPVLLNMILETPQDLFCFIRCSILLFENSPSK THSLPCETKLCLENAEKIRFLSRSRIIRTIMKCPSGLNEAIQNSISCLEFSGTWSICE GGGSWVTTATSNSIEGFEQRTHFNYVTGELLVDGNPPGRLPASFTGNALYQRLFGTRI IPVTPSSLPGASFVLSHTIEGSEVHFGMQSGRLFIKTRKGCQILQLIPQDILENDFPR VFVSDNFHWLNLETGVLEFRPLSQPWKPCHRNWHLSFDPCAPDKMLMEQDSKSLVDIR SSLFIELVRILKVLDVEEEIVVIQTAVGTLEAELSRLRLKFFVKADGRVASKEFSALI DLDQDVGCFYGLNKNLALIDSSNNTSVIVPYGYPVVTRKDHHVAVDINLPTGNRVKYM HYCLDKHLQILRGSCPLAILYQAYLHAITSFPLPDELTRRSGTEEAIRILRQESLRSS FPLHNDCIETLERIAGLTPCRTFYPRHLRVMQTVLWSSNLGQLAQHDDFQPLSQEILQ SSEKCSYFHDAELRKNYIFYQGSTDLLTRARCRNEQLRSSDFSGPPTSCIKKNYESRD CDISSFRSSHVDTYSLISLFSILAFEGKIHHSILLQLLQVAFSGKCKNVAVPPDWVKS LNLSLGEDLVHAQVENAIRNSYRIFTQTHNPYLTRAQRTENYRRAEIEREEKKNSDIR LCGSHVKSQWPCRNPQLPSQDLIPRVLRTAADEKCKTLCGNWFQNRNFLRFLRQVESQ VPPSNSGNNNTVPVPTPYVHPRSIKYIPFWQLSLFDLIQRSRPNPTQTPLDPMTYHGP PLILQPTPELWSLANYFQASSNRCQQEYGNGLSHSIQALDDFSGSVPPTGNSDLSESF DWPTQTNAVLSHQIKLQKQKDSQWNEIVATLTIDGEKFPARTDTVRPSITVWSILSIL ASYQWKNVPAEWRELLVAFGKSISALRRCERLSSCIEKHDIDGFLREAESPGYEGWDP LIYPTWLLLEIENNITIRKRQVEVAVRMIKPDDGENSVLQLNMGEGKTSVITPMVAAI LANGNNLLRIIVLKPLLRQIDALLSQRLGGLIKRRVYHIPFSRQTQLSSSTVSQLQFI YQQCWRNRGILIALPEQILSFRLIGLDAAERNPKMFAPLISLENWLQKKCRDVIDESD EVMDTKFQLVYTMGTQQSLDGLSGRWETTQNVLRLVSTEARRLHMDDPRCIEIDQSGY RYPILRFLKPNAIDRLLKYTLKSISENGIPGFPFTQWTLRVKKSVLRFIEHSELTKED DSIIQKEFDGSIFITKLLVLRGLFAHHILRFSLAEKRWLVEYGLHPFRCLMAVPYRAK GVPSERAEFGHPDVAVTLTCLSYYYEGLTKEQLRDCFALLAKLHDPSTEFQNWVAPCI DALPAGLRTCSGVNLEDDNTFSQILFPLLRYQKAILDFYLSHFVFSREAKEFPRKLSS SAWDIPARRGLQLTTGFSGTNDNRFLLPLSVHQRDLGELLHTNAMVLRLLLREDNRQC ILAQDEEGRQLDVDQLLKLVIGTGEVSSKPVRVLIDVGAQILEAENQSVAQKWLDMTR EGDVEGAIFFNNGDEIMVIDRAGHHHSRGVDLKLPPATRAAVTLGPRLTKDKLVQACN RLRGLEKCQSLLFLIPPEVSHNMRFSLGISSDRDFTSADVLKWSMVQTCQTLDNLRPL WANQGIQYHRRISLWDLLVEQRNPSKETANSMQEPEAQTLSQLYAPWNENKATTDGHN VAEWDVNNAQIRQLLETLQSTANHVVTSTYLHEEQEREIACEVELEQQVFRPPSYTPN SHKLHDDIQYFANFGKFPGNQSSKAVTLAFQGLVNTSAGKLYHPNPLGPGLYSTLDFN QTVKISQNDLMDDFCKQVNWILSSLHSDVLIIVSQWEANGLINIIRKSENTRLNLYAP RVTKPMRSFRHLDFFGIGANIPTRPNTTMTRCLEMFSGSLYFSSFEEYKDFRAFLGLL TDDLGEIPDGGMSNEGFVKFFTRLQLQWPTDSPFVQNPLPFLSALIHIRTKGNGYQQS HVGTIIKAMPLSAERF PEX2_085110 MRPSSLLPLCGVLNLSSALSTAPINDNPIKNENHIFNVIQDSMR QWGSSLHHNGVSFFLAAVPAGTQFYHGTSKATPVNGTEWLAFEPEHAMVFARPRRGPP PHLSSEDPDTERQEGGHGELRKREHHGPPKVFDENESGYLHTYVAAKDLRLLYLDGMS AAKTSKGTLDSQDAVLFSGAFDDAPGRGGNQENERARLACEMAENEWEGRIDGVLRME AGFEIILCDFERDLTPVRITQVKQNSEEQRGPRGNRKHGDRDGPHKEGDDKHHGGHGP SKEDDGKPRGPGGPGGPGGPGGPGGPGGPPHGGPGGGPDSSRWMRAITARYNGIGGNR VSLNFNHFVTAFSHNIDLFQDNSTLPRLANVSPGSRASILAEVTNMIMTQNPADASED WQAITDMIVTRYSKELSYFGSGSIDSIERLQSEIDRVLSPFIDYSKRDDVAEIERCAT QFLPLPSLEGGSIAAQAVHGVASKICSSLLEAGKKQELESAVQVVRDLVGYLDWATWK ECRGCAANEICVVPIWPMGSIQDYESPKCKDASSPYDQDGENYWGGMHH PEX2_085120 MKSFAFSAALLASTAMALPASIIPSGTTGSLPSGVPSCLPSGVI PSGIPLPVASMIPTCSGAAASSFSALPIPTSAASDMTEGSGPGQVLSILNQAGTSNFK MMAESELQSLMSKLPLSALEKPVGQVIQTAQSVDQLDTSSGSGLTQVTAVLENGNAAL IQLTQEVVDLLSSLGLGEVGSLLGSIVGGLESITGNIKRADPLQNVLSITDMNGLAGG KDLLVQVEPTLLGLLSGLDLSTVQGPVGNVVAMAPSVTELKSKIPNIPGADFIAVNAE DKASVLLIKINGAAQGLLSTLGLGSVGTAIGSIVSSGKGALPQ PEX2_085130 MRIFQAGPLAIALSVFTGAASASVFQNNTYDYIVVGGGPSGIIS AQKFAHAGKKVLLLERGVGPTVATGNNETLTWDHSLTPIDLPGLSADIGGLDVWEQYV CTDTAGTAACVLGGGVTVNYMVFVHPPAHDFDDKWPKGWKWKDVESAAHRLYKRNPGT MLPSADGKRYDQELYAVLSKFFGGLGWKSVNMMTEPNEKHQVYSYPSWNLKNQMRAGP VRTYLPDAVKKDNFHLALQTKVIRLIRSGSQVTGVEIQTASGQSEIITIAPHGRVVLA AGALSTPRLLWNSGIGGKAQIETAKKSGVAVPPKAQWIDLPVGVGLKDHPIFPITFST NASFGLVDYEGVLNGTDSRDISLYRNHSGVLTQGKHRMIFFTSEEIDGHTQYYQGSCA PTSEGVVTITSYMTHGLTSSGVLGLDVTGKTVIEKSPYLQTAADQKAARTFVQKMVDD ITAPSTGFELQTNTNVSAILKALSPGVHYTGTAKMGTDDGRKSGTSVVDTNTKVYGMD NLFIVDASIHPDLPTGNIQATIMVVAEAAAARILSN PEX2_085140 MSTPTTRLIPASSRTLLLTLDAFGTLFHPRLPVPEQYAATAHQF GLSRTTVTPDKLETAFRDTFRAQMHQYPNYGRADVLRGQYGGPRQWWEEVIRGSFRRV LSTDDVETRPGNSKEHIPDGMVEALLDRFAGPEGYALYDDVLPFFRRMRRLKSARKWS FDRIIVGVISNSDDRVPAVLKSLGLTVGDMRADQDKSSMDLPGFEERNFKKDAAGEYN NDHVDRNLELDIDMVITSYEAGQEKPHRLIFDVTRRQALKVARPWLTSRTKFISVHVG DDFEKDHEAARAAGWESYMLRRDPLETDDFKAKQFGDLLKLTDKLEIFP PEX2_085150 MEGVQGPRGSVVIIGAGTQGRRLAHMWSSRGGTVRLVDLQEQQL QDGLKYVEQLRADATDHEGDWGELETFQPSSLEFTLQDAWLVVECVPENLQLKRKLIT QLDELAPEGTIIASNSSSYGIFEIIEGMSLKNNKRVMSAHCFLEQCKEHGFSPFHVKR DSIGYIYNRIWAAIKRETLLTLSEGVATPKEIDAIFKDDLKTPKGPCEQMDIVGLDVV LDIENHYAESRSSIPTEPREYLREMIQGGNLGVKNGRGFYGYEEENN PEX2_085160 MVIWQIIYWHPTHLIDCRWDEDNGVCCHDPRIDGAICLKMFQYL PSGHSPGMTLSKAARYIP PEX2_085170 MWGLSILPIAALAALPCGNAVTLHKRDEPAIFELPIAKSERSQV LVKRDSKVASTALYNVQNFYYMVNITIGTPAQKVSLSLDTGSSDIWVNVPNSTYCAAD DDPCSSTGLFHIKDSSTFKMLDYEMNATYVSGFLAAGPYVTDKLVIGDATVKDMEFAL ANESKNPHNIWLMIGISGTDGILGIGYASGTDAAANLGKEYANLPEALVKSGAIKSAA YSLWLNKFDGTGNLLFGGVNKARYQGELQTVPIVPVYGRYSSLTIALTDLSVKNTDGT KSYKTGLPLAVTLDNGSPLIALPKELVDPIFKEVGVGYSRADSYPYIPCNMKKADYNV TFSFSGATVSIPLKELIFENYAEADFPEGTCIFGITYSDPGVNLMGDTFLRSAYVVYD LANNEISLANTDYDGGDDDIYEIGTGTAPVPDATLVPTAITSATGNGPNQTDTPSGSL SSESGETTAYVTATATATGTATGTTENGGASSTSSKGLAAFSMGKPNHLLSGFLGAGL LLAV PEX2_085180 MSSPSTPRSTRSVSPAGDSPNIITPGQKIKAMMAEFDSDSEDDT QIAKSTNAVSKLDLGRDSVLDPRARENGPLQDSEDDSDSADEVYRPKGRMAARLQGNP SLARPEHEDSAFARVSQAIRSEREQGETPQQPEPQPTPIGESSDDDDLPTAGPSRKHK SINKSPSAENRTSQTPPHSRPDTPLFVSSPGEPHNDPDTGNDDTENTADKKPKGNARF LSLVAQKRKQREEREKAEAERKKAIRRVQLEQFSSEILSGEESGADDPRSASKLSQKA RQPRKASKKALDEMRQETQRMSRNMQLAHQAKTKKKITKESLFAKFNFMQPATPAEQL AANSSSAVGSQNSSDGGELLTKAKDTPHTSPVLGHLPATKSNTDSEHAQVQAADVDMD VDVEDLPAPKDLLMPNQPHALSKAPTVQDVSTSVPEPKSEGFKSKRVSRPLTQPPIRV LLSRQAVAAHQKDSDDSDSDLEVVTSPGKCRRIAAFENLPTRQLHEDPSMTKLKALAH LTSPTRKMTSMNSAELSASLLWRARQQAAKERRERIEELRAKGVVIETAEERAAMEDD MENLVDKARKEADEIRRLEKAARKKSNVDDDDEEDNDYELSGSDQEGDGEDEDDDDQE EENERVNGGGDFVEQEADEDDKSEVAPSDVENEMPTARRKRRTRVISDDEDEDQPQPP STPVRAPSYVPQSAERPNFLGMGTPGDMSLGLTQAFASTLDGNGAGTQAEPATIPFSL PDPGRPVPQLRKEESEILVPDSQPQPQEKDFMEGYAQSVTRVSESPAPYAFSEYSQSQ LPDPTQDEGFVFSPFDPAKRFRGTPPMSTVDTVLVGQTQSPIADRKRKMLRRGRATEL SQVDENDNEGDFEIDANAFNVMKKDKAIKKNPAPQYNKKDSKAKDIVDEAAEESEDEY AGLGGHSDESDGEENAIDRQMINDNSGEVVDEKQLAALNAVHDRNRDEKDVAKLMRDI TTGALRRRKNADDDFDLDDSDDEHLARRREKQREFAKMRRALLADEKIGEIAENPKKA AFFKAVEDREFDDDFNIDFLEEEEDGSQGGTSQDPPSGEQSNDTTSDGQNRKRPLEPS TEDATNRPAARLRRTPASAMSKKPATIAEIRETLSFLTETPEYDSFHEDASLDDAEHE EEDEGTPGTEEGDDDHPQSDSTFAKPSHPRRTRGVVVDRLALLRQASSNSASGTNSGS ANSKLAFHSGSGGDGPIGFRPPQLLRRVTTGSSSSSSSSASNRVSKAAASGPKKGGAV NSYTAAREREREKELRIKQRSGGSNIAKLLGKHTGGGLGGLDKGQWD PEX2_085190 MADDLDAELLALAGDSEEETSPPPKSPAHSASSHAQDRDTSPAA MGRKGTAKSVGRRSRKPAKDESEGEVSDDGSRHSLQSAPMSESESEADNSDDNDEDRP IFPYDKLYYSAQDKKDIMAMPEIQREETLSERAQQVDRHNQDLALRRLLASRSREEAR AAKKAKRKAGSAGLDDNQRKSSRQKTTLGGRKVGETSGAIEAYKRQREQKGKRDELRR RDPTKSRRRSRSMGSDVSDEDADAESDLELDDRVGRSVSIPKDDPPAELREIRRAQVG RNNFAKVCFYPGFENAMIGCYARLSIGPHPDSGQNEYRLGLITGISQGKPYALEGENG RSFVTDQYVKLAHGKAVRDFPFVTFSNSPLTEAEYRRYVQTLAVEDCKLATKGKVESK VADINRLLNHTFTRDELNEKLRRQGAFDQKKNVLNRLELERNLEFAKVNGNMEEAEKI EAELQKMVNPTLSWGTSLVKKEVDRGPSEAERVHLMNIRNQKLNIENVRRAQLEERKA AKKAAEAVARGEAAPNPFMRVRTVAKTHHDLSGAPKSAAADAASAENPKDTLGTAKSK GSPAQKGTAASRITPKKPKNGFMISYRNTDDENIAALDMDIDIEI PEX2_085200 MLPLPILRRCALSHSCRSASATTPILLDFLIPSTSKHGQCRQAS TTAGTPGGSKPLYVLSKEQRDFMDSALRVNQAGELAATLIYKAQTPQIVRSYPHLRPL MKHMYDQEAGHLKTFNHLITKHQIRPTAMYPAWEVAATFLGWSTAALGREAAMACTEA VETEIGSHYNDQVREILSWEADAERRGEELDEELKEMLATLRRIRDEELEHLDHAVAN DSKEAKPYDPLVDVIRLGCRTAIKISEKI PEX2_085210 MASNSNARPAKVLFVCLGNICRSPMAEGVFRHLAGAHPSIGEID SAGTAAYHSLEPPDSRTMSTLRRHKITDYEHAARKVTREDFLTFDYLLAMDKSNLRDL QDVRDSVIATLQRKTDIRPSSKSTRSHVDAAIGAYGADTKVAEVRLFGDFGKGGKLLD RVGSGEVVKDPYYGGANGFEEVYQQVVRFSQNFVEYLEQNPIDS PEX2_085220 MSRTDPFGDEHTSPILNPSHLTGYSNRTPSPGQPLEHGYQLSDN PYGPQSHLPMPSSDRLAEQPTYSVDHVDNSYGHHETYDAHHPYPGHEYSVDPEAHHDA YYTQPYQPTHTPHDDYDLGQYPEGGHTPFETDQPMLQPNENPFGPDPYTEFHDEPAPT PSPAPIRRWKTVKEVQLFNGNLVLDCPIAPKLLSQVPHAEPPGRDEFTHMRYSAATCD PADFFEERFTLRQKLFAKPRNTELFIVVTMYNEDDFLFARTMSGVFKNIDYMCSRTSS KTWGKDSWKKIVVCIISDGRAKINPRTRAVLAALGVYQDGIAKQQVNGKDVTAHIYEY TTQVGLEVKGTQVHLKPRSGAPVQLIFCLKEKNQKKINSHRWFFQAFGRVLDPNICVL LDAGTKPGKDSIYHLWKAFDVEPMCGGACGEIKVMLSHGKKLLNPLVAGQNFEYKLSN ILDKPLESAFGFITVLPGAFSAYRFVALQNDKNGQGPLERYFLGEKMHGANAGIFTAN MYLAEDRILCFEIVSKRKCRWLLQYVKSSTGETDVPDQMAEFILQRRRWLNGSFFAAV YAIAHFYQIFRSDHSFIRKFMLMIEFVYQAIAMIFAWFGIGNFFLVFHILTTYLGSDD LLGTAGKVLGIVFEWLYLATLVTCFVLALGNRPGGSNKFYMTMVYFWIVIMIYLAFAA IFVTVKSIQTEVASNTFTVSQLFTNKTFFSIIVSLGSTYVMWFLASFIFLDPWHMFTS FIQYILLTPTYINVLNIYAFCNTHDITWGTKGDDKAEKLPSAHLKPGGKVDVNIPQDD GDLNDQYEAECAKFATKPPKEVNIISEEDKQADYYKGFRSAVVLAWVFCNFALGAVVL SAAGLETFEDSSTNSDDAQTERSMIYMEVILWSVAALSMFKFIGAMWYLVVRMFRGV PEX2_085230 MDPDAGIPIEPEPSVFSYVLSFLLVGVAWGFTTPFIRRAAADFN ARQEKQANELNSSGRGRGCSQDSPETGFTDEGEEQELLSREEDRDSGDEEGVRRRSTS SSDVNRKPKTAGADTELDGGIAGQSGSRNTTEDREGGADEDQDDLPTAAWRHGAPVKQ SWLRAKVVTIFWTVVNLLRTPAYAIPLVINLTGSIWFFLLVGKHELSLTVPLANSSAF LFTVLGEWYVERKVIAKETWLGMGLVLGGIALCVHSKNQAS PEX2_085240 MTSEISSHELREKDEPSPPPTGDASTLEDSPMAFIDQVDVETLT RIATQRSRRQSTLGTSDNLAVLAQQDPSLDPQSGKFDLQKWLKAAFNDLNRDGDHSGH SSDVIFKQLNVYGSGAALQFQDTVTSTLTAPFRLPQIIRESHSPQRRILKDFNGLLKN GELLLVLGRPGAGCSTLLKSMTGELHGLNMDKESVIHYNGIPQSRMIKEFKGELVYNQ EVDRHFPNLTVGQTLEFAAATRTPARRFQDMSRKEFSKYMAQIIMAVFGLSHTYNTRV GDDFIRGVSGGERKRVSIAEMAVAHAPIAAWDNSTRGLDSATALKFVEALRLSANITG SCHAVAAYQASQSIYDVFDKVIVLYEGHQIFFGRAAAAKSYFENQGWDCPTRQTTGDF LTSITNTQERKAKPGMENRVPRTPEDFEAAWLKSPQYKQLLNDIAEYEGQNPVGHDVQ AVADLQQWKRGAQAKHTRPTSPYIISVPMQIKLNTVRAYQRLWNDAAATISTIVTNII MALIIGSVFYGTPDATAGFTSKGATLFFAVLLNALTAMSEINSLYSQRPIVEKHNSFA FYHPATEAIAGVLSDIPVKFALSVVFNIILYFLAGLKREASNFFLYFLITFIITFVMS AVFRTLAAITKTISQAMGLAGVMILILVVYTGFVLPVPSMHPWFEWLHYLNPIYYAFE ILIANEFHAREFPCSSYVPSYADLSGNAFSCTAAGSVAGSTTVNGDRYIELNYSYSYT HVWRNFGILIAFLVGFMVIYFVASELNSATTSTAEALVFRRGHEPARFRQGNKSGSDV ESTEASKSHAAADTEDKGMGAMEAQTDTFTWRDVCYDIEIKGEPRRLLDHVSGWVKPG TLTALMGVSGAGKTTLLDVLAHRTSMGVITGDMFVNGRELDESFQRKTGYVQQQDLHL DTATVRESLRFSAMLRQPASVSVKEKYDYVEDVIKMLKMEEFAEAIVGVPGEGLNVEQ RKLGLDSQSSWAICSFLRKLAEHGQAVLCTIHQPSAMLFQQFDQLLFLARGGKTVYFG PVGENSSTMLEYFESNGARKCDDSENPAEYMLGIVNAGKNDKGQDWFDVWKQSNESTQ VQTELERIHHEKSNESSAVDDASQGHSEFAMPFWFQLTQVTYRVFQQYWRMPAYILAK WGLGIVSGLFIGFSFYGAKTSLQGMQTVIYSLFMICTIFSSLAQQIMPVFVSQRSLYE GRERPSKSYSWKAFLIANVIVEIPFMIVMGILTYASYFYAVVGIPDSATQGTVLLFCI VFFIYASTFTHMVIAGLPDETTASAVVVLLFAMSLTFCGVMQPPSALPGFWIFMYRVS PFTYWIGGMAGTQLHNRQVVCSTSELAIFDPPSGQTCGQYLMKYVTAAGGQLLNPEAT SDCSYCSLKVADQYLSTAGISYSDRWRNFGIMWAFIAFNIFIATLMYYLIRVKRWSSA DMKESVNKLIPGKKSKGGN PEX2_085250 MAIQSLREINAYNLRTFKRHYVSEISGSLGDLGTFLPIAIALAV NGTVSLASTLIFSGIFNILTGVFFGIPLPVQPMKAIAAVAIARSFSNGTIAAAGIFVG ACILVFSLTGILHWFAGVIPIPVIKGIQVGAGLSLIIAASSSMLHPLGWISPSWADNR IWAVAAFVALLLTNIYRRVPYALGVLVLGLVFAIIRTTLAGHMPSFEVWHPFTLVPNP LEWRVGAVDAGIGQIPLTTLNSIVAVVHLANDLLPNVRTPSITHVGLSVAGMNMIGCW FGAMPVCHGSGGLAAQYRFGARSGASVIFLGLLKLLIGFLFGETLVDLLKQFPAALLG VMVIAAGAELLSVGESLNTSGARDLSQADAGLLSNMEQHIGPLLTDEERSRRWTVMMV TVGLLVGFKNDAIGFIAGMLCHWSYDIPKWWEKARSRWSEGRLRLRD PEX2_085260 MSDSFTSSSYYYSSTSNGSDGKTTTGHRYSTTSYTEPDGTTIVR TAQQDLGQPAVVEERRYDNTGQEQLALPEPAGGTSAGGVRRITELEDDVSDSKDYTSK NPTPNVLADIGGAAAQLLDGDGEDDSFNLVSSPLGSRVYDPVTGTYNDQFEYDIGGVT RRHKEMRDASGRKIGRDVDFAADGLSSSARENRPYENPSTGTRLRRESDVDVRNVL PEX2_085270 MAGLTESQVASFKENGYLVLPDYLAPTEVTALLAETNKLLEEFS LESHPLTQFTTGDETADHVGDDYFLNSGDKIRFFFEPDAFSTEPDPLTGRAALLKPKN RAVNKIGHSLHTLSPPFKAISLNQRNAAVARSLGFADPRVLQSMVICKQPSIGGAVPS HRDSEFLYTDPPSAVGWWYALQDAGPANGTLGMYKGSHTGKKGGHIARRFVRKENSAG TEFIENRGPSLPKGMEEEKSSEATDEDLEILDIKAGSLVLIHGNVLHKSEKNTSLNSR YAYTFHVIEGAEGWEYDARNWLQPPAGGFSKLDS PEX2_085280 MAAPVLPLQQVKLASLPSTQLTPEQQYWRTFKNPLLIPSPANGP VNLITQPSVPSSAAAFPSLTQPPDVFTVTTGARVQIYSIRTRKLLRTLTRFDDTVRGT DVRPDGRVLVTGDDTGSVQVFDVKSRAILKTWKDHKQPVWVTKFSPSDPTSVLTASDD RTVRLWDLPSQTSARTFVGHTDYVRSGAFMPGSMASSGLVVSGSYDRTVRLWDPRVGN RAAMTFKMGAPIETILPMPAGTTVLAAADNKIAVLDIVAGKPLHMIQSHQKTVTALAL ASNGERLLSGALDGHMKVFETTGWNPVSGSKYPSPILSLRAITSGTEYEDKHIAVGMQ SGLLSIKTRLSGQQKIKERERRKEMAALLEGKLEEHDREVAKKKKTRGSGWEKRLRGR DFIGEGVEIVIEGQDRKKRKREQPWENDLRKARYSVALDQVLTSADKTAQLTLLTALR HRSALRASLKDRDEVTLQPVLQWVHKNIREPRLVDLSVEVAMNLLDIYSGNLGQSAQV DKMVERLHRRVRDEVEMAQQAWQTKGMLDMLKAT PEX2_085290 MVSAVWPQAIIYSLILQAATATATIPDWARRTFLIGRKKMVFMN FTIAL PEX2_085300 MSKISKVNHAAGIFADISVDGPIIGTLVAIIDRAKNLPNRKTMG KQNPYAAARLGKEAKKTQTDLRGGQTPRWDSELRFTVHESPDYFKLKVSVFNDDKKTD LIGETWVDLQSLIIPGGSQNDHWHPLQYRGKYAGDIRIEMTYYDTRQQDEVLIGRRQE AADRVQGKVPSPPTNTGLSGPRQLKDVKRRPLPSGPAGAPPRPAPLEQNHSAPTLHHP APSRPAILEHSNTLPGAPDTVSYAPRHSEPAYDPSSYRAPSPVPPPSRGGYDGADNYT QEWDAPVVAPLRRQITHEPAYASNEVIETPYDPRLLQQQQEQEQQQQLLQRQQEQLLQ KQQQQQRLQQQQLEQQQLEQQKLEQQKLEQQKLQQQQLLEQQLLEQQLLEQQQLQQQQ QQMQQQQQQQLRNHPEYDQPPSRDYRLARQNQYGADLHEEVPYNSARQSLYAQIEQPR YDPDPTSYRATHPHEVPASDFMPSSLGPVDDPRYHPHRVRPLQITAHGHDYHPEYAGM QPRVEDEDDDGPPPPPPAHRSRRPHSQLPTPKTSPRPYSYYTPQSTRSSVPHLPSTIS TPSHRDRYQESSSMGNSPAMPASLVAGYEAEDSSERVALERSVHRRSTHWDDEMMISQ SPAPVPVSAPVHYDAPVYPLRTSPRPIEQRPTSSRGGSVSPDVRAVTRKSVSPRPSSS DVRGGSSIPFSPDSYISLNPNASQNPGRDPSPAYDSPAQARDAVIRGKTEPHRDLDHP IIGDDGREIDPSDHLPTDTWAPEPERKNRKPEVIIRFKHSPRPTSRGNDTPSSRSTGP PRVGFRTETTTYPSDRPVQYTPTHVHVSPGAMVRTPPRPDYARERSDSYAQSRAYSTP TSVERPRSSRGSVSPSPGSRSPLYDYNPGPPIPNKVPITGGSPSYPVMSGNTNANGRM DALSRELSTIDIGSTACSPGRGAVRKYVPRQPASMGYAR PEX2_085310 MEGQEFDIPGNQGHLSEEDVKIPGRSGQIIYQGNPALSLLLSPP LTSPLIPLDTISSSPLHEYSTAQPLGPRFTGVNGAHPAYDPNRGAQLRSAADRANPYR DRLEPIDHARGQERTRSRSPRRPVQPTDLPEYRELPQGPFMGFNHFPRELYVPPGIND LMPQTLPSSSPTLSPSNTQRGRGRGRDLALEHPGDSPRQTYPLPDGNPHGYHHHHQQQ VQECDPGPETHPVNQQEEDTNDNDEEHLCEFAAPCRMHPSPDGMHYRKVVSHVFGRNK AVTKLFPLGVWVHYCRKHYQRARYRADQWPFTQCDLLLESLSRMENWNGVESFELILR RREQMRVGRETEGAPTTETSNKKETNASVNQAQDQGNNSSCVATRPTGRKHPTAIIAP VPGWLRQHVGHGKTFQEIRSIIELVRNHMVRLRNQERAQQQLNHIPKTPSTPDSPRRG APSNGRKGRIAKPANRDPLRLRASTVRFPDVEILPHFKPWVKEAALRQRSATNCPRNE GDIKDNQAEQRIFGGGYVDGETQETRDGNARAESIQPTNAEFATNNTTPYPDRHPEST SMIEMAGTNLSQMQSHIGRAGTNRGQSESQRRRSERVYIRALDRVSGQGSIKKPSKDG DK PEX2_085320 MAAAFDEEDLAIPLPSDRDRPRERARPPASNPSAMAMPPPSKPT GKVEESLQSPSTTRDMQRLDQYQTVRVLGEGSFGKVKLAIHQPSGRQVALKIIPRRKL LSRDMVGRVEREIQYLQLLRHPHIIKLYTVIATKSDIVMVLEYGERELFDYLVTKGRC GDDEARKFFQQIICAVEYCHRHKIVHRDLKPENLLIDNQKNVKIADFGLSNIMTDGNF LKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGRLPFDDDYIPALFKKIA AGTFHIPGYISSGAARLIRAMLQVHPVHRITIPEIRMDPWFTKNLPQYLEPPREEFIA PGADSKKVDAAKLDMAKPPPVQHKIHRIAVSKLERSMGYGREDIEEALRHPEPSAIKD AFSIVVENEMMQTNSPTEDNLLAQNVQKGAAPSSADRTPAPRHQGSPAPTTNRTASAS RRRASEDPQQSESEEEPRVSHVRILPTSLPYVHDQLMEQRERDKRARNEMLEQRRQEA AHLDPEGRGSAYRDMSAEGQAATARALKPHSRSVIDLDKLRFEPPTGQPLEKQPKRSR KWQFGIRSRNQPYEAMLYLYRAIAAQGGLWDIQPVESGTNIGPDATPSPDKPKPLQSK YPDLPSDYYIPKDPWFIRARLLKEGINAPGSSTSVYNSRSDLEELRRRFNISGISAPT EDRGRDLQSSVPDSGLASGASSANGPSGLPHITYGVWVFLDIQLYQLEENNYMVDFKC DGYQNVIRANGDTEWHPISKRLKNKEKEVNSPYPFLDVASDLVAQLAVAS PEX2_085330 MAFVADQFFSDMDATNLNEWVDFDQFLDLPSGYDDQSTATTVSP QDLALPYEADGMFNSPSNFMESSFDMINYDLPQQDFMGMNGGFMQDPAAPLFDGAAFL GYNPYDSNNTFRNLVEAQAAADPRVATIKEKRREAAIALHLQRLCDATALDLDMSSDS NTSFSSPSWSEYVRGSISPRPSLENTSISEAPPPGGMEMVLDLNMNAAANVPKKQKPR SQAQKENYIKARKYGACEKHKKQHKRCNCLEKAAARAAASDVPMNAAPQQRSNQPMLQ VPIPEARYSDSPGQDTSFNSPQALQTIQAIRKPTRSSSGIDPSVGLPVVVPIAKQIIR TNTRKSTPPGHDIILLSGEPDSKSMSNQNNVLPSNRNTAKAQAAGHSALQSGQNIANN SSAGHEKLYTQERISKNAGNGQPHDTCSSSTSRPVHAARLPNGSSSLRWRASTSSVDV VCSERTDVQVTSSANHPTTGVCRSSGLDMQRTRSTRTMEMFLVPGTVAGNLGMRHSTS LTRNSGIQVPGPDHRRVSEGLSKQLAILSGLQSVPKDLLVSPARRGSPDISRNELPDN LENRPLPISRKGPLVPPVVESVGCLVSSAALAFAGAWQSSLSLASESEGVVYKCLSLF GRHIVSVRKGLYIGGLRNAWCL PEX2_085340 MNSPPLLRFTTVTGYFLQDDPATDPDTFDYVKSNFGLIEKYYDE HLGSEQAESQWRRFDAHIQRLNASETKTFKVLFLGRHGEGVHNVAERKYGTKKWDDYW SLQDGDEDGNWVDARLTEQGRRQAQAAHAAWGEQIKAGIPSPESYYVSPLNRCLETAQ ITFQGLAIPGTDPFKPTIKELLRETMGQHTCDRRSTASEIAEEYPEYRFEAEFSEEDK LWDAKVRESNEHRNDRLRCLLNDIFAHDESTYISLTAHSGAITSILEVVGHRRFALAT GAVIPVLISVEKGDPSQPESQSL PEX2_085350 MVYRSGQLVRTAARTVSFVPRRTLTSTAIRYALNDGESKKPTGL FSSLKNMFSGGSSQKPKVAHRPSAPKKEEGGLGTSIFGSDFTAPTTGPMPSRPKGEQQ KEGISGKLEDRDLSRLQVGLGPDPKAQLRWERKMAVREVRKRGRVPTKVQIKRTERES LSKSHWIKTSLKKLGPLARQIAGKNIDEAILQMRFSNKKAAKDVLEHLEHAKNVAIVR AGMGLGASNSEPSKPITVILKTGERQKIANPTDIYIQEAWVNRGPYGYEMDHRARGQI NRMRPPTTSLSVLLKEEKTRIREWEDREAKAQRERRSQLWTQLPDRKISAQNQYYSW PEX2_085360 MDINSLLSPDSNPKSGNSTPVSGPTSNNAPATSAGPSPHKAIER TRTGSRRKGNTSSPLAQQVYAPTGESSPGPSGGNGTSPTEVPSRQPSTPGMDTLADLA SMQHHQPQRPTPTSMLRSTESYEHQLSPSTIHPHVNSITHNTPTSRISFELAMSDGRD GPAKRTYAATSLDPDAQRLATDLFHQISINPHTHDAHVQFIGLLHAGFVNHVYPPNNP DVHGDPRRYDLLNDLKTAREEMDKLFAMGEDLWAEWIQDESMLAQDVDGRIAVLELCQ RSVEEEFGSTKLWNIYGEWVLYLYNSAHGEASSQWTEEDRMVGREVFTWDSVVEVWQR GADATKWRINNSHLVWDRLLDLYAQDIARAPSQEKINHLHGLYGHRLQTPHATWDQTF QKFSSFISTYCNSKYEDIMSETVARAAEPKALYNAREEFEHRLAKAQESGDRTLEWTI YSEYIDWELTRNRRKRGDFSFDLVNAIYQRAVLHFPTDVSIWEDYVMFLIGESLHRRA STTTISTLDRATRHCPWSGNLWSQYLLSSERESQSFNKISSIKHKATSTGLLDAGGLE EVLKVHVTWCSYLRRRAFLSDSTDEDLDVAEVGIRSAIESVQELGEKKYGPAYQGDPL FRLERIYIRFLSESGSWDSARETFKGLVARRGSSYEFWLAYYEWELICWSKFVQGEAT VDAARRTPNPSFATAVLKQAIKRTDLDWPDKIVQTYVTHCEDYEDSDELQLAVIETRK AMRAVTARREREAREAAAAQQQQQQQQQWEAAVEAVPSPEKRKREDVKDEMPETKKVR NEEALAEVPAPPSRDRENATVIATNLPKGTTERQVRQFFRHIGTINTIKMLRRDEKNS EVAVIEFETKEDALAALTRDQKRFNDTDNVIDVQIGSQTTLWITNYPPTADEDYLRNL FSKYGEVLEVRMPSLKFDTSRRFSYLQMDTSSAAHHATELHGMRFEDGTRLSVKISDP GQKTARSGPRYEGREIYVSNIAWDAGEKDLKELFSKFGEIEKVVIDRKINGGSKGFGF VTFTTKEAAKDAVAMNEQVFRSRSLRVEIATTDTRRGNQVVISRVASHPADYGIRGLE VPVGEHAQRTLALMNVPDTVNQARIRALVEPYGRLVAINLRPEHQGAIVEYVDIQDAG KAALALEGKEIIAGRPLHIGTVREMMNSQPEIKADRGQSVKQENKTKKTNLQPTGPIR RPQQPGARKSGLGMKRQIAPSGQKPSAANPASDKMDTTPDGESKPKKSNDDFRAMLQQ KRPE PEX2_085370 MDFHLVPRGTDRNYTGFLTKTIVYIGTLTIFMASFGLTISSIVI PKWVSYHSEKPDNHYSYGLHRRCSSLTNTCESFPQREDCHGEDRYFCSMWRSVGFLMS FAVVLQGISIVTYLVILSGGKRLRENGWSVLSLTVGLSAIVQAAGMSIVAYLFDNEDR FFVGWKLDQSWILCTISWCFSVFCAGAVIVAAKVLPSEGGYELIPDHDELQVT PEX2_085380 MANTLEKPTGEYRQYLPDLSLPRFQAMCQQDAHEYANEFKTGHQ PPWLHALYMHWLELLQEPFKGVTTDGNVRPGLFTLQEEDVPIQQIVDATEYLTALLDE KQKEAINYHIDSPQWRTWSNPEFLLAHKGIRLDEVNTKTRDAVMNVLKTTLSPEGYAK AISAMRINHFLGELVKSPAVMNEFSYNFVLFGRPSTTRPWGWSFYGHHLCLNIFLYKG QIVASPWFTGAEPNEIDAGPHAGTRIMQIEEKLGLQLMQSLSAELQASARIFAHMKDP AMPPGRWNKDDQRHLCGAYRDNREVPYEGILASTFNAAQKELMYGILEQYLLYLPAKS RAMKIDQVRAHEAETYFCWIGGYGNEDPFYYRIQNPVILVEFDHHSGVFLNNEEPKKF HIHTLLRTPNGGDYGQALRPQIPAIEGLNAKEIVW PEX2_085390 MIPVRNFRPHIIVIEDGNVWMMPTPRPQIKRPEVNQPAAKRIKR PQSRLDCVYQGTYRERESNRAADYVIELEKKVEELTSKLRDAEAKTSPGGPIPPIETL CSMRNASTPREVAKISETREGSIGSSKDESSEVNHTNAIESHGRTSSAAIIDDLKKEP ELNGQKEPCPPAPDNSVIPTPRNPSLSPPSAAGPGQTLSIEQSNYYFDQAHVFMNGYF ESIHFIHPFVDKEEFFTRANDLWFNRSRTPEPSFVALYLSVLSLGSLVRVWDEGTIAG LGRFEWSRKLFGEAQVYLNNLQFSNDLETVQCLYMMAKVCQNELNPNRAYMYLGLAVR TCLSAGFNREIPCSKVATQRSGWISKTWWGLFSLEIEMSFSVGRPDSLGMDEYHDRSI PPRDDSEFAIIPWMIDFAQIIRQISVQIYHSRISLQDKLQLALQIEMEMDRWLARLPD MIKPNIGGYRMTRSALRDPKWARRQQLVLGIRYYNIRMLLFRPFLSRFTRKPQHPPNE LDQVFDKCLDAAIRALQVLHDLYRVHTFFRCWWYNTTYVMLATSTLLLPMSRLGMCAE TAPLLRSVEMGVEILEAMDESVIAQKSVEIIRQYLRDFREPGASQLPGEGAASLSEAG QAFEVPEWAYGFGLPDYSFEGIARLFHDIEEFPMPDE PEX2_085400 MSESVNTWSAWFTSARKPYLKIGELRTADSRPKIDTQWKKTTFA SREEYTVCVGFSLIFEHEYATRKTVDPETKESKQVRTLMTMFTLPSNNRFHFAYIKIP ENFVFKDDENFDIRPSYASTDSFSETRIVIIEKHHLCPNGWVTARISQSWDTEQSQFI SSREKPLSLTENTTLIEQINASFQLYSNCELQKGLWRMLLSGSLEHFRSINLYGKLRQ DLFERFEQQLLRFSKFNPRQLEAFRHLHELSGPFALIQGPPGTRKTFWLLRRLLPFLN ENIETNTKHQLLIVTPTNDGVYRTAKDMHEACLGMFEARGSKQVVVVRVQHLPGSDPM KSSFPREVLEILNNMIFTEAVLNSRFSGPESNQHSMLAHSNVELTYAHWMLRLSGIIP EAEREPVDKYKSFRNLFEMFRSQTSIDGKGRIEFCNNTNTLLLAVLQMADVIVCTPFT AGHPTIVRSIKPAVVGVDEAAKFTEPEMWPILAHYYPSPIIMVGDHHQLAPTVTSSSE KNPFAYQLRLSLFKRLIDRENALIMLEVQHRMHPDISNLVNRKFYDSKLTDHERTNNE TASFLRDFNKKRFGVETNLLFIDVCPSEVEIQYHSKKNTAHAAISIALCKELLGLEGL SSKDIIILVPYEAQFRNYLKYVTLEHRNDRSLGLDKVSVKKIDSFQGGESPIVIFDMT VTTHAGFLDDKTRLNVAISRAKNALYVVGNMKAMRSSIWSDEYERRYAKLTQVLNHFT EAKLCASDSNGTPAAASSALSGHSIKVTKEAKKLEEVEAGLHITLNARTTVAQLLEPA AVPMAEEVEAAMVENPEVSDVIVHNDYI PEX2_085410 MNAPLRTLGRDGPQVSPVGLGFGSVSGFYGPAGTIDERVALLSH AHSAGLRFWDLADIYGRSEDIAGEWFKRSGKRDDIFLATKFALQQKEGGGYTFRSDPE YVKAACEKSLRRLGVDVIDLYYCHRVDGVTPIEKTVEAMAELKKEGKIRHLGLSEVSA ATLRRAHAVHPISALQMEYSLFTLDIESSEILETCRELGVTVVAFSPIGRGILTGQFR SYTDIPEGDWRRLYPKYSEENFPSILKLLQTLEKVASTHQSTIAQVALAWLLAQGPDI IPIPGTKSPARMDENAASAVLHLSDQEMQEIRSLVENIQIHGDRYPAAWVSLSLIFGP RVLTSQIERWLLSAPIPRNYREMLEGHVTSTVCSQFDFRKACKSRNPTRLDP PEX2_085420 MSVPQNSLQKRREHPSKGPEHKVLRKIASTLLNLDFSALRTLQE HEKSKDYQTIFVDFTAGNLANIKHDDRLQSISRAIRRHVARLSKKDLYEIVSKPFKSD FYREMIYLGIQAFEDALEYEKYELMDILMFGDPPPVPSEAVHEQLCDILHLSRSLYNQ IKDAAASGYLRKSIPVLKEGLRSIDEDIEDLCSTLGVQHEPLVYLDDTDER PEX2_085430 MTVETKPDLREESGLRVEDGSEEAIVKPPPMRRGFKFWTIIFTL CITGLLGALENTVVSTSMPTIVEELGIGDNYIWITNAFFLTSAAVQPLFGQLANVFGR RWLTMFIVAVFTLGSGICGGATGANMLIVGRAVQGIGSGGINMIVDVIVSDLVPLRER GNFIAIVLTVYSIGSSMGPFVGGIIVQRTTWRWVFYINLPVGGFALVLLFLFLHTNYQ SNTTFSQKIKRIDYIGNILIMGATAAILCALTYGGSRFPWSSWRIVVPLVLGLVGLVI FMVFEQSKFCAEPVVPPRLFKNRTSLVVFINTYLFTVLLYWVLFFIPVYFQAILGSSP ARAGVQMLPITLVAIPGAVIAVIILSKFGKYKALHLVGFIILTLGMGLFAHLDRYSSD GEWIVFQIIAALGSGMILNTLLPAFQAPLAESDQAAATASWAFMRSFGNIWGVAIPAS IFNNQFNKYAYRISDATVRQTLSNGHAYQHASNTFINNLSDPVKSEVIGVFSDSLKLV WLVSIAFCGLACILVFFEKEVPLRKELETEYGMPEKDVIQTKKGNTPDAEKADSDTSQ T PEX2_085440 MQRTQITMSSGGPDRPVLYAVPARRCHVYYKQSAPSRTAGTEDY PGKQPGTGTTPTKAYPTQNPNHLFGAEKHHGSRRDDGPPEGPGAFDANGPAFFGLDPA ILLGLSGVSDDFLGGGLSSGQNSFGIPSLNGTHIPSPRDAESAYADTSHRSSDQSQYF RDESSQRLDSDSLRAADPSKQPRKTMSSADAVQRLSVLIQSLSKQLERLKTAPWSVTL VNIVCTKQGQEAANSNPLGEVLQSTSEFVQVLQTIAFKTSADQPVSAFQSPNSDPTTL SSSGSDMYCSRAAANLNNAVQPLHISSSPFGQLHTPEFRIPPPSDHSKPDLSTALLIL TCYIQIIQIYNLLFLRVCESLSEMSHQSISSLQSVPGLQLGGFPVQYGNLQIKILVQV IMHLLSHIERLLGTSAEFHLDPASGSNDGLLSSSELTALSRMVMSQKDDKESDGMGVG YISSLRKKMKKIQQMLELNPFL PEX2_085450 MIRIMDKFAADFGLQLIAANRIISALDAGLILKYSLTISFIYAI GRGTYLSFLHPLANFPGPKLAAVSNVWFTGRYPWALEAAFEKYGDVVRVSPNELAFMT PNAAKVKSSTFLSTFGKVGLWGTTNQVTRRFRMLRPFVWFLIPLSVVLTVPTLLRLNR QEIRRRMSQRDEIKHPDYVEQLLPKDGKPDPTEDWIIAQANVFIVAGFDPMTNLISSA LYYLLTNKDQYGHAQKEIREEFAEYDDITGDRLQKMKYLQAVIDESLRLHTNAAFGLP RVSPGYEVDGNFVPPGSTT PEX2_085460 MLRIHTLKVDKRVVTKWQKECSATSFSTTTLIDGKSAAAIIGSD FAGTIIETGSGVSQLARPLAVGDRVCGAVHGCNPADPRSGAFAQYVKADASLVMKVPA SMAWEDAATLGVALGTSCISFWKALGLTATPNEPAATPFPILVYGGSTAMGTMAVQIL KLSGITPIATSSPKNFDLVKSFGAKQVFDYASPTCAADIKSFTNNSLKYALDCITDAD STQICHAAIGRAGGRYACLELPQQELLTRKAVNSEFVMAHELHGREIDLGPEYGRPAS QAAKDLGVQFFRIAEKLLEENKIKVHPVYVLSRGWNGILDGFTLMKSGQVNARKLVVP LGQ PEX2_085470 MASSTQSLFSLDPEHISCVGGRTVVTCKGCAGNAPRGAICLNCN GRGFNIFICAHCNPAAAAAAARAMVNPTAASAETPGSSTPSSPSSLSRSSSNSHSSHA DPSIARSYGKYGDGRDSTSCGRIDSNMNKPRNP PEX2_085480 MSDPRVTVTLVPLFEDTHPLRTLTIADHDKTVAIGRASKRETRK RSPATENAWFESRVMSRDHAFLNIPPDQNTVFIADCGSTHGTFLNDTKLVTDVDTPLF SGDIIRFGVDVDRGQEIFEAIEVRCKVQWLKPQRAVIRDDGIAIKLNPSPSTNSFSVP EDDSDIEAADLPSDDSSKESVARSWETTVLQPVDTLVSPVSSVEGDEHSKDEPELHWG DLSSTEESTTKVVPDPSLLVPDLPSPLSDTKPATDTKPSPAKPVSDANISSAKPPSTE IPSAQQAHEEESLPAVCYQKVPEILYSDWTMMRPMFMRLADMAWGESNMIPDVDHDRR IIHAARRCSISKDSYWVDDSPSFQPCVGTEVYWEPDSEESDTSFHRMPGNLLRYWSVD KRRFWIIYEDEAGQDMSNWWWIVEKPQELLSEELKRQLETETEVEDCHKCWIVRAWEP QMIGDRWCDVAPYWDLNFDENWLSDDESVDSDEEAQGSEGYISDSDGLNQSISDNYEY AYGMYDSESIHGVDCEVSAEDFDEDDEEKDDEVSDESENDSDSSSEDERLEHRVPLKP DLRSLLDLEYMKELGRANHTDAVEVSKHVPEENGPEQLYQEAIGDGPSNPARNESKPS MSQTAPGMTNPGARFPPYTVSGELPRSCQNKYPPMQRKIESSVGIPSLSHEFENYYHD GPFSTSAPVDDVFVPYLATSGKSNLKRTATEMQSSSLEPSFSQDAQRLPVEPASQSDL NLDTIPSEAKDAISSALAENVSAFAENERPAKRVKSNHPTSKSLASHATTAVVSALLG GLGTIAVLAALPNEYFQ PEX2_085490 MSNELPLPTPAQNVVLDTSKLTESSSASVWDRITNWVSENKAVV YTIAGVAVVVTGAGVVYYLNDSSKPTSSAPKKSKNQRRKEKKKAEEEKAASVKDESPK KVEEPTDELPEVDEATIGELSEETRNAYAAKLKAAGNKAYGIKDYPNAIELYGKAIIC KPDPVFYSNRAACYNVQSNWEKVVEDTSAALAMDSEYVKALNRRAIAYEHLEKYSEAL LDFTASCIIDAFSNDVSRNSLERLLKKVAEKKSQAILEARTKKLPSATFVSNYLQSFR PQDLPEGLEESVELSDESGKGQLRKGLIAMGKKTADGYEEAAAAFEKALELGELGEFE GLALNMRATFTYLGGNAIGALADLDKSVELSPSLVQSYIKRASLHLELGNKDAAADDF ELAITHNKDDPDIYYHRAQLHFILTELAEAAKDYQKSIDLDRSFIYSHIQLGVTQYKM GSVASAMATFRRTVKNFEEVPDVYNYYGELLLDQQNYSEAIEKFDKAVEMEKQSKPMG INVLPLINKALALFQWKHDFQEAENLCQKALIIDPECDIAVGTMAQLLLQQGKVSQAL KYFERAAELARTEAEIINAISYAEATRTQLEVQEKYPQLAARLNTMGAMNGGAPGM PEX2_085500 MTENSSSQQSFEIFSSLRFDPGLPDAACRHASSYPDPHKSPYYL LAYHQDRLIAAAAHFQWNEALNWLQQDLQEFEEFLDASIPDRSKAWRLRIVVNSKGKA RVEANATASIDLMSLFIPSLHTSPNLPIWRVYVDTKSTIPSGFTTHKTTARDDYTSAR LRAGINSPADLAEVLVVNPDGEVMEGSITTPYFLRDHMWITPPLSSGGNAGTTRRYAL SQGFCLEHTISQDELVDGELCWLSNGVRGFIRGQVIAK PEX2_085510 MANEATQPPALNSLSLDEKTSDSKAVGQIVTPFDVSGGVDESGK LLPVDYEKLTREFGATPINADILERFEKVTGHRPHRFMRRSIVFSHRDLSKILDKHEK GEPFFLYTGRGPSSDSMHVGHTVPFEFTKWLQDVFDVPLVIMMTDDEKFMHSQKIEIE DSKRYTKANAKDIIAVGFDMKKTFIFSDFEFIGGAFYENMCRMAKRITINSVKGTFGF NDSNNIGEFHFCATQSAAAFSTSFPHIFGTERKKVASIPCLIPCAIDQDPYFRQCREH AEKMKYKKPSLIHSIFLPALQGPGSKMSASVDSSAIFMSDTPNKIKNKINKHAFSGGQ DTAELHRELGGNTKNDIPFQYLTFFLEDDEELERIRTDYEAGKLMTGEIKQKCIAELQ TYVQGFQERRAQVTDEIMAEYMRPRPLEWKGNPNPVVVEKAKK PEX2_085520 MTCLSSASHRHSAFHPRDHLESLITKHGYPTPVSLSSLRDLTAT SSTYTPPSPPRRSRYPDTIPIDAFDSLTLSSSTRPIPIPRRSGPIYEDLPVTPLTGRF DHDSYFDDWERASQSAKSRQIPSIRPTRRGQYPSQPPPSSTRMRSDNSPYYSPAASPI MSPRRSNSPQPTRSRTQNPSKAKQTQNFHLGSLPRFHPAVYQSSSTSHNATSQPPSPR QSRQSTYRTTAGSRDMMWQYREFIEGVHQGPSAPRLDPLVSPGPVTPLALEAGDYLTH GSMNNTSERTPRDVPKNSGPPAELVEKLIAYEEKARQMARKSAKGR PEX2_085530 MTGQQKAENTLAQSGTSTSNYKGFVAGVFSGIAKLSVGHPFDTI KVRLQTSKDVQFRGPLDCTLQTLRKEGLQGFYKGATPPLVGWMVMDSVMLGSLTLYRR LLLENVFSKPHIRPYLPFSSYQPDLATLPSFGHGIAGIMAGTTVSFVAAPVEHIKARL QVQYAADKSKRMYSGPIDCLRKMVRTHGISGLYRGLWATVFFRSFFFFWWGSYDVLTR YFKNNTTLSAPSINFWAGGISAQCFWLTSYPSDVVKQRLMTDPMGGALNDGKRQFRGW KDAAVAVWRERGWRGYWRGFVPCFLRAFPANAMALVAFEGVMRTLP PEX2_085540 MAVARSMRRTSPVSLVLAALLAFGFICFMLSPSTPSASSASVSS QQRQENAAEHPLSPPTKPFLKSQAVRSDGHKAAPPVVHYDLNSLTNTADSVANGERVL ILTPLARFYQGYWDNIEKLSYPHELISLGFIAPKTSDGNAAVAALEKAIAKTQSGPID NRFASISILRQDFDPPLKSQDEKARHAMAAQKERRESMSRARNSLVFTTLGPATSWVL WLDSDVVETPAALIQDLTAFNQPVIVPNCFQRYYDSSKKKWDVRPYDYNSWVDSQTAQ NLAANMGPDEILLEGYAEMPTYRTLMALLPDMKKLDPKKMLALDGVGGTALLVKADVH RDGAMFPAFPFFHLLETEGFAKMARRLGYEVIGLPDYFVYHYNE PEX2_085550 MASRFFPSRRTGIALVAGCLLFSALAAANVGPGELSVSQIEEQL QSCPLVESLNEHKRATRPETTSLTSKIFAVLFPSTPAVNALLATLYISGPPNFLLALC PPNIDPSSLSVMVAFAVGGLLGDTLFHLLPEIFVGEASPDHVSFVMVEPNKNLLLGLG IMVGFFTFVAMDKALRIATGGEGGHDHSHSHAHTETVDAVTSSAETKKSSGELKKRKS AAKESSEVVPEKEINPSVKLGGYLNLIADFTHNITDGLALSSSFYASPTIGATTTVAV FFHEIPHEVGDFALLIQSGFSKRKAMGAQFVTAIGAFLGTLIGIAVQEFGGNGTMLDD SEPAGLMGTSLTWGDMLLPFTAGTFLYVGTVAVIPELLETGKDKGVEVRKTITQFLAV ALGAGIMLAISWD PEX2_085560 MGKVLQKKKARSGRSMARAKDNRLKSGHKKINVLGNQIIADNWD RDLTLTQNYQRLGLMHKLNAPSGGRERLPGNKDQYPDNKNSLHIRGSAKAAAAKLDLG ETRVERDPETGRILRVIHDDEDNIEVAGLQRSRKNPLNDPLNDILAGNGESNPLADSV IVQQLERQADQEGKTVRAKKPRYQSSREGEWAQRLIEKHGDNFTAMTRDRKLNPMQQS EGDLRRRINKWKKSQS PEX2_085570 MDGFTEALSDQHKVPTKRNRHGSPDSSHSRSSSQSKNSLQDRLF TKLLQQVIPTDDEDETDSLGDKALASPKKPAFSLPVMANNFRRFNARIGVVFLFQSRV EQLFTWDTPTHTISFLFVYSFICLEPHLLLVLPLVVLLLFIMVPAFATRHPPPPSTST SSTTPYYSYDGPALAPATTIKPAPETSKDFLRNMRDLQNCMADFSDAHDAAISAVAPL TNFSNEKLSSTLFLGCTIATVVLFISAHLLPLKIVLLVGGNALILAIHPAVGQFISAL MQDMTGDSIDTDSDEKDGFASSVPADPSAAMSAMEKLADISLDTYPEEREVEIFELQH RAAGTSESGWESFLFSHAPYDPLSPSRIAGDRPRGCRFFEDVRAPRGWAWKSKKWELD LDCREWVVERMITSVGFEVPGVNAAGNAIVGEVGGWVWDLPPTRQESDDDLTLAYGDL PDLLSSKETKTKEKEKEKANPRDWEEGIASYGVGEWRRRRWVRVVHRISLPPAGVVTY STLSSNS PEX2_085580 MPTKPQTPGRGQTRTEAAYNLPNDDSGSGAEAPARRRLQKSAST NFPSNNTNFDTSNGVAGPRAGGVNRRRLSIRDQRVPQGPRPQDASRWSKPAPYPHSGN SSVDSTIDSTKSFNLRSTSVGNLSKADPNHADNTDFPTPVNFDEFHNSIVGEPSLNHF PMPGSGSSGENRETGTMTNPWENHTYAGNVSDRTRGPTARRKSEVQRPNGSNAAAGLT ASSANTRARRQSIAQPSAGSASRAPRKSISSGAFAPTNASARRASLSARKISTADTAS ANNLLRPRLPESGAKVPSSVRNLKAKSFQPSPREPRSQFLTATGVIDHTRSNSTNAVR TPVRNPSGAVATPSSASKRASVMPPHATGLGARTISPTDARRLKRMSIAPPAPPMPHT PPTQTEPMPIRPLSSTQSPSQIPRKSVTPSSNRTTPDPNRKSYSSGLSVSSSTSYNSA RTSGSSLQNRLSQNLSSSRLPTPKPRTEQANSNGEEVPPVPAIPKAYESPKGELDAPV FPAPRKSSIPVDLSPLNIKDSDAEAHPTAQVEKIDHAETKTPDARSRTSTVLAGRKGL QPLKLPPLNLLPLGTPMAAKIEALKDRDNERKAHTPSNQVISKTPSTPMTASKANFWY RDEDDQLPLTQARSSTSHFAVSSSTAALRASSSTSAFDSFETPSAARNISPYASYTLP KSGAELNHLRHQVSADYSNQTQAQKLNGPRPQTQSAIFTPAPERLSQISTPSDPESVT VAASGTSLRERLKVARLRSNSKPQKAEADADPAKYNHMPPPKLPASATWNNLCSVNST SPNPKPSYLNPRRQSSISSITNPTTRKPSVSSEKSLALEPTPSNESAESDRSKRVQST YISPVHKMINHARSSVAAASRPIDSTVDPDVAIADEEMMRLGSKRKDFQKAARVLDDL RRKAGPKDRVSPAQALKMATLNIFERGEIIDYRDVFFCGTHTAKKHVGDLHSSAANFG YDDDRGDYNIIIGDHLAYRYEVVDVLGKGSFGQVVRCVDHKTGALVAIKIIRNKKRFH QQALIEVNLLQKLKEWDPHGKHSVVNFTQSFYFRGHLCISTELLGMNLYEFIKAHEFR GFSLKLIRVFTKQMLSSLVLLHAKKVIHCDLKPENILLVHPLNSEIRVIDFGSSCFEN EKVYTYIQSRFYRSPEVILGMSYGMPIDMWSLGCILAELFTGYPIFPGENEQEQLACI MEVFGPPEKHLIEKSSRKKLFFDSLGKPRLTVSSKGRRRRPSTKELRQALKCDDEAFL DFLTRCLRWDPSRRLSPHDALKHEFLTGVKAPRPRMYTSNSPPKRGVTSAARPLPDPP GTTLKSGFMRTRDVSANSPVKGSGKRHSTVNGPPSSPGKRGSSNSTTAGSALPRVSAR SISGKPDLATAAAATSLGLDEDAFGEKSGLAALKTFDAFPKTKAAYTTPTRSGGQWTV LILLICTIFSWSELKTWWRGTENYHFSVEKGVSHELQLNLDMVVHMPCEQLRVNIQDA AGDRILAGELLKRDDTNWLLWMQKRNYETNDGAHEYQTLSHEESDRLAQQEADAHVGH VLGEVRHNPRRKFPKGPRMRRGIVPDACRIYGSLEGNKVQGDFHITARGHGYRENAPH LDHSSFNFSHMITELSFGPHYPTLQNPLDKTIAESEEHYYKFQYFLSIVPTLYSRGKG ALDAYTRSPDAAAARYGRNTVFTNQYAATSQSSVIPESPMVVPGIFFKYNIEPILLLV SEERASFLSLLIRVINTISGVLVTGGWLYQISSWAGDIWGRRKSRSSEGYLTGKSSMD PEX2_085590 MADDEERIKAEKLAAAKKRVAQLQKKKKAKKTPATGAETPKEAD ISTETQPGQNVPSPETLQADAPAEEKPGMQDEPGAQTEAAAPEQPEKLEESAPQLETD TNIESPTEPMPPAPTSPDPETEPLPARLDTPRANHGRQASLSIQSKMRSSSFRKTSVS QGSVSPSPSATLKSPAQSLPPLTGDGESVHEVFRKQSTKIEELEKENKRLEKDLSDAT MRWRKTEEQVEDLREASVDGVELREQLKKAEEKAASIESLKEEIASLQRQNSQLQTRS HRNTSTTESPPADLVRQLESKSATIESMELEISNLRAQVTSQTSSNDAHESQVAALEE KVSKTQSALDQSQRELADTKQALTRASEKAVKEGVDKTSTETLIKNLQREIEEYKNEK SESEKKIETLDKKLQAIGNLHKETEARHQTRLRESEKSDKEIAVMRKKIASIENENLR LREESDRIRTRQTSGGADDDALDELEDEERQRLERRIRELEGEVFDLRRGVWQERRQE MEYYPDDGPSPASGDAANAFDDVDLVGGRPEHARRRSMAQQQHSSFSTVLSSGFAAFT GAAGNRVRASSSNPSHPPGTRGSLELVSEENFDDEFDEDAFARAQAEEEGRKRVEWMR DIKSKLRDWNGWRLDLVDSRAGAEGAGVGMGEIFEV PEX2_085600 MKFLPLPEFEDVTSSLNFDTTDCHIVGGCDIYTTKAARSDRKLY NHIEQSLEAQYESVLRFSASLSPPNAHDAAETLNLSRSSPFGPLSDHSSRRTFAYLIA TLNASHPDYDFSHVLRPTDFRRERNLKRVMNTVDSTLLNLRPRENTNLSPASPATLSG SYTAGSSSAWGPRVWKTIDEHMSLKECSIYSYSPEEDPSDADDGAIWSLHYFFFNPIR KRVCYIFLRAIPILSHTPDEMATTPTGKRTYDDGYLTPDLSSSKRAKFWFGERARSTL IASDSDDEMDRPPKTIRAIADDYDTYVISDDDDNLPNSRRGVRAMSEEIADSMEV PEX2_085610 MPVHYLILLSRQGKVRLAKWFTTLSPKEKAKIIKDVTQLVLSRR TRMCNFLEYKDSKVVYRRYASLFFIAGCSSTDNELITLEVVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLIAGEMQETSKKNVLRCISQQDSLEDMEVEEDVVTKIM PEX2_085620 MGLRGVQLGLRAWEFLWSLLIMALIGNMIAEAFSGNPATVNYSI YTAVFSMFSLIYLIPASFNIDWAGHPIIMIVLDALNCVFFFCAAIALAAKLGCHSCNN QDYLKSNEITNGSNNMTKRCREAQASVAFLWFAWAGYMASVIVSVFISRSAAGPSRSR TGSRRGGRPNMTQV PEX2_085630 MGRSGLFKMQALLALIGTTYLLLNVAFAVSLPGDSSYVAAAGFP TSVFSSYYISPAQPTQQPQPIIYDPVLDLTFPYELTDPDNIPDNQDEVFFPVPRTHMS AKKRYRLVQEALFNVTNIIKSNSSADNCTKCKNVLAAAKPAALHGPAFVPDAMVSLCK AFGFHADETCEQDFATNTFGAIWTQVLAYADVEGLDGQYICHSLSSKFCSAPTTSPLD TSKLFPKPKPADAQVPKASGERVKVLHLSDFHLDARYAVNSEANCTSSLCCRSDNSND LSEDSPLLSASAYGSFQCDTPYDLGLAALQAVGPLTGTGKGKHDDHLAWTIYTGDLVS HDPASQISKALTQYTETSIYGMFKHYLSGPVFAALGNHDTSPANIDSPHNLPGRLGEQ QSWNYEHMAGLWRHEGWISRKTADEAKTHYGGYSVKTHYGLRIIAFNTDLWYQSNFLT FINSTDPDNSGMFSWVIEELQKAEDAGERVWIIGHVLSGWDGTNPLPNPTDLFYQIVD RYSPHVIANIFFGHTHEDQFMVYYANNGTVQNAENALTTGWIMPSITPLTNLNSGFRL YEVDTGDFNIYEAYTFYSNVSEYPALEHKGPTFEIEYSTRDEYGPAAGWDEDAPLNAT FWHRVTEAMEKDLDLVSLHNTYQGKQSVKSPNCTTAACQKAKVCYMRSGSAALGRQCL QGYGSVQSAFKED PEX2_085640 MAFNVSLGSLALLVVLLQTVSAQFCSFWNNGCIDSLAQTAIRFD LKPLFPDPVTLYYSFDASSSGKGAGPMTKTAFWLGYQNKNINLSAVDSNRTSEIGLRI GNLTGTPSGGNNGCDGIWGDDCSRDLKSALQIGLYRLAVSGEYYSKPLEVVLNKMLMK PPPLPSCPPPTLDVAAIPVQDFARERVPDQNVTIMPPGSGAWPWQVWYLDNMNAHNQA SQVAVGIISRAPAYNSAPPDGPNDIMVELVCVQAPSSGSSKGSHD PEX2_085650 MARLTFGDLAFLIPLPAVNDDPTANKLQTIADVTRSGGPPGVGS ANHCSTTQRALQIAPIELEWVQAWKSKLLQRQEV PEX2_085660 MKFFENNFTYDYSFPAVSLAYFLRYPNPYSQHVLTTDVIDRYVD PKTQRLHTIRLHLKKSKVPSGILKLLPKGMGGSDSSGQSYILERTTVDAREGWMETES RNMEWTGILSVVEKQCYKRLQSEEHRLALDGLAVDKSSEQTSVKTTVTFRSRLGQGKL LGKKKHDTGDHEDDEPRKGFFSSLSTAGIQRTIELIGVSRTKEAVLKSKQGMNIVLER LRSGGVVGVLEGMRQDREAAFGPDGTWKRVWLHGKDRSIENDKS PEX2_085670 MELSSGCGVCGKKDGVLCCSGCKVMMYCGVEHQIAQRQEHKSAC SAIRRCRVDMEKEEKALRDHPGNPFTYGVGNFWTILSTRPYMRSRAALCNTMSFVNNV QSLQEQLNVLMETLRLCRGDNMGARDVIPGLMIRLQQDQECYDFLKWWATTGQNNDYD WGNTTLPYLDIKNANPLEPVDMFCGKLIDLTHIVSVTLVKVKVLQVILTSMGPPGSVA HMLGLGLQPSSIAKNPNITNCDDGQLEGEQLKAQIRALYEDVQELNPHFWPALLNPGE HLEVQTPIFSIGSVEQMRVVLNLTYEAWYEAPGAIAFIEMAVAGEL PEX2_085680 MGMKARCQCGRIQFTTPTDKPLKLWICHCTECQHQSSSAFGITA TFPYFELPESVSGLVSSYTRVTLKGRDMECLFCKECGARLFHRFRDAVPAPGEQPEFS AITNVKGGCLEDLNKEMLRTAVHIWCQEAIIDIPEGVEKWDQGPPQPNPLVT PEX2_085690 MPEQILAGKTCLITGGGGGLGKAIATQFLEAGASVVICDVNEER LQTTSAELSSKGPLKAIKTDITKTNEVESLFETLIGEFGKIDVLINNAGIMDHFDPIG DLDMELWDRIMAINLTAPALLSKLAVRNMLAQPKPDGRIINIVSVAGKAGWASGAAYT ASKHGLVGLTKNTAAYYGNKGIKCNALMMGGMETNIVDAFSSGMHMEGYEKMINVLKA IQAPPVDVNEVAGFCVNMTYGKGAGQINGATIAIDNGWTSVVG PEX2_085700 MKPIRHHVSLPSSLGTGLITEHIEFEGAMNNEMAGFYRSKYKPA VTPVKSVPYDDEWCYMLSTQFARRDARRAFPCFDEPNLKASFEFEIEVPVDQSALTNT SVKNTRPTKDEWNMVIFETTPIMGTYLLAWAIGDFNFDALDV PEX2_085710 MPHADSSYFMPGSSKADIYEQLLLSTQGLLDGQRNWVSNLSNVS SLLWHAYASLPAPSSSVNWAGFYIRDDKFPALASPVSSPPLPGAPGSGGVIISTTYST SENQLLLLGPFHGKPACQEIRFGKGVCGTAAAKQETVIVPDVLTFPGHIACDAESRSE IVVPILVNGETVAIIDIDCTEPSGFDDEDKKYLEKLAAFLAENCDW PEX2_085720 MASSRDNQRADTFSRLSTQPPSHPSLSPSTKAPLPTTAALLRDH ALNIEPPTQDNRRLPQGTNTVPTQTSESAQEEVAGLSLPPSPLRSFRQKSINRRSKKA LSTDCIPRQTILKALASRASILNNNTNMSSSSLSSMLANKPTQASPPSDNQQRRGSNG SSNLSTALSNLQLSGYLDRSPATARLILQSPCYFHQRFDDAVNIKKVLEEIADDEWLS HSRLVQTATGVREVSKQLQRRPIKRAVRNVMIVTKARDNSLVHLTREVAEWLLSTSRY GNELGINVYVDAKLRNSKRFDAPGLLQKDPMFAQMLHFWTPDLCWTSPDKFDLVLTLG GDGTVLFTSWLFQRVVPPVLCFSLGSLGFLTNFEFSDYKSQLNAVMGEVGMRVNLRMR FTCTVYRKDRSKGAEVGAVEEGEQFEVLNELVIDRGPSPYVSNLELYADDELLTVVQA DGCIFSTPTGSTAYSLSAGGSLMHPSIPGILLTPICPHTLSFRPMVLSDSHLLRIAVP KSSRSTAYCSFDGKGRVELRQGDYVTVEASQYPFPTVVSNNNEWFTSVQRALRWNTRG AVQKSWDGGDADADLNSDPNEDEQWDIDMDTVLAGTDSGIGPSEDGDALSPNPMRRQM SLLNM PEX2_085730 MVGPIASLSQLNRADGSASYKCPATGYSILGAVNAPIELPARRD ALKPEEATVEVFVKPGTTTPSVGERYVEGILRSVLGKIVLGREKGFPRRGVVITLAIV GGENVQRGDSYLTILPALLHAALLAMISASVPLSMTFSATLLGVTKSGDIVQDPSPTA AKAAVSLHVFAVSSKNHLLLNESEGRFEFDTWELVRERALSICQGVATPSADGDVTMD EATTSTILNGFIRETVEDQVYSDYAYKLDSI PEX2_085740 MGIEVTPDVEESTEPPICPFTETNTQPNVTNHLHTKPCDTDTKS ISHTDHTTPLEPQASKEGPDQNAHLIEPSELASLLHTDLRDGLSSTEAASRLQRDGPN TVREMEGVSVWGILLRQVSNSLTLVLLITMALSFGIDDYIEGGVITAVIVLNIVVGFV QDYRAEQTILSLHRLSAPICKVIREGRIASVKAESLVVGDIVQLAVGDIVPADLRLFD GMNASMDEALLTGESLPILKTPHLTLSLSGIPIGDRTNMAYSGCSTTQGRAMGIVIAI GMNTEVGKIAQLLQTQPNLGDSSRLGRAGKRVKAFFMNVLGLVGTPLQVKLSKFALLL FGLAILLAIIVFSVNKWDVQGEVLIYGICVAVAVIPESLIAVLTITIAVGTKAMARGN VIVRKLQCLEAVGGVTNICSDKTGTLTQGKMVARSAWIPGAGTLTVSQTTDPFDPTSG LVQLDEIDWSSNQPIEDNPALHTFLRALALCNLSTIHHDNQIWSAVGEPTEIALHVLA LRFNFGKDAVMKQWQLQLNTEYPFDSAIKKMTVVYDNLRDKIKEVYTKGAPETIIPHL NIDETERQIIRDTADRMAGEGLRVLCIAYKTPPADDESQISPRTSAESNLRFGGLVGL YDPPRVESAAAVRRCQMAGITVHMLTGDHIKTATAIASEVGILDRVAAVVKSSRLVMA ADEFDKLTDAEIDAIEELPLVIARCSPATKVRMVDAMHRRQAFCVMTGDGVNDSPALK RADVGIAMGKNGSDVAKEAADMVLTDDNFSSIVKAVEEGRRLFDNIQKFLMHLLISNI AQVILLLIALAFKDKGGDSVFPLSPLEILWANLVTSSFLAVGLGLEEAQPDIMYRPPH DLRIGVFTRELIVDKMIYGTFMGTLCLVSFVVVIYAAGSGTSSLGDGCNQEYNPSCDA VFRARATTYATLTFLLLVTAWEVKHFSRSLFNMDPIRNPKTFSVFSTLWKNRFLFWAV MAGFVLAFPVIYIPVVNQLVFKHHAITWEWGVVLGCTCVYLLCVESWKAVKRSLGIGS GKNATLTLEDAETRAGLTTLTPLSLSANASVELK PEX2_085750 MKAVIVTQPKTEGLVTDRPIPKLRDDYILVKTVSVGLNPTDWKH VAFLAPPGVLIGCDYAGIVEAVGKDVKKQFTKGDRVCGFAHGGNAVQPEDGTFAEYIV AKGDVQWKIPENMSFQEAATLGVGITTVGQALYQSLKLALPTEPIKDATPILIYGGST ATGTLAIQFAKLSGYKVLTTCSPHNFDLVRSLGADDVYDYKDAQAPAQIRKDTDNNLK LVFDCIALESSAAFCDNAISTDGGEYSSLLSVKIDRANVNDRATLAYTTVGEAFSFGD ISIPAKPEDKAHAEKFIPIAETLLAQGKVKVHPPKVGEHGLKGVIEGLKLLKEDKVSG EKLVYNVSETP PEX2_085760 MKVLCLHGKGTSGSIFRSQISTFRAKLPNDVQFDFVDGPFKSEA APGVDIFYSPPYYAFWEHDGVDSVRATYAWLTDHIAKNGPYDLALMFSQGCVLGSSAL LFHQEETPHLPPPFKAAVFVCGGASLNVLEEMGFHVSAKARERDLASRSALAQQASSS TLLAQGGDRWTGLETLNGGLSEAEVRNEITSPYRINVPTVHIYGSKDPRWAAGVHLSG ICEPTKRRTYDHGGGHEIPRTNAPGGDGDFRPTLFIMSWDEMLKLTRAEESKEFKLFE ALVNGDVTVEDTVQWIIATTMDRLENYGPGGTIEKADAITFIAILELAMQIDTTQYGP LVEFLGELKLYNAVDSSTGLVLKSQDGSRVWSHLPSLTFWAHEIWTDHECGRMTHLCD PDMEPDQQIRWAKLNIFLAQVTQAADVEYTSPLQVWISNAMDESHMGLCGLRHVFEEG IPSKQIASTAGLLSVCYWLICAGDRLWENVLNGRKYNKYDGRPGEMYSNRGWKGFERE RWDIWVQGLREAKATCTTGQELVEDLIDRALSKIECVMSNEISSR PEX2_085770 MGVELKGNGSGEPPMNPTIAVPLFVVGGLIAILFVWKTIIRIRH RRRSKAARQGTDQTQLCRTNRLNAALKKHLLYAPIWGSRHSREFRFLRLHMGSLPLRL EVICLLIYLSLNITFIIVTVDWWLSDYSEKMFQLKYAAGHLAVMNTPGLVLGAGRNNP LVQLLGISFDTFNFMHRWVGRVITANAVIHMSAVLADKAYIHGTDYILYVMWQQKLYI CGLLAILGFICIFIQSLSPARHSFYELFLHLHIALAVFSFVALWYHLQNLLQQRVLLG TLILWGLDRAGRLGILLWRNLSSRPTTATVEVLPGSVARVNVAVARAWRFRPGQYMYL YMPCLGLWTSHPFTVAWSSTSDSLDMNEKHSSGDSLNALTGGSPTTTMSVLIKGQDGF TKKLLQKAEDSAEGRIQAMALAEGPFGGIHSLASYGTLLLIAGGIGITHPMSYLNEVI STFTEQETATRKVHLVWIVRSLDHLSWIQTFMAEILSHESLNSPRNPNEHSYFEFPQL LLSISLHITSHKDTVEEYIPQPDSLWMQCAPPGVPVSIHHGKPCMQSVLEKEKEEQIG AMAVSVCGPGGLGDSVREAVRNVQGEKTVDLFEETFSW PEX2_085780 MSGPNMENDFQLFSSRNSGGDETRGNDHLFTHPTGENVNQDWTQ WMRWDEPMFSEGDQKTTASSIDLPSISPHTDMSSPSHLNYKDFSPNLPLGLMEIPSEP NAGNNLGYTHSLPGQNCHTSQQQHLGLVSPISSVGTSRKRKTGSDDDSTAVTGPVQPG KKMPAKKRAHNVIEKRYRANLNDKIAELRDSVPSLRSTKNPNGGSADDDDEADGANAA NKLNKASILSKATEYIKHLEIRNKRLEDENTDLKNRLRQADKAADQAATSSASVSSPS NYASTDSGSGTSPSVFSQAEEVSSESSPTSQNPPEGLLPVPDAWKRMRAETAKQGVFA ESYIKYPSSSSSSHHPQYAREDTSTRRSRMPNKYMLGALAGLMVLEGMGSDDSESEAK GLFALPISLFGRFQTPTLAHWEFYLKQFWYSWQARAFSHFLMLATLVVGSAFIVFVYL FNAQPITRRGPSKSASEGPTAPLTSSDFRRQAWLTSMQRVGVPRHRFFREWYVVTSRC FEYVLRCLMGWKLYSWATGITEEDEKGRVKTWDIAIDAQLTGGDAEISKSRLVLTIFA AGTLPCTPARMIIKALHVRILLWNVGEAGSWSFHVSNDIARALARFQWGVAREINAAL PEGHPDQLPPHLAALIKLDCEDVMIDNIIQRAVNLTWNRPTQEGTGDDEVLLDVVDED PAIQCSQDALAAWWSSHLLQTALLNYFEASEKGPVSKASRDAFKEKIRMSLDVAPVLS AAYTRALVMKAVFFEQNRIENVGAVLAALPKEKRKGSQSHSSNFLDSSLPVSVRDEIS IAVRCAMIATILTERSTGDASSLPASFTVQKAITWFNQLPIDPVELSLIGFSSVYHLL HLLASDVDYIASSDSSSPSTPASEATSSADDEAEEKPRSAHKLFICPRDIPNLGRVSA ELIYWARNAYTPAFYGFSSTLVEVIVNSCTTICQNAGINVDDFVHAAPDRALSKKRRT RRRRGESQSSQSDADQDPVDYPVPRPPLRRGRSASNDTGYGSLSVEEDEQPVPHSPPN VQEVPA PEX2_085790 MPEEKTGQIQKIPVGDGTDPGQVVGDLTASIQSFFISSQFSDLV VKTEEQEFKLHRLIVCGQSEYFARLYQGNWAETADNTIQLNDDDPRAIEAMIHFMYGI DYDSSGNELGRISPMIFNVRLYQVADKYFVPRLKQRAKEKFGQIARTCWEMDDFPVAI AEAYHLKGFVLFSRKLSALLPISSYLCLEKEGRRKITTNITVLDVLVNGPGATLR PEX2_085800 MEPSPTAPPTDPNPRFSKHIILTTYPGQSGIEPVPLEWGAPDAK SRGPVVVSRSGNLVKRRNAIGAHGGSYSIYNALAIAAGDLDADFRPDFRNSEPTFNFP PQPAWADKKKIVSIDPYGHDIVNQFKAELEAGWDIRPTMAVTRANMKLAEIADDVKNG LLEVDGSILVDSSGEVRVTKVAAEPVWYLPGVAERFGVDEGTLRRTLFEHTGGSYPEL ITRPDLKTFLPPIGGLTVYIFGPPERIADENVKLALRVHDECNGSDVFQSDICTCRPY LAFGIREAIREAQNGGSGVVIYFRKEGRALGEVIKYLVYNARKRGGDTADKYFTRTEN IAGVRDMRFQALMPDILHWLGITKIDRMLSMSNMKHDAIVDSGIKIIERIPIPDEMIP SDSRVEIDAKIQSGYFTTGKQLTDEELTQVRGRGWEKWEDISH PEX2_085810 MAVPRVVGMVNKPPASHAAKTKSAVTMHYLNPHSSETSLNPGVS NAGQESSSGRIARTQPLLSGYFGPTSFVSPLTGDVDLGSEDQGLGLEIESAQRVLPPY WVHKISEIILTLNDFATAEALIRDYYTQSQSAIIAAPFVLNSLAPVGSMCKESISDRN LADFTSSLTVNIIQNTSETFKVPSATRGRDFHTLFTGPAIRLEIIGLLCALAGRARYL GLASDRCDEDRTSRSQYAHKMFAASEAALHICKILTPLNDLTIWLVHEILLLSYVVHG DSSPACWKRLGELSTDIFALGLHRDSKASSDVPEFLLESRRRQFAAAYQLDKSIATFL GRPPRIPWRYADCRMPLDISDEALATDNIVLNYSHDYLDENGWNTHGVVQRSSWIRVR FIISTFRDEILEVSLQNVTPEMENMLKNISQRCHLAWDSLPNWLRYSPQCWDQNLHIA VCLMMNISYLAYLYNDFLIQRLIAGKNNPRGNTALLSVSVDILSTVLKLGTQREQTVD LRPEFAWTVLLYGFPSASLLIKALQHQKRTGEAFLYEGSRSALIRNLSVFISHLESIV RPDNANYALFQRASQLFSRIIDEILEPHSILPDSNLADTSAFDFDPMMEVDGLDLFNN MDFGVAFNQWLL PEX2_085820 MSDNPETTAAAGSLADRITKPDESKATDTSNVSSTPKEDGASAP AQGSAELEEPDYTVAVKLSDLQADPNNPLFSVKSFEDLGLDARILKGLSTMNFRKPSK VQERALPLLMSNPPKNLVGQSQSGTGKTAAFVLNVLSRLDLSTEQLQMTPQALILAPT RELARQIVGVIQVMGQFLEGLVIGTAVPADSNARPSKMDCSIVVGTPGTVQDMIKKRI MNPTGLKVLVLDEADNMLDQQGLGDQCIRAKAMIPRTVQIVLFSATFPAHVYRYAGKF APAANEITLQHEELTVEGIKQLYMDCSTDEEKYQNLVQLYGLLTVGSSIIFVRTRASA IEIEKRMVAEGHTVASLTGGVEGSQRDAVIDSFRSGEAKVLITTNVLARGIDVSTVSL VVNYDIPEEYSSGQRTNTPDFQTYLHRIGRTGRFGRIGVAISFVSNRNEWEMLRKIQE HFQCVIDRIDTSDWDEVEDMIKKTIKNTRAQADFVKSN PEX2_085830 MAAADVAPQFGAELKDSFKPVNNWVSNGISWVDEIHQFYRERSA IEKEYASKLTALCKKYYDRKSKKISPLSVGDTPTLTPGSLESASLTTWTTQLNAVESH AAERDKFASELVLQVADPLKQAATQYEEIRKCHVEYHGKLEKEREAAYGDLKKAKGKY DGACQENLYLISINVTNKLKEKFYHEYVPEVIDGLQNLNETRVAKVNLMWSLAAQLEK SSLSNSTEHISNLLVEIPRNNPRLDSLMFLQHNVAQSQEPANLGFEPSPVWHDDASII ADESAKVFLRNVLSKSKSQIRELRVESDRKKREVEGSKKVRENIQQGKDNRNELDVVR SIFYIQESLHEVDRKWVTAEVETATIMAVAGDLSLGAQNHNFRSQTFKIPTNCDLCGE RIWGLSAKGFDCRDCGYTCHSKCQMKVPAECPGEQTKEDKKKLKALRQEQAGAVPGVE VGTPTASTAAPSLTRQNTMTSLSSGYAASTARSVSNVATQPTPESPAEEPPAPVAQTK PAAAKRNRVLAPPPTAYMTAPPPSDSGMSSKSKEPRGKMLYAYQATGSDEVSVEDGDE VVILQPDDGSGWLRVRSGANEGLVPTAYVEAAPTPSPVPSASSSIPERPGSTYSNSSA SLAGSAAQKRVGPAVAPRRGAKKLQYVEALYEYEARSDMEWNMAEGDRFVLINRDSGD GWADVERGGLTKSVPANYIQEV PEX2_085840 MPGLDSNQTLSAEQGNSLSHSAPGSLYEYPVTSESDTTAAALFD PAYSLQPSTLAVSGSQVAQTLSMVSPLEGVNPFDFSLDPALELGDGTLGYLNEVDLSM DLEMPAMEEVFQVEDWSRYMWSAETGFEHLDTGFPPVSQ PEX2_085850 MLPVTALVGAAIALLILSRVLKIGRRPKNYPPGPPTLPILGNIH QMPSQDAHLQFEKWAREYGPIYSLMLGTKCLIVLSSDEAVKELLDKRSGIYSHRPELY IGQILCSGDLRMLMMGYGPTWRGFRKMVHGLLNVTTSKKYLPYQMLENRQMLYQFLTE PEGFLKHIRRYSNALTTTMVFGWRTPTYEDEKMMQLFNGFSEFADLNQTGTAAIIDFF PLLRKLPEFLLPAQQKAKDLHKKEKALYLNHWLRAKEEVRQNTIKPCFSAGMYKAQKE DGFSDDQAAYISGTLLEAGSDTTSSTLYAFVQAMLLFPGVQHKAQEEIERVVGSGRLP VMDDLSELQYVRSCMKETVRWMPTTILGAVPHAVTQDDEYKGYFIPKGAGVMNNVWGI HMDPARHPSPRVFNPDRYHDDCQSFGEAAANPDPSKRDVFTFGAGRRICPGIHVAERS LFLGMSRILWAFDITPECNTAGTPILPDPDRLTQGFVCMPEEFPAKITPRSKERADLV RGEWKEAEKQCLDRETRQWVRSPVEV PEX2_085860 MFFRSLYTTFFVLLLSFLATAAPISTRSVQIPGSDNTLEATTQT IVQSQPIHDAASVTALPPRFELENHDTIPGLHKAAIDWREDGSESLKLESEREAGFLP SSHHER PEX2_085870 MMPWGFTVEDLQNFGTSPIDTSFDPASMQYTSPTWPDFSALASE CPATFTTPVSSDSPQKSLHFLDKFTSNTGLVSSFDCGTHEQREQVAASLDQQILSQLQ QRIMSLPALGMDVQSSLILENNSDTSSASELSLDWFSDPLSLKTHEILLLVEEVVTIK PRNSSVTLDWSSALRDACLQFFSASNIRRFLGFYWAIWHPNVNFVHRPTFDMLAAKPT LLAAMALIDARTWFNCVEEMVFIDDDFNSDLTYQSSSNMSIQRRKIQAVQAAYIVCLY QNWEGADASKSRIRRYRFATLVSTARDIGITAARHLNYSELGRHEFEWKEYAAREEMI RLFTWIFLLDSAFVIFNNLPPRMVIKEIRMHMATPETCFQAATADQCHHQIQLFLPAR SLYWTTSFRGSFESLCKDDLSVNIRHLLATLGPLNLFALTSAIHSQIFQFRSAVGSFQ LRAPIQNALSNWRDIWQLFSSTFPQGIMPHMTIEDPHIQPEQLWRRMGFFRYAPEYWL LAHLMADRLAVLGTSKPENELEPLDEGPLDPILNRYDQTSMRQINDLIMDFQTFQI PEX2_085880 MSYKTNYELYSRGSIDSRRSPPPEELLSPLATLYPHVVALQPQY NPSNCTFTGYRDTGYWAAAYTTQPIDDSSMSIHRISAEMI PEX2_085890 MSTVEHVTEHAGFWKRVLGKLPAYGYARSLFSRTTRSKRERSHE EGMTNPEAQSILNDAEFGRVDPTGWFPHYKNCVQHFVDYSQHTPQVQSLAAFINIRLP CQRPSESSAPTSESTPSSFVSLRPYIRRLIVTAQDSPTVMQGFFGGDWEAGVGCIYKQ ERVNYLFTAKSSGWASTKAAYDISPDEETPFLRPLRDPSEDEIRVAEARWSEWLAMED WMVGSRSPW PEX2_085900 MAICIYEHDAATIIPALVSELPYSTTLLRRIQHGIAYPYETAHI LATFPPNLTPEAGQPWLAARVDLFAGRTTQVLIYSSLEAEHTSIAPILTPPEILNVDS AESNNNDVNTITKSIVSTFSANPPVLALARAQLLALLSYVKTNLLPSYLSYLAKSAQA TSVEAENPPVSLSSVTPNNTNPTTNNSSVPLIPAPDPHAFLIGSLHTGLFSLLQRSGS YTQSDPIPNIRVHRFDDPPYYKYFFRRSEFSPEAESGRSADLPSADLSLPSGYRFHDR EGREGVLSKHLDLVQNRTSIPRPRAQLSKMPGMAIYFDSGSSDADEMPIAWGFLGVDG ALATLHVEPEHRGRGLAVPLSKAIMRRGMSVDGVFGAGSVNSEDSQTLERVGEWAHTE VAGYNKASRRVMEKIGGQILTTVTWTVIELLD PEX2_085910 MGFTPSSKTPHGPHDSHKYILTRRPWRLNVTDFQTIIDFPYHGS GTSDDPYIVEWLENDLENPKNYSEVLRWSTTALIATMTLCVALASSAYSGTVGSLLAE FKCSHEVITLGLSLMVLGYAVGPLLWAPISESVGRRNIFLLSYTGYTIFTAGCCGSQN VWTLIILRFFTGLFGSSALCIPGGQIADMFKAELRGLGIGVFCLAPFIGPALGPIIGG FLGDAADWRWVMGLLAIFGALLTLTAFIFMPETYAPALLRARAGRLSRATSMVYLTAE DAKNPVIFKELVKHALLRPWVLLFREPIVFSLTVSNFVLLLKIMITTNGFMKLYMSAI YGTLYLCFAAFPIVFQENRGWNAGVGGLGFTGVLVGLIVGILIICWDNKRYVRIYRAT DGFAPPECRLPAVIAGGISIVVGLAWFAATDSPNIHWVVPIMAGAPFGAGFVMVFICC ANYLIDAYVIFAASVLAANSVMRSIFACVFPLFTTYMFNDIGIHWGVAVPGFIALACL PFPVLFYVYGAKIRARCKYAALAEEHLRSTKVNGNLSSQPSTDFEENAITKV PEX2_085920 MSNPKGVAENMLWGGRFTQGLDPLMVQYNTSLPYDRVFWKQDIA GSIAFARANTKSGILTPQEFAEIERGFKQIAQEWQTNTFVAKENDEDIHTANERRLGE IIGKDIGGKLHTGRSRNEQIATDMRLWLRDELRLIESHLTDLIKVSIARAENDITYLM PGYTHLQKAQPVRWSHWILSHATAFASELERLREVIRRVNKSPLGTGALAGNCFNIDR EAMAKELGFDGLLYNSMNAVADRDFAMETMQWGSSFMLKISRWAEDLIIYSSLEFGFV RLSDAYSTGSSLMPQKKNADSLELLRGKSGRAFGQMAGLMCTIKGLPTTYNKDLQESV EPMLDHVKTVSDSIQIATGVLSTLTVIPEKMIAALAPEMLATEIADYLVRRGVPFREG HHISGRVVALAENTNVPMDTLSLKQLQEVDARFDADVQACLDYERAVELKDAIGGTSK RAVLEQTAVLKNLL PEX2_085930 MKGPLSPSDSETRNSLSAVSPFTADNTRASKILTPDLALGSSST SLVSYSPHKTPPVPPTQPHSNELFASVPPRQGFTPELPDTGFHRLRAELASHSQSELI NVPIDQRQRQQNHTKSRNSPRVWESPVLAPIASSRANSTRKADNGSGHSHSNSSGGSP GRNQAGRFVTAEGVVLGANLDRYSNGMEIRESQARGERGRTAERGGADHVMSFMQYSG KPEDRGLGNGLGIAISDHSSNPQVCGNSASRSRPRPVERSLEDDIAAAEGDADVPPAY EAEEGALGREIKSPSGTMGMSPRGV PEX2_085940 MIAPRFEDAALGVLDEVLDADALAARTEDNTVAPVGSIAVDVSE FEETWGCECLWDWGCLQPADIAEVIEAVEEAADAVVDGSVDPDKVDVEETEVVLVGNT PMTPAVVNESVSSSPSSGQTPVVHGSVGQHPRKLPAEQTYHCAVPVQVLRSRGTRGPS DLKDSVSNTILKYQVSFTESETTQSDRKTQHLC PEX2_085950 MSSMSLRSLAPASKVSRVLRDQRRLFSSSRPAARIWSNQPLRAK ESNGYLTDKYPIIDHEYDALVVGAGGAGLRAAFGLAEAGFKTACVSKLFPTRSHTVAA QGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASVRELEGYGCPFS RTEDGRIYQRAFGGQSKDFGKGGQAYRCAAAADRTGHALLHTLYGQSLRHNTNYFIEY FALDLIMEGGECRGVIAYNQEDGTLHRFKAHHTVLATGGYGRAYFSCTSAHTCTGDGM AMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAK DLASRDVVSRSMTLEIREGRGVGPEKDHIYLQLSHLPAELLHERLPGISETASIFAGV DVTKQPIPVLPTVHYNMGGIPTKYTGEVLDVDENGQEKVVPGLYACGEAACVSVHGAN RLGANSLLDLIVFGRAVSHRVRDTATPGKPHKELASDAGAQSIKDLDFARTADGPKST FEVRNAMQRTMQTDVSVFRTQESLDEGVEKVNKVDQLYAQVGTKDRSMIWNSDLVETL ELRNLLTCATQTAASAAARKESRGAHAREDYPDRDDENWMKHTLSWQKKPHGEVKLGY RAVEANTLDESECKAVPPFKRTY PEX2_085960 MPSATGQNWEKFQKNYADDEEPEKKITPLTDADIAVLKTYGAAP YANALKKLEKDIKDKQNSVNEKIGVKESDTGLAPPHLWDVAADRQRMAEEQPLQVARC TKIIQDEKDSDKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQIMLPLP PKIDPSVTMMTVEDKPDVTYGDVGGCKEQIEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAVGGARFDDGAGGDNEVQRTMLELITQLDGFDSRGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDVEGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVATEAGMFAIRARRKVATEKDFLASVEKVIKGNLKFNSTATYMQYN PEX2_085970 MAAAVAQGAAGNTSFKDKEKPMAVRASNILAARAVSDAIRTSLG PRGMDKMIQTAKGQTIITNDGNTMLKDMSVMHPAARMLVDLSSAQDVEAGDGTTSVVV IAGSLLGAAEKLLGKGLHPTVISESFQRAAGAAVEILHNMSRPINLSDRSTLLQAAST SLSSKIVSQHSGLLGPMAVDSVLKVCDPKTAENVDLRDIRIVKKVGGTIEDSEMVDGV VLNQPVIKSSGGPTRIEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERQYM LNMVKKIQKTKCNVLLIQKSILRDAVNELSLHFLSRLKILAIKDIERDEVEFLCKSLG CKPVANVDSFTEDKLGTADLVEEVQASGARYVKITGIKAPLASYNQTVSIVARGANSL ILDEAERSLHDALCVIRCLVKKRAMIAGGGAPEIEVAHTLAMRARELTGTEAICFKAF ADAMEVIPTTLAENAGLNSIKVVTELRHRHAQGQHNAGVSIRSGGVKDDITEENILQP LLVSTSAIELAAETVKMIMRIDDIALSR PEX2_085980 MGKFEDEGNSESVPLNRQRSESLTSQTSTDSGLSIASESFMKSH KGGNTMPMEEGEGERYRDIEDGGEIGSDEPLISSGKKAGSSSRLRQIVWLLVLLCVGG WVLAFVLFLTQKRPDIAALSSAATTSTAVIHEPDSATGHATHGKPVTLEQVLSGTWSP KSHAISWIAGPDGEDGLLVEQGEKQDAFLRVKDIRSSKNGVDALETRVLMKKAYIWFD GEALPPAKTWPSPDMNKVLIMTDSQSNWRHSYFGKYWILDVATQKAEPLDPGNLSGRV QLAAWSPTSDAVVFVRENNLYLRKLASLEVTPITKDGNENLFYGVPDWVYEEEVFAGN TGTWWSGDGKFVAFLRTNESAVPEYPIQYFLSRPSGKEPPPGLENYPEVRQIKYPKPG SPNPIVNLQFYDVEKNEVFSFEMPEDFVDDERIIIEIVWASGGKVLVRETNRESDVVK IFVMDTKARTGKLVRSDDIAALDGGWVEPSQSTRVIPADPENGRPHDGYINTVIYEGY DHLAYFTPFDNPEPVMLTKGNWEVVKAPSAVDLKKGLVYFVATKEAPTQRHVYSVKLD GSDLRPLTDVSAPGFFEVSFSHGAGYGLLSYKGPEVPWQAVINTQGDEIDFINLIEEN VKLAKMVEDFALPTEVYTNVTIDGYTLQVLERRPPNFDPAKKYPVLFYLYGGPGSQTV DRKFTIDFQTYVASSLGYIVVTVDGRGTGFIGREARCVVRGNIGHYEALDQIETAKIW ASKSYVDESRMAVWGWSYGGYMTLKVLEQDAGETFQYGMAVAPVTDWRFYDSIYTERY MHTPEHNPSGYANASISDVTALGQSVRFLIMHGVADDNVHLQNTLVLIDKLDLKNIDN YDMQVFPDSDHSIQFHMAHALVYERLSSWLINAFNGEWHRITSPKPQEST PEX2_085990 MAVDSLPVRPHALGWDAMKEHWWQFSRPLPDNVPLNVSKRLTTL ANRELNLISRRPHKGKPASFGVYLGSFENPPTVSQERLLQEWDVLVVDPFQTGVSKAI SRHERSQVLGRVDLGKVLPPKASALTVIEKIEELLASGFHNTAFSGILLANWEDVLRP TARRKFLETISSLGLAVYLESEPPHFLKDRKAVQSAAVAGLVIRNASIMPQGEKRDYF QMAELQATIKAFVSESCMRDFVVMAWETVDDNVTVPNAIVRRSIQWCGFYSAITWIGP EAALHDADLNVTTLEPLPAFGWLKEAEMMKAHDTWRANLNILPSPDSKAGWDILKPIF PAIDALLDSSEYDAKAPDSPTIRLRDPPEWVAQVKSQGSPLSISMSGMAYNSLGCFPL GSDSTPLAFAEIVQSQQRLKSLNLLHPVPTTKIQSIGLLLGQFYDSLDLSEDDDNLAS TVKELSNWASNDLLHVNLCLDSGLRKSSDFRFWAVFDMEHDGIEIYVSKNAQGLAGTI LHTFLSAKGFPRHVCFEAEATFATWSRDVSHDTGLPRRLIQDIDVLSPEERLLLLQHL SLTDSHSELSEVICTYIRKQLVDAPSFAQLKALNTVGYLEKSTSPEDLIRSRINWYMD QGCRYPSLDVCLDLFHQADSVLSDVLRCRREDDLAAITSGLCALLQGGFVDTYVDMMA LALFCAARQGAFDEIYAEVTDRNPLFNNHTDQAAAFAESFALGSRCEAYFDVAPSVFG KLLSDRFRAYYGEHQPPNWVNGAPELATSYAGAQIDVNPDEQPKTMPGYQRFTFLSVF AIPALIDIILLTMVGRGLYLSAAMSHDEQDSATMALMISLLFSGAIGTWIACGGPYYL ISMAFAAANMFVLIRLIAGIAFTVAGGLIGFVAISSVRGPRAGIIFYLYLIALTIYFS TFASLASFSYPGSTFLSGRKPIIMCIPILFLAPIITAWTGNDSAVYLAIIYVFIGVLL LCLRSVTSKWVTWYQSVRRTDDTEIRKWYITAYGHDDEKVFANMSDPAALKLAREALS KDVLAETKRGIFSKASKDKMVVELARDWESTNFLLDWYCRHADVPRPIPFSSGWNIQT KVALDTLRNSQKGLRLHNAFVHWRQSSKEIGCGVLYFVIALLDKWAQLLSGDRILGLT GSVNDANRMAVGFALAYYLIGAVLIDTKAQELHDALGRNAPFSVRSAKDIRLAQKKEV QLRRKVYWRVLFRFLLWHVWSLALATALIWTFQSSIEGMIVFFSYVLAYTGLIWYQYT KIFTGPHALKPLLIGICIGLPVGIALKLCMPRSLYSQIIGLGSATWTVAILSIWNAKM GMPNKVDSPVELGRTFHAFTTPWSDPEWSQQELQTFFESYSLLPSDSRFKLNPAIHPG VEIKSTMMSCREELRIEEAFPCSKNIVNTAISAWEKGDIVIELVSPGSLGPGIRALSC STGNQLKLAITVGGLLDERLDISANCQIIAETLLHAVTELMMGIPHEYASLAGSIVSG GVTHTMAQQLREEANTTTVVRWAKKELLRQLCLGFEADLHWDKLPTEVRDVLLKRCLG QQCSLSNSQRQWLQKNLCTFDTDDLNIHVARCNLGAATAISVLDYAHWGTGESALPKE PETSKYISYIPRKLPIALSLVRSPASYIYHKLGSMVKFFVVALVADPEFQREFNHVTR TLPTVVRVPVVFLFNMVWVYSKIVQDLGLSFFLFHGRKNVKRLWEETKGMTIHIKKSR VIIQSLDGTFTAFRHHEIDGGFKIYHYSGEHKTEPKEVKSLKYVSTYSGDMLLLVKQE FKDGKVINEYHYDYRVPTKKGFKLGKSPNRIPLGRRCVSGTNHLQSVQYNRKGLIESG SYMKDGNLIRFKYHYRKNPRFGDELLRAEFVLSHISCTVSWCAPPRRHPEKVERWIPH SKVTEASFVQGADVYESRWLYDHKFHPTIFTTINGQKMQTPPMIEHDYLGVLSKPKYT SFVHDNPFVYCDSLQSNLLTRTLGLTKKRFVVSTSRARSLMWKAWKDRVDFDAIIVRW MDDRILRRDKVLTPYWRSRDWGNLGSAKKYVDLRADAIMASADLDDNISSWTPLAVKL TDLLNFGPGGDAVVNTRSKNFGLDTDKTLHVMAADNGTWPNEGGGVSACRRDMINSLR TIKWHMICESANDFGVPKHQTEQNIQSLKLIPLWGMDFLTPTHGLFQNKLDAEVDNVT SASEFDIKMNFIPILTALVKGARAVHLSKSDIHQATRALVNLNTYFQESRHWTQVWNS ETVKQSWRDLWLTQEMSNTIPSSQWFDTELPTLASLDVALELWYRYLFIFSIPVPEKI PSTFQASHHSVSASYGVVCKIKRNCTLQIWDHAISWRETNLCLSSALCKLSPFVRNAL LGLMRITSVLTLHHADIILPCADFFNPGWEVEIGTCQGTIEHRNTFRRKIDPVVNGIT DMQKFSPVKEIKSERPTVTMLSHVWYAKDIKTALLAADIIINQWKFDEYHLDIYGAID KAPTYSTECQEIIASKGLRGRVTLRGTADPMKVLENTWLFLNSSLSEGLPLALGEAAL TGAPVVCTDVGASLRVLSDPDDFSRFSAVVAPNDALALAKAQIGMLAMLGEWSKHSED TSPAPVLTSSPTPEEVTAITRRMYEKSEHRRKLGMMTRKIVQKSFSGDRYLREHEQML WIGKSAKLMASRAAGDPIEPADVAAAIETGVDEEIITIPRGAVHSWRSSAQSGISTIY SSTSQLPGRGGYNRPMSDISAMSMSNVSTDTESFARLPVFAPRPTALSNLSSPGNQSP VWTPNHRLSLLSPHAHSRARSNSRSVSTVGREQLRGLQREDFLPYRNSDISVANREDF IRAGGLSPSHTS PEX2_086000 MLAATAAWLSGVAEAEAEMEMAVDDILGVVTEALVEADADETAE DETTDEEAALEAGDEEEAWLLETAEDETTEDETTEDETTEDETTEDETTEDETTEDET TEDETTEDETTEDETTEDETTEDETTEDETTEDETTEDETTEDETTEDETTEDETTED ETTEDETTEDETTEDETTEDETTEDETTEDETTEDETTEDETTEDETTEDETTEDETT EDETTEDETTEDETTEDETTEDETTEEEAALEAGDEEAWLLETADEADELAAELPEGE TAELEAEPDETDDDPLWVGTLEEPVETVTITDPEADSDGIAPDEVKVTKVDAAGVVIG ATALDELSIGVGRDEETETEVNECVEVASVVELTPTELPGVLEGVETGG PEX2_086010 MAPPEETSESALTTDQWTQLYAYQLEYGVRMVQYDVYPGPKFGA SAIGGCCDSGVEQLVSFTDISDFPTSGLKTGAGVSTSGLWHYPATISNTTSTKQIASF APTTGFTTESVAGVINDFDGRQQMAFFIGFDTTWSSTSSYLQHAWITWITRGLYAGHR RVNLNTQIDDMFLETEIYSPAGKNFRIRAQDLSKIASWTDEINAKMPAGSSYFVEIGH NGNGNIENSSDTSDSGWDACGAAIEYESPADTPLEWMKPLGTGTDLWPAVPTTYDWTA TCTAMDELLAWWTTESNLNKFGHISHTFTHEEQDNATYSDVYKEISFNQAWLAAVGID KATKFTSNGIIPPAITGLHNGDALRAWWENGITNCVGDNTRPALLNKENNMWPLFTTV AANGFDGMQVNPRWASRIYYNCDTPACTVAEWIATSAGSGTFQDLLAIEKGETMRHLF GLFHDGYMFHQANLRNIDVDPITINGVSEKYSIMQAWVETQVQEFVRLAEWPIITLTH QEMSASFLARYNRDKCGYSLSYATSNKKITAVTVSATGNTCTDPIPVTFPVAPTSTQG FSTEKLGADPLTVWVKLSGSPVTFTLSTPISL PEX2_086020 MGGLSSKTGWWAWSTKKKVLIFGTVALVIVALGVGLGAGLGIGL KESGDDDNDNDNNGTGSGGSGTTNTTTPTNTTVKWQPAVGTKWQIILKSNDGAPISTS VDAPIYDIDLFDNNKTVISDLQKMGRKVICYFSAGSFEDWRADAGDFKDADKGKDLDG WPGEKWLNVTSPNVRKIMQTRLDIAVTKGCDGVDPDNIDGYDNPNGLDLTKAGAIDYV NWLASQSHSRGLSVGLKNGGDIIDSVIKNMQWCVNEQCAEFDECDTYASFIEADKPVF HIEYPKGDSTNNNNSVTTAQAKSACTANSSGNFSTVIKNMDLDNWVEYCP PEX2_086030 MSDPEKHVSKRTCLKSKRSRFILAIVVLIVIIVAVVPSVVVTTL RKKNNMGPKAKVFVPLYVYPAPEAWAPLENVISTHPDVNFTVVINPGNGPGPDSLPDG NYTREIPKLTAYPNVRLLGYVYTSYGKRNVSAVREDIQTYADWPTNSSNPNLAVRGIF FDETPQQYDAQTLTYLQGLTDFVKDLKDLGPDQFVVHNPGAIPDSRYLETADSTVVFE AAYTTFQERQGAKLFANIADSNRTQLCAIVHSVPEVVEGEALRGLVKQVRKVADEVYI THLSTDYYASFGAKWTEFVDLMAA PEX2_086040 MIEPTSSSSSRSDSPQRSDASSPVESPIALDTPATEAGDPFDNL HDLLRRLPLQSHILVTGGLGFIGSHTTLELLKANYNVIVIDNLSNAFENVFDRISLLA KKHHEDNGTKMPSLRLHAHDYRDTKALQPLLEEYQLPSRWGTPKSRIAGVIHFAAYKA VEESIRKPLKYYSNNVSGLVDFATTLGDFGIKTFIFSSSATVYGTLATSGLPLKEELC VHKEELYTDHEGSQQSVQPGCTGITNPYGRTKWMCETILADLAASDPEWTIVALRYFN PVGCDSSGLLGEDPRQAPTNLLPVVVKVMTGQYSELAMFGTDWETEDGTAVRDFIHVS DLARGHIAALSSANEGKLTENFRTFNLGTGKGNSVMDVVSTMESVIEKPIPRRAAPRR EGDVGACVAVVDRSTEELQWKTEKSLKDACQDICNFLDISGLSS PEX2_086050 MICKTCRTTMLSRFNQQPVIRTASACARQLPLVRSQFRSYSSGS NPPVAATPSPPSPRQPTVGDLTTPSAISSATPGVSQPLSTPEGVHTDANAGASTKPTV VRPPSSCPAGTKLNGLNYFKNKPDVFAKEDSEYPDWLWNLLGDSAKDSKTKQGGVDPS TLNKKQRKRYEKKLAARTGPLAAKIPAHHHAHDITPAPYNRDAPSADAMADATEGFEQ RSEIIKSARDARRKAIKESNFLRGL PEX2_086060 MTESKIHFFDLLSDLPGPSKSWSPYTLRTRMVLNFKGIPYTQSW VSYPDIEPFLKAHGVTPGKGTPYTMPAISHKGSITSNPDGVLMDSQPIAEYIDKLYPS PPLFPSGDASYSLFIAVEKFKDLISPSFRSLIVPNVPPKLDERGREYFIRTRSAAFGK PLSEVRPTDETELLALWQRIEKEAEMLIEMLKGKDGKKGPFLEGERAGYADIVLASLL AWFQRFDQGTFEKILDLGDGEFKAFYEACLPWLEGQGEEKEWPVSQ PEX2_086070 MEKVEALVDRAKHLVLHEPPETPTHTVDKFHPSLDDHIQEIYKT VNAYAPTTNYFLQEMQHDTTIATSPEPVADVLASLDTFRAYMKDANSSAMALEEALDL SAPISDYFISSSHNTYLTGNQLYSDAAASAYVYVLLRGCRSVEIDVWDGELDTPNSSD DEKSSDSSSSDSETEEGSSTGSKLKEKTKAKKSGQEKPTKAQKGSVASKLEAKLNGIL RRKSVKSPQRPSHEETATSHMPVPIEPRVLHGHTLTKGTTFREICYAIRDSAFVASDL PLVISLEVHASLEQQQTMVDIMLEAWKGLLVEAPPSGENLPSLADLKKKILIKSKCVP PSAEGEKSEGEALTPQKSDDKSSEQQPPKPSKILDALAKMAVYTRAYHFSHFDQPEAK VPLHVFSLSEKAAREAHVNHRDALFEHNRRSMMRIYPYAFRVTSSNFDPTFYWRRGAQ LVALNWQNLDKGMMLNHGMFVGSHGWRLKPAGYRSDKNSPTNVIERRSLTLSIEIYAA QDLSLPPGDHSERGFKPYVNCQLHVEEPEGDVAPDQDDASSDTEKSSYRRYTKSSSGR NPDFKGQKLEFPTVTGIIEELSFLRIKIKDDEIGRDPLAAWACIRLDRLREGYRLIHL HECVGDNAGGILLVNIVKQFS PEX2_086080 MTSSSKSAAPVCSNSTIVTKMPGVRCPNCQAQGKEVWVIPGKNC HICHTPCA PEX2_086090 MTQNYPTGTRVESERLVREWGFRHIFTWTDGSNAHYSPHSHSGL TTHLIRRGSLTITYPEDNAKLHNGEVIKETFRVGERVDVPAGKIHEVWIGNEGCEYVI GE PEX2_086100 MTVEEANKTASNAEGLDSKVAPRVSSADSSSSSTYDGPRAKQTD GAFDTTEDPRFYKPIPEYEGAHRWDPEFEWTEAEETAVVRKIDWRICTFACMTFFALQ LDRGNVVQATSDNMLGDLGMTTNDYNTGQTIFYLTFLVAELPSQLISKWVGPDRWIPV QMICWSLIASCQAFIKNKSGFFATRAMLGLLEGGFIPDTILFLSFWYKSKELPIRLSF FWMTYEVTAIVSAFLAFGFLHIRQSDGTGGWRYLFALEGLITGLIGIAAAFWMPASPT QTAGRFRGKDGWFTEHEEKIMVNRVLRDDPSKGSMHNRQAVTPKLLWQALKDYDMWLI YLLGLTWMIPNTPATSYISLELKSLGFSTFNTNLLTIPAYVIFIVNLLLWTWVSERFN QRLLLGVGAELWALILLIALETISEDASPWVRWVLLIMLIGMPYVHAIIVAITSRNAG SVRTRTVATAIYNMMVQASSIIGGNIYRTQDKPYYHTGNKVLIALSVWSMAIFIIAKL YYVRRNKQNAAIWDKMSSEERSRYVVENKDLGNKRLDFRFLH PEX2_086110 MAPSLTETVGLRAPPVSLPLKSDGGHNKENLIGYKIEKEREIKG TEKVPPVSYPEYLPVWDNETERYPPLELFEHYDHGKDADASFPDLLPKGQVEVDDITP FIGSEIHGVQLSQLSDKGKDQLALYVAQRRVVAFRDQDFASRPIQEVVDYAGYFGRHH IHQTSGAPKGFPEIHLVFRGADDRTGETFLNQRTNTITWHSDITFEKQPPGTTFLYAL DGPTTGGDTLFADMVQAYKRLSPGFRERLHGLKAVHSGLEQINASLNGGGIARRDPIT SEHPIVRTHPVTGEKALYVNPQFTRYIVGYKKEESDHLLKFLYDHIALSQDLQARIRW KAGTVVVWDNRVVCHSAVFDWEDGQRRHIARLTPQAEPPFETPFDG PEX2_086120 MEDPIAKGWRLLKANVSLNTNSLSREADIEKWEAHPTETIVAAQ LLELIERESARRFVVHCGQKSGLVVTLANESSTWQLWVFNPDMRYSNSSAGRSIMAQQ AMKVFYQESPDVDELLHPKIGNPSPLSVEELELPSMIFEAMSQALTGSNEMLPLSARR FNEWNVGLLSRYRREKV PEX2_086130 MPQLQELFNSAVQPLPVIEDKTFASHFDTFAGNRVILLGDGSHG TSEFYSARAEITKRLIERHGYTMVAVEADWPDAEAIDRYVRLRPGPKAQIGGSAKGYE PFKRFPTWMWRNSEMQRLVEWMRDRNQGLPPEQRAGFYGLDLYSMGASIGAVIDYLDR VDPQASKQARQRYGCLEPWVDDPTSYGLASLRGMEDCEAPVMKMLGDLLERRLEYIGS RDGDEYHSGEQNAHLVRDAEKYYKAMYYSSASSWNLRDMHMFETLERLLKHNEGEKAI VWAHNSHVGDARYTSMGFRRKELNIGQLCRERLGRENVTILGCGTHTGTVAAAHEWDD DMEVMSVRPSRDDSWEIVAHDTGVPRFVLDLRQDRIDPSLRSAIAAEQRLQRFIGVIY RPDTERASHYSQAFLHKQFDGYIWFDKTRAVEPLEIIQPDTPLGKGETYPFGL PEX2_086140 MALPISSPSPRLDRFGQAMESLYGSFSSIEDPKTWTPPPRSGGH RGRYLWTDAFGVVNFLTMHNEYKRTGNDTIGDDRYLILATRLIETVHDILGRNRDGHS RLLGATDANPLSGGLRIGKTDARGPDGDGQYHHYLTVWMFALNRMAKASGDLKYNRQA IELAKAIHPKFFVDRAAARPRMIWKMNMDLTEPMVKSEGNLDPIDGFVVFRLLQDTAM EAGDGAFLAEEIDDYRRTMERKGEHFVSSDPLDLDNLFEINQYLERNIRFRLAFREFG TCMGIQCQSEVDAEKERTVDLKYYSDAIIAAWDPYMQLSISDDLTPEDLRPITRVMYA SALIPGAFQAGFLGQEPTPTP PEX2_086150 MATTTARPVTLLSTVLLIYPATLLLGSLYSTISPTARHSRDLSG SSPHPASPMAPSLAADLHLSESPVNYFARKNNIFNIYFVKVGWLWTTAAFVSLLIFQP LYSSSRRESSSQQEARFRRTLQAILRYALATTIWYLATQWFFGPAIIDRGFVATGGKC EQALEEVGKMAAGQSSPTDLETLFTAATCKTAGGAWRGGHDISGHVLMLVLATGLLAF EAVGASARAPACLSRSGPAGDAGRERKASDADSTPVVDSTETGGFARTWSLRLVWGVV GLDWWMLFMTAIWFHTWLEKWSGLFIALSAVYAIYILPRRIAPLRDVVGLPGV PEX2_086160 METEVIDSLDKGGGALRTVKDLAAGAAGGMAQVLLGQPFDIVKV RLQTTTQYKSALECATQIFKKEGPTAFYKGTLTPLIGIGACVSVQFGAYHEARRRLEE LNKKKYADSTLSYTQYYMAGSFAGLTNSVLSGPIEHVRIRLQAQPHGAGRLYSGPIDC VSKLAAQGGALRGIYRGQAVTLLREAQAYGAWFMAFEFLMNQDAKRNNVKREDLSKAK IAIYGGLAGEVLWLSSYPFDVIKSKMQTDGFGAQQKFSNMRDCFKKTYAIEGLGGFWK GIGPTLLRAMPVSAGTFLTVELAMQALG PEX2_086170 MMHRRPVCRLSRRAEWSNSAYRTPTLTALTIRRTLRLSATRWNS SDPSNGNSDGTASNKAPAKPKFGSRWGPKQTTPSAGLSLAEQAMRQTLVANSQPPPPA PAPSQRRQSNQQWNSAESNQQRKPYVRRVGPRDNQDVSVGSRETSRNQERNMSIQEKN PFEEEKPGGNLRWSRDTSRNQGRNPSTQEKNPFKEDNSGSYTSWPKETARNQERNPFT QERTSFEKENAGSYMRWPKGNSRNQERNPSIRGKKPAFEEENPFAPQKTHSRFQGPFQ NRATRDHPMAHNDWNCPQCMKHVFATKQVCPFCKTSRPGDAQPKLRITREYSDSPGPS QSRQSRSPSEYTRKFQRLGDSVLSDLEQDAQPSTKETRQRDSEKSDRPRTKEEAEDFK KNQWSWDMSALEQLENLEAQEQPQPKPMKRRDGRRGRGSESDGADFDSEDRERLRIER RRQQKEKDAQRAAKKAAALAAPAPLYLPEFISVSSLADVIGVRPAQFVQRMAEMGFEE VTYRDILDAETAGLVAAEFNYEAIFDSGKEDLYAAAELEDTSDLPSRPPVVTIMGHVD HGKTTILDWLRNSSVAASEHGGITQHIGAFSVMMPSGKAITFLDTPGHSAFLEMRRRG ADVTDIVVLVVAADDSVKPQTIEAIKHATQAKVPVIVAISKIDKEGSNPDRVKGDLSV HGIHVEDYGGDVQAIGVSGKTGQGMVELEEAIVALSEMLDHRAATTCNVEGWVIEAST KSYGRVASVLIRRGTLRPGDIIVAGTAWARVRTLRNEAGVTISEATPGMPVEIDGWRE QPGAGTELLQAPTEQKAKDVVDYRLEKSDTQKMGIDMVAINEARRDLLEKRRREKEEE ETTEEVEPTGPKSVNFVLKGDVDGSVEAVLNSVAAVGTNEVFANIIRSGVGPISEFDI EHAGSAKGKIISFNQAIDPNIMRIAETDGVEILDHNIIYKLIDDVKAILSEKLPPTVT MRVTGEAEVQQVFEITVKGREKTAIAGSRVRNGIINKTRKVRVLRGEEIVYDGTMVSL KNVKKDVTEMRKDTECGIAFENWTDFAPGDHVQCYEEISEKRYL PEX2_086180 MIGLLVASFPRRPKLRLNTEAERTAFPNSIALVKRCLDVAIQAR GIGYLDRNATVYDAAISYFVGICAGYIYNMRRCRVYLSECRVMLQVYDLCRSPTTTNR PSSTSGPLSPMPSASVMVHDQPAHNFTESQGVDLITQELGRRLFYVTFVGYQTLLQMG SSDIKVHVPPETPTDRYPPLPLEIDDEFLFSTHVESQPSDRVSQLVGFNANVRVYSSY TTLLAWEIAFGSGQVFDWEHQRHCIWDCLKQAKSALINVPAELSLQHPKHISHISPAG LSGLGGDDTIFSYSNHHIHQERRAIQYEIQKANIYASQLCTRSYLVEKYWSLFETFSK YGKSPKFTTPGSTTPHIKIEGRPNSTPSANATPTGTATSETNTQAQPHAQTDYICRMM AEERKLVIKDLFVLLRTVNEVNMEPNGASITNKIRQVASTLLSLSHRPNQSNTEIPNL ATLAAPSLSPTAAPPGLHILTAAEAESYLHAFIETLVRLECHSPMGDAGSPVDGVSPQ MNGRAMSYLSDYERDQEELSQWASLKEYQRKFAEAGGVLYQL PEX2_086190 MSQLLDPSRAAWLYPLRGIYYFASNRFLWPLFKARLLPIVLLSS FIYFILFFFTYLPQVAFLAIFQGNSAWVSGAFLVLGEGAAIVAALFEAFFVDETLVDI FDAVLVNEGQEELVTTSRVIYPEPGNPAKRLGTPTTSAVYSPFSLRQIAEFIILLPLI FIPVAGTPMFLVLTGYRAGPLHHWRYFHLLDMSKQQRKESISRRQLQYTTFGTVALIL QLIPPFSMLFLMTTAAGSALWVTDIERKRRAINQQSSRIGEQYRDDIMP PEX2_086200 MQAYDSLSTFSDVDPALTHIATDSTIQAVIFSNGTRAMVYNSVL RSKDLSPHASVFQDIVTVDEVQQYKPSKASYEHLAKQTGQDPSQMNKLWLISGNPFDI VGARATGMQAIWVDRVGAGWKDAVAPDLQPTVIVHSLEHIVNEIRHYRV PEX2_086210 MTAKTVVAFDLYGTLLSTESIVKQLEKNYDNAKAQSISALWRRY QLEYTWRLNSMGKQHFRAWGSNY PEX2_086220 MTSNENYAVFRECLSNAIVARSEKKPKPTRRKPKGKRTERKDVT TATTVSTERADPEELAEFVDFLASETFATFPASLQTLSYAAIQHDPTLSTLYIPPDTD TPLPRATLETLFSPIPVSVTDSLLVYGIIPDAADLLDFLAPVLAEYTSSVTTGPPAWA STRADACEICERDWIPLSYHHLIPRGVHAKVVKKGWHDEWMLNSVAWLCRACHSFVHR MASNEELAREWFTVERICEREDVRDWAMWVGRVRWKAR PEX2_086230 MTVTEPVIVYVTKGAPSLFSTSVIHHAPSVNNSSVSSANPTVEP SDELTTTSLNFVTIHSTATSVVRVTVYPTSSSSPASSSSILPSSTGSTVSVDGSPIET SHASATLVVPMTTNSATPSLPSSLLLPSPDEIPPGVTAHVTSTSVYPSTSLPSSVSSL LPPSSQSASIVTARVTSTSVIPLTVYSTTLPSAPSSLSETAPLETAPVVTAHVTSTSV VPVTVYSATSLPYTVPSLLPSFSETTSRETAPVATTHVTSTKSVHLTLDGSTSTGAAE TIHVADTTYAMDANIPTTTSQPGQGILTVNPVTPSGFITITETQTETKTVTDRITETV TATVTRN PEX2_086240 MSQPSAYTAGPSSLPPSSQPDLSYVADIFDPNLDPNIDPSLFNS QQTSISLIESEFTQPAILDYSVKRVGPDRRKQFILYDAMNNASKAKFIEWWRTTVRGS STETQQRLRWDAKHISDVWENFNQVAHHITGEPLVMCRTCGVT PEX2_086250 MYVRYRASSVSTEASTISRASGLSSRTRRSSPPSSVDTEKRFRH RWVFLTYARCSLESKDDFEEGFSDMLNRNGFRQATYYGCREHHKFEGIHYHVLVNLGK QPNWSYDYARSAFSVEGNECDSLNLSTRAKQRLVQFIENHVNYCEKEKGGDCFGERPE NSTEKKLERKRQWEEIGMQLTAPAKLAKLKESFPDVFHKYFNSAKSD PEX2_086260 MSIARDIRPRQDDAAFLATSPSASFNSPSSHSSVFNRTVPVNAK PLKPFATEDIKVLLLENVNQTGREILSQQGYQVEFLKSSLSEDQLIEKIRDVHVIGIR SKTKLTARVLKEAKNLIVIGCFCIGTNQVDLQYAAEQGIAVFNSPFSNSRSVAELVIG EMVMLARQLGDRSNEMHAGTWNKVSNGCWEIRGKTIGIIGYGHIGAQLSVLAEAMGMS VIYYDVLNIMSLGTARQVKTLDDLLAEADFITCHVPEIAETKGMIGQKQFEKMKKGSY LINASRGTVVDIPALIDAMRSGKVAGAALDVYPNEPAGNGDYFNNDLNTFGADLRSLK NLIITPHIGGSTEEAQKAIGVEVAQALVRYANEGSTLGAVNMPEVVLRSLTMDEPDHA RVIYIHKNIPGVLRKVNEIIGDHNVDKQMTDSRGDVAYLMADISNVDAATIKDLYTRL ESLPSRIMTRVLY PEX2_086270 MEIKSLEKDENGQARFPGCSNIREYEFLDKLGEGTFGEVYKARS KKDTKIVALKKILMHHEKEGFPITAIREIKLMKALSHPNILQLKEMSIERGKGEGRKK PSMYMVFPYMEHDLSGLLENPAVQFTEPQIKCYLMQLLEGLKFMHANRILHRDMKAAN LLISNGGILQIADFGLARPYDDAPPLPGKGGGDSKREYTALVVTRWYRPPELLLQLRK YTTAIDLWGVGCVFGEMFKGKPILAGNSDLNQAELIFNLVGTPTEENMPGWSQLPGCE GVKNFAFKRGNLHAFFKDLNPAAISLLSEFLRLDWRKRINAMDALKHPYFTTHPLPAR PGEIPQFADSHELDRKKFRSQRVPMPPVPPPASDTSNGAASPVPPVEADQMHQEPTEA MDTTQFTETRNAAGLSHLHKEIPDYPRVLLYQPTRHGMDRNPTELTGETIVEVNLDKV VLHPDQGTWTLMFRITIAMTAAVTAVPIARETLVSMPRIQVPIGARQVDVITAGILHQ EEGAGVPASETGVEARVIMSSDVLT PEX2_086280 MIVLLIALPNNPNTALRWNSSAVTANCFPICTVMAGNSSHPIHI HPVRPLYRFTATALGASMWFFLMYRAKKDGAALLGWKHPWDH PEX2_086290 MGSRPQSPSGTGPVDLSMPRHGLRRDSMRSSMSVVSDVEMARNE VFDGPISESIPSSVVSFAHRRDRAGSTVSFTYFQDGEDFAEWSTEDAVDDQSEIDAES LHGIGDADLESARGSFVSKRMSTSRDSIEHPLLSRYLSASSYGRDRRTGSRLNQKVYI ASEDLTAVFAGFSTSSGGFAVYIALCILTGGFAWLLFRWLPRWRVKLIGKATPIGKCQ WVAIEDQWNQFTIHEVGSQPYGRPLSTVFADSLKHTYDEDNDPTIPSLRFIDYRYLKF FYHPIEDKFSLINGWKDPSWTNAKVMRSGLDADERDSREQIFGSNAIEIDQKTIPQLL VDEAFHPFYIFQIASLVLWSMDEYYYYAVCIFLISFFSIATTVLETKSTMSRLREISL FECDVRVLRNGFWRSVSSQELVPGDVYEFSDPSLNQVPCDCILLSGDCIVNESMLTGE SVPVSKIPLTDDALQYLDLSTPSIDPHVAKHFLFSGTKVIRARRPQNVDDDEAVALAI VMRTGFLTTKGALVRSMLFPKPSGFKFYRDSFRYIAVMGMVALLGFVASFINFVRLGL AWHLIIIRALDLITIVVPPALPATLTIGTNFALSRLKKQNIFCISPQKVNVGGKLDIV CFDKTGTLTEDGLDVLGARIVTRDKRFSELLSETSDGFLRPSPNANSAYDIEKQNKIM HVMATCHSLRVVDDELLGDPLDVKMFQFTNWTFEEGGNHVSDQTNPKYDTIAPSVAKP PQRQTGDEQDVNNPLELGVLRSFEFVSNLRRASVVVRKFGDNGANFFVKGAPESIKDI CIPGTLPSDYEDLLNYYTHKGYRVIACASKYERKLSWMKVQKMSRTDAESNLEFLGFI IFENKLKPSTAGVISELNEAGIRNVMCTGDNILTAISVARECNMLGRDELCFIPHFIE APGLSSKTSLIWECVDNSDLQLDPRTLLPINTAETDLSIPGNTLIEREYSVAVTGDAF RWLVDFGNEDVLNKVLVCGKVFARMSPDEKHELVEKLQSIDYCCGFCGDGANDCGALK AADVGISLSDAEASVAAPFTSRLFEISCVPTVIREGRAALVTSFSCFKFMSLYSAIQF CSVSFLYTSGSNLGDFQFLFIDLVLIMPIAVFMGWADPSPTLSRKRPTADLVSLFETV QLQPWFLPPQLDLEKSNIVNSENTALFLFSCFQYIFISIVLSAGPPFRKPMTQNVPYL CTIIINILISGYMLFRPSDWVSEVMQLTFMSDVFKLWLLALASGIFVLSWTAEKNIFP RVAQMLGHARARLQPHYRKKRKMYKVLLEALRL PEX2_086300 MSEPIRNKKADFPVAPTPQNTPANNAPISSHAQQPGVSSIEEES LDKAAAASLFARNPALVSMIQGKLGQLVGRSSGYIESLPPSVRRRVAGLKGIQKEHSK LEAQFQEEVLELEKKYFGKFTPLYQRRSTIINGDIEPTEAEIEAGKEDEESDEEEETK EEPKKEEGETAHGIPEFWLSAMKNQISLAEMITERDEEALKHLTDIRMEYLDRPGFRL IFEFSENEFFTNKTISKSYFYKDESGYGGDFIYDHADGSQIDWKEDKDLTLRLESKKQ RNKNTKQTRVVKISVPTESFFNFFSPPQPPAEDDDSVASDIEERLELDYQLGEDIKEK LIPRAIDWFTGEALQFEEVGEDFDGDEFEDEDDEDEEDEDEDEEDLGSDRDVDEEDTD EEDGTSKPKKEAAECKQN PEX2_086310 MAHIYEVGTRAWQPDPTEGWLASEVKEKLEDGEKVQLIFELENG ETKTVETTQSELQVDNNPKLPPLMNPAMLEASEDLTNLSHLNEPAVLQAIKLRYAQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKQRASQAPHLFAIAEEAFADMLRDGK NQTIVVSGESGAGKTVSAKYIMRYFATRESSDQPGKYTTSRAEAISETEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEIMFDDRTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGASDAEKQELGLLAAEDFEYLNQGGTPVIDGVDDKAEFEATRKSLAVIGVP QEDQTGIFRVLAALLHLGNVKITATRTDSSVSSTEPALLRACEMLGIDATEFAKWIVK KQLITRGEKITSNLTQQQALVVRDSVAKFIYSSLFDWLVDKINRRLATDEVLEQFKCF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREEIDWTFIDFS DNQPCIDLIEAKLGVLALLDEESRLPMGSDEQFVTKLHHHFAADKQKFYKKPRFGKSA FTVCHYAVDVTYESDGFIEKNRDTVPDEHLEVLRNSSNSFIKEILDTAAAVREKDSAA MSSKPVAAAPGRRIGVAVNRKPTLGGIFKSSLIELMHTINSTEVHYIRCIKPNEAKEA WKFEGPMVLSQLRACGVLETVRISTAGYPTRWTYEEFAVRYYMLCHSSQWTSEIRDMC HAILRKALGDEKQDKYQLGLTKIFFRAGMLAFLENLRTSRLNECAIMIQKNLRAKYYR RRYLDARDSILTTQAFIRGFLARQHAHEIRRTKAATTIQRVWRGQKEKKRYTQIRKNF ILFESVAKGFLCRRNIMDSINGNAAKVIQRAFRSWRQLRAWRQYRRQVITIQNLWRGK EARNAYKRLREDARDLKQISYKLENKVVELTQYLQSLKLENKTLVSQLENYDAQLKSW RTRHNALEARTKELQVEANQAGITAARLEAIEEEMSKLQQSHTEAQATIKRLQEEERL SRDALQTADDELGRLKLLDTENEKDKTGLRQRISDLEEQLEVAKRSVPLNGVNGDGLP NGGAVQTPIPGLINLVSSKKPKPKRRSAGAERIETDRFSGAYNPRPVSMAITPASMAA RQNAAISPGLESVEMELENLLSEEEDLNEEVAMGLIRNLKIPLPTSTPPPTEKEVLFP AYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDDAINPGAFWLSNVHEML SFVFLAEDWYEAQKTENYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLYKMIVPAI IESQSLPGFVTSETNRFLGKLLPSNNNPAYSMDNLLSLLNGVYKAMKAFYLEDAIILQ TVTELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLKLEHL MQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVAS RVTEKSDVLLLAPVDMEDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSAQAM AQQEKLEWDHGMLED PEX2_086320 MQITPDDLLWEQAEDISHEWLKQFLGHDIWISIAGFMLAHNRGV GNEIDILKKGSYNISLWLKYENEATVIRLSQPGAVMFPEEKVVNEVAVMRFLTDQTLI NIPFILHSGTKKESPLELGSFIMMEYIEHKTKIYAALNTPGCPAEERGVLDPDIDEAR LELLYGQLAGILLQLSATSFPRIGSLGQIDEFTWDVTRRPLTINMNELVRIGGLPQSK LPYLNATFDTSSSYMEALADINIEHLVHQRNDSVDSADDCRRKFVARQLFRKLAREKK LTNPLLEKGPFKIWCDDLRPANVLLNENPTQIAGVVDWEFTYAAPVEFSYAPPWWLLI EKPEFWPGGIEDWTRVFEHRLKTSFLKAMKDCEDTAIQQGRLREDQRLSGPIFAFDEN YWQKIDPRFFGPTKDIEGAWKQRLGLLDEQEKEEMELLVARKLMEMETRTLSWDPDEY TLAFREQLKSQEKAKVENNLEESMDSEPSHDGTK PEX2_086330 MYIVPPKRQIRRWVCIYQNPTNREEKGEKVTRLSLSGALKSGSA PEMARLYLVYFHTNESWT PEX2_086340 MTLTKLNFITGNKNKLLEVRAILGKVIEVDNQEVDVPEIQGTIE EIAKEKARRAAEAINGPALTEDTALEFHALKGLPGPYIKSFMENLGHEGLNKMLDGFE DRTAEAVCTFAFCRGPGEEPIIFQGRTEGAIVRPRGSGKFGWDAIFEYDGKETYAEMD KEEKNKISHRYKALVKLQQWLAEGQQ PEX2_086350 MRTSPNVIITGTPGVGKTVHCEQLAQEIGLKHLSINQVAKDRGC FDEYDEELKTWVVDEDKLLDALEDEIPNGGYLIDWHACDLFPKSWIDLVVVLRCPTTD VLYDRLSSRGYHEKKLEENVDAEIFGVLSDEAREAFDEEIVVELNSEQDADVDSNCQR IAQWVDSWKQSHAENAD PEX2_086360 MFPKRQQTDAEETTRKSQPLSVLRSWSWLPNYSKVPRKEVQQIT TDPDPVRRWIKGVVICSWIIGGVLALNIILTIIAASLAYSGNSGQIFSFASLYMGKCS TSKNWTTGLHLLINILSTTLLGASNYCMQCLAAPSREQVDKAHSQKTWVRIGVPNIID LIRYQTGKRRFLGSILLITSLPIHLIYNSAVYFSIGPTEYSVVAAHEELIQEHGNSTE FEQCFTANVGMELPSFNTAIHDGSFNTLSKQECVDIFAQDYTSGYGTVVLVTKDSMPQ NESVAWIGTGNRQNFEGGNSQFSWLCDEEYPCTKSIAEENTQNWTVHAITWSRPTIHL SVPIPGGLYESGGWLDVGHYGVPETEDYNHLDDILDKYPTLEDLQAELDNPSGWVNSS FPGSVTVRKGEPDCTYPGVYVKLICALLAARENRKDIFLTTGDAIASFLAKPDPATEG ACLLSGSLIKKSTQGWQKYKGRERKSLDLLETKQETPLRLPPRKRWFQAASISRWVYT ILLFVCMLIPSVIVLRLGILNYNKASKSKNLWDSGLGDASTGTTLAGLSIPATASGIF SMIFMANIPQILVSLAYFFYNGLLTCMLGAVEYDNYATEQKPLRVSWPRGGQRSTYYL SLPYRYSVPLLVVSAVLHWLVSQSFFFVQVIPFDRHGVPQKSYPDVLVTCGYSPVAII FGIIVGGFLPIVAVLLGLRRFRSHMPLAGQCSAAISAACHPMTTAVDHALKPVQWGEV PDRVLSHGIFSNTITTDVECDARHSVGNDEQRLSLATELDLNDSRAVGFLHCSFTSED VTLDENSIMADNNTASCPFCPFTDSDANFVSQHIEFCHPDTGATGFLQENPQEFAAQN SAPLPVDEDGTDKYVDCPHGCGETIESAELSSHLDLHVAEDMALDDIGVTSARSTPDV HDHVYDEPFDDEDSLDMLDSHKGGKRGMQRDSSRVNTAKPPRPHSPPRTTNADGTKRL GRSELGPHAHEKKMPSWLKKMLEKGGSISKQTQITSDGKFTRRDTVENETDHLIPVLA RLCEQDKSVQRAFFCSPKVRHICKMRREGGFCGYRNIQMMVSWLKKSRGFGHEHFPNK GPTILELQDMIESAWDMGFNSSGRAETGGIKDTRKFIGTPEAQALFMSLGIPCEARSL SERSDLRACDALYIDVADYFRSACSPEDETKIVQTDLPPIYFQHQGHSMTIIGFEIRD NGSANLLVFDPMFKTSPAMERLIGAFVKPSDPTRLLKAYRRGTPYLQKYKIFELLKLC ITSPKHEAT PEX2_086370 MATTISDSNLPVTAQAHSNWVVQKFGGTSVGKFARNIIEQVVQP SLVKNRVAVVCSARSSSTKAEGTTNRLLRAARDAEHPRSQQYLTLVEAVRLEHVQVAQ EELQSAEIRDQVIADITGECERVLRFLEAAQTLGEISARCVDKVMSAGEKLSCLLMAA LLQDRGVDSQYVDLSEIIDFPIGPQGLDQDFYNALAAAFGSKVEACGHRVPVMTGYFG TIPGGLLDQIGRGYTDLCAALVAVGTRAEELQVWKEVDGIFTADPRKVPTAHLLPAIT PAEAAELTFYGSEVIHPFTMEQVIRARIPIRIKNVMNPKNEGTIIFPDSVAELERTTP GHDPGLFRTRSPSLANTPKRPTAVTIKHNILVINVHSNKRSLSHGFFAGIFSVLDKWR LSIDLISTSEVHVSLALHSEMPLLNGNGRDEYQVIDDDLQGALNDLGKYGTVDIIPEM AILSLVGKQMKNMIGVAGRMFTTLGENSVNIEMISQGASEINISCVIEERDADRALNI IHTSMFTFLD PEX2_086380 MGSTRSTGAHYSSVLAPSAQEIIRSQPRLQPSPLPLQPIHNSSC HPSSGPHRPSPTKGLHTQGSHSRHGKLGFIPISAPTPPMFTTDSPAKKAPFPIYSTTS MPHSALFTTFSSVNHESSKENHLLEEPSNDSFADFPEPSYESKLPMKRTLMEAAPLKE RSNKKHKRDDAPIAYLPEPHDMPPVEDDGTKPPYSYATLIGMSILRANNRRLTLAQIY KWISDTFSYYKNSDPGWQNSIRHNLSLNKAFIKQERPKDDPGKGNYWAIEPGMEAQFL KDKQVRRATMSSLPLPAAPQRDLIQQSQTASTTTWMVPPQPAYHAPPHHAPAPRPAPN DLSSDATLPASDPALQDDADEVVPVPAPRAAPRSSPPQAIRSSPPIAPPRFARQGTPP TPTQSGPTPAPRPRKRKSITMNDSGYFSSLESSALRPNKAGHILTSDLDIEPPRIKRG RAEEEIARMRSSSHDVSPGQPVARKNPNPPIGSSPLRSQYVSMQPPPLTPIIKFKKPA RPPPSVSPNTNLRNHRRKIQQMVNSPIKHLGLSEDALPWSPAFNLQDEAYTPHDHFHT SFDVFADPGSAQVSYGSPEKRSVKRQRNDSGSTVLSDITSVNINSRLGMPPMSRSKSS IFPESPSKLPDTNRFGDAGQEDFFSFHLFDESNDSPGEVDGVDLLQGFQKIGGMSKDD TLKPRALHRPQMGHRTNTTLF PEX2_086390 MPPIRTSRNRKPPPAGFDDLEDTLLEFSNKMKDAENASHEGKKK YEVLWPIFQISHQRSRYIYELYYEKEAISKELYDFLLKNKYADANLIAKWKKQGYEKL CCLRCVQTKETNFNSTCICRVPKAQLKEDQTIQCVSCGCNGCGSSD PEX2_086400 MEQQLDLTKLSESDKKELNQVLTNEAQKSNIQQTVHHLNEVCWE KCITSKITSGTLDKSEETCAQNCVDRWMDTSLSILTKLDNMRGGPGH PEX2_086410 MAPSDNKTGKNKANAAAKAVAKGASLHKSRKVRTSATFHRPKTL QLSRSPKYPRVSVNHGPALDFNKIVLYPLNTESAMKKIEENNTLVFIVNVKSNKRQIK QALKKLYDVDTVKINTLIRPDGTKKAFARLTPDVDALDIAATKLAIV PEX2_086420 MAPQKRKRPMLLSHSRPRIVKSKDAALSAKATRTLIRSHHRLLK VRAQALAAGDEARVSSIDAQIEANGGLESYQIASKLGQSMDRGGDSSKVLMDWIKPQL KQWNNTIPKLRVLEVGALSTKNACSTNPTLDVTRIDLNSQEPGILKQDFMERPLPSSD DERFNMISLSLVLNYVPDATGRGEMLKRCVKFLTPKCPIELVPSLFLVLPIACVDNSR YLNEERLGEIMTCLGFRLTQSKRTSKLVFHLWEHTGTYAPKNFKKEELRSGKTRNNFA VILNKK PEX2_086430 MSRAERTDQDNDGEPVFGSLRIPAATPSSYDYAHIEHGSPTAES EIGGRQRSSSYSIRVRQAGGPNSIDNFARSWQRAAAFPEVLPRRSSFVSAQSDDDFAI ATGQEYGSRSTPSWGRYSDVDRPLLRGDSDSDQDDEDPRAPDSSKRALPSTGLLASSF DRTFATSYGTISSRMSESTRRNAIQFHRELHPHVHVDGSGDLDRGPLLVKHVQHEDGT QEDIIVGQSTVPQTIFNSVNVLIGIGLLSLPLAMKHAGWVLGLTFLVFSAVTTSYTAK ILAKCLDVDQSVVTYADLAYISFGQNARLITSFLFCLELLGACVALVVLFADSLYALV PGLSILQWKIVCGVVLVPLNFLPLRFLSITSILGIISCTSIVVLICIDGFIKPDAPGS LRQPANTFLFPENWATLPLSFGLIMSPWGGHGVFPNIYRDMRHPQKYGKSLWVTYIFT FTLDCSMAIIGWLMFGDIVRDEITANILTITSYPQSLSVCIVVFISIIPLTKVPLNAR PLVATFEVLCGLGAAHVPGNGSETLHKFSRAMVRVFVVAMIVVLAVIFPAFDRIMAFL GSFLCFTICIIFPLAFYLKIFGKEISRGEYILDWILLIISTILAAVGTVWAFLPQDML SAN PEX2_086440 MSAPPPNEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QISRAAKMLAEEYGTASNIKSRVNRLSVLSAITSTQQRLKLYNKVPPNGLVVYCGEII TSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLEADQKFGFIVMDGNGTLFG TLSGNTREILQRLSVDLPKKHGRGGQSALRFSRLREEKRHNYVRKIAELAVQNYITND KPNVAGLVLAGSADFKNDLNQSDLFDGRLQSKVIKIVDVSYGGENGFNQAIELAGETL SNVKFVQEKKLIGQYFQEISQDTGKVCYGIEDTLKAMELGAAEILIVHENLEITRWVM KTAEGKEVIVHTNKSQESNKDLFQDKETGLDMEVIESGSFLEWLAEAYKDFGANLEFV SDRSGEGNQFVKGFGGIGAILRYKVNFEQLADHSDDDEFYDESEDERPEAPAASLLTA RPVDHTGGSRNGTKASVKDNQKRPGPSSVKLLRVSSKLQDKHTEVTSVSEMKMRFKLQ FERLGAVICYIWSSAAACTAYSM PEX2_086450 MSPLRVAFLGPLGSFSHQAAAESFCKLSADLQPHVSFQDAFAAL QNKEVDYAVIPSENSTNGSVMQTLDLLADRDGLYKEVKVCGEYYLTVHHCLMVRKGTY PGGWADYDGSITKLYTHPQAWGQCEAFLTKYFKGIERQDVASTSKAAEIVSKETTERG AAIANRFAAEQHGTDILVENIEDRADNTTRFLIFRNVLDERTAQLKFEQPPTLEGEPA LETTHKTLITFTIDHSSPGALANALLIFKAHGLNLTSINTRPSLKKPWQYIFFVECGR TPSDENKEAVHKALNDLRQVTETCRDLGTWKDQLSASNA PEX2_086460 MKTNSLRPLISLRGGRHAFRTYSSLSERLHHELTTRKLPLTYDY LHPQPSHLLNLTLRDILPKSQNSSDYATLPSLQNPSPLPAGHHLIYFPPQVTLSQLLP DCTDTLHTPGEPFNRRLWAGGNLRFPVRSPPLDGSRAVCIESIRNVTVKGREGDEKVI VTIERRIGNVPEQETAEQTWDRIWKENEDNAGESSVIENRDLIFMRLKTSSQIEADKA QFGKPGRIVKPPSNATFRHTLIPTKALLFRFSALTFNAHSIHLDKGYTQNQEGYPNLL VHGPLTLTLLLSVLQQQLSILNLRISDIEYKNLVPLFVEQELVICGKPKNGTGAWDVW IEGPDGGLAVRGTVRTSSE PEX2_086470 MNTSIQEMTCQPVKVGSFGSAADDAWFDQHTAPIDGEEETGVHP YQAAALKAYLKGDSKPSEVAADMTKPHDSEKKTDLRDRVLGIMEDALLELHERHTPAL VVLLKEINQLPDEEDGEPVWKGLRSFGHSWSDGWKQSHWRKMLSTRDLAIRTKMRDAH VHQAFVEASCAMAAPGPNAEDGLLPLSWGYECVSEALECQDAVWDFEVPAAAVWIKIA GERLREGAKKGEKSWALEREGRLWTAGPMSIDRWNFWLKGY PEX2_086480 MLSTTAFRGRSFIVTGGASGIGFGIVKKLLDLSASVHVMDIADE LSDTEAPPSPDQTSRLHFYPKTDVASRNTVRETFKSILNKSPIIHGLVNCAGISPRTA SIINTDEAFDAIMAVNVNGVWNVGTEYLRYLLKTQEEAAATTSDITLPIEPLSNAGVS KVTASMVNVGSIVSTHGFAGVAAYTASKHAVLGLTRTWSKDFAQKGVRINCLAPGITD TPLLRNYATQEIIDEHYLPLIPMRKLADTKEIANGVIFLLGDQSTYVTGEVLGVRGGF P PEX2_086490 MHFSKLLAVASLATVALAHPGPHAHISKKEVARRDGLSKRCASH VARFNEKRWKRNTFGKRSRGNTTVHIQTEAPYYDVIQNDTCVLTPEVTEGPYVWPRSQ TLRQDMTEDQPGVPLWLDVGVLDMATCEPLEGVLVDFWHCNGTGSYSSFTGLSPNTAF PTLLEQLNITDFEIGTTDIHTDNTTFLRGMWPTDANGMMEMKTIFPGFYIERAIHIHV QVHTDWTIRGNGTIAYGNTVSTGQVYFEEELEAKIMALEPYASHTEINRTTNAADTVF SEDTVNGYNPVISIVPIDGKDVTKGMVGYITIGVDTTAIE PEX2_086500 MAQFNLYPGVPSDLLSTAFNISSGCLTALNATLSCDQDLFSMAG NADGFFWSDDNATALCTGACQSSAASWWNSCADACANDQLNAYGRIYPAQTVPGRFVD GLNIVCVTANTDLTLDVGINGTITNSTFLDNSTTASATSENLVSRQISSISQYCLVQS YDWVGSDIIRPDCTDPANSNSSQCVDPTDVPPENERLANLYPDDLLCSQCFLSMFYLR IASPFLPDVDHSDYLVDQWFDILDICNATSKVPDLLVRNLPYYQYAPGESDNWVDNTT YGFSDLQPLGGNGTTNVTCQARSINLADLNPPEIDYTTQTTCDVMAPFLNASTGDIWQ MYQNPDCMPDFDYTEIPVVCLPFSCSVTQLNANTTCDQFVATLPAIGNNTITTTQFLS WNPNLIGICDNTTEQYVCTSAPGGTYISPPTNITTSSGDSRGGGDGSGTSTGSSGVGG SSRNSTLVAPGGTPPSPTQSGIPSTCDRYAQAQSGDGCDSFSQDFGITSQQLETWNPV LGSNGENCLTQFFAGYWYCVGLVGSATSTATTTTTSSTGVPTPSPVQSGIDPQCTKYS EAVSGDSCIAFASANNITPAQLYSWNPVLGSGGSNCGSDFWANEYYCVGAPDGSATTT TAPTSTAVATPSPIQSGIDPQCTKFAEAASGDSCPGFATKNGITATELYSWNPVLGAG GANCNSDFWANEYYCVGAPGS PEX2_086510 MGSFKSLLLLFILTPIFAASTAPPHAFDLQTRDLPTGTCNANTP CVNGACCGSDNLCGYSPKSCATGCQSNCNAKAECGPYAVAGSQGCPLNVCCSEFGFCG STPEFCVWNNTADPNYSECSTKYGGCGSVPRPSCGGASGVSKRNVGYYESWANTRSCQ SVSPEDLNLDGFTSINFAFASFDAISFSITPMDSNAGSLYSRFTALKSKKPGLQAFIS IGGWSFTDPGPTQKAYTNMVSSEGNRAMFINNIINFMETYGFDGADLDWEYPGADDRG GIPADTANYVALCKEMSAAFGSKFELTVTIPTSYWYLQHFDLAKMQDSISWFNLMAYD LHGVWDAQSRYVGPYIAPHTNITEIDLALDLLWRAGVDSNKVVMGQGWYGRSFTLADP SCNTPNGICKFPSTNGGGNPGPCSKASGILDYQEITDIITKNSLTPVHDKTAGVKWIT WDTNQWVSYDDADTFAQKRDFASSRCLGGMMVWAMDQVDQTASKGFGGASGAAGITVT PDQQANANQATADQAATGSCYTEDCGVPCKAGTNEVAQYNGQPGQLSTNDRCQKKKYR SLCCDDKTQVGTCTWRGYRGAGLSCTTGCASGETELTTNTNQHTKKGDKNCHGGAQSF CCANFKPPTSSLKHDLEDAAKAAAEAAAQQAALDIAAKAFCRVAVPALLAPLEALEDL IPIIGEIADLVEIAATPAIIQGCVKGIEKEGSAEFKVFGKKHTVSASNHPPAKTGDKG EPTCKPKAKRGSEIRAAPKPVPTESIYDADDTTFKILMKASKIPSSAWTVSRSGEGHA MRLSVRPEFFSVPHAIYIAGYVVISYHDQEIKDQCGEVVRVQRQWNREYNAGEYELVF NTNPDQNPTRACTLGGHAGRLDWATTLQKKYPHYKYLGRIKPGLTNSDIITESNIVLA NMGKYSQIRNNCFTFVKKIYADEAA PEX2_086520 MTRRNRLLTLLFGHSKASAEGATRRYLEDINSASCLNHLDKALN QLLDGIKDVEKIFSNYATTNTSGKRVWNRDSFAGYINVRLPENPAVTTCVPLLWCIFS IGAYFPFSAPSNEPEIDVKAFRRAFAFIVSRGYELLGANSNGQPFYTITEKFYTDKVP RLTRIIFRSLSTPWPQSGTQSQDLEESLQLQDIKDTIAFTQPIINENMHHGRATVADG EFEAAAYRLLLADHKGSTVRVSSIAISKADLQTLLQLLLLQRAEDRRWGAGLSFHDMY QRSGDIMFSRLISGPDEVSRASELASAFLAYQFPGGDDYVAWEQFKAFCSECPSFIFS FFQLWATVFILTTTPQAPRAEGKSTLLISTTNILSFLNVAHFLSGKLPNYRFHQNESL FQLDLQASTLVANLATTPELSAEELQKIIAKQDWFHVMLIQGEDLKVEGKVSSRLIVA FTSPPEKEMWRPEGKRSMQYVWRTSVVQLQPDLAVADSGGMSASVVDKVLELQSHGGT GKENTSMKVDLAGKIVNIKGLGTGLAENAESMNAVGSSEATSTLVMQLVDLKCYRMPG MSSKITRSG PEX2_086530 MNGVQFTDRANKALLDSSGLCEQYAHSQIMPLHLAVALLNPSPD ESEDQQTPSGAHSSHDSSTAPLFRQVVERAHGDPQLLDRSLMKLLVRLPSQDPPPENP SVSPQLSKVIRSATDLSKTQKDSFVAVDHLIQSVCQDSQVQRALGDANIPNLKLIDTA IQQIRGTKRVDSKTADAEGENENLKKFTIDMTSLAREGKIDPVIGREEEIRRVIRILS RRTKNNPVLIGEPGVGKTTIVEGLARRIVNADIPANLAQCRLLSLDVGSLVAGSKYRG EFEERMKGVLKEIEDSKDTIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHC IGATTLSEYRKYIEKDQAFERRFQQVLVKEPTIPETISILRGLKEKYEAHHGVNILDG AIVAAATLAPRYLTARRLPDSAVDLIDEAAAAVRVTRESEPEALDTLERKARQLQIEI HALSREQDDASKARLEAAKQEAANVSEELRPMREKYESEKQRSREVQEAKIKLDSLKV KRDEAERSGDTQTAADLEYYAIPETKSLIERLEADRARADAERRAQSGDAGEALLADA VGPDQINEIVARWTGIPVTRLKTTEKDKLLKMEKYLGKIVVGQKEAVVSVSNAIRLQR SGLSNPNAPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHSLSRMI GAPPGYVGHDAGGQLTESLRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGR VVDAKNCIVVMTSNLGAQHLARPTTKDGRIDPHTRELVMESLRNYFLPEFLNRISSTV IFNRLTRREIRKIVDLRLREVQKRLDDNERNVTIECSEEVKDYLGAAGYSPAYGARPL GRLIEREVLNRLAVLILRGSIKDGETAQVIMRDGRIDVLPNHEVGDSSDGSDDEMMDE DDFDAEMDDTPVSPDLYDD PEX2_086540 MIAEQPPGLIQYGMQLIYYSERAVLTPLLRSFNFVKSTIEKYGI TDKDIYNFDETSFALGLTATAKCLSTQYVCVVSKLDIRLRTPPLPPSRGSDSSLNFTP KTPRTIKDVRRQASSIKKLQEENSSRADEAFEQIVKGAYLAIQGAAILRQENQDLRAA NARITQKKKRTRKRIAHEGGILPDSHIKNAEWNIGRYAAPAKPVARTVVNSSDSRDVK ALRSQVISLQVELQAMSAQLKQAIEHRDSEPKIQLDTPTSREGQNHTHVKHSHTSNVP YRKDCLYHGPAGTAFTFDIARANLQSMGLMSVPTDDDNSLDYYSADDDPEVSQHVPDA LDLVSLEEVLRLCKAFAEETNVMYPFLDMNKIMGQIELLRTAQDAKGSRTISGDDRDI IVLVLAISLIRESAGESELGRSLFHSIRNRLNKKIWAPLSVTGISSLVLAARYYFYAD EDERMAWRTIGIAARQCFELGLHHLQGYSKFNEEGSRSALVLFWSVFALDRRWSFGTG LPFSIQEEDIDPSLPEPDDSHMYLKTMIPYCRLSTKVWYSGLGTGAISKLRRDSMESL DSQVLQWHQQMPESLKCPDPYSVDDGLPTISRRLQIQMYVRTNTMRVLIYRPILYSYT NIMQDMPHAVLCVHLAKDTIRILYHTHKATKFYKTQQTLFNFFILSSLAIILLACFHD PADFCSCVQEEVFMALELVKVLNSKSRVTRRLWKSIRDLREVGEKLGVLSPVSPVERC QTSTVFHETDIQPFSVNGVDETDVPMPGARVSAELTSLLEEIGIYHPLTTVHLGQGNE NNEFDNRPPEPSWENLTAPQWSNADGRSEVLNPLFWNW PEX2_086550 MTYSAIVMYPNEADIKFDESYYIKTHMPLVQSTWGSYGLISWRV NKFPTALDGSPSQYLIMATLEWESQEALQAALQSSGSAGVFADIPNFTNVKPVTLSGP QL PEX2_086560 MSRPLVVDVHTHVYPPSYMAMLRARKTVPYIHDPASGEPRLIIL SSDDDPSIPIDERGRPVDTSYSDINVKLTFMQQHGIDCSVISLANPWLDFLEPAEAQQ WAERINNDLEETCAKNNKSTDPDSALPLQGRSTLFAFATLPLSAPSVDIIVNEIKRLK TLPHIRGVIMGTSGLGNGLDDEQLGPVWAALEETQFLLFLHPHYGLPDEAFGGAEVTK RYGHVLPLALGFPLETTIAVTRMLLSRVFDKFPRLQILLAHSGGTLPFLAGRIESCIM HERKFVENGGDVPGPQRSIWEILKTNIFLDAVVYGTAGLKAAVAAGGGTDRLMFGTDH PFFPPLNEGEKEWISVTTNYKAIDATFEGEQDAVAAVLGGNAARILKLE PEX2_086570 MTNTSPSKPGVTPPTKLQLALALAVVRRKPPGQSVKEYILQIRQ FIKKTKDLDQVEATEKFFDSVSFWQQAYERSEEGQSKLQDHVHELNQRIEVLLAKVRV KVSANDYENLPANKRKAPAVGKHSNGSNMARKRTKLPKSMQKSIPLMDDDDSSGEEDG LCLNRQLHTVQKALQRKANGSSETNSLSLEAVILCKSAEQRLIQTTQNKTSMTEEEPS QTEQPNMPDTDAVMNGVTVAFHLTHKALHKMVGTENGRQHQGQVIYYLVGLFESTMTA LTLHCTAISRQNAIAKNTKFGRDPKSATQSDEQNKNTKEKSSPTKNEIISRLADLLCT MALSLNLTRIEDQEVMEGYLYLVLDRMGKMLALHVFHDLRLPMGICPGMTFPDGLEAM TDEGLMPNEAQLEAKYLIRLLDKMLNAESRQSPLEGSATRHFVANARDRLQKTLLRAV FGPDDHLFREGLRRPRTPPPQIVDGQHMDQEKFPDWITQELWRIVGWDVLRTALAPT PEX2_086580 MPNDDLKAHAASNQDFYALLDIQPAATETEIRRAYRRTALKYHP DKIKNPTAADIDKFHFLQIAYDVLSDTSVRQLYDNAREARMRKQRERDMMGAAKRKMR EDLEARERAGAAEMGGAGVKQGVKRSWAADGDADAEEKLQREIDRIAEDGRRRRREAE DKAKKELEDENKKIQQQEEEARKAADRSNQRVDRSKEGGGAQVPELERAVKVRWVREG RGLEIDIEQLSVLFKPFGKIENTFALKDKRQRIGENKEKKTVATGVVVFTSIVSAHTA VLDSEKKLRQHAGQDGEWGLIESVFWASGSQPDLGPDISSKPVQAEPAQTPPGPSIDT SSKPPKPSFSFQGLKTAPVSDKAPSFGSFGSASAAAAAPSATSFNASTKAPAAPSLQE VMMMRLKNAQREKERKALEEELIREDEAADAAEAAAAKGI PEX2_086590 MMRPRPSGCNRCLARSRLFSTTAQRTEQSQNAPFPPTAGYSRLT NRGLISITGTDSTTFLQGLMTQNMLVANDPTRSIRRTGAYTAFLNSQGRVLNDAFIYP LPGADAQGAESGWLVEVDKDQVPVLLKHLKKHKLRAKLKLRALEEGERTIWSSWKNHA EPQRWAAYSLESESSSPFSPTSGIAGCIDTRAPGFGSRIITPGSDGLRTYFPDEAQVA GAEVQLDSYTVRRILHGVAEGQAEVISGSALPLQCNMDMARGIDFRKGCYVGQELTIR THHRGVTRKRLLPVQLYDLGQGGENAPDTLTYDPSFQLTLPSGEADIVKAGTTTRRNR SAGTFLHGIGNIGLALCRLEVMTDVALMGEAPARPHEHHFKLSWAPEVELPNEVGVRA FVPPWLRDFISGGKEEKPAPRDPEGDGERAREFVEQLEEEEEEAEAHRD PEX2_086600 MSSKTQLPTVPPTMRAWVRRRRGPACSTLELVTNYPTPDVPTGL SPDVLIRVSNVALQYSTEMTMKMLPILPFTSPWIPEIELSGVVVAAGGRAPAEVRDPG SHVVAFQNIPSAILMGHGVLAEYVRLPGCQVSRIDDGIDMASASGIIGSGSTAFKMIR TAGVREGHTVLVNGASGSVGSVVVQLCKLRGAKVVGVASGGNEPMVRDLGVDEFIDYR EHEPLPAYLAHQYGDKPFDFILDCVGTQALFVNSPAYLKPEGAVINIGMLEGMFVTAG NMLLNSWLPTWLGGVQRRYIMFSTPPTCDDSVYLARLIEEGRLRIPVDSVFEMKDAIR AYERIATKRARGKVVVKVHDD PEX2_086610 MRLEDWLDDLCVRFIINLPREELESVERICFQVEEAQWFYEDFI RPLDPALPSMNLKTFAMRIFQHCPLMSSWSHYHHVAAFQEFLDYKTRVPVRGAIMLNQ EMDEVVLVKGWKKGANWSFPRGKINKGEKDLDCAIREVYEETGFDVREANLVKNDDDV KYIEITMREQHMRLYVFRGVLRDTYFEPRTRKEISKIEWYKLSELPTLKKNKQHDEGL AVANANKFYMVAPFLSPLKKWIAQQKKLDARAQHGTNQVSQAEGYLSMDENGHTNGTP GMSLAEMATPSDLPEVTSAQDASDHLKRLLKIGAVPVQSHTPGLESGRASAVDPSKAS GLLALLQRGCQETFPQESLSNPMAPSNTSQSNIQSAEQRPHLGPNFFPGFPQQQQNMG PSPFMRQNSTPFHTIPSQPHIQHSQNGPQVLPGSAFPTTGHNWYPKPHAIPQFPQTTT MSQYQHHNQPPMAPGVLNPSNMPAPFQRTGDPEFSESAQLSQTRGPVVPPASKLPPPK LTSHSLALLEAFKVKTPKGPGPATLVAPMQGSSHVRKTSQHQDSLLGLLKGPQTARVS EPAELLGKPVVSSAARSEKQILQRPRDQYNQPMHQAGAAKRLPGAGQTSATVSGPLNM PQFETSAKPHKSSTGTNRKNYVNRREQPQPQQLSSPITILSRPQSAKREVSSSRPVAQ ATQVLPAKMPEAPEPIRNFQPQILRRSENPGYEALMATTPQAEAIERKLSLPGVDPQG LAAQGNFDRRPSQTAEQKASLLSLFSKAPVSPSPVLATPFDSRAVNQQPAAYSGFVSP MSSRNRPSSGDSISRHGTPSNGGARTTGQQVSSPSNKAFLLGFLEGVAKGNK PEX2_086620 MSKVLVSLIGKKVLGETAKNHFGTEDPYFEEVPASRLGRTFGKK TQKRRKAIPPGLSENDTKVLTKVKRRAYQLDYALFSLCGIRFGWGSVIGLIPFIGDAS DAALAMMVVRSCEGIDGGLPPALRMKMLINVILDFVIGLVPFIGDLADAVYKANTRNA VILETHLRQKGAKAASKQSRRQEPIVEVDHSLPDAFDRQEDGIAGDSPPAYDDVQPSG HGGRDNAAPQPPTRPQPTKQSRNKSFGRWFGGAGHPEDDLERGGAR PEX2_086630 MPGKELNKPCMECKDAEFELTVRKRQLCRAFGLDGPKTQLLLPV SFGVSSSVLLHILNTDRQHRLENGRPLGYDFKILVIEPSTVAATGIPFDKNYEALEKN FPMHTISRIPFHSIFEHVPEMGGIMQEYAGSKFIDDSSRSNEERLAAFRASISTPTSR TDVDTILLTRLIVEFAKKSGCESIIWGDSDSRLAAKALAGVAKGRGASLTWQVSDGMS PWGVRFEYPLRDLYKAELLQYANVCAELSDIIIPDQPPSDNVLTKNLSIDELMLRYVQ NQGAKYPGVMANVARTANKLNPSTSDSAPTCALCAGLVGNVEGNSGVTVANQAEDNHS SQFCYGCMRSRPEELC PEX2_086640 MKGWLQTLGLTALLASYALANDAELKSDDAHRQRCSGMYSRKAW GGDVDPFILVKFTKSEAQESDPLASLVIFEWSDEGLIGQYRSGDAEVKETICDASNVE AKICTQEQLGSFVLTSNATDVAKSPIISKAIHLNNPDAVKYPVRKTGFYCVSTYAYSG QDYKAVVTFRNSYGELPAAQIAKLPFYGALTIVYAVVGAFWAFLYVQNRYDILPVQNY ITAIVVFLIVEQLMTWGFYEYQNRHGLNGGAKALMVIVAVLNAGRNAFSFFLLLIVCM GYGVVKHSLGRTMIYVRILAIGHFVFAVVYSVASLSITPDSAGPLVLLIVLPLAGTLT AFYVWTLNSLNATMKDLIDRKQKTKAMMYKKLWWCILGSIIVIFGFFFINSFAFAGTS DASFVPEHWKARWFVLDGWLNLVYLFDIAFVAYLWRPTVNNRRFAMSDELAQDDDGFE IRSFGSGLDEEDAYDAPPDYPGSSAAEGRRDLSPVPPKPFSSAPRHRESLDEETIFAV GEEDAERWSDDEESPRNSSERQRLTGKDKD PEX2_086650 MSAFKSYDDYAWAISSQIWKDWPKLLRTDEDIKNNIQVILSEEF RDIECLRFHFLHTGGFNTCFKMDFTNHCGAIIWFPLPGAIIFPKRKDVTPIKGSSYFE ALAELHISHLISQRNEADIDMDIDMDVLADNYRRKFMARFLFRKLARDQEQRKQLIFH DNGPFPVWCDDFRPENVLVDKAENIVGVVDWEFTYTAPVEFTHAPPWWLLQKPEDWPN GLDDWCTEYKKRLPVCLLAMQKCEDQAIQNKRLVEGQRLSSRMQYSWQSGDFWIMYAA RNNFAFDTIYWKKTDQRFFGSTRHEDDNTCEVWRSRLHLLDPREVKLIEEYVELKLEE KKEEKKKKRNLTWDVDEYTKEWMERMIKKRKKEMEREETFA PEX2_086660 MAPDTHPHVPEHRSSTSDKESDNDNTSTATAKPEPSSRTSTALS AQLNQECNDLLSQVDAYQSLLASTLRNPQLVEVRQFRSSVLSELRMLEKLGKQIEEAI GGTSAGPETNGTEDGVTGSRDPDLELRLVHALRSSNLPFYQAVWRIASGSCSGLVALG RRFYWDRETKMTERKSASGKEKGGGAAQPVKQPNKDKRKSVFVDIVADDGEEWVKVST ISESRLLFEMAKKGWEGDSDEDEWSDGGKGRTILQNFDEDDDGDDDDELELIKLARDL RKASDATRVRYRHPRLRVVIPKIEEGSVPEIDAVLNEMRSYGIRVDCLGSLPAETRTG LAHLLPQPFKNFTSTLNVDCTLLLALVSDLSHIKDIPVSPHFHKAIVRQIEVEREKPL LTSELWPSMDGRELLSTTEAAVRFREIVDTIGTETEKSRSRMLLGDPPYEQLDCEALL QKFQELSDYQVPANWRLPIKVVESQQVIDAAKTQGNLHPVVHEVDKYLSDINHSVFLY GWVTSLTTISSNRTIDKQIEATVEDNRKGDDSLEGPDVWICDTARSLVGKDKDRKA PEX2_086670 MTEGQSTPQQGPPAAQAPLKSQKITDIIDTFRPSKGFHPCKKDP SKYVTSLDFDDQGDVLIGAGNDDSMHVYDVKEGKFNKSVASKKYGVHLARFTHHSRQV LHASTKIDNNLRLLDLHNEGYIRYFTGNNDQVTCLAQSPASDAFMSCSKDDTVTLWDL NSRNPQGKLNLATPYLAAFDPSGSVIAIASQSTAAVLLYDFRNFDKSPFSTFDLAPYE ERFTPSTRGRAWTRLEFSNDGKYLMVGTDYHGHYVLDAFDGTLKAFLAGKSGASGRAA PVSTTGKPLGQGDACFSPDGRYVIGGNGDQPGMLVWDLGQIGGGEITLQPSARLPAPS CAAMVEYNPRYNMIASADKEIIFYVPDDHGKSSEK PEX2_086680 MSTTGGAFIAGGIAACGAVTVTHSFETVKIRLQLQGELQTKNQA VKMYKGPLHGIKVILQNEGPRGLFRGIGSAYIYQVLLNGCRLGFYEPIRSNLTSAIYS DPKVQSLGANIVAGAASGVIGAAAGSPFFLVKTRLQSYSPFLPVGTQHNYKNSFDGLS KIYKGEGIKGIYRGVGAAMIRTSFGSAVQLPTYFFAKRRLTRHLGMEEGPALHLASSA ASGFVVCCFMHPPDTIMARMYNQTGNLYGGVFDCLLKTIRTEGPLAIYKGFFAHLARI LPHTILTLSLAEQTNKLMRRVEDRILPDSFREGI PEX2_086690 MAAQVTPSKQAASSLENLKMSDSPIKKLDFQSAGKENAPASLKA VDAPIEKPTEKALASKPLSIKEMEASEPLLQENPHRFVMFPIKYHEIWQMYKKAEASF WTAEEIDLSKDLHDWHNRLNDDERYFISHVLAFFAASDGIVNENLVERFSGEVQIPEA RCFYGFQIMMENIHSETYSLLIDTYIKEPKQRTYLFDAIDTIPCIAKKANWALRWIND RESTFASRLVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTDFAC LLFSHMNHRPDPKVVQDIIVEAVGIEQEFLTDALPCGLLGMNSKLMCEYIEFVADRLL VALGNKKYYNATNPFDFMESISLAGKTNFFEKRVGDYQKAGVMASTKQEVNAEGEKVV SDGLNFDEDF PEX2_086700 MPYLPTSQAYLEQSAQLLQAYPDTTRIVTKYNFPTNRRGNLIRA HKSKVRRDAAKGADASSTTPAQPTTAIATLTLKTFNPTTGICLHYRTNKAQEVGRLIT SLGKLAAGADVAGLGLSAAVPAAGADVEMVDAPAPAPVEEAAPVAGKAQGQSAKGKKK GGKGKR PEX2_086710 MSYPFYVVTATSQRDIRHLYNGMRHETRIPGAHNSTMWLYVRLV SNRMPAKWWGLYNIEAV PEX2_086720 MVYRVMVFAPRKTSITHEEFKTRYEQHMRMIADICGDAAPLSHT RSYLKHDAESNPMVLAGSVEETYDAVVTMSFEDEAAFGRFCQVLGTPEAKAMIEADEA GFWDRGRMKVMVVEDVRGLE PEX2_086730 MDLPPLSTIPSLPQETQLRVLDTLFEPSPELHRLMIPVLANQTF SSYTSLIDAVGGRMSALSAPNSPTDRDVLFGILGSHPRLGRAPANPEHLSELSKKEQA QLNEGAEEQAEKLRSLNAEYEEKFPGLRFVTFVNGRSRDVIMVEMRQRIDRANAEKEI EETIQQLSPTTSRDDPRDNRFDPPRDLHIPRRSVIGSSLRDSTSHTPIEKTESSAIDP LSQHIIKRTNTQKSIPLKLLGRASYEAEAVGSEYGQAEPSPTRGDALPTSSKPPKEKK KGVSFLSRIIGNKKKDQLSEAEDEISESEAYRMSVDTSHPIGFIPRHPAPSKYLKVRA HYKKDKTFNRVFLAQELEGSGPSPKPADRRISTSSALPQNGDHTGKAVWALMFSKDGK YLAAAGQDRKVRVWAVIATPEEREDANGDEEATPVDAQDHSGLKAPVFQPEPVQVYEG HTGSILDLSWSKNNFLLSSSMDKTVRLWHISRPECLCCFQHSDFVTSIQFHPRDDRFF LAGSLDTKLRLWSIPDKSVAFVTAVPDMITAVAFTPDGRYSIAGCLNGILNIYDTEGL KITAQIHVRSARGRNAKGSKITGIDTMTVPRDNAKDDTEGDIKLLVTSNDSRIRLYNF NDRSLVAKFRGNENTCSQIRATFTNDGKYIICGSEDRRAYVWPIGSVQQDSEKQAVEV FETQSAMVTAAIMAPTITKRVLALSEDPIYDICNPPPVALIGPEPTDPSKKTSPGKEN KNLHKRSASTPRLSIVSKMAHESPSYLARSKHPDGEIIVIADYSGKIKVLRQDCAYQK RRYENWDANSTISRRILKRSNSARRSIASSGKDSSHKTPSERIVSWRNSVVRHGRSST EGSRPGLRTRTPSPQHRPAISRLASSRPSSLGPHESLSVSTTSPPPSPNRLRFDSTRT SEDVSRANGNNNGRANVSTSKAQPPPRPTSSADLLAINKAQDNPLWLQGDRSYAYWNK ITHDALAMQARKSTDLLSPNRVPSGERNMSTSGGSVLSSDCTSSMGDTEEDVLKCDNC RGTSFRSVKGRDGKQKLICSECHRPVS PEX2_086740 MSLNGLDTAPVVEAYQSALADAGGDEVALHERGTGGVPEIRNAI DSYEECSPLYGFLQYRRRKVIIRYMPEGLSRLILARSNVQFQSVTDKFTPNDTVLPLS KASDLTESALSSACLLHTASTSITSSSSSLRRRRLMEITEDAEENGTKDEAPIPIPPP QSEARRRPRSVKSEATLVPSPIEPPVEPELPPTSPESEMASVDLMPPPSRASSRATIS RGSPIEPQSATMSPRSVKSDRSRSSSRYRTILDEFPRPSEEPRLSSQSSRPSLRDLER AAGYTPKVKLGPRPSVDSSGRPRTAGSSRNVDQRPVASLPTNMRSSSVRKQNGDAPRP RSQGSTFATKPTSRVPPVPPLLVPPPSIPISRPQLSPSAKSLGALSTSSGLTPEKERL MKALQQRRKNMAKRAEETKKKQVSEEGELKPVKDIAKDLDDDKENLIQIHYPDSEMLL SEPETIQQDQQELLCENSPAPSDAAPELVPESILEPAFEPVPNSTLEIAPAPVPETIG ESVPEPVAEAVAKRIPELAPEPVDSNPEAVLEPVTGTIVESAMTPESDQPSESLEVEP EPIADLPLNNAEDGSLPTGISADSPITADVAATPSETVKGETLQTPPEDETRTEHEDS AIPGLAPPTSNPGVPLQNELSDAHQPDSGDVPETHFELSPVAYMPTDEPSDELISAEV HQAVPLPSSASITPPENTSTETPAAPVFVSIEADKPTPDNLISEKSEPLTLDQRRKVH LEPIQVPTLEFSDDDNLLSDDSFMEELTSATVQEARPVSVKSPNGVDHAWRNSRAVSS PFMGSSSGMQALAVGRSISSSHSENGSPTPVLMAKKINVSSGISSRIKALEKFSSREG TPLGASPAIGGPSASSSFENLRKRASISLPSGHHEITAPSAMHSAHVPESFTRVASLS RHTRQVSVDATRPTTSVSVTARILRDADTSPKPSEIEPSQSHVVNLQTSTLTVEHEAA EAAEAPLPQVSPIEPTSLLQDRSMSMSSTTSSRQTTSRPGSRPDSRPGSRPGSRPSLP SRSRTDDSVQSASSTPEDKKISRTSRLMRRMSSITSNSRRSIIGALSSPVKEEEYVPA FTNGSSKAPGSVSSRTSEPIDIGEVNVQFPETLLWKRRVMRIDEQGYVVLTPGTNDAT TRNMTKRYHLTEFRTPCLPDEDMQELPNSILLDFLDGNTLQCACESRQGQASALQTLI EAHSAHQQ PEX2_086750 MTSREETVLAPTDSSITDENDWWEFGLTDVKVLKPGKMLYANLL DATEQNPVQVIGCLEPLREDQEHLVLNPDNPPKRIIIDEVTHYAYGQTEDKSIELWVA GKAGWYDIISPAKGFTPTYNRMVQAIDMLYFLVDKHQQGKKQINPSFRSLCEQYTYHT YGSCETREQSAEVFATHATFLLRCMIQGDADVEWKKTNVFVHLRRQFNDDYNRLMEEL SPSEESETEPQDEPEVATPRHQPAAISKSQADAIYQLLKDLREEGHLAKRRLHIDLLG ERLAERFSFSNEDAQKVIAIRAAAVLEMMDEEDFRWPRYVIHRELTHASTKSAPLPAA LLTPLDSQEESSDDERYGRTQKSVLRPKVHTVSNKVTGKRNRNASANQQTIQSNDEED QEDTDEIDDFDEIETPSKTRGHELIRDPFSATKPRTRSFLSVSSSGAGSSLMKSLFKD NIKKDKLQTPSVSSPPSRQTLLTPERDPTPDTAQEIEEFIDETSDTWTCRMPGCEKVI STTDKVERKKLIGDHAGEHEWETQMKVELMESEKRMHSSFPVNNLMQYVVDAHVLQMR TAFPEFYSIKENGTAQNGEHLLGEETSIPETGGLEEEDDEEDDEDQELEDLANGYT PEX2_086760 MSGEKILEGVFAVHKPQGVTSADVIRTLQKHFNPSKTFRPWLEE ERARRDRESQFQRKRRRHQRLDVKIGHGGTLDPLATGVLITGIGKGTKSLQDFLACTK SYETIVTFGAETDTYDRLGKIVRRAPYEHITREAVEKALEQFRGKIMQKPPIFSALRV NGKKLYEYAREGKMPPIEIKHRPVEVLNMEIVEWYEPGTHEYKWPEEEMTGDEKAVAE KLLDQEASVSAPLAEGEVTTQIPEASSTKRKSPPPADEVKYDSAEAAKKQKVSETDAA SAPEPTAPEPATTEVPVVPTEEPKPQPPAVKIKMTVTSGFYVRSLAHDLGKAVGSCAL MSELVRSRQGDYELSPDKVLEYKDLDAGEEVWGPKVEKFLTEWEEKRATKAEAEAAQ PEX2_086770 MAEVIGVVSGAIKFATVIVQIGKTVITLKECYDDLRDAPDDLRK LVQQIEIFGKILVNVESDLSRNPNLALESSEAALQSLAYCKEAANELDKVCNNIVRDV KFPSRLRRSFKSARVVLQKGRIEKHMDHLRNVLQLLMWSEQCYHRALTQAHIEARSQL IIETMVKLNTASSSSSSSRITTTLEEAESPPSHIKSAVISQNQRLKCDQNNSSYKFVT DGNIKGLQDLFASRQASPFDRLESPDGRSLIHLVGRRNRTEMVSFLLDQGVDLNSLDE PPLLSGTYYSEGTPDLESLIPSMRLILRHKDVSYECTHGWVVNVLGNFQGTLEEFAFL QRQICPSFYDLPKQTRLRVARSVAGSLPVHWNGIDFTPDIVRMVLKIDVLDSDDIACC SDKTPTLIHSAAKHIGAIRWLIQRLGGSNKHQSRIDTWIELCREFLRVTNDIHTLVQK RTPFISFVVGYLRYWTWNPPNPYSVQMWLEQLETVSVDLNEYGRTEESIWKSGTIQRE FGSWNGHLKEIQSLRVIGFAYGPSPEDWRLWLSEPSDSYVGDFWRMVARPVEVMPGAW PQE PEX2_086780 MGKRSKLLQALDAHKGRDFDAEKQKKLIKAAEKKKAKKATAAPE DKIVEEEKEEEKAASSDAEEEEEEEEEEEEEEEEEEVDQDEEMEDEEEEEEDIPLSDL EEDEREDVVPHQRLTINNSAAILSALKRISFIGPNTPFSEHNSLVSKEPIEVEDANDD LNRELAFYKVCQTAATQARGLLKKDGIPFTRPGDYFAEMVKNDEHMSLIKKKLYEEAA SKKASAEARRQRDLKKFGKQVQNSKLQQRHKEKREMLEKINTLKKKRKADGSAPTDDA NDMFDIAIDEATQPDKKRSRGDGPGGSKRQKKDAKFGFGGKKRHAKSGDAMSSGDLSN FSAKKMKGGAKRPGKSKRVQAKGRN PEX2_086790 MVDDSKSPSPDKLESSLPEGVSLLSQPNSDAQGQLTAAVDDLLN ELQTKFDNVSTEMFGKLDEMTKRLDELEASLAASAGAGAPSPTK PEX2_086800 MARLSFLLLGAVSALSGFATASNSAVKDLIPTNFDEVVLAGKPA LVEFFAPWCGHCKTLAPIYEELAQTFAFAEDKVTIAKVDADENRSLGKRFGIQGFPTV KWFDGKSDKPEEYNGGRDLESLSAFITEKTGIKPRSAGAQKVVSNVEMLNDASFKTVV GGDKDVLVAFTAPWCGHCKTLAPTWETLANDFALESNVVIAKVDAEAENSRALSKEQG ITGFPTIKFFPKGSTEAEPYSGARSEEAFVKFINEKAGTHRAVGGGLDSLAGTIAALD EIVTENVAAQTFDKLVTEIKKAAKGLSDKYAEYYVKAAEKLSKNEGYAVKELTRLRKI LAKGGSAPEKLDDIFSRSNILQRFVGQEKRDEL PEX2_086810 MPIRPLDEWVVGRTQSLPLSALKGAAIGIDASHYINQHLVNQST REALLGALGGFPFALRTNIEKELQTFKNLGVATIFVFNGLEFGKKEHRAQPAASRSFE QAWDLYDQQQADQVVDAFSSAGMITHYPYLNLSPVTDPWGFYRYYWAQGSFPTSADTT GPSGTPPPETLFKFLQRILTQNGVDFIVAPYSAAAQLSYLARGSNPVVDAVFAPSEAL LFDLDKLVTRIDTEPAQFFWITKQTCQEELGRLSNEQFLEFCLLLGSPFLRSLPLFEN PAYPGKSPTIRDALPMFNAAGRSALTLCAQFEEDRRMQELQYADLYKRAYMIVKHHVY IDVEGRVGPMDSENTSSDMHELIGQRLPEELYFYLSKGIIGADVPNFLTTGEVRISLP LGTEDTEIYRQLVGEILTPVRTQSICLLANSLHRFYQTKVIRIRPWFDENSERTINLK GIPSVKETIHSWKIHGEQFPESIKKLQAPRGSFKFAVQSLSDTDFASKSFANKETPLP KALSSQDDILSNVMWRFLQLRGYVDDKHTLTPWGQCLAHALSAIDPADNLEESIFIAI EMIRLGLLNTKNWFSHVSGGPMRGSEDDKTFNMLVSRAACVAKLQHKSIGYSGPLSRQ LLCYRSLISEVRSALRNLIEVVLAGLLLSGDADRDRNDWTEMAIKLPFIDDNDCGLGI AVRTYLDDLPLQANPTSPEARADVKAKGKEWFQHSESFVGNLDLAFKLWDAVYAGTQS TGKEFKEAKFWEDANKWLAERR PEX2_086820 MADTIAPIELPVIDISNPHDPAVGKAMLDAATKYGFLYVNSKGT DFTVEDVDRGFGLSKKFFSSPAEEREICRIQPNNHGWSGMHTETLDPEHQRTGDFKEA MNFGDFKDGKAQQPLPASLTPHEAEINDFAQLCNKTCTRILTLLALGLEIKEDFFTTR HDPSKGPTGSILRYLYYPSIFSPTTASYKHDKDVRAGAHSDYGSVTLLFQRPGQPGLE ILTQDGSWAPVPIVPGTAAEAEGYPFPPILVNIGDLLSYWTDGLLKSTVHRVVFPLAE QQSPNPQDRYTLVYFCHPIDETELVPVPSEIVAAHRERTGGVAADGKVGFGGGAGHAV PGKRPLTAHEHLMSRLEATYGFTKEK PEX2_086830 MREVNFSIPNVNKASVNITTTLYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKEGRSKDLLEMWKWSLAFQCVVNIGVRGSEGV RTRVVEADMVPVIATILNNYIKVVDKVRSRSDLDSEKQASSKQSKPSNQDVSARFTPM DADTQTESHPQVESHQPRRQAPPPSIEIPRPFYQDSQPTDSDAMDITSPPRVPMTSPP ERSTFGPEVHNHRLNDRRFLRASNRLRSMQPLATAVPSMDTTDGFGLRPVRDAERLPS MLPSLQTGIVSQPDSPTTPSGPLHSQPRSLPQTIAARQRPLIRQQQSASGESDDANGE DSTMGDDSAMGQPAETIVGLPTRMELDSVNERETTMLDDVSNTHDLTVTDPSEEGGPE VETFNITHRSTVDGSIINTGNTQANGGLGLSPAQAANNPNSPTLPPSPYAIYLRDRPA AQGVLTAMPRDEDVLMSLQLLAYVSKYCNLRSYFQSSHLVPKLKIDRELHLLDEDPDL SSPIEACEEEDEYLIPDDVNIFPLVEKFTVRHHTKDMQYWACVVMRNLCRKDEARGGI RQCAYYKCGKWEEFQRQFAKCRRCRRTKYCSKDCQKSAWVYHRHWCHTTP PEX2_086840 MCLNLATNNHQQLAEDQSNIPAQVMTLAPKCLYHILTGFTRACN CVAHRRTKIRTPANCRESISACLHLEDFPGVSGLFFSS PEX2_086850 MADMSGEQMQAKITAARREAEGLKDKIKRRKDELADTSLREVAQ NQTDALPRIGMKPRRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVHA IPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLSSREGPTRVARELSGHSGYLSCCR FINDRRIITSSGDMTCMLWDIESGTKVTEFADHLGDVMSISINPTNQNIFVSGACDAF AKLWDIRTGKSVQTFAGHESDINAVQFFPDGNAFGTGSDDTSCRLFDIRADRELNTYQ SDQVLCGITSVAFSVSGRLLFAGYDDFECKVWDVLRGDKVGSLSGHENRVSCLGVSND GISLCTGSWDSLLKVWAW PEX2_086860 MSAQEEFNQLVNSNHSRFTSHPEDHGHDSDIHFSDDEPSSRIRS RQTLDSSDEEDDDADMVSSRTANANYHIPNTVYEANTGPKGVIADAQAFERARKKSFR RTLLSVAGLDSNSPPFGSKSTRDDAPLAPADQSSASEDDDEARFLRKWRQSRMHELQG RNDRRPSPRGRRFGSVETVDAVGYLDAIEKVTSDTVVVVCIYDHEFDDSAIVEECLVT IARRQPTTRFVKMHQDIAEMDHIQAPALLAYRGGEVFATIVDVLRNIPRGRSCSADSL EDLLKLHRVL PEX2_086870 MSDITETKPDPAISASETQPEEITTATGEGEQSTTEAVADSVTE TAEKAVDTAKDAAVKTSDSMFSMFGGGPKKEKKEEAEEPTDEPSGSSKAQKANDEDEV EESADVHFEPVIHLTEKVETKTNEELEEQSFKMRAKLFKFDRESKEWKERGTGDVRLL KHKENQKTRLVMRRDKTLKVCANHYIVPDMKLSANVGSDRSWVWNAAADVSEGEPEAQ TLAIRFANSENANAFKDAFETAQVENEKLFNAEDPEDSPEDPLTSFELNSPLHQIALR IYQRRNFTIYEFLLPGYFETTAQTCLRDAHLSALVNKIIMPDVKRTVRLITEQNIIDK PSEVEGFPQRSWHIEVWLVNEKGALVPANIFDKVTYHLHPSFGERATQVFKQPPFRIQ EEGWGEFDMSIELTADKSYTIQHDLNFAQTRYESKHVLTFKNPKPALMAALRESGPVP GDENGIKHKRPAGGEESAKKKKRTDKNVDMDKLADGLQKLNEDDLLQVVQMVHDHKAA DSYTKNDVERKFLSILGLYQRENIDLRFLDVYSEQNANHSPFNSTVGEFHVDLYTLPD ALIKMLWDFTAERGAL PEX2_086880 MTDDPDSPHRRFLRAQTASSLQYPTLPPLTASVEEWLSRSRPAN MTSYQLSDHSPKNLSDSWATLSVSDIRSEDGSHSEQTDTGSLIDQASPDDVASLDERY SGSEAEAQDEDNQEDDGIDRDEVQCTESVSQELPALFAARRTTIDDSTTTTKPSFPHT SDSIEFVEPEKWPEIERVELKHTIRIFEGAAASELKSRLPYNSTDSILMATVQQTMTK QSLDLDKPFRVLYVGQPGFRNIILDKIGDVLVSSSCAGSETSSAESSRYHVVPTSFGA GAVPNFAELLPIHVQLVVDECLEATTNPHVDRPNTLNLKFKNRPVCTSAWTGSDYHVT SATDWTLPDVAIIFVSDLDDAVAVKTQRLAHMFLERHGVPAMVISEEPLWKMAGEVIP LNHNSLHTCLESRHPVSGETAVLRRYPIDLKTFESITPGQLNRNLASLVGLYPRKTHK VNAGTPKPLQRNIFADLEKYPGNWLPPSYATRARELAPTLRLVTLTLISAIAISIGYA AVRVLVVFLVQCFAGSALSNVSFPVASPVPPTSVLTLDRVVQTSISTRPSVAMRVSHM RSWGNSIPEDITVSTISTAKSLGTTNEFGIQVVGDCHVIIKPPHKFASSKKQPQFNVS VHRENKALSYELSQLFDGVYTLKLNREDAYGLVNLTITTKSKQPINQTMAVDFGTPWL KIANWKRAAQEISSQFAKEIHTAQTGLTEVYGRLSTDLQVIVGDVVKRTHFLRRDAEA LHRESLNTRDAVLSRSKQISEVLTRNTIQRFRSVSSVLQMRSSRVDKEAKGLVHDAWS RIGKSAAKLDLRSLMDRVRNVRKCNALDRAQSRARHVMGFRSSKSGDCSNLRSK PEX2_086890 MSFTNEEKLSLAAIILAVAAFIISVAQVLQQYLATAEGYRRCQE KVVGPWKCYTKRPLQLKELRCETRFGTPFIELGTGLDRNHCSLPSGHESLIRRESDLV VKANELQHGGNNEWVCWLQLLEELHTFHRALLRAFPREEESFMATSLPHNDPNHDDQI QFLRFPSFSVEPHSWDFMPSDVLKPLARIGVSDLAIFIRRLGLRWLQFRPSESVLRAE GNNLLLTSSYLRGIGCVVTFARLSWRNRFPEEYFMIPNIQADAMGFGILPALPGKSTA FNVSTTSGVINTMKEFEPSDDVIQRLEELTGRRDKFLYAFTDIIPLTAPFMRRRGSQV VRVPAPMERPSWIFVSNTGRAAFRDLLRGKVEEKTQIYGNCPRHAESLGSLQTKHETF ANHCAINRIATRSDRDKATKNGQDVHDLDLVHDLWDEMEKYFDDKAQEMERQKDDLYS TLVQVHLKNAVLADKYAEHNINQGNNKYPYDSNLRERIPWNERMAEALGQYTYRFDYM EREMCESGYSSALNWAAKTNNRAIAKTLLPYQADVDAMVDNFSPLMTAAKYGSDLVIS LLLRKRERRVNTRNANGLCALWYGVENGSFAVVNRLLQHPRVKIDLPNCQGQTVLWLA VYRGNREFASLLLSRGANPDIKDVDGFSPWIEACISNKNSVKDLLLDFIFAEHNGNCD LVPSPDSMAKQMRENPKRPKHYIFGRLLLELKFEIFSYLSFDELLNFRLVCRDLALLA TVDILPRSYWRSRFLLGQEADFLFLDITDTLD PEX2_086900 MTEHLKGDGGESGTLPALEAHTSSSIISQLSTNHAGSCDMEEEK EEVRAITGVKWILVVTAILSSHMLFALDNTIVANIQPAIVAQFNEADQISWLSVGFTL CSSATVLPWSKVYATYNAKWLYIGCVILFMAGSGLCGGAPNMNSMIVGRAMAGAGGSG MYFGVLTQLSVNTTVSERPFYIGLTAVSWGIGTVTGPAIGGAFAESSATWRWAFYINL VIGAAFAPVYLLLLPSFEPLPNATSKEKAMNIDWVGSVLFIGALTTLIMGIDFGGVEW QWGSGQSIALFVVSGVLFVVFGVQQTFFIFCNEQTRLFPIHFLKRRSLLLLFILNTSA SSGLFIAVYYIPLFFQFTQGDTAIHTSLRLLPFVLVLIFTIIANGHMMSKFGYYIPWY FFGAAFELIAAVLMYRVKADTSASAIYGYTALMALGVGAYNQAGYSVVQAKVPKAEIP WALGFMMVSQLGGIVLALGMAGAIFVNKTTKELLQLLPDSNPADVKNSIAGTSSGFFK TLTKSQQTAALDIIVSAIDDVYVLLIVAGALGVLLSLFLKREKLFMEAAAGGA PEX2_086910 MTTQQSPFHCHFPGCGLSYRRKEHLTRHAKTHTQTDRFECSFCE RVFARNDTLRQHVRTHHKNRELQYSRAIRACTYCRSRRSKCDGQFPCGACFQREIQCS YTQNSRGRALEQNRPPNRRPSPIPSLESDDEFYSPPTESVGPIQRSETIENSPCRVAP YVQAYFNKFHPKWPFLHPATFNLDNELPFLAQSVVMMGSWAMMETNTQNTAKDLHKRL TSSIYEQRVSSFLSAISPHY PEX2_086920 MAPLDQKRPDTWLYPQSHQFAHQHQHTIKPTIPAPPTPALALMN QVVNRNYISEATRQVTRLSEAIGQDEVTREKLLHALNLSGGEWCQNAIQQKLNTSAWV SDVSNDHSPFEYSLALSQETGASELRFLIEAQPEENSIAALQESTSRLTDNIATEYGP TKVALDRLNLVQDLFLPSDAEGNLASWHSFATSNTLEKWKIYLNPLASGRENAFNVTR EALGRLGLARSWKLLESIMTSDDYPIYFSLGLSPNPEDAEVKVYVAHRGASATQIAEK HVKMDPNSSVHAIELFYSIMAGGSLGPYRGKPGLSCFHFKNEDPSRPAARTVLYPMDS YVANDAEAQERIETYMDAISAPQLYRERYRNAISAVQRRPLETGRGIHSWVSMKEKLN GKRSNTFYLSAELYGCLADHSPSNGGY PEX2_086930 MESTNPIAAIVQPSALKPSVFVGLASALCALSGSIIALRLWTNF NHNQKLHVDDYLCVLSLLFLIWNSVTFSLLFDVLNSNPNDVTIEHLTRLVAVGIASGN SAIYSAKLPLLFMLIRIFGIKDWLRWTCIFLIVVGTLGGLVTLLYAGISCSPDLHKPT PPFLFSCVSALTNATIARGSISLAIDVIAFVLPIPIIVNLKMPLRRKIGVAFAFATGL LAIAASALGLYFQKAQSVESSTNFANALLVTVIESAVVLMVSCTPAIHVFWTKHAGFL RSHFGLLTSSHTKSKLSVSKTNLGGTTTSNSDCTQVHTHDYVELQELGDIRKPPYDPR VLTVKQYV PEX2_086940 MHDLLENAGGAGAEVQGHFQRAVASIIHTLLYGFRVKDYNDPVL RTVFKLNEEFSEFIQVGAHIVDQFPVLNNLPGFLAPWQAKAENHYKTKYDLRDENFRR GQESDAWNISKHLKKTVEKDNLDMPLNELAFELGTMIDAALDGTTDSLIWFVVACITQ DQGFVAKAREELDAVVGHGRLPVPDDKPNLPYITAIVEEIFRWRPAGPEGVPHLNREE TTYNGYTIPKGSVIIPNVWTISREKAVFGPDPDDFIPDRWLEEDHKTLKDLPAAAFGY GRRTCPGRYFARNVIWIVVAQMLWSFDIKAGLSAETGEPIVLDPLACTYGLVMRALPF KASFHPRGPWVRDVIAKNGDTYGKDHVAMLNQIGAELTKL PEX2_086950 MPLLDASAEALPDMYLQRAATILGMTAHVFVRMEGNEPLTLKYD SHNDILPPSLEIPWTIVCRRLGRPTPALTYVDGVVANFTSTSLSHSAVTLENLNLLIP TIGTKEEHAFVGIMIEINARTIPILHQIIEAQRSVLAKDSSSLKDAIRSLHSLLKQIT LALSKLNANRAHETHIDPVLWTLTVGNLGIPWVKDMVGAAGTAHPFFHMMDEFTGRFE YLTGIGQETQIVRATYPIHWRQFLAAIKEVSVTEYVAASKDRELTDLWKTFTSSYHGN DGLLGFHRRKVFGFLAVSFRIGRSTTINGLGRKRRTEPWYEVDQELEKARLERRCVDP DEHTPDTKPSSNKLFVSELIKHNSEETGYWFSAKGSVYDASTFMQKHPGGDTVIALCS GQEITDSLKAVGHLTNSSIRNKLETYRIGALEKPKFASSLTEEVYMAAVELGQKAAEM ENVHRRNFQLLNGKLTMLDEPETLTPKKARHLLDAKNRLRDEHVPVLAMLLDVLLNNI AKLDKKLDLSVSRAQIVGLLAPETDSGTATRFLSYGMALDTLQTDLGRLTEVKDLVAM VLGTFEEPGFTYSGQSQLESTVASLLNITIIAMAASTPSLQKNLVFLYTMMLMKPNSL EAAVQDVWQTIIGSWFYPSDGYKWSIKAPILANNNLPDGTVIQVIQVFQIPAATFEWI EHPIFIVLCKRPSSDTPSLDWDDTMQSEFLHRLSENSNSGGTERLFGALAIGKKVKFY RFDGKTQPNQRLAQLHQDTIDLEKANGPAQVEGMMNYIKINAWLWVTG PEX2_086960 MSFIHRITKRLPSAPSLPLEDAPSEKGHRHSRFAFFRRRIRLKG NSSISIPLGLVLLFPCLVIVLILLLFVRHPASPGGILIPAGTPPSIRKISEKHDKVFA TGCMPIEPDQIAKAPRANAAFVVLARNKELEGVIQSLKSIERHFNRWWHYPYVFLNDG DFDEEFKATVKNYTSAEVEFGKIDNSMWGFPDWVDHEVAKEGIKKQGDAAIMYGGMES YHHMCRFYSGHFYKHPLLMKYEWYWRLEPEIKYFCDITYDPFLKMAEANKTYGFTIAV KELRETVPNIFRYAAAYKRKNNLKSKGLWEMFLEQPEQPETPEENKQDKLPDEILQTD AGDNNLKDVDPEAMEGESYNMCHFWSNFEIARLDWFRSKEYEDFFTMMDRSGGFWNER WGDAPIHSLAAGALLAPSDIHYFRDFGYRHTTIQHCPANAPARQLTRIPYLEKTTDDE KKRIEEDEYWANFDQVKENGVGCRCRCDTDIVDVEGKQGSCLNEWVDVAGGWASP PEX2_086970 MNTVLTRPSFSEGRTPIKVPEPGPSSHFRGPRNSGNLDPFSPVN ENGSFEFDRVLKTGRVCRRVKHKHVFRASWKPAYLVLRPNLLSVYKDEETTRLRVSIS LSEVTAVTPVKSPRSTRRHVFGVFTPSTNYRFEAPTERDAEDWINRIRAVTPGDEEEQ AFLALTKNRELPAIHKQLVDETTDHSDFDHTGRASSPEPRHALSPRSKNAQRLPYMQD YSGNEMTSYSEFSDGPAPARNSHLRSMPSIHSLSVSAPEDKSVPRPSASLPRDTSKQT DLGILRDPERVICQGYLQGLRIQGTVRQWKRLWVVLRPKSLAFYKDEAEYAAIKIIPM SQVFDAADVDPLSRSKMFCMQIIAEEKTYRLCAPEEESLARWLGSLKSILAARRRLDP TRGTSV PEX2_086980 MASRKKVLLKVNKKFSGSYKATIGADFLTKEVLVDDRLVTMQIW DTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFEALDSWRDEFLIQASPRDPESFPF VSGVPTFLFLISCATAAGKNDMLVRADGYVQVVIGNKIDVEESKRMISSKRAMTFCQS KGNIPYFETSAKEAVNVEQAFEVIARSALAQEEAEEFSGEFSDPINIHLDNDRDGYDN SPTNTPSNPASSATNVAIATSATRGSPDYTLRSRANLPSLTNNHNHYDLGHTRGARHD ATGNSAANIRNHPAPGGGCAMDNLEFPWKYSHDPEAEEESILHSGSDEEDGRFAPERQ DPPNGKLGLKATAKLSIQFCLLWFTANYFAMGCLQFTSVGSTTILTSTSGVWTMVFGA LLRVEKFTMRKFMGVMASLIGIILISRVDLSKSDTGDATDGSEGSFPHKSSGEIALGD AMAAFSAILYGLYTVVMKKQVGDESRVNMPLFFGLVGFFNIIFLWPGFFVMHWTGLEP FSLPETSRVWSIILINAFASFISDIAWAYAMLLTTPLIVTVGLSMTIPLSLIGQMVLQ SQYSSPMYWVGAAIVFLSFLVVQHESKPQDDLTTTDGAGGSFSGEYNSIPVEEEEMR PEX2_086990 MAHWREEYLAALTVRDQREKANATLYDAYAQLADRTSKLATTAK CGSPTTLQRESQHTVPSPIVAPSRKQRSTELVSTPTDLLNTTRADLSEAQRSRLELQD RLNRVNTEVETLRKKSSQEGRRINALETERAHLKLRLKDRDAELKGKAKLLEDFQDEL ATLNLQLNMAEERSTRLKTENQDLVDRWMARMGQEAEAMNDASKYS PEX2_087000 MSEDPPPASAFNALVLDLGGVFIKTPPRVSSNKSIPSFKRFTST LVWMQYECGKIEETKCYELLGKQFNFNPSELSEAIALARTTVEYDEQMVSWIQALRED QPSLRIVAMSNISEPDFVALHARWGPTFWSLFDEVFTSSAVGMRKPNLGFYQHVLKST GIDPQKTIFVDDNLQNVISAGSLGIHGIVFEGASVLAWTVRNLLCDPIVRGKAFLQEN AKQLHSFTECGIPVLENYVQMLILEATGDEELVILNKPDYHQGEFWNFYIEDEETPRF APESVPDDNDTTSLGMQIIKYDDAVAHSILDQMLGWMNEDGIIDMWHDRNRPRFDPIV SVNMCTSFYLYQRGHQVEDMLNWVRNILYHRAYTKGTYYFRCPDWFLFYVNRLLVVSK SPSLMESIGPLLKERVQERIGIAGDSVALAMRLIACNSMGVYNYQDSEALKELQKDDG GWEASYLYSYPIIGKKIMNRGLGTALAVQALSGRISEP PEX2_087010 MGFFDHLQKGGAGAFSLQPKKAQIRKVVQTRPAAPTRSVTQPSD TRSPRVQSSTERNRKAQALKSRSVSSDLEARPTKRLSASSRIRKRPTPEQRLSSDDDA SDSEASFEVHKRARTSASAEPDPERRLRSMKAFSEEGSRPFKMIHAADITSGQKAGKF KPAFGAEGKPKQILLQYPSASQQERYDSVVPRENDEFRPIDDIVQVIETVADNYIPSD EVDQFNDETTGIKRRLRRALAVTSETQFREAVDDYNKAIQRLRTNGSLAKHLDATQGL GLPWVERILTQIYSRTVSPQVESLRQYENGTDNVYGELLPRFISTIFKETKLKSGQVF VDLGSGVGNVVLQAALEVGCESWGCEMMSNACDLADLQQSEFKARCRLWGIAPGKTHL VRGDFLEQESITSVLKRDDVVLINNQAFTPQLNNELINHFLDMKEGCKIVSLKSFVPA GHKIQSRNLNSPINLLKVEQKNYWSNNVSWTDVGGTYFIATKDSSRLKAFIDNTP PEX2_087020 MAIQKKHGKGRLDKWYRLAKEKGYRARAAFKLIQLNQKYGFLEK SRVCIDLCAAPGSWCQVAAEYMPAQSLIIGVDLSPIKPIPRAITFQSDITTDKCRATI RSHIKHWKADVVLHDGAPNVGAAWVQDAFSQAELVLESLRLATDFLGEGGTFVTKVFR SKDYNPLLWVFKQLFNSVEATKPPSSRNVSAEIFVVCRGFKAPKRIDPKFLDPKHVFA ELTAPTPNYEAKVFNPEKKKRKREGYEEGDYTQHKELPVTEFINTTDPISILGGYNKL SFQQPPGGDLALSTLDRLEETTDEIRTCCEDLKILGKKEFRNLLRWRIKCREKFGLVI KKKPTQEGEEEEVAEVAPMDEELQIQEDLIRMREAQTTKGKKERRKENEKKRKDIIRM QMNMTTPMEIGMEQLGMGGDDTTFTLRRVDRENARDAVVNARDLPAESESENEYESET EESDDEEDRLERELDHMYEQYTGRMEDKDSKLRAKKTRKQYEVDEWEGFSDREKGSSD EEEEEEDSDEETATTNQALEGKKLSNNASMFFDQDIFEGLEDGEEEEEEEEEDAQEEE AEEEEEENIFAMDEDEEEEEKTPAPKQKNNKDAKKSGKKNSVWVDESDESEAEETGEK DPLKANGQLDIDIITAEAMALAQSMATGEKRSTDIIDDGFNRFAFRDVDGLPEWFLDD EGKHSKPVRPITKAAAAAIREKMRAINARPIKKVMEAQGRKKYKAAQRLEKLRKKSAL LAEDDALSERDKAGAIARLMSRATKKKPKQEVKLVVAKGPNRGISGRPKGVKGKYKIV DARMKKDVRAEKRLAKKKSGK PEX2_087030 MTPKGSRKRVKTSPSGVDSPAGLSGDGRDRSDSQSRGYNASWYP GSWSSIARVSKAAPVTEVARESISAAKAVTSNVANSSASLLDTPTKNRHPSIQLTRKA GASTRSLPADATITRVNIASDGSASTTAADDTEEPRAVEPAKPAKEAPDESDTKPLEE PAKEQAATPKVDSIISHAESAEGPQQSSGWLSWIYGPSATPEAAADIPESTQAVDHPL TENQMAEQGAQPNVKDYTAQEEELESEPEQTKDTVEGTPTSQKRSWLQMWYGTNTPSK QEDPSSTESTISPSANHNPTEAPDTNMAPQDPPDEPTEPAGGSQTPVGTTRSSGWSFW SKDPNKDIPSDKPQEGEAIEASMGPNPPSKRTAFEPDTDSNVKITQKGSIKIKPQKDN RLKDGSGSSIEGAPTSMPPVEPRPADTIASKHLQQILPNQVLPKFEETYAFEQSPSIL QSLGRLLNYGKGPGLRHVSRVRDPLHVRHALAIGVHGYFPAPFIRNLLGQPTGTSIRF SNMAADAIRKYTESHGYSCGIEKIALEGEGRISERVDLLWKLLLNWMEEIRKADFIMF ACHSQGVPVTIMLVAKLIQFGCLDAKRVGICAMAGVNLGPFPDYRSRWISGSAGELFE FALPFSKVSKEYEAALKCALNFGVRISYIGSIDDQLVSLESSLFSPITHPYVYRAVFV DGRVHAPSFLSHLVGFALKLRNLGIPDHGLIRELSTPLAGSLYSGEGHSRLYDDEAVY FMAIEFALETSSVPDSLLSVNRASSSVTPNPYILPFAMRGLLEEEYVRQELHDETMEL LRQFDDWKPSSKVLKDVKFRLEGIRSKL PEX2_087040 MVDTFHDYNGLASTAPRSVRKKFAKPPVKVACLPCRASRTRCGG QMPCANCVSKDRECSYLPSKRGGPRKKKEKSSLSPEQESTQDDALYNAIPSPPEFEEP PGMFNQIDDLSLPGAGLRHLDFQSEVPSMFQSFFAGDGSQSHMQVPLMSPPLNSAQPF VRTYGSEPEILNAYYDFIHNYFPILPPRASPPCRDRPLNCSVPCSSTSEPTMMYRPRS PLSLALSAVLALIPHPRDLEPSSAASVIQRRTYAHTFAQLAHSTIETECDLDMSSTDP GQALSAARPFVGRERFHSQTPVDYECLLALLVLSVYEYSQRGNLLKMRYRAGQALAIA LDKSLHAQMGNDEFSEARRRAWWMTYYCVMQGSIVSTTMPAIVVNDPQFTTPYPSFSS DAEGWSIFIQAQQVLVSATQFIGDLNKCLASQSGMYYMFERMQQLDAWTHSVIAQAEL LPLLPQTSDFGDHNESITAQSIRAISRIKLSSAQIKTHRFRAFSDIPLFIKRHCDLTA ANQSSPNTTVSTKPTGIHNVTCSCSNLSTFERATSTEYMTPSDSSTSSDIHPGINSYV PQYSQYPFAPGFPYSTQQSAKICLQASLVISRMFHSLPVPQPLLEPQQQQGRPLPRTM PSFACCLMQSSYAMFMIYYKARVAKQLAPDSPDNEHGGDSTGQLIEELRQGLERIIGA VSNYSIAFEALDGMRDEIEGAYKTAFQLASI PEX2_087050 MNGEAASANQRLGVARNDALQGATLAFQHSRAPSPNVKAGSSAT AAMASDRRPLGRQRTGSDSPEVGSVKDKIGRLARPLSPPASTLSSVRGRNSAAALSSA SQIAARLAASRSPARDTSAPSTTARLGAGAVLSASRVPPSPEKRQLPAPTPRRLIQGS HNPLDRMLHENGLKSDSHLPIYGHSPSQRSRTTLDYQDSRDPSPHPSLESRNSSVPTD ESKPKLPPRLPPSRGSMRNHGVVAVRRPSTPSELSISGQSHTTSSSSIIDDSIGMSEE SLSNAIIASSLASARASPSIRVPPPLPPQRRGARSILHFAHQSKTDLSRTPSPSKSMP MTLRGMPKPTDADHHKHRNPLHKHPHKHKEGDRKRWRREITEKERKRYEGVWASNKGL LIPPDPSGTGPWPPNASDMVLNLVVREIWSRSRLPETILEQVWDLVDHQNIGLLVREE FVVGMWLIDQFLKGHKLPVKVRDSVWDSVRYVAGIKLPLGKGKAHA PEX2_087060 MHIALQTPRILVSIFKMRYEGRLKKIFPVDSSNELDSEMRDIQP EMNPAGDIEMTMANNPEAPLEFDPTFFDQSMLSTINWLPGGLLSGTPNGHAQSSGISS QYNQSLSPSAYFSRTAWQPPVINAGQTSSLQPERVSQTPSVHVSVGNHMGSPNQYSHG ISEPSPHTESVHSAKGSADYYIDGAPSRLPKYGKIHTPWSSESVEPVDISRQLLIEDG EHRFDFPIISEVRTDQISEDIARFARRIETSTHDEIYRHFLKLCCNETPFFEVFETER FPTADECNQFIFFFFDSFQAVYPILHLHKFDPNTSHWLLTLSIIALGCHMSHISEIEQ CTTAFHELIRRGIYLEKERSRPGEASLEILQAMLFNCIGLLHSRSEREKASALSTFGD LVTLMKTSRLLTPVRTKFNEASQDAAWTSWIQDEVRRRTGYCIWLVDCTLAYYFDDRP LLSLDDGQAALPAHEKLWQASSAETWKPLCATSFTNESLYDAVHILYIEKRVVSGIGE FSHILLIHALYHRMWEVGDYFRRPLSFWNPTAKKQSCETAIPTGSVWLPGIPSYSKWR NSACDCLDILHWTANSTVAKAAGLEHPTILHLHTARLFLLAPFREMRSLATSLATEKQ RWGKRHQSLEWQYIWRWMKHDQYKARLSIIHAGVTLWHIRRYSTNAFHEPVAAFIAVL TLWAYGSCHPHTSHESSPRAGQLHEPSFIHLDRPCDDELVQLFVREGHAMRGNVTGVG DICGPEGPERVLRVGCEILSGLTAWSISKKFVAILTRLADLSSYHSSWEQNRRHDTEH V PEX2_087070 MSSKSIKVISLSKNVGRLSQLYKRVKMENVKVLLAREVGTVKAR KIHSALGDPACWIHELLIETLVDDFVVEIRNKEAGTLQVAFQALDSQVWDLQHHEHDT FIWLLSWDKIVKRARFPYAGKGVCTLIFQTGSDVRIDGLLWPHDPNLPAQEQLFRKFG SVKVAKHEVQESAVPQTSHRLLDMPNLYKDLRLAIENGDTETTTKLLDNGLGIEDHHF RTATVNKYIDIVEQFLSRGWGIHTDMSNTAPSALGIPLKMWDFPSGFSATARTQTKGA VFGTARHYPTRSGMVLLMPSSFFLKMEVKSKMGSFCITPRCEPKMTTTKQLIYNQDPD YNKLCVNKLLDEGTLEYSMSESSGLGTPLHYAARSGSAKMVTFLVEQGGAPDLQDPYR RTPISYAIRNGHHDIEQFLKKLNFSYLTSPSMDSDEILGQDLLKPENEEDLDEKYPNR PHNRAPTLPFHDLYLNLFNPLSELKKKPSGPAPARRKVGPHGKGATSLNPFERRRDVI ERFISRWRKDVGDDIYPALRLILPDKDRDRPMYGVKEKAIGKMLVKTMKINKESEDGY NLLNWKLPGQGATTRMAGDFAGRCFDVLSKRPMRTEPGDMTIDEVNEKLDKLSAASKE DEQLPILTEFYRRMNPEELLWLIRIILRQMKVGATERTLFDVWHPDAQNLYSISSSLR RVCWELHDPNIRLEGEERGIALMQCFQPQLAQFQMHSFEKIIARMKPAEDDNVFWIEE KMDGERMQLHMAPDDSTKGGRKFGFWSRKAKEYTYLYGNGIYDENGALTRHLKDAFVD GVQSIILDGEMITWDPEQDAMVPFGTLKTAALAEQRNPFSNGPRPLFRIFDILHLNGR DLTKYTLRDRRNALEKTVRPVHRRFEIHPYEEATTTTEVEAALRKVVAEASEGLVLKN PRSPYRLNERHDDWMKVKPDYMTEFGESLDVVVIGGYYGSGHRGGALSSFLCGLRVDD STQAAEKCWSFCKVGGGFTAADYQEVRHHTEGKWKAWDAKKPPTTFIELAGGDAQHER PDMWIKPSDSIVLCVKAASVAISDQFRMGLTLRFPRFKKLRKDKDWKSALSVQEFLDL KSNAEQEHREKDFSVDNSRKKRVKRTTKKPLTVAGYDNNVDVQYLGPSGHVFDELNFF IMTESTIPEKKTKLQLEQLVKANGGKIYQTRTAAVETLCVAERRTVKVASLQKSGEQN IIRPSWLIDCIKQNEIDAGLPDLLLPFEPRHMFFMTEDNEEEVAANVDKFMDSYARDT TVEELKDIFKQMEKNEEQPDHVPDPETIQRVEARIQEKVNAGYTVPCGWLFRGLKFYF YSNGSQPDEPASRELRKEDQRLHFACNTARFAGAESASSLKSSGTTHVIVDPETVSSA DISSLRKSLAEKPGAKMPHLVSVSWVEECWKNGTLLDEERFPVPR PEX2_087080 MMVPRAFLFSSAPPQKPFQSPGMNSFTPSDCSGLPTSTETRGSI NTIPVVIPPKRGSRTLSARRDTRPRTLSKTSETSRRSSSSSSNDRPIPTSFTSMLDAT AIPVPRRNWTTRRPRKLPRGNHEEDFRRMLQEDIRSKEDNFLDGTAYSPLDILLSPPD NDNEKHLPCSESEQESPLSVRSTSSDSMPSLEHDLASPSSILSPPSPSSYRSPPERRQ PRYSHCEECALDHPLLVTELDECEFIEIKNDDDEPTTPDTVPAKRAASFGRLGSTFKS NLTASLRAIKSAAQSVSTFATPSVQPEDFLTRSLFTITPEMTDDRRPLPMQETPSPAL RRYLNPSPMNPTPMSPAEMYVYHDHPHDKPQNSSSPVSIQMQTYRRAGGRGNRRSNFH IASKDQKYVTFVPEVPSMSRQREIRENSDFLRVVVLEMNMRRSGKLRDDIPARARIWL PARKTNYHLSGQYEDSDDNAIPARWVGVSAQ PEX2_087090 MEHCIGKSVCWDWHWFSWPHHWLYYCSNYTSKYVCKAGFLVLSL LVMLGFVLEASTVMFLLDRWLSVESLFILALDLLQMLFPLIHLSVRGLSCLAAVNLCS GHI PEX2_087100 MSAERVQPSKRRCVSTACVACRKRKSKCDGNLPSCAACSSVYHT PCVYDPNSDHRRKGVYKKDTDTLRTRNTTLQTLIQAILNYEEADAFELVRQIRSCDDL EDVAASVIAQEKGLMSTDKAAKESASNENIVEIDQFESELAGKMSELMLDGSRKFIGG TSNLIFLPPGSELQESTSIQNSLAFDADKTHAVSRWTEVTEDDSLISHLMTMYFTWHY PFFTTLSKNMFYRDYIRGVSSSYCSALLVNAILALGCHFSSWQGAFDDPQNPATAGNH FFKEAKRLVLENDEHENAKLCTVQAFALMSVREAGCGREGKGWVYSGLSFRMAFDLGL NLDSANLGAHNLSDEEIDAQRITFWGCYLIDKCWSNYLGRQPQLTSANANVPKFDVLP QEEMELWSPYTDSGVGHEHTQPSRTRAVALQISKLCEISSDVLVFFYHPTELEHSQPK QSELKKLSDVHTRLEAWKTKLPRELMAKEGQLPQVLVMHMFYQLQIIHLYRPFLKYTK ATTPLPSHVSPRKICTQAAATVSKLLRIYKRGYGLKQICNIAVYIAHTACTVHLLNLP DKNAQRDVIHGLKNLEEMAEGWLCARRTLRILDISANKWQVELPTEAISIFERTHNKW GSWGSWDQATSPSTSDDSPIATMLQPSTGQSRFSSSGPPFPHSHTEPVAMVDTQYGPL AAAPISFPSIQGMHPPHAQRPEFAPPEPTYLRPQMAYQFTPLAGSVPAPSSPHPNRWY DGSGAHISPPNATPTSVASPVSGYDGTENLVEESQDWWSRNASAYGIGMDGWSGTWNA TPQSQPSLIQYQDGNLMSVAQPPSSGDEQPQAPGGMSTVGSPLDTDDTTGYGDRWK PEX2_087110 MPPHLHPRSRSTTGLFAGTLLASLLVVGMPHVFPCPAPRRTFAD SEMTMTADGQQVPHFRRRRRKDLEVGPEGTQLGQPTPVVVDEEVSTFLQMEAEAEKLS HINRECPVPKPKGVLGELLGFRDVHANAQQQTALSEGDQ PEX2_087120 MALKAKSFVYDVLTNQRHTKWIAPLLILGDALLCALVIWKIAYT EIDWSTYMQQVSLYISGERDYPLIKGSTGPLVYPAAHVYIYTLLYHLTDEGRDILLGQ ALFAVLYLATLAVVVACYRQTGAPPYLFPLLALSKRLHSIFVLRMFNDGVATFAMWLA IYLCLRRNWTAGIAVWSFGVAIKMTLVLLVPAIAVITLLSLGLARSISLGAMAVLIQV LLAVPFLQANTTGYLSRAFELSRQFMFKWTVNWRFVGEETFLSREFSVGLLVLHISLL AIFFINWVNSSGSNVVRFLQDTLQGRQKTVSLSKSFTMTVLLSSLAIGLLCARSLHYQ FFAYLAWATPFLLWRAGIHPVLIYAVWALQEWAWNVFPSTNASSAVVVLSLTVQVFGV LFNGSSEVDQKFDKRSSRGKATVQK PEX2_087130 MGPPRRKMLATAEGTLTPPDELSDTQQIVRAIKATGNNIYLVEQ TDKKQMLVELPARFRSAIWIKRSSYVVIDTKGQEERDNKIGGEIVNIVRDEKAWRKAP FWPKEFVKQTVVMAADSDDEEEESRVGRMPSSDESD PEX2_087140 MTMPRGPNSTNGRVSKTCVLLRSLNGANIAALEREIATPDDQVQ HQLNSPPTRPARVAPNTLDDVQVNILVEWIRQEKELFCLPMGPLITTTADGWAADPFI LIQGDHYFDEWLTYERTPDGTGFMLNPGGRVSEKAACEWIGSFHRQTKDRTADGHPRQ VNRFKSTRPPWRQMLNMMDG PEX2_087150 MDGDELIASVFRKIEREKALITAASNMRQSTDNPLVQQRVDANI RDGRKNIAYLEEKMRELQIRQMNQEGGSPGQRGGPAPPPKDHGYAGEQGDGHYPQGGA GSMPSGAPFADPRPYAPIPKARPNYTKLDLIKYDTPYLGPKIQLMLSQLEFKLSVEMQ YKAGIEKMVRLYQDEGDRKSRADAEGRRIESNQKIQLLKQALKRYEDLHVDIESADAP DDESLSAPNMRKPLTGLLTMRIHGVKDVDHAASSRFSRGPETYIVVKVEDTIKARTKA TRNDKWLDESFSMDIDKANEIELTVYDKSGDRPVPIGMLWVRISDIAEEMRRKKIETE LNASGWVSADKMGDGGSPRHDHAGSPMGSSHGPSPGGRPGTSGHGAHGHHGGESSNAP PSVTIDSWFALEPVGRVQLQMSFAKQLKDRRPFDIGLNRQGAVRQKKEEVHEKQGHKF VTQQFYNIMRCALCGEFLKYAAGMQCADCKYTCHRKCYSKVVTKCISKANYETDPDEE KINHRIPHRFEGFSNISANWCCHCGYLLPFGRKNAKRCSECSLTCHAQCTHLVPDFCG MTMEAANQIIETLIRTKHHNKSASVSSGLSNRTLRAGGPPQPAQDNAALSYPQKPMEG PYGVIPRQPSAEAAAQRQQMAPKSPTGPAAAAAAAAAATGLRSPQQPPTEMGRPMPPP QAPAQPQHAHYDPSAYANFPQQPPPQAMQKVQPAAYPMAPPPQPIQQPIPQQQQVASP IKEDAAAQAKARIGLDHFNFLAVLGKGNFGKVMLAETKSSRKLYAIKVLKKEFIIEND EVESTKSEKRVFLIANKERHPFLVNLHACFQTETRIYFVMEYISGGDLMLHIQRGQFG LKRAQFYAAEVCLALKHFHENGVIYRDLKLDNILLTLDGHIKIADYGLCKENMWYGGT TSTFCGTPEFMGPEILLDKKYGRAVDWWAFGVLIYQMLLQQSPFRGEDEDEIYDAILA DEPLYPIHMPRDSVSILQKLLTREPELRLGSGPTDAQEVMSHAFFRNINWDDIYHKRV PPPFIPTISSPTDTSNFDQEFTSVTPVLTPVQSVLSQAMQEEFRGFSYTADFA PEX2_087160 MLPKIKRLFRRGFRALSRPTETVPWSNKENLPSGNKELSPPGNK EHTPPSTPKVSQQTSSSRPIRRWLENVTLPVNGSLINRVRPKVDNIDFHINFSSSESR STPAWFNDTWDNDPEIQRFLWEYEVLHATNSHPSPSPGTSVLPQPAVAAPPLDHPEEP ASFSSLNTMPDTVVQLKQQGQLLASAQKRAFFPDDTESHYLAKRVKLEPEGSATKRKR PEDTDVSEDDNWDSDPPTSPEYKISSASDGGGSDTSGSASLPSAKRVKRAAFHPSASN GRPLKPKAKKAKRKKKNMTWDSDRSMSEPSDLDYESEEEYFILAGERDDELLNNKLTR ERAKRLLEAVELPQGHDLSPDEQRTAHQLLARGCMPTIHRHWEKDFSTLPESLFFSGK DDETQRNESHFVLENNKCSEFYAIRAFQELLKICGNVRDYCNILDIGPGIYIKKSIEK YLRWALSDAGVRVQPETPPVHIIYCQNQDEEPKEAFSKVAKTLEKLSNTWQSLLAAPH DGEAAWPALMGLVLCGPVLSVISLDTNPNPQTQTQGIKFLGHFDLSDFDNDVWNTLAV AIIVMHIKRTVTKLAKAYDHRFVASIVDDPAMGSPDLDH PEX2_087170 MISEDQGTTESSPLLRDIDPEAASNSKKSGWRQELAIISRYSAP LMISLLLQHALTGSSIFMVGHLGKREIGAVSLANMTAQITGYFVFQGLATCLDTLCPQ AYGSGNLTLVGLHVQRLTLFMLVLTIPIGAIWWNADQMFLFLLPSESQETALLAGLYL KIAIAGAPGYACFESGKRFFQAQGLFSASLVVLLICSTLNIFMGWLFVWRLQWGFIGA PIAVAVANSLLPVFLAIYVIFFKGSECWHPISAEMWRGWGPMLQLAIPSWLMIEAEVL AWEIMTIISSRLGATELAAQAAMSTLSDFAFQISFSIAVAGGTHIAYLIGAGFLQRAA TAAKVMVLAAFGAGVSNMLVLLALRSVIPGLFSDIGEVWHYIFFLLPLGAVVQIPDAV ATSLNSLLRAVGRPDLGSYVQLSIYYLVGLPLGIAFAFGLDWSIFGLWCGILIGQSII VSIEGFYFWKMVDWAKASREAVERM PEX2_087180 MTGPAVDAIPNTAILKTHCINHSIMDAAEDRDVKLQRASGDLVS EFSAKLPSLLWRAQTGTPLRTPRKWAPVTKTNKLVSLLEPFQEWPQLLDPHLQTLLPP LVDAFLAYLIKHRTQYGSVLAKSSQTGNMYPLPRAICRLLYTFCKVRGVKVISRFLNN EPKYLDSMLRAFIEWDAVQPSNSGELAESEIQRLIWEERYVMLVWLSHLLLAPFDLSS LSSDNIPVPYDNLQQLTWLPSETPMLARSLLSLSLHYIGASGKEREAATALLARLALR GDMQALGMLTGLTKWAFAAIQPAENVESPSVYACIGVLTFIARLCASGQVEDFAPLIN YVFQQTLSVFQGKSPVSVTIQSSALARKIVVKILRNITVMALSLSERGDARITDDQLS TILEDAIDHFLVSLADKDTPVRFAASKALSIITLKLDPEMGTEVIEAVIGSLGENILF EKDDGSLVTPFEARKIGTHALKRNLSAVDAQRWQGLILTLSHLLFRRAPPIHQLPEIL QSLVSGLGFEQRSSTGGSVGTGVRDAACFGIWAMSRKYTTRELLALQPQVVASQSGQK EVDVLQKLAVELICAACIDPSGNIRRGSSAALQELIGRHPDTIAQGIPLVQVVDYHAV ARRSRALIDVAKATASLDQIYWSPLLDALMGWRGIGSPDAESRRHAAKSIGTLSTQEL FKTMNLVLDKLLQMFSSISRNDVESRHGCLLSIAATVDAFTSQWEESAGKRDTPEARA VSSQVANIWDIFGSASSPTDDDLTFQTSRPELTAEASSCLISSLSRSTITTGLARPLE PLLNRTLQILSLCVSRSDDVSIETSSTALAHLFPLLSPSKQEETVRTWFSHLRTSWRL PAGRGQILALGTVFKQLGAQSDVQEDIVTEILRYTGKEELIEKRVAAVKCLATSILPY MAATDTIASNFVEFLNDYTTDRRGDIGSLIRVEAIQAVGVLLQRQSDAASRSPLIQNL VGCLCRLACEKLDKVRLQAWLSLQDFWESAADLPPLEKKFEHFSHVSSQEYFAQLLTL HDIEWLRLPLLQGLATSAISGAEGLVRASRSALTQFLNSQAEPRRQEILMIFLQDLST VLSDNLQDDRFAIPAVEFVAFLIDSYIPVIPEGSDASFRKLFTLVQKAHFRTANIARL EAAVKVYAALSRIDSLRNGVLKKMTGLLLHPFPRVRSSTAEYLFVMTDSEIVKYEDWS ASPKQLKDQVDNLKVTFSLEG PEX2_087190 MYLTSLLSLAALAGTSIAKTPGCGEDIPSNYPKAGSSKSLTLPD SDREYRLFIPANYDKDTPTAVYFSFHGASRDMIEEESLSQFSNPFFNPNGIAVYPNSQ NGYWLSNPFAETSRPNDLDFTNDLLTHLEETLCIDTSNVYAAGKSNGGGFASVIACNA TVGSRFAAFAAVSGAWYDTDDIKGVGPCAPAKRDSGYPFLEFHGTIDTTAPIDGDGES KLPVIDILQAWAAQNGCANNSQPTSNITVFNDPIVKHASWDCNGLTGIVQHYRENDNG HCWPSTVGNDDYIRNAAQCPLGKSEFNATQYIFNFFAEDHSSKPSATSTSTSTSTGST PTSTNGTHHNQSPEISHPMSFHALYLIFSAVVINSIGI PEX2_087200 MAAVSAAGIPPNATVYVKNLDERIKVDQLKHALEEIFSEYGTIL EVVAKTNLKAKGQAFIVFDNVDSATRAIEDINGFDLFEKPMALDYAKTPSDATVQREG GSDELEAHKRRRLAEKERKQAHEALEAQKKLKRPAAAVEPARPAKTTKGAGLKPTTAA TTAVVPDEYLPPNKILFLRELPDDATQEGLSAIFGRFEGFQEVRLVPGRKGIAFVEYE AEAGAISAKEATSGMPMGDQGKAIRVTYQRQ PEX2_087210 MSGAVGREPVFPTRQSLGLMKNKLKGAEIGHSLLKRKSEALTKR FRDITRRIDEAKQKMGRVMQIAAFSLAEVSYAVGGDIGYQIQESAKQARFRVRAKQEN VSGVLLPTFESYTQDGVNDFGLTGLGKGGQQIQRCRETYARAVETLVELASLQTAFLI LDEVIKVVNRRVNAIEHVIIPRTENTLKYINSELDELDREEFYRLKKVSGKKQRDVAA ADAELLAARQKAAEEQAANPKVPDATAIFAKEEETVDVLGEQEDNDVIF PEX2_087220 MDRPSASRPDLYLITDQDIIYEQDLLRDASSVKPWLAYIEFKQQ NGTLYEQAFVMERACKQLPRSYKLWKMYLEFRTKHLKGRNPTVYRAEYQKVNALFERA LILLNKMPRIWEMYLSFLLQQPMVTQTRRTFDRSLRALPVTQHNRIWRLYKGFARSAS GQTAIKIFARYMQIHPENIEDYIELLVDNGEYTEAVKKFMDILDDPRFKSKHGKGPFQ LWSEMVDLLVSKAKKIETGPQVGIDVDAILRSGIQRFADQRGKLWAGLATYWITRGNF EKARDVFEEGITTVMTVRDFTLIFDSYVEFEESIIGSLMEGAALRSDKGKSDEDADFD LDLRMLRFEQLMDRRPFLVNDVLLRQNPHNVIEWEKRVALWGDNKEEAVRTYTDAIAA INPKKALGKFSELWVNYARLYENGGDMDTARVIFDKAVKVPFKSVAELAETWCEWAEM ELRAENFDKAVNIMAKATQAPKKSTVDYFDETLSPQQRIHKSWKLWSFYVDLVESVSS LDETKKVYERIFELRIATPQTVVNYANLLEENKYFEESFKVYERGLDLFMYPVAFELW NLYLTKAVDRQIGIERLRDLFEQALEDCPPKFAKSLYLMYGNLEEERGLARHAMRIYE RATRAVSDEDRFEMFEFYITKSASNFGLTSTRPIYERAIAALPDQEAKEMCLKFAEME RRLAEIDRARAIYGHASQFCDPRTNAPFWQKWELFEVNHGNDDTFGEMLRIKRSVQAQ YNTDVNFIASQAIARSQQQLQTGEQGEGDDDQRRADAMAELERQARAPVGFVAASSGP EGGSRPPPAGQEPATAEANPDAIDLDMDDE PEX2_087230 MVSQQRASLVMLDTTMESASLPIAIDPVALVTTECITVTSSMRK HARWAHSSVSAILGGNGASRVYERDNSAPSSPGPRRTGQSTPRPDEDHALANRWGLRG KKGKSMQDNPLISAFTRLRSDLKDCKDIRTFDTPALLHPFLQVVRSSSTSAAITSIAL VSITKFLSYNIINPNSPRLPIAMQLLSAAITHCRFEASDSSADEIVLLRILKLMEGIL SRPEGELLGDESVCEMMETGLSMCCQGRLSEVLRRSAEMAMVKMCQVIFMRLSHLDQE MPVGPDPFAGEDTKKDPPSRLKMDPSVNGDTVTSQHLSAISADTAAAERHSTSREGSP EQAGNGSAAAAAPPSPHDDPETELQPYSLPSIKELFRVLIDLLDPHNRQHTDSMRVMA LRIIDVALEVAGPSIARHPSLAALARDDLCRYLFQLVRSEHMAILTGSLRVAGTLLST CRPVLKLQQELYISYLVACLHPRVEIPREPGIDPSLYEGIPQSPKLVKQPASQANSGR ATPVPVQDRQKLGLEGGARRPEAREAMVESIGMLSRIPSFMVELFVNYDCDVDRADLC EDMIGLLSRNAIPDSATWSTTNVPPLCLDALLGYVQFIHDRLDDEPVQGDYPPQESLK KQRHTKKLIIKGAQMFNEDPKKGIAFLVEHGVIEDAKNPVLVARFLKGTTRLSKKVLG EYISKRGNEELLGAFVDLLDFSGRSAVEALRELLSSFRLPGESPLIERIVTTFSEHYV EKVKPDGIADKDALYILTYAIIMLNTELYNRNVKSQNRMTCVGFAKNLRGVNGGGDFA EEFLEDIYESIKNNEIILPDEHENKHAFDYAWKELLLKSASAGDIIVGETNMYDAEMF AATWKPVIATLSYVFMSASDDAVYSRVVNGFDQCAQIAARYGLTEAFDRIVFSLASIS TLATSKPPSTALNTEVQVGQKSVMVSELAVKFGRDFRAQLATVVLFRVLSTNEATVKQ GWEHIVRILSNLFINSLIPPFDAKITSELEISPIPLQPPSQVVDRDGRSNDTGILSAF TSYLSSYAADDPPEPSDEELDNTLCTVDCVTACSISEILTNIKSLPLSSLEMLVEALL SLLPEENAPAVIVVKPERPTPTSRAANSRADPNQPKYDPSMVFVLELATVLTLRDEKT LEVLGENLATTLQTLVRDAKNLHPLTVSRVVSYLLNLLRLSHDQHFMRVPVVLHAISG FDQDILETVAVATVKGLARCISHTGRLRNEITISPDFWSILQRLHQHEAVASLVFDLL QSIVESMPDIITADNYEFVVSLANDFVSAGRVGSIEERHRDAQARRNKGVKQSKSSEN QVVTRGVKAIGLIYHLTGRVPALIKQSHLEENEAWAAYWSPIFQSLTGQCINPCRDIR HHAISTLQRSLLSAELISSDDKEWTSIFDEVLFPLVLLLLKPEVYHSDPVGMSETRFQ AATLVCKIFLRFLDQLPNRTGMLPLWLRILDILDRMMNSGQGDSLAEAVPESLKNILL VMADGGYLVSPSQDPSKEEIWVETRKRLGRFLPDLFSEVFPDTPDEPEKSPDSAVSSP EQPRETDKASEAEQRSEDTASRQDSEEAKEAANAESQTPQEAREPTS PEX2_087240 MATIAPPPAAPTLADLPLEHLSLYHVVDPCLSSILVFYGAVSTA NATVSSSRIQAHIFTPAGFQSYPRITVSPAAPVYAAVNHLPREKQGDEVCRGLAVSML RYFAELSEPVKHRLTGVARAGRPGGKAPKMFDEMHAADLANRMTKVDDSLEIIQDIRS AYQERKVPWIDIDVVLPTGTIQPSHRRESGGSELGESQNPQYGPYTPLIEALGDPMFL PTSRLKRAPSQPTNVSKSRLFARNQKEALRLTMCELVDTEERYVAKLYSLVHEVADEF RQKAVDRGPSSKSPDEAALAALFPPCLNEILEVNLGFLDVIRQVLDETEKDAITDIGQ DTELPSNRRGLPRDRADPLGALAFAKALFEWLPQFSQPYAEYMRAHTGFTQTLNLFMK DKNSSFSKRVYETGEQRLRSLLMEPVQRLPRYSLLIDTMTSNLPLVHPAVRTLLKARD IVKDICALENNSPSSHAQSLQRLKELVNGWPANTFPEGRLITAVDFNEITPPYNLDTQ SSGNSTGIMLLYKTCLVLLAKPAESRATARGVLADIDNAAASTSDMSTSLPQAELQVV QVLEFHNVRCMQSACGRILFVAPISAKSMTDETSAGADLLALELAGTYEGRANRLIEE MSKAKIEGRFPESEREGGKWTLRSPPTGAASNVGILACVCEDGESAALNQIQSSPIRI VFDASKATCSQMLRDSNLEVIISISPPNGDQYRLDVDSVVGSGSTDLITVDTFIPTLS RRLQYLLSPLHSPRNPALTDPLVHSNFEILRYIASSLITQVKSSRGFRPPSPTKLISN LLGGSRENVPTIKGQGSATLTGEFPKMPPPRGNLSRSNTLPSTFPGKDKEKDKDKEKE KEESGNKISVVGASASKGLDTQFGLLEQTFAAYVLSLQSRSGNILGRMLRVRDQVDRA PVNELYNILLEDPSKIQAAAEVPVDTLFVAFETFLANAWRRSMGPILSSSSLKWVQSQ FDTMIPRDFDEQFRKFVTDMSPQNRRALAAIIRLLAELLDASGNDGDRGALTMAFAEV LTEDGDPSHHVSLLDRLVEDFDNLFEEFVPGGTSVEGTLTCDQSKSHTNTGSVGSNSS SFRKRFGFGMHRDTSKNDGESKVAAILRTLSKKQSPAGSEPNTPKGSLMRSKSTDVDA RLGFLRPASRDRPTSRDRPTSRDRPTSRDRPESRDRPYRPYGFASEEQISRPGTGILR CIQYISDSTAPPDDPFQPPTRRSGNAHQAVQTSEQLHTNIAYAWPITNARPITNACSP TRLGSPIRRLSPPRPSTPSRKENIDPRTPQTERTAKPRGSVSESPAEESRRRSHASGI PQRTPGLRERSTTNSSDTKRPQSSSSLQKPQKPRMQSPQKLRDRVQLEKKTQNFTQLG LKDELTELGNELRSLKLTPPRQSNAMNLEFDFDRTDTPYSATTASLEARMRILETRLE TLTGEYNGRTTALERDLESSLILSEKRVKKLDELYREASAENEALYDRFNTELSKIAK DVRLGHAEDALKSQLASALDEIGRVKKENFRLKREVGGLRAQSAAAALLKASDQ PEX2_087250 MTKASTEEYPYNLGSYGKVITTTSPEAQIWFDRGLVWAYSFNHK ESVVCFKQALVHDPLCVMAYWGLAYSLGPNYNQPWDFLGNDLAAVVENTYRAAIKARF LSKKNGSPLEQVLAKAISARYQSDKPASMEQYAIQNIAYADAMKEAYEQFSDDLDVVT LYADSMISLTPWKLWDSVTGAPNPGARTMEAKRVLETALQHKDASKHPGLLHMYIHLI EMSPFPELGLRPADHLRDLVPDAGHITHMPSHLDVLVGDYRSAVRANQRAAIADEKYL ALKGPFNFYSVYRMHTYHSLIYAAMFAGQKQAAFTAVDRMEATLPKELLSALADYIEV FMSVRAHIMIRFGMWDEIIKIAIPSDPDLYCMTIAVLHYAKGVAYAASGNVPDAERER DLYRAARDRVPDTRLDWPNKCIDILGVASEMLDGEIEYRRGNYTEAFEHLRRSIELDD GLGYSEPWSWMQPTRHAYAALLLEQGHVEDAAAVYQADLGIDSTLIRARQHPNNVWAL QGYHECLIRLGRHEEASIIAPQQLDKMPRVVEHRKHVVMASIPVAVALVEKESVVIDP VAGVVLAEEPKPFLDNTIGLVSPFAGIHNLDLSPDSLSIVDGTHQIWGQLTPHDDSSF DSVPVHDVGSSVIRAYQSETEIANAYYIYIHPYLPLLPPSVAPQHEDHPTLIGPFDQS SQAKKCHMPYWPTSSLSLALSAMLVLIPTTEDTFPMAEPSLARRRLYAQLFAQAALAA VETETDDLLPALSTNLPGTESLRVQSELHPQVSTQLHPILALVVLGIYEYCQRGNISR MRARGNQAITTAMDISIHRLDSTTTDYSEAQRRAWWMTIWISYLSSNLHFSPPIVSLN DPRITTPYPKFDVYLEPWPIMMKTQEALFESSKIVQNIERVDETTVLSDFGTRIRKLD SKIASLIGETDRHLLTTFDENPEASVAQNMWMISRMFIHAARTRLHRFRAFMDIPLFL DKYCDLTAINSADFPHQTSPPKWVTDCEISFPFTEQESSIICLKSSLVVTTIYRNLPY PNPLGSAPSSRSPTYPKTIPYFACSGIQSCYALLMLLHRLRASLATDRLADCYHLLNN PTPASEIADADRLKEELRHGVEILGRSLKSDVIFEGVGGIAVSGGADSMALAYLCKQL ERSSDVAGAISVTAFVVDHRARPESTVEAQKVAGWLRDMDIKTQILSLDWSEITSSQL SSPKSGASSPLPSAFETHARRLRFQALGIACHEFKLETLLLGHHQDDNVETTIWRLSA GASGLGLGGIPEVARIPECHGLFGASESWSTTSIPTRPPTKPQPKVRFDNQKHGFITF PDPNARTDKVYSNHTSKVNMASPGIFICRPLLSFTKSSLLETCHKNKVPYVSDPTNFD PTLTPRNAIRSLRTSNSLPRALESQSILSLIRSSQNLLQRSNELSNYLLSSQCRILDF NPKAGSAVIQFLNTSPASMDPQLATLSASRTRQIQTIVLRRITELVSPFPDSHFSLRS YESLVSNVFPGQDSSHDASATSNDQKRRKAFTVAGVLFQRLESEASTLGKSQDPRRGG DNIWLLSRQPFMRDRDPVTQINVSPSGSFTPWVLWDNRYWMRLRLVAVDRDPSELGDQ LISVPLTIRPFHKFDIERIRKNTVHSRPLGRTTKDSAAEQKMPGTFEYLKALLSAEAP AQLRFTLPVISREGIISKPEKPLGQKVWQQLALPTLDYRLSGHSAKYSSLSEVELVHL RCRWKLKWQWMYKTIDTEALRLMGWPVDKDAEEA PEX2_087260 MLSTTIITSLILGLSQLTTGSALPATTTPSSTGFIVSHVAADYF PDSKADLSAFDVDVRFVGYSPATESWLTALDPHSASSDEEKARMLTEAAYAKKYDEND ADMAGDVESLLAHVAGNVTVANRGIEKRSSFKTSAAHAVLWSACGTVFSCISGTTCQF DQQIGKAPRSHCEQQGGASCCVSWSTYTVRAGFFSSTWTACNQEVQAEQKRSASCEGY GTGDQGGDVCLSNRASGCT PEX2_087270 MARKLSHQRVTYVLPLPDAPGGHRLGVNGLTVDRQNSILYSAGR DGVICSWDLDFPLPSSSAPKEASNPDQKPSPTGFKTQVQAHSHWINDIVLAQNSSALV SASSDTTVRLWRPHSESTEVPERIGKHTDYVKALASPGSHATWVASGGLDQKVYLWDL NGGGEVLNINAGGEDSTDKGSVYALGAVSSVLASGGPENVVRVWDPRSGKLVTKFVGH TDNIRDIMINQSGDTIMTGSSDQTIKIWSLTAGRCMNTLTMHNDSVWSLYSDHPDLSV FYSSDRSGLVAKTDMRGSADPDQGLSVAALQEHEGVVKVVAAGDYIWTATPKSSVHRW RDVDTTVGIEPPPDPARTIDSATVPATPAEKAPKKIPFNAVLQLSATSILGGSAPKLN SSEPNSEAIGLTIPVHPQPEETIEGQHGLIKCLMLNDRRRTLTQDSAGEVVLWDLLKC IPIQSFGKRHMDDVESEVNTVDSIGHWCAIDVRTGRLSVILEPNRCFDAEVYADETDL PLSDLYQFREDQRINLGKWILRWLFAPVVDEEIRRDNEYRQAAIARAEENARQNSLGT SVPGDDIPRSVGIPISNPHQRMSLRPNLDVPASPNIGFSINAGTPSSNYLSTSMQSNS PFPGFEPDTPDASMPTNSHIDGGSSPFSSSDYFSKRPLAAPHTDADKSLLSPSDAASN SGVPQSPAEPDKEERKKSLFGKKFQMNFPKKLGRNSTDTKPQILEEKAEESEISSIKE EKVYEPNLCGVVDRIRDDYEEFLATNADRDLETALLPCSEDETPRLEIPSRTAVFIQE ETGDTAVASDLYRGTVGRIGEDLERLKKSIPHWLGELLLKNQIPFKEQTKIAFVLKPF DDTLPPVVKPEPPAPNGAPPGNLNTNNNGSRLNANRMLRTKKVLAYVAERIDPANPDE PAESAMAPEEYLELYCQKMLCPPNMTLATIRTHFWRTSGDMVLHYKTNGKKEIHPPRS LLGIDENHVHAEEPHISNGDSNAHAPPGSIHSVTNSASVAGSVVT PEX2_087280 MAQQPDGEPLVSSLWTRSLISGAIAGLTVDCSLYPLDTIKTRLQ KARDHTAPQTRVSLRQTFRGIYAGLPSVLFGSAPSAASFFIVYDGVKRTLLPPQSANS KTNPQSRSHIILTHSLASSLGEIAACAVRVPTEVIKQRAQAGLFGGSSLLALKDILSL RHAAPTSTGTAPTAKRGYSQVFRELYRGAGITIAREIPFTVLQFTMWESMKEAYGKRY LRTRETASSLAETQIPASTSAMFGSVAGAISAGLTTPLDVIKTRVMLARRGDGGVDAP VRVKEIVRGIAREGPGAFWRGITPRVTWIGIGGAVFLGSYQYASNTLEGRREAQAEKG L PEX2_087290 MHNPAKIPGQAPEPGDADAKSRAQPTQVRFSSITEEIEPGQSEL SPVPEQPYQSLSGPTDEEQLRSLAMSMQGAQLQESRVRKFSFDPMSLPSSRVGSRESS DRSGLGTGAFASPHASPPVSAVQSPPLTPAATHSREGRISDSASLKATSRPHLDTNFT PEASPPVGPNDKNGTSRPGLSSRPLSTDHLPTRKSEGSDRAPHHIQLPKHRAQFFVGN DGGAQEESPPSTPREPITPPGAMTPVGEPNDPYARHKRPPQSKNLAQLDPRFIFSGRD VKRSFRPGAPRSTSASDLSKSSDKRSIFGSAKKEQGDKSHGHQHHGSMSELKRFFKMG HRNKRSESPTSMPKKSSRSSGKSSYQAAPDNVPFADDHGLNSKYGKLGRVLGSGAGGS VRLLKRNSDGVTFAVKQFRDRHNWESLKEYSKKVTAEFCIGSTLHHGNIIETLDIIQE GPRWYEVMEYAPFDLFAIVMTGKMGKEEIACSFKQILSGVAYIHGMGLAHRDLKLDNV VVNDRGIMKLIDFGSAVVFRYPFENDIVPASGIVGSDPYLAPEVYDEKKYDPRPTDVW SLAIIFCCMTLRRFPWKQPRMTDNSYKLFVSSPTPGTPVAEPDSHRRPKSTPDLPSQN HDNKRLTPRSSGGPSDQSGSEQHANGTENGDANKTEPSQGDRSPDTAQKQISQTSNGP HERTTRTTSKEAPPVPTSSQNSGQRQEVIKGPWRLLRILPRESRYIIGRMLKTNPKNR ATLDEVMSDDWVRNIHACRQDETGETISAPGHTHVLEPPAQSPAVASKATKAK PEX2_087300 MARNVLAKDQFVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTAHITTGVPIPARVTVRPDRSFSFELRTPTTTYLLLNAANVEPRKNRLRGAMNP GHDVCGTISLKHVYEIAKLKHTETRLSGLSLEGLCKSVMSQAKSIGIQVIP PEX2_087310 MNWLKSTLSAVVGTEEPIYGPEAIQSVAKQAETTPFSDVNKEIL RWRAYSYTNVETQTFYIMADNGTLVFVQVIYSNIVGIHTTAQFNVKVFDVSGKGDNKW YSDPLSNFMFDENMLSFGADNLSLTLNEEANSYTIKSTVNSGALVDIKFSQTAPGFVV GKDGTSYFGTDPKNPWGSMRHAFWPRCAVEGSITTKDKTYDLAGRGLFIMALQGMKPH HAAARWNFINFQTPTYSAVMMEYTTPPSYGSTKVNVGGIVKDGKVIYAGTTNTAAHTE TSQDEGSDWPAPKSIKWEWTGKTTDGKELTAEVNGALGSRLDRIDVMAEVPGFIKSIA GSVAGTRPYIFQYSPQEKLSLKLKLGDEEITEEGTMFSESTFIS PEX2_087320 MEVASSGVPNGTSTPETAALVDSGAVIQYLTELLQVTLGAQRSE LESAGSLLSESRYNETLQRCTRFASEAQVALYVQKDIVIAEEANGETEDQDETAVQYV YNLSSDISSAPTTVSSVAIIKRPVPIDMSLPIASQVQVMNLPGPASLSNTTAQQGNSM SPYQILHLMIHHGLSPYFESYTRSQETAVGTKSKTDTEAKTGIPGTKKKFADLELGLM HLQQNVEIPALNLPLHEVVQAALKEAEARGIKPSVELIPSTVLESSAFTNSIQNVVNG WIRSIQTITKMSRDPDSESASPEVNFWLSLEAALEAIDAQLQSEGVRLTMDILRHAKR YQPTLSFAADTGLKEATELVQKYNQLMRDFPLDELLSATSLQKVQESLNLIFTHLNKK LKICPYPIKRALSLVEAISGDLDKKIHELITGRAILHLDYREFRSLMKTTQAIWRTWD ENLKEFTNVARESTRRRNEKFIPIKINARHDKTRERVKYVDTFRINHEQLQKTIINVL GPNSSSSTENGAGVDAEGAVIVEEIGDVDAVEEVAHAYAALKNVDVLDVSPEGSFNWE QAEIAYSERTSRVENSIIARLRDRLATARNANEMFRVFSKFNALLVRPKIRGAIGEYQ TQLIDNVKRDISALHERFKQQYGNSEAHAMAQLRDLPPVSGAIIWTRQIEHQLNGYMR KVENVLGENWHLHAEGQKLQNEGNLFRKKLDTRPVFESWLWDVQRRHFTIAGRLFNVV RNRSAGNVLELAVNFDAQIIALFKEVRNLIWLNFQVPHAVSNISKEAKRVYPYAISLM ESVRTLLQTSRAIVSMTGVNMLLNGYMNDAQIMVAKGIPLRWESFVHSYELHVKQSAL ANGAMEASLPGRSESKHVQFVREFAVSASTLQTKTTVLDTINENIQKSIHELKTCPYD ASAFKQRLDSIQVAVDKLNLENYVNLGYWVAELNGSIETILRDRLLRAVREWIITFQG TNNENSTISHQQLSAAETDALNYKIQFPELFHEILMKNQVLHLDPPLQFARASWFSHF DSWLGVICNLEKIKPSRYQISIVVQNVRLSEVCFSDLPQNCTNELSQVYNVVESRLSD VSEYVDKWLQFQSLWDLRSEQVYEILGDDLSQWLQLLQEIRKSRATFDTSEVSRGFGN IRIDYEQVQTKVNAKYDQWQHEILVKFGSKLGSRIREVHSEIAAARKDLEGQTLEASS TAHAVSFITIVQQCKRKTRVWEPEVDLFRQGQTTLARQRYQFPYDWLHVENVDGEWAA LNELLSRKSKIVNEQTEGLRAKISAEDHVIAGKIAEVIGQWNDEKPVSGTIPPEEASR TLSSFQSRLESLQSEFEMVSKAKEALDLPPSSQSSLPPILEEVQDFMSVWAALATIWN SLNDLRDGLWTSVQPRKLRQSLDNLIKMTKEMPSRMRQYAAFEHIQNVLRQLLKVNSL LSDMKSEAVKERHWHKIYKSLKPDKRFSLVSLTLGDVWDLQLASSDLIIRAIIAQAQG EMALEEFLKSVRETWQNYSLDLVHYQNKCRLIKGFDDLFAKCSENLNSLQAMRHSPYY KEFEEEATSWEDKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPLESGRFQNI NSEFFTVMKKVYKSPFVLDILAIVDVQKSLERLADLLNKIQKALGEYLERERVSFPRF YFVGDEDLLEIIGNSNDIFRVAKHFKKMFAGMSGLVMDDDNNIVAFTSKEGEEVRLKK EVNLLKTPRINDWLSALETSMKLTLAELLGEAVEQFGVLYHSSDVDRTAFNDFLSNYP AQIVVLASQVTWTNAVQLSLEEGGATLPALHASEVRILELLAATVLGELDPITRKKCE HMITEFVHQRDCISKLISLNATTPTHYHWLLQMRYVFQNSGDFLERLYVHMANAKLAY GFEYLGVPERLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGLQLGRF TLVFCCDDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQIGLKT SGDGDKSQIELVGRRLAVNSNTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKEL IAEVMLFSQGFKQAKPLSKQTVPFFDHCASRLSKQAHYDFGLRALKSVLVSSGGLKRA RLANTDGDLGPEELIEPQIIVQSLRETIAPKLIREDVEIMLEIQVEDFAGVDYVPANF EKLTQAIRDIATKEQHLVDSEMWITKALQLYQIQCIHHGVMMVGKSGAGKSAAWKILL QAMQRVEGVEGVSHIIDSKVMSKESLYGNLDSTTREWTDGLFTGILRKIVDNLRGEDS KRHWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVESLKYATLA TVSRCGMVWFNADTVTPTMMISNYVESLRTKTFEDLDEDSAPAGTATIRTQDAQDTVA TILKQFLEVDDLVLKALEEAKKYNHIMEFTDIRALNTLFSLLNKACRNVLEYNAQHPD FPLDPEQIESYMSKKLLLTLVWSLTGDCPLSDRKAFGKYITGMSTIDLPPDGDSSLID YDVILPKSDWTSWHSQVPTIDINTHSVTQTDVIIPTVDTVRHEDVLYSWLAEHKPLLL CGPPGSGKTMTLFAALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVVMS PNQIGRWLVIFCDEINLPAPDRYGTQRAISFLRQLVEQNGFWRTTDKTWVTLDRIQFV GACNPPTDAGRTPMAERFLRHAPLIMVDYPGEISLNQIYGTFNSAVLKILPLLRGYSE ALTRAMVQFYLESQARFTPQIQPHYVYSPRELTRWVRGLYEAIKPLETLSVEGLVRIW AHEALRLFQDRLVDEDERAWTADAVRRIALEHFPTIDDQEALKGPILFSNWLSKNYVP VEQESLREFVKARLRTFCEEEVDVPLVLFNDVLEHALRIDRVFRQPQGHLILIGVSGS GKTTLSRFVAWMNGLKVFQIKVHGKYSSEDFDDDLRSVLRRAGCKGEKICFIMDEANV LDSGFLERMNTLLANAEVPGLFEGDEFSSLMTACKEGAQRQGLLLDSQEELYKWFTSQ IVKNLHVVFTMNPPEEGLSSKAATSPALFNRCVLNWMGDWSDQALFQVGSELTQSVDL DKPNFVSPDSIPVAYRELALPASHRDTVVNSMVYIHHSLHRFNGRLQKQQGRATFLTP RHYLDFVAQYVKLFNEKREDLEEQQRHLNIGLEKLHDTVDKVSDLRASLAQKKTQLEK KDTEANEKLQRMVADQQEAEQRKHVSLEVQAALEIQEKEVATRKEVVLHDLARAEPAV LEAQKSVSNIKRQHLTEVRSMGNPPASVRLALEAVCTLLGHTVDSWKTIQGLIRRDDF IASIVNYDNERQMTRRHRTKMQNEFLSKEDFTYERVNRASKACGPLVQWVEAQVNYSA ILDRVGPLRDEVDQLEEQALQTKAEAQAIENTINSLESSIATYKAEYAALISETQAIK TEMSRVQFKVDRSVRLLDSLSSERGRWEDGSKSFETQIGTLVGDVLIAAAFLAYAGLY DQQFRKAMAEDWVHQLGASGIQYKPHNPITEYLSNADERLTWQSHSLPVDDLCTENAI ILKRFNRYPLIVDPSGRITEFLQKESKERKLTVTSFLDDSFVKQLESALRFGNPILIQ DAEHLDPILNHVLNKEYQKTGGRVLIQLGKQEIDFSPSFKLFLSTRDPSASFPPDICS RTTFVNFTVTQSSLQTQSLNDVLKFERPDVDERRNNLVKMQGEFKVHLRQLEKRLLQA LNESRGNILDDDNVIETLETLKKEAADISLKMDETEGVMLEVDHVTQNYSIIARSCSA VFAVLEQLHHINHFYQFSLQYFVDIFNSVLYQNKRLAQEKDHAARVQIIVRDLFINTY KRTSLGLVQKDRITLAILLAQATPFPMDRTILDRVLDDTVEDTDLSTSVDARDQVMTK LANMSLFKDHLSNVTQEQWDRFFGEELAENVVPVMWDESVPQLDQLLRSLLLVKICRM DRFVPTAERFIEAVFGRELFEGGADLKDVVDQVTATRPIALSSSPGFDASYKVDALVE ATRATCANIAMGSNEGLESADKAISNAAAVGNWVLVKNVHLAPAWLQSLEKRLDSLKP HKEFRLFLSMESSPKIPVNLIRASRVLMYEQPAGVRANMKDSLSSLNVRASKAPVEKA RVYLLLCFLHAVVQERLRYAPSLGWKGFWEFNDSDYECSAYIIDHWVDSVSQGRSNVA PQKIPWEMIRTLVAEMYGGKIDDAGDYQQLENLVNSFLTPAAFEDGYKLVSGVENDNL LTLPETTSMRDFVTWVNNLPEREPPTYLGLPANAEKLLLVGHGRKMISDLSRVTTLLD EGEQLVVDN PEX2_087330 MEPEDMTSDLSSDEAVAPRPTESHRQRAQNASFTALLEEHVVNG PSNGPNGAHVELSDAELSTARLLANTEPGGGVLDPREYQIELFERARARNTIAVLDTG SGKTLVAVLLLKHILQIELNKRAHGTKPRVAFFLVDSVTLVFQQSAVLRNNLDQKVAH FFGNLGPDLWDKQTWNKHLEKYMVIVCTAEILNQSLLNGHIGIDQINLLIFDEAHHAK KEHPYARIIRDAYLKADPGKRPRIFGMTASPVDAKCDIAEAAIQLETLLDSRIATTSD LALLRNFVNKPMEEEWVYDKLPPPSETNLHGTLKTKYGDMPILEGAFRFSSVASSELG VWCADQVWALALADEVLPKLEGSIGKVTDSDPQDSEKASEEIKRIQEASQLVKEYIAD QAFTPSDFSSKVELLMRKLQEQFAKCPDTKCIVFTQRRNTAKVLLQLCEKLQIPNLRP EILVGVRKGDAIGMNSTFRRQFLVLVKFRKSEVNCLFATSVAEEGLDIPDCNLVVRFD LYDTVIQYVQSRGRARRADSVYATMVECGNRNHTMRIQEVRRAEHLMKNFCNLLPEDR KLHGDDYDLGVLVHEENRKRTYTITSTGAMLTYRHAIGVLARFASSLQYENEISAKVT YVVMSENESFSCEIIMPEKSPVRRVLGCSESKKSLAKQSAAFDACLLLRKRNLLDEHF NSIYHKRLPAMRNAKLAITSKRTDQYKMRTKPSIWARQQGTIPTRLYATAIRLIPSEP LTRAHGSIVLLTRERITAIPTFPVFLDDDIETTVQSFCIDGCLEVASKELESVTAFTL AIFHDVFHKTYKQVSEQFPYWLAPAREDVDIGTSTRLFDVIDWEALQYVQDNPKLVWS SDIEPESLLTRFIYDDWNGKYRYFPLAIDPNLRPSDPPPSYAPSRKWSDDIMNWSLSL SKNSRPKFFNRCIWTQPVLQAELVCLRRNFLDKVADEEKESNSRCVICPQALVISAIS MSVAATCFVFPAIITRMESYLIAQEGCKMLDLGDIKLEYALEAFTKDSDNTEEHRSLQ IHVQRGMGKNYERLELLGDSVLKMATSISLFVQNPDDDEYDYHVNRMCLICNKNLFKS AIELKLYEYIRSRGFSRHMWYPPGLSLEYGRDHAKFFASEGMHPLAEKTIADVCEALI GASLLSGGDDNRYDMAIKAVTVFVNSQNHTATSWKDYISAYSIPLYQSRAADGFEKDL AQQIFEKVGYEFKYPRLLRSAFTHPSYPLAWAKVPCYQRLEFLGDALLDMMAMVSNKF LGALAVKLGLHLHLQHFSNPLMIQNSKYAEELQLAESESNGEVDYWLSTSDSPKCLPD MLEAYLGAIFVDSGFDFTVIEAFFKRHILPFFHDMSIYDTFANRHPTTFLHSQMTHSY RCTDYCLKSAEVPTVEGETPRVFAAVMVHGQSIASAVASSSRYAKVRASTRALAVIDG MTLSEFQEKYHCACQGGQITVDRADIGTAV PEX2_087340 MEEPQNLRSLFASAKEQKDALGSRGDTNAETYREEVNATITKFQ ECQRQVSMLSLFSSNELLEDISTSDIQYMTLEYHLAELLQRVAAPDREAVLKRALEEY EKYLMRLDEYLLLTSGDKKLFEQYVANPTSFTLAPANDAAVRREIKVTRFREEKELKQ KLEYFSRNEARLQSDDDDTRSLYLAEIQLYTHQTFQALDLLIQELSILSAMRNAPPRP PPTDDPRQRSNIGGLNYSERLDPSMSQLLRGGRGGPILNSKGKPMQPFTLLGRRAEMQ QGVFRPGHNLPTMTIEEYLDEEHRRGNVIEGGGEKSGIKPQVDEDDHNIADQETMKAR NWDEYTEANPKGAGNTLNRG PEX2_087350 MTTPAPTRKTITIGTRKSKLALLQTDLVLEALKKAFPQYEYKVF SKETAGDLNTTIALRDFTTKNLWTEELEELMIGGQVDVIVHSLKVLTTTSWKLSDVPT TLPSSCKLGPSMPREDSRDVLVVKQGLPYKSLSEIPAGSVVGTSSIRRTAQLARKYPH LKVQDVRGNIGTRLSKLDAEDSPYTCVILAAAGLLRLDLADRITQYLDSKNSGMLYAV GQGALGIEIRKEDTVLQDMLDKIGHQDTTFSVLAERSLLRTLEGGCSAPLGVETEWVQ NAQGAKQLRMRSVVVSVDGKECAQVELEADVTSAESAEAFGITVAKALVADGAGDILE TIQQNKAKESVSTAV PEX2_087360 MGSNGRTTKIPLVPLPKGSVLLPGVTLRIPVSNRPDLANLLSSL VDKPSKRDANTITFGCVPLNSPFLSRDGQQLLEGDDSDSERKEEYDSIDAGQARKEDL FRYGTIGKVIGVQRRAYSEPFLLVQGSQRFTIKKVLRDRPYFEADVFIHDESNSGQGD AEVAELFQQLRQLSRELLTLLRLSSLLSAASSRLSPLVARKFELYISKTELTQAGKLA DFMADVSDASFEEKLRILGSLDVKERLERVVEILTRQAQHIKSSVRVTSVGSTSFPSS GMDISQIDPRERELLAKRAISGLSGMTPPGGRGNDEEKEPNEVDELQQKLNDAKLSPE ARKVADKELKRLRKMNPANAEYGVCRTYLENLSEIPWAKVTEDQLGPDTLKNARKQLD EDHYGLERIKKRLLEYLAVLRLKQSTNLDVERQISGLSRDLDASAVGDVEKDVPLLSE SDRVALETRLEILKSKRMTDKSPILLLVGPPGTGKTSLARSVAASLGRKFHRISLGGV RDEAEIRGHRRTYVAAMPGLVVNALKKVGVANPVILLDEIDKVGGANFQGDPSAAMLE VLDPEQNHTFTDHYINIPIDLSKVLFLATANSLDTIPAPLLDRMETIALSGYTTVEKR HIAKQHLIPKQIRSNGLNEGQVNLSDEVIDKTITSYTRESGVRNLERELGSICRHKAV QYADAGDANRLDDYNPVVSVDDLEDILGIERFEEEIAEKHGRPGVVTGLVAYSTGGQG SILFIEVADMPGNGRVQLTGKLGDVLKESVEVALTWVKAHSFELGLTHDPGEDIMKSR SLHVHCPSGAIPKDGPSAGLAHTVALISLFSNKPVPPQIAMTGEVSLRGRVMPVGGIK EKLIGALRAGVKTVLLPDHNRKDVKEVPQEVKDGLEIIYVKNIWEGLHRVWPDAQWPG HQQMNFVESRL PEX2_087370 MKLDAKAIRYLTNEDFRVLAACEAGSRNHEVVPTPLISQLSGLR GGSGVNRAISNLAKINLIAKVKNAKYDGYRLAYGGLDYLALNAHQKSKVIYSVGNQIG VGKESDIIVVAESSGAQRIMKIHRLGRISFRTVKTNRDYLRHRSSASWMYMSRLAAMK EFAFMKALRENGFSVPEPIAQNRHTIVMSLIDAFPLRQISKVANPAGLYSELMNMILD LARYGLIHGDFNEFNILIKEELDPEMKGKELTEEEEDEHIRLVPVLIDFPQMLSVDHV NAEMYFDRDVECIKRYFKRKFHFVSDSKGPTFAEARKKLLKNPGKRLDVEVEASGFSR KMARELEAYMADVGVKGDGEASKDSDDDDDEDEDEDDEEDSGSEAEEASDAHETKDSE DVDDSTKRVIISDSCLNIQDLSVASFTTGVFDARSASTSNLQIPPLNNTMVRLSSVQA KALRRLQNQAGVQQPPKTQWPSVVGKPVYLPEFRVALVRTPNLTPRYAQFRVPLNFNK FDLRSYLWHLYGVGTLSIRSYVQAQPITRISRDGKGFGPWRRPKSQKRMTVELKEPFV YPQEPKDLTPWEHESWSKAEKFQIDLQEKENPKPNKGEQPDRELREAYKEQAKALLEK KETWRPTWKAMGLEPHQAAKNGGFVPASTLPAWFAKGRKHGAR PEX2_087380 MANEGERPTSADKGKGKVDDVRELNGKNSENGEKPVDEKKKDEA SPDDELSEEDEQLKSELEMLVERLKEPDTTLYGPALDAIKNFIKTSTSSMTAVPKPLK FLRPHYDDLAGLYEQWAPGPVQNSLADMLSVLGMTYGDEEKLETIKYRLLAKSEDLGS WGHEYVRHLALEIGQEYQNRLNADQDTQDLVDLALSLVPYFLSHNAEADAVDLMSELE IIEDIPQFVDENTYARVCLYMVSMVNLLTYPEDQQFLRTAHGIYVRYNKLSQAIVLAI RLNDTDLIKSDIHATTDKALKKQMAFLVARQQIWLDLPAEDEEGEALADCLNNTSIPK HFKSLAKELNILDPKMPEDIYKTHLESSRGAGLTNLDSARHNLASAFVNSFANAGFGN DKMMLVEGDKGPWVWKTKDDGMLSTTASLGMLLHRDVEDGLDKIDKFTYAQEDQVKAG ALLAIGILNSGVRLDSDPALALLSDPDNLEAKNVPMRVASIMGLGLAYAGSNKEELLE VLLPIVEDASLDMQLSAMAAVSLGLIFVGSSNHQVSEAIATTLMDEERQKQLKDKWTR FMALGLALLYFGRQEEVDVILDILKAVDHPMAKPTSVLASVCAWAGTGTVLKLQELLH ICNDIIEEKEENKGDELVQSYAVLGLSLISMGEDVGQDMILRQFGHLMHYGSSNIRRA VPLAMGLISPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLCGAGTNNARIAQLLRQ LASYYHRDQNSLFMVRIAQGLLHMGKGTMTLNPFHTDRQVLSRVSAAGLLTVLVSLID AKQFILAEHHYLLYFLITAMFPRFLITLDEDLQPLTVNVRVGQAVDVVGQAGRPKTIT GWQTQSTPVLLSHGERAELEDEQYIPLSSTLEGLVILRKNPDYESPE PEX2_087390 MSPAKMPLRADEEMGKKDDDHRPSDQARFLPRQHRAMPRPRRLL LAIIALVLVYEFFKHMPTDLAPAADRFDPAIAKLREESLARLGASDKPPAVLKPDTLQ PDNLPPVKVSESNRKEEAYDGMIKFYELAHSLPRYKYSQKAASRAVVFAASSLHSVSD LLPLACRMANQRLNYVHFTLMGKEDVSIEGIKEVNRIRDSECPMTWHDARPDYAPKST DGRMKRSVIGGLQNIQIYLSPEVIITQGQGWEDSFFWDGVEVYSQENGIPHLALSTAS RDLMWIASLDSEALRSWNKIQIEMVVHAPSESSGSLIRLMKSLDAADYLGSAPSLTIE LPRRVDSQLLLFLQHLGGLNQLSGRITIRRRIQPHDMDTAESSLRTVESFYPRDPEVT HLLLLSPQTELAPSFYHYLKFAVLNYKQSARAKRIFSNMIGISLELPSFKPTADSQSF TPPPMTVEGKEQFLPSFLWQAPNSNAALYFGDAWAEFHSFMAKRLSTPQSAEASQTKI ISEKYPAFMEHMLEMIRAKGYYLLYPSFPGIKSSSIATVHNELYQTPEEFENSNPASS VEEATQVIHDPTQPRTGGISAGLGSIEKPLNRASTVMPLLDLFSSGLPDLDALPLLSY DGEELTATAYSKQTEEYARQFRTHFGGCARDGKIDEPLTDQLFCI PEX2_087400 MEEDDMNIEPVDQRGTKRAAEENEPLEPPKPKKIKALDPDVVNK IAAGEIIVAPMHALKELIENAVDAGSTSIEVLIKEGGLKLLQITDNGHGIDRDDLPIL CERFTTSKLKEFEDLTSIGTYGFRGEALASISHIAHLTVTTKTAGSSCAWRAHYGDGK LIPAKPGQSSAPKATAGRGGTQITVEDLFYNVPTRRRAFRSASEEYAKILDVVGRYAV HCSGVAFSCRKHGDSGVSISTPASANTVDRIRQIHGSAVANEVVEFKLEDTKFGFRAS GLATNANYHVKKTVILLFINHRAVESTAVKRAIEQTYSAFLPKGGHPFVYLDLEIEPS RVDVNVHPTKREVNFLNEDEIIELVCTEIRSKLAEVDSSRTFLTQSLLPGVQTIESLQ NDQGTPVHERTGEAPKAGATPKTPATTKRPYENNLIRTDSKVRKITAMLGPATASPRD PNNPNAPTETDTPTKPILDDGLQYETTDRQPLKIALSSVKSLRASVRSEMHNTLTEMF ASHTYVGLVDERRRLAAIQSGVKLYLIDYGLACHEFFYQVGLTDFGNFGVIRLDPAPK LVDLLKIAAESERQEHSDSNGEEADSIFANAPEMIARTLVERREMLNEYFSLQISPDG DLLAIPLLLKGYLPALAKLPRFLLRLGPYVDWGSEEGCFRTFLRELATFYTPEQLPVL SQTVTQDMSGESATQGPVVADGSGIEGEDAFVRARRAQMVRMLEYAVFPALRARLVAT SRLLRGVVEVADLKGLYRVFERC PEX2_087410 MATVLVQQQALHHSTPPPSPIPPALTPTSTSRPSDQPSSLLSPP DEKMRIGRPSSPAVYAIDGAKLAAAIAHQASQPLPDASLMFPWLHGLHRDNHLQLGFF SSRKRHARHTPKCWRGLMVVKLGGDLSKSRIKGAISAHEVLAPSSHFLMADPPEGFSV RNFQIQTAKLAAMSDIVIYAEDDDSHSGLVELANQFAKAQRLWRTKMDPLEERPTYNT FILADKFSNVADQHPEIIAIHANGQPTGQTMDFVQWERLEMSTMSRASEISSNVWLGS TPDYFHTGTLESPKEEGYDLLIEACDMANIPGPRFLAMLDKQIESGPQRLEFPSSGSL VLPSGNTREVEDIVNTIRWIYYLAHPDTSSEELDNDGDVAMTKSSGKPRKILIHCADG YTESSLLAVAYFMFAEGVPAHEAWLRLHCNKKRNFFAYATDVAFLCHIQGRLLQESPA TQSTDLSEIGDPHWFHNMDGSFPSRILPYLYLGNLNHANNPELLWELGIKRVLSIGEA VNWTDADRANWGPDNLMYIDNVQDNGIDPLCQEYDRCLDFIEKGKRQGTATLVHCRVG VSRSASICIAEVMASKNLSFPRAYCFVRARRLNVIIQPHLRFVYELIQWEEHQMKKRG LPIKRELEWDTVAREIALLNKPYSRH PEX2_087420 MAMNFVTFNQDYSYLAVATSKGFQIFTTEPFAKSYEAKEGNIAV IEMLFSTSLVALILSPRRLQIQNTKRQCTICELTFPTTVLAVKLNRKRLVIVLEDQIY LYDIQTMKLLSTIDTSPNPNAICALAPSSENCYMAYPLPQKAPAGANTPAHAPPGTTH VSPTTGDVLIFDAVKLEAINVIEAHRSPLALIALNSDGTLLATASDKGTIIRIFSVPD GHKLYQFRRGSMPSRIYSMSFNTTSTLLCVSSSTETVHIFKLTQQGSTPDGSSAHSPP GRDHGSPPNTYGYSPEEDEAGGDAGSDSSLRKHNGTLMGMLRRTSQNVGGAVAARMGG YLPKGVSEMWEPARDFAWIRLPRPSSGPGGNTGPGPLRSVVAMCSNTPQVMVVTSDGN FYVFNIDLSKGGEGTLTKQYSVVDSTDRLGYTTEY PEX2_087430 MVTKLFGLAAGLLALSGLANAHGSHSNDEAPSDDWATRHMIEEH HIGSFDPTSFFILHDYDSSGTWTPDEVRKTYGLNDESNAAVSEEKKQDAVRAVFELFD TGNTGFIDREDWLAGIAAGRRLPDLGYGPGHHGDLEYEYEIHHFEKYHGDDSTEEELT HFEDIEHFRKHDEEEDAAIALEKLEQIQVVVNNIPQKFRRNF PEX2_087440 MQGLWSRAVTKQSSCRCVGCLSTTANGVTSRAATSSKRRLRIGN SVTALYGSIFAGAAIADASVKDRRRHDWDEKIAAVKAEVSELVDEEERILASLQSRRE TRELNRLTQARGFGTVSRFPLGIQSPASFNRIRAFHTERTRLNAVGARTVENQPAEED EHTIDEQDESEIFLPQETLPSWVLEDDLRLKAIQKLALRQFAIRILLRPAIAHRYSGV SMNYAADFETPQVNVSQLLEELNHLRHRMNTLKTNRHATYSDITQDYAASQLGEMQRE RETLDTELTNDIHIYTSQQMSLQELLMRISNNILSSRDPDRPTAFRLMIMAFGQTRQN DLVELLLRTLLPNRFFLNPSLVITIITFFRKSKNLKDFDLFLKMLGGEGYSVNLGSRG VYKHRTVNGMDLIVPSLNSSNPVIYAALIGAALRFDQPDRADAWLQAARGGGFFDSWE TLFTYLRFYSLRRDWDKGVNVLKRAVTYLLSSTDHRLDSVERLLGRMVGLCDSCDRLD ASDALVRAAVHSGFDPRLLSRQSDSGPFAHADPKRWSKAAKQTPKENIDRPLWQKCYD FGNTFGEYLSQVEVPVEETFARRNAKLADQHADNALFTALGRGRTSASGQGQTPTGNT VNEDISTLKGEVAQLRELIYELRKHHISETSTKDSSRTSTGEETLSPSAETAIPLKNP QPQTPSVSRNFTRTSTRISLARSQTSSSSPRKSTKRNRQREPSADQPAVESTGPLAES TAFAS PEX2_087450 MSDSRSDSEGGARAKRQKMDAGDPKNNPYLAHMYENNNGSSDNG ALAKFKRHQTTAAMAKKAEDGDINPFSGRPFSSKYFSILKTRRDLPVHTQRDEFLQLY QQSQILVFVGETGSGKTTQIPQFVLYDDLPQTQGKMVACTQPRRVAAMSVAQRVAAEM DVKLGEEVGYSIRFEDMTGPKTIMKYMTDGMLLREAMNDPNLSRYSTIMLDEAHERTM ATDILMGLLKEVVVRRPDLKIIIMSATLDAQKFQRYFMDAPLLAVPGRTHPVEIFYTP EPEQDYVEAAVRTVLQIHATEAEGDILLFLTGEEEIEDASRKIALEGDEMVREADAGP IKVYPLYGSLPPHMQQRIFDPAPPPRRPGGRPGRKVIVSTNIAETSLTIDGIVYVVDP GFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEGAFKKELIES TYPEILRSNLSSTVLELKKLGVDDLVHFDLMDPPAPETLMRALEELNYLACLDDDGNL TQLGRLASDFPLDPALAVMLISSPEFYCSNEILSITALLSVPQVFVRPAAQRKRADEM KNLFAHPDGDHLTMLNVYHAYRSNEAQENPKQWCHDHFLSQRALQSADNVRSQLLRIM ERQDLEMISTSFEDKKYYENIRRALCAGFFMQVAKKDTGGKSQYLTIKDNQNVLLHPS TVLAHEAEWVLYNEFVLTTKNYIRTVTAVKPEWLLDIAPTYYDINSFPKGDIRSALTR AADRLARKEKMRAEKHR PEX2_087460 MESYNSSEDPGPAFCVGHHEHNRLVTVTPRVIQNVHESNYDMMT VPITTSHFHSRVLGLLSSYLANLESPTYDVVGTMATTQSTRPMVVPPLSKADSYLTPN DATSQLVGVTSSWIDLCSPDPLIADLSRQVLMLEVAYAAFCGIGYLLIPGPKLHHGTL HSESVIYYARAIQDALDLGPYIQFHIWQQMVDNPEVEVDTMGDLAPLARAEYLAPDEG EPLNVDPFGSWDAWDSIRKTCKYHTRLLVALSLPKQLPSLFVQSRWHSEPVRLLTIDG STFLKNQKGYPVLSKSHQGLIAKMMRLRTAPWIILCGVGPIPGLENIEDPDTNQASLS GSDYPSLSQAGKKHYDPTPHLSYIRNLQQRQPPRTAIERFGVGYQDYLQAPLQPLTVN LESITYEVFEKDPIKYEWYEKAICKALKDWAAQKKATSHPDGKVILAVVGAGRGPLVT RALKASADAGVEIDLWAVEKNQNAFVLLQRHNETIWDGKVTLVQSDMRSWKGPLVPQK PTTAGESLEDSAMSHTHVDIIVSELLGSFADNELSPECLDGVNDVINPVHGISIPASY SAHFTPVSAPKLHADVVHQTVSNPAAPETPYVVMLHAIDFLSTTGTPASGESSNPNNG NRASTPSASFSTTEFPTPFVQTAWSFSHPNRHIPPQSPMQSTISNAHNVRQTRLAFPA QNRGVCHGLAGYFETVLYGDVELSTNPVTMDAKSANMISWFPIYFPLKTPLSVPENGE IVATMYRQTDDRKVWYEWMVEVYALEPTAASTKPIPVSYAQAGSPSEQSPKTKENAAK KTHRTGYRRVRVGMSDLHSSIKDGCLM PEX2_087470 MRFSFFTALSAVASLGYALPGKLQSRDVSASELDQFDFWVQYAA ATYYEVDYTAQVGDKLTCSKGNCPRVEEIGATVFYDFSDSTITDTAGFITVDHTNSAV VLAFRGSVSVRNWVSDATFVHTNPGLCDGCLAELGFWSSWKLVRDDIIKELTDAFAQN PNYELVVVGHSLGAAVATLAAADLRGKGYPSAKLYAYAAPRVANAALATYITAQGNNF RFTHTNDPVPKLPLLSMGYVHVSPEYWITSPNNATVSTSDIKVINGDVSFDGNTGTGL PLLTDAEAHVWYFVHVDVGKVSGLPFKKV PEX2_087480 MRLGDLVLLLCAPCIALCCSVQSLHLIFPLHNTNFENCRSAIIL YTYRHKPLSYYKLYQTNIVGS PEX2_087490 MVLYTKLLVAVLAHYATAQFVPAPSDLITKKGYAGVNVRYKEVP AGICEQDPNVKSYSGYADVGKDEHIFWWFFEARNGDPNDAPLTVWINGGPGSSSMIGL FQELGPCGVDHDGNVFNNPHSWSNVSNMLFVDQPATVGLSYTAPIPAYEDNDGNIIQL PSEECPDYAHDLGTCGTYSKPDIALVPNTTANAAPNMWKTLQGFMGAFPQYSRNGFNF ATESYGGHYGPVFNEYFVEQNHKKPHGSIKIDLENVLIGNGWFDPLIQYQAYYNFSIF PGNTYDFNPYNESVQAEWYNNLYGEGNCVDQTLECYATGRNDICSTADNFCASKVESL FDTYSGRDEYDMRELTPDPFPYEFYVDYLNSPKVQAAIGAYQNFSESSGTVGNAFGST GDDDRESGTIESVKKLLDAGVQVLLYFGDADFNCNWLGGQVIAEEINAKGYEHAGFVN ITTSDGVVHGQVRQSDLFSFVRIYESGHEVPFYQPLAALEMFERVVKRKDIATGKKNL KPHGGYRTHGTPTSEYREGNSTVVLEVLDSNATYNTTLNGPNPSSSASIRLRRAGH PEX2_087500 MTSPVKGDGKLSELKAADARLLIYGALCCDGKIDFEKLASFAGI KKTSASTNYWRAKNNLQQILGLSAASPTQAIDPKNDDAKNEDVDDADTGSPKKTAARA KRGTAKDASTKKEPAKRRKTVSKTTSQVVDSAQAEDASDIAPADTVDQTK PEX2_087510 MSPELKNTWTPAVNVLTWFMLVTAILSLLTRLGTKYFIFRKWTF DDGLATTSLVFCIAQSIAVSMATKNGLGQHLHMLSDLQIESIMKAEYSATILFIPSIC FSKLSLLVFIRNLTPASLDRRFALVLGIIIGIWTIVGIFTAAFQCNIPQTWNFLSGTC FNRVAWWNYLGITNILSEAGIIGQALLVIVRIQTDFSRKAGLSSVFLVRIIVIIAIIF QLVYATETSEGDYTYDAWTLTISTQVAQCVSIVTACSPQFKPFLDSLQSSGMGFGMTS SNNHGSKQKTYGTTFKTFRRTADTQSETHELVSVSHEGTNQTLVTSAPDEDAESQSSR ANIIMETRTWTVTEGLPN PEX2_087520 MRSQFSKQLSSAGAEEIGELSRKELDDANLARMGKRPVLKRNFG LMSMLGFSCTILITWEGIVVLFLQAYQNYLCDSIRVVIDGTNIWRTVPLDIHASTAIV HESHELPNRRVEDPLSYAKVKLTQFKGWLTVIGWQATFATSCYLVGSLVQGLVVLTHS TYEPQRWHATLMFWAVVLLSVAINSLGGQVLPRFEGMILILHILGFFAILIPLTYMAD HGTSKEVFTQFLNMGEFPSQGLSFFVGMVGCIFSFAGGDAAVHMSEEITNAAIAVPTS IMLSVMINGSLGFGMLIAMLFCSGDLGSALETPTGYPFLAIFLEATGSIAGTAVMGSI VTTMGATTSVGMLASTSRQFWSFARDRGIPGWRLWSQVTEKSAVPIYSVLITSVIACL LALINIGSTVAFNDLCSMSISGLYLSYMVVGSLLLWRRCTGGISSVRSSDSAIINTAG AKLVWGPFHVPGIWGILINAWALIYMTIAVFFSFWPPAWAVTVQTMNFSVVGTVGTIM LSLFYYYARASKVYSGPIMEHNL PEX2_087530 MPPFDLEYTTPTPSTLVNRNNQTEMYSSPVNIPSPSPTSSHRVS KAMKGKRVHACERPGCSKVFTRAEHRRRHELSHEPKKQYPCTYEGCTKAFHRPDYLTQ HLGRHATSGPKENTSHARSNTPPRRLSLQQQIESQPANSPPIQFQPDTTDNYSGVWGS MDSSMSCPQYARGQSHSSASVDDHPSPYSYTNEICSSPVASDSFANPQYPTSGMPIEM VTAIDQYLRSILKPEAFSSPHRQMNPAIWNSDIEIDPALTKIESPDQLPLYSWPPTQQ LQYEDVQMNHPSNNR PEX2_087540 MPNSRRRNISLAAALPTQGQSGAAFNFIVAGCPDLLEALIPSVY INQADTNGWTALHFMAKNLRKLEASRILVNRGADARAIDKMGNMPLHEAIAGRLISRE NEAGPLEWPTLSEKIQAHDGIILTLQEAGALVDQPNVAGKTPAQLLMDKRAQWNECNI ERMGRGTKVNRRLTGEVGSFTIHRSANNLTESMSTVYTAKKGPDESISVLKGADTDSS TSLDIAQLGDPGSERRFWFQRGKDFDPEAIATQPSVYDNPDTAKEYQPRADWENLHRF DPSARWTWSEEYKLIRKIDLRIMIFTCLMFMCLELDRSNLAQALTDNMLPELHMNTND YNLGNTVFKLSFLCAELPSQLVSKWVGPDRWIPTQMVLWSAVAMAQYGLNGKTTFLLC RALLGILQGGFIPDVILYLSYFYKHHELSLRLGFFWTAMNIADILAGFLAFGFLHLRG VQGQSGWRWLFLLEGLLTLLFGLSAYILMPPGPCQTANWSRGKSGWFTEREETIIVNR VIRDDPSKGTMHNREPITPKLLFKSLSDYDLWPIYIIGLTFQTPVTTPKQYLTLTLKG LGFGTFVTNLLVIPSEVLSIFFLLLLTYVSEISSQLTLVSMIGQIWILPFLIYLYVVD INTVNKWAVWGVMTVLLAYPNAHAIQVNWNSRNSNTVRSRTVSAAMYNMCVQSSGIIA SNIYRADDAPRYRRGNGTLVGLGVSNIFIYLLTKVYYVWRNQSRDKKWNAMTDNEKTH YLATTKDEGNKRLDFRFAH PEX2_087550 MASAVTETAPTVVPIVEDKITLTGLAREPLKSNGTLDAFESFDV TPVIGREFPNANLKDFLRAPNSDDLLRDLALTISQRGVVFFRKQDGLDNDLQKELVQR LGELSGKPSTSGLHIHPVANSGREHSVKDDEISVISSAGREKLYKDRNTRKQTARREW HSDITFEPIPSDYTLLRLTELPKTGGDTLWASGYEVYDRISKPYQKFLEGLTATYAQP GFNEIAKLNDFQIHPGPRGAPENVGEELIAEHPVIRTNPVTGWKSVFAVGTHVQKVND VSEEESRHLLDWFVTLIVENHDLQVRNRWQNPNDLAIWDNRSVYHAATWDYDGLGPRT GHRAVGLGERPYLDPKSIGRREALAKSE PEX2_087560 MPKELYVPAISLKDFESRKEEITKQLVEGAETAGFFTLVDHGIT IEEIEKQFALSRAFFALSPEVKGRIPHGLHTNNGWEYKSQIRPSTGVPDQKESFWLQR DSQWPADEDVPGFSEGTKSFISKCEGISKQVLSCLSIALGFPENHFNEAMDVEASDNL TQMRMIHYMASENAAGTWRAGNHTDVGCLTLLFQRDGEDGLEVCPGRKNHNDKIIGEE FSPIPAKTGPIVVNIGDMLMAWSDDRLKANFHRVRAQGVGPSPDRYSIAYFNTGRRNV VMQGPLKKYPAITCGEYFREKTVVQFGHNFPKTKTELVTDTPMAPMAAVATPVA PEX2_087570 MDTSKSILSDFDVNAVLREATVAEKVGLISGKDAWHTYDIPRLG VPSIRFTDGPNGARGTRFTAGVRAACLPCGTALAATWNQTLIQEGGILIGMEVKAKGA RVWLGPTVNIQRSPLGGRNFESFAEDPFLSGKIAGSYISGAQSTGILSTLKHFVANDQ EHERMAVDIALKEGQPGGIMASYNKVNGLHVSESPALLEDLLRREWGFEGMIMSDWYG TYSTVEAMNAGLDLEMPGPPRLRGILADLAVSSRKVSHATLNARARNVLNLVKRCAKI DGVSSIECTRDTAEDRATNRRLAGESIVLLKNECGILPIPSDEVEEIALIGPNLKNGA YCGGGSAQLDSYYVVTNYQGIVDRLTNNGERKDVKINYEIGVHSWGFLPLLGSQITSP DGRVGMLRIRFYSEPPSNQNREVVDIMDITDSTWQLMGYSHPKLGPQFWADVEGTFSA TETGEYEWGIACCGTASLFIDEKLIIDNTTIQKPGNSFFGRGTTEKKAVMHIEGGQSY QIKLQFGSLPTSKIYKEGVVAYGAGAGRIGVWPVSDPDKAIARAASLARKCKYTIVCV GLDKELESEGYDRPTMDLPEPTGRLIAAVLDANPDAIIVTQSGAPINMQPWVSRTTTQ AHMWYGGNEMGNGLADVLFGDINPSGRLPLTFPNAIEDTPAFLNFESERGRTVYGEGV YVGYRYYEKLKKSVTFPFGHGLSYTEFAFSDLSVSQKSVSLRVQNFGSIAGATVAQVY ISPSPTNTISRPVKELKGFSKVFLQPGESQKVVIPLDNLSTSFWDETLGSWLSEKGTY GVHVGQSSADIVLSGSFEVKETTTWTGLDI PEX2_087580 MGLSFLQKKNRTDAEFEGIHAVLPKDRKPWYRTWHLIQLNLILL VPLVSSSAVGYDASMMNGLQSLTQWKTYFGHPNSAILGTLNAVYPIGKVLMVFPVSWL GDRYGRRFPMIIGLCGMVAFAIFQAASQNVAMLIVSRYLIGSCTIMVAQPSPILITEL AFPTHRGKLTALYNSSFYIGAIIAAWGTYGSFTLSSSWSWRIPSAIQGALPVLQLAFF WCLPESPRWLVSKGRIEEARAFFVKHHAGGDEASPIVDFEMQEIMQHMETGEEGATKV PWKEMLRTQANRRRTLIAVILGFYSQWSGTGLVTYYLTLVLDTIGITATKEQTLVNGI LQIANFAGAVGVGALMIDWLGRRTLFLISAVGLFCSYVCWTVLSMEFHRTGNPSTAKG VLGFIFIACFFFNIAWTPMLYAYTVEIFPYKLRSFGLSTALMTANLALILGQFVHPIA LADIGQRYYILFCCLLFVLIFLIYFLFPETKNRTLEEIAFIFEGEQNILGQKVAEEPA VMNEKEQVVTKKMEINV PEX2_087590 MPTGDDLPVGQIPVGEESPQEHFVTGSNGEKFYIGENTSLSFLD YLRHSLRPWVGATSFTESERGNTLLEPEMDEVAGEEVHLDLAEKRELFQSYCEVSSGI LHLFADDEVELLLTANTGNDSPKYNGEDIAAMDAALAIGAQARASAPHDAYNAMTLFT RARCVAFQDMLANPSLAIVRLCLLLSFYTLGASRQSAGSIYLGIASKAAVVLGLHQPM SWKSLKLKSGYGVRLRIWHSLCILEVLTSSLLGRPCTVPRATRHNVQSLPFDAEEPAF NAVLKGVVLLDDICCQLNRGAMNDIPTAQNLLQRLRTWSRDLPPSLRRFSYTNGVSMA YSDRKKAFGSIHVSSLYYFAVILVTRPFLIETFMTRMRQQSGLSSQGPLDPQRASLAQ VCMISAMHMGHLCQQVASVMTASDLPFGNLGLFKSWAFGSGLVLGFSIFAGESQDDLR GAFSGVVNLLETAGAVSPQSRVYSKTLHELEETINLYQRLASRKARSVADQYVDEILV FDTGQGVSMSSMQNSGPQDFTPRAGPDLETNWQMSNHMVHTEINADLFIDEGWEDLGY QFSDNFALDFGVALL PEX2_087600 MEAAYNEVYEKIALNTDRFNLGDEEYWGNIGNYFAQQGIIVVIA NHQLVPYKKDEVSSKEAEQTTIKYPAGADDVQLVREWIYKNISSPKFGNGSVDKVVLF GHSSGGAHIAMNLYAAGDPERTVTRSDIFPPVAGVIYLSVPFWYDRTRPIRQKILQRY YGSDAEDVWGPRSSLGLFKSLPKDSPALDAIKLPTYVGTVKWEVKEAFDGAIAFLNAY RERAQPAGTNAIFHVLDKHNHLR PEX2_087610 MSSIDSSDIPGLEELPNAPRVTLAPNVTLQPPLSRRGHGPGLIV IDPGYDLPYIPSAELPSTTLDPVPQYKWAEEGYAVLRLAARKTSEPEDWSLEAGFLDK AIESLKSLEECDVKDKFGILLYGTPEEYAPSFPEQLATAVKGSDNIVAIVTFSSEFDF TSTSKPQLVHQGGKTVPTEKEGLTIYTYPEVATGFIMPHTPGFVYSAAAVAHTRSLTF IKKYIGGPIFELEKIWDEHTYWEFENRSVEKTMATMVREPYVNHIPTMTGGIGRKQLT NFYRNHFIFSNPDSIKMNLVSRTLGVDRIVDEFIFIFNHDKEVDWLLPGIPPTHLPLR IPFYAVVNIRGDRLYHEHISWDQSTALRQLGLLPEYLSFPHAVPGMEGKKLQYKLPVA GFETAEKLEDQRKVDSNTLFMPNGSGIREHQGHD PEX2_087620 MTVQTPNTKESNGELSTPSPTPKLRLRNILNMSDFEVAASQRLS PKAFAFFKAGAEDEKTEQWNRNSWQLARFRPRILRPVESVDLSTTILGTKVSVPFFIG PAGGGKLAHPAGEILMTQAAAKNGVLHWVCNMAGCTQEEMACARAPNQTTYWQIYAKT DLEVTEKEIRRAIELGYKGFALTVDAIRGGKRERDLRMEAAEYEASHPDEPEDDEDDT PTTGGISAQRGAVCSSFDWDSAITWLRGLTDLPIAIKGIQCWEDAALCMKYGVHPWLS NHGGRQLEGAPSAIETLIEIRQNAPEVFDNCEVIVDGGIMRGADVVKAIALGAKGVAL GRAFLYALAFGETGVSKAIQILRAEMETTMSLLGVTRIEDLNPSYVAIRDSAVRSRL PEX2_087630 MAPTTATALVTKGGKLSKETIPMPTPGEHQVLVKISHVAQNPTD VQSLDANAFGDDAVLGCDFVGTVEKTGDKVSRIKTGTVIAGLVWGGEIKGLGGYSEYT LADERICFPLPEGITPEQASTVPLAACTALLALFSKDCLNIPQKSGETVLIWGGSSSV GLYAIQIAKYYGLDVVTTCSPRHHDLAKSLGASHAFDYRDAKVAESIKAATKSSLKYV FDAIGSDSSSVTASQAISEQGGVLCTVRPGKAFTENVTKQTKVTDVLVWTAFLKEHRY KDFYWPPHKEDHELSAKFFKELPKLISSGVIKPNTPKLFGRLDSVLEGFQEYRDGLIS NSKIVYKV PEX2_087640 MKSLNILATGLFSFAVQAAVPSSGTAEAKTALIKELLTPQLSEN ATIVFPGSPEWYDVTHRAAAPRLHPGYLAVVDVAAEDDVVNTIKVANEIGVPFLAVTG THGWTDDISKIQGGIQIRMRGLNQVGLGPNNDTAYAGGGVIQYEVVQGLYPYGKQAVH GLCECVSIMGPLLGGGHSVLQGEHGFAADNLVSAKVALHNGTVVTASATENEDLFWGL RGAGHNLGIVLEFEVKAYDIHPDPWTFTTLVYEADKIEEYFEAWNKLEDTIADPGLVV LNGYYRNLPDIKAGKPLLVMDLIYQGYDTAAPQYLEAYRAIGHIHEETITDIYWNKLF DVTNFGRDDRVCVTSQNWGGYVNSIVRWEPASMRESYNIFADLVAIETYNTSTFIFES YGRKGVRDLPDDLNAVPPEERNKHNMLAAFLFWSGDNKTELAVAREFGEKLQLSSRNG EITHSYVNYAIGGEELPQVYGRDVDRLQKLKAIKTKFDPYNKFGFYAPLTGA PEX2_087650 MAPSKNQLSTDISPDLKNDAERDLIESSQRATRREHDLTVQQAL SKHRSAIFWAAYFILPGFVIGYDPTILGSLVGIPQFRKDFGYEHPAGSGTYVLSSSWT SAFPYAPIIGFLVAPIWAGWCVDRFGPRKTLLCCTTLSLGTLLMEVLGNTSGIIFAGD LLTGLLTGGFPVLGPAYISEILPVSLRGIGLAANNFAQVAGSFIAIGILRGTETRADK WAYKIPFITEYAFPVLFILGALFAPETPWFLVKKARYEEATKSLERTGYTQDLDDTLA HMKETILLGEQCVSTTAYLDCFKGTNFRRTAICSICYSGQFLCGVNVVSSYCTYFFQL AGVSTDQAFDLSLGLFALGIVGNVISWPLVSIWGRRFGYISTCCATAVLMFLIGFLDL APSSNKAALYAKSSMLLVFYFIYNFGLGPLVYALIAEIPSTAIRGKTMGVACSFAHIF SLVITAALPYAMSPLEANWGGKIGFLFGGLSVGVVVWAFMCLPETKGRTFEELDILFE RKTPAWKFDSTDLTDFDRAAVIYGHSGSV PEX2_087660 MRWASETPFETTDTLVITVGEWYVDLRVDKQSGKIDWALAGECI QESKDPRRVVFTHEIDSHHNFNISNPCPFIPLPNGDDLETGTMARPDVPGLPMTDYEE VWRYLPVPEGPEGPGRGLSWILESDEGVLEEGQHQVTKVFLAQIGGSYLVLHQKQIHV VGRTPLGERAVQITGEGVSARREEWADGHWEVKYALGPSSEDLPSMADGLDVEMRERK SGEKVTVGGCDFILRAFAAEAPRQIRESRL PEX2_087670 MTWILIAAFIAAFLVYHLSISTLFSPLARIPGPKSFALTKFKLA YEDYKGTRTRKINALHQQYGPVVRIGPSEVTFNSTTALRAIYGAGSGFERTGFYHMFE VYGRKNMFSFATAKQHGERKKLFAHAYAKSVMLKGQNAEMVEQKVKLYMDLLERDGGK SEIFSTLHYFSLDTITDFLYGSFGRTSCLEGLGQDRALIVDIIDTARRKLSWYAVHFP HFTEWLYSRTGVSGCIAKWLYPMQLPTTYSGIRLHAMKATQKYASASASERASTTALI SRLWSHHHSEKPNGIDDLDIASECADHLLAGIDTTSDTLMFLIWALSRPENKEFQNKL IEEVCNIPEDSLNSNGIPKVESSDKLSYLDAVIKETLRLYAPLPGSEPRSLPTVTTID GYTIPPQTVVSMAPYSLHRNPEIFKNPTKFNPERWLDPSENLADMKKWFWAFSSGGRM CIGMHLAMAEMTTLVAAIYRKYNTTPIGDFGTVSPGITGRYEVFYDDSCSRMREHECQ IQFTPHQAI PEX2_087680 MSFPSLPNEVILLILSQLKYENEINALCRTTRWLHALLNPILYK RSLTQQNGGYTLEWAAINGSTSTARMILDAGAPPNACGGKPWQPFALAAFYGSSEIIR LLYEHGIDPCSTKNDWDNHPHGKKGIRNWEEGHPLSMAASSGHVNVVNLLLEYGVCPD LPTGSLQKRTALHRAAENGHLDVVRVLADAGSAINAQDGNGATPLALAAQEDYLDIVE FLLSRGANPNIATEGRGTSLCMASRTGNIDIVRCLIDHGATPNPSHPDGMKPLFQLSH AAEAGHGDIVDLLLTRFDYIKSSTEPYQQGILLCVAAITGRTTLLTNLLTKHNYDPNL RVTNERIFTPKRSYFWAPTMPLTWAAERNQPAAVDILLSHGASIMPPTDKSPNNSRTN DELLALLRAIHNGHKEVVEIILAHGANPNDPAGEALSTAKSTPSIFSLLLSYNADPMI ALPNRNLLADVLDWGNVDTLRILLDHPKGKELVTDPILGGDLFNQCLVPLFSAALSGG EDVLRFLLDRGLVAAPKNIHRETVWQYISVAVSHGRISLVRLLLDLGLHIHAGDNTVY LLMQAMKADEDPEGLLDLLLHNGCRIDDTDALGRTAFIRAAGHGHQNAMRWLLKQGAN LLVAFEGETALSVAVREGQLGAVAIILWAFDDRGLTLGEVEGALKRAEENALELDRPN IAIVLHRFYWRKRYPVSP PEX2_087690 MRFTTSTLSLLLQGAIALNVGPEYSHPIEDLDVDLPFSQPVTFA HLEWQRCLAASHNKPLDIAILGFPYDTSTSYRPGARFGPRGIRAGSAREKKGRSYNTV WEVDPYDEGLEIIDCGDIPITPFDAAHAFKQMEQGYRQVLYHPTSEGSSWEHPRIVSL GGDHSIVLPILRSLKHVYGPISVIHLDSHLDTWDPYEGYTGIASNQSAITHGTFFWHA SREGCIAKGTSVHGGLRTKLFSPKDYEIDQNVGFTIIEAHEIDDIGMSGIIDKVRSVV GDTPTYLSIDIDVLDPSIAPATGTPESGGWTTRELKRFIKGLEGVNLVGADVVEVSPP YDTVAETTSIAAADLIVDILASMAKLKTGPKVKAPASKDEL PEX2_087700 MAQFETKDGGDLESSLQGSTKEVTDTVVTPVLAKSFNLFSACAT GITTGNAWAVLGGGIIASLYNGGPPGIIYEFATASFFYCFIAASIAELASAIPASGGV YHWATITAGPRYGRLCGWFAGWLNGLAWAFAVAGNCSMTGSMIVYSYALYHPGFTPQR WHVFVCYLIISWLCCFTVMFCQRALPLISRIGSFFIVLGFIITVLVCAIMPSKNGAGY ASNDFVWKDWSNITGYPDGFTFLAGMLNGAFAIGAIDCVTHIAEEIPDARRNIPRALA CQVIIGFATGFCYLVSMFYAVTDLPFIVNADSICPLGDIYLQATGSRAGAVGLLTLTI APIFCATIGCYITTGRTFYVLGRDDATPFSKYIGAISPTWHSPLYATLACGVFLTCVG AIYVGSYTAFEAFIGSFVLLTTASYFLAIFPHLLTGRKNIRPGPFWMGKAGPVVNAVA CMYIAVSFVIYCFPYTLPTSPEEMNYTSVITCGLTLLVALWWSPSRSPTATAITHIEN QPYIKDTMAGPVQAPRKQTKRIRQTKQKSVTFTGSRKVKCDERQQDGCGVCQKSGLEC AGYGVNLCWMTDKKRDIQGLRRRQIRLEQSTSPSISDDEINRILSSLDTISTPSRTVS IGPFAIFSKSEHDDNEDLELQASLENSHQTRDCDSEITPSQFDPVDLSSEIFDIIPNE SETSHPEILEMDFSSNWESVIAMMDTPNSPTLSYLRGRSLTIYPSPHSELSMPLFKDH KTSTLMYHYKNHVSELLQPVFHPRNPWRTTYLPFALEGCPDLCLVQNTIPSSGVSISL FHSILSSAAFHLRNVMGGSREYHNLGLQHRAKALRALKFALVAPNNSQQYTVYLTAML SLVTIDTMTGEDSDFPIHLKGCRQLQRPDHASDALDDSSRQVSSICHFLSLLARTTAH ELEPRPWTIEGPFFEEPYFHDDDTNIQYMYGITPYLGNLLQKTCQIAEYLAFYQDGEM PAILLEACSALYDEIFSWGIESESFHLISLEEPTMLEIIRYQALAFHSAIAIFYYRAI ENSSPVDLQERVGAIWKNLSLAEDLKDAYSCGDKRAAPMSWPAFIAACEATDRQPWVA WWERVQGYSMGNFKRQWKVIQEIWSIMNSDENVVNWRDALKQSGKLVLPI PEX2_087710 MTSCLSKQSVIIAGASAPFAEAFRACYSGSKDKTIALNLNPLIS SNSAEAQAQINTAFGALGDQVDVFIIDARTQEPSGRDAIWEIPLSEWDQGHTPISCQK KMLVHARLFLRHQFQVNKAKSHEDQLGNGFSVVVLGYQDLFGISLDQVISKLQRDVSR LHPGASVNFLDLSTSREASTQSIVAATAILASAKPARGIISLGDIPSVTSTNNKSDVV QNTQLCQSLPLRQKHPKVQIAMSFDFDAVSAFLGTGEHPDNNLADYSTGIFAARVGAN RILRMLQKHQVADKVTWFIPGHTMETFEPMVKEIIKSGAEIGLHGYSHEGAYQMTPTQ ERDVLVKCMEVSQRLTGKRVRGYRAPMYQLRETTIELLREFEFLYDSSLSHHDSQPYF TPSDPPIERVDFSQPASSWLRPTSLAAADARPAGHPLVEIPTGWNNEDMMALQYFPHL DNSHGHVDARVVEQRWKDMFLWLWENAHIDGGDGSFVFPILMHPDTSGMSHVIGMVDR FVGWLRGWGDAVEFRTFESITQEWLEEQLKAQ PEX2_087720 MKFGRNLPQFTVPEWSTSYIKYKALKKLIKSAAEQIKAGQDPDL AGFFYNLDRNVEDVDYFYNKKYAEFARRLKLLEERYGYSMEGRHPLEPEDRHDLREAL LDLRYHLRRLQWYGEVNRRGFVKITKKLDKKVGAQAQKRYLETKVDPTPFASNERVFK SQDRINAWMAIITDQSKADDKVSDDASSTHSSLSLKRGSTRPYLNLPTSIVTAVDDAL RKDDTHVLLELLETLKVTADEAGETVYPRVLRTLIQRSILHRSRAALTLLLTRVDTLE EDDDINRRNCLHRLVISIGREQPTTDSEPAASMVLNFPPETTRYITPAAPPTLQPPRP VVKEEHMPQQLARDDSAVAHFHFLLDSLRPDQRESLMAKDLSGRTPLHYAAQYGFKVV CEVIIEHLIEWGMFDVSDGIDGPLWQDNDGWAPLHLSVVGGHPKTTRCLLDAEKENDP SPERSIIRKQVSKSSAVLALATKANFIDIVQLLVDAGVDINYQDEQGETALHVAARFG HDECAQILLDGSDDQKADTELAEKTYSWTPLFIACVDGSLSVAKMLVSAGADVERFDS SGWTAKEHAALRGHLDIARCLAEVSPGPPATELDFSSSTPTLPGSESSSPPTQSSLTD RRSNAPGPTSGGSGVRTTEPIKTFGHRYLTDESMILVSLGTMDMRKPLETVSLDRIPM ENAHATQLDTSLSMVITASGAHGEPEVIDLPVQDNISTEPIVFHTTDPSKVRLLFDLV PTYAGSKEKIVGRGVALLSSIKPTVGSHRINLKGDSTVPIVAANTLEVIGSVTFNFLV ITPFKHPKMSIAGNQTYWRSMSSTMVIGHRGLGKNMAGRNSLQLGENTVESFIAAANL GASYVEFDVQLTKDHVPVIYHDFLVSETGIDAPVHTMTLDQFLELGIGRYRHALPGSV KATGEASVYGPRPRSMSVGGSEYDPSELTEKIKHTRDFKKKGFKGNTRGEHIQAPFAT LEELFTKIPKPVGFNIELKYPMLHESEEEEMDTYAVELNSFVDTILTTVYDLGGGRDM LFSSFNPDICLLLSFKQPSIPVLFLSDAGASPVGDIRASSLQEAIRFASRWNLLGIVT QAEPLVLCPRLVRIVKESGLVCVSYGSLNNDGNNVDYQVAEGIDAVIVDSVLGITNGL IQRQGKGDRTPGLSPNPSAVSDQAADSAKGAVRVPTLERVNQTNLSPDMIPSTLL PEX2_087730 MVQATGEERAVHLAREAVELVDAGHREAASRNLREALSIAPENP QVKAAFLKVQHEDKDGHQLLDLCRRYTTQNDENAGKEAATYLRTDGLKSPESVALECL KLLLAQRPHSLSTTQDEIISGLVRQNVSVRQYFSTQLQTSVTAFFDEIYDRGDGAAVC LDTVVLDPTVWTSEETRSHCESELFQLFIAKLMESGHDLDGRSLKGITRLLAVHAVEL EHLIDDEGLEVILSSLDNRLPLEVRSQATLATAKYLEVAKEPGEKKLLTLIMSILGKG RVSDLIVAFSAVAAIFPVAPSTAANLFLSEEFMAFLLPMASRDTKSKKVEVPVLELLN AACINRPCREAVSKKFGEWLSHILTNGSDNSSELAAVALAKIRASEPEKPSAGNGKVL EQDSESELVHRFMGLMSEQKVEHNSHAIEGLAYTSVKPSVKDQLANDPGFLRNFIAAM KQNTSDSSVLYGGLMVILNLTKFLPNLSEEQRKMAQLKDYADPSTGKVKAAPEIDIRD EEEAVLARCAAVIDAGIMTLLVECGRISLPSTNELTAKILLSLTRPQRSRGTLAQQGA VKLLLGLAAPKQSSAGPVTNETTRIASHALARILISVDPSHVFPSSGFPQVTSAIRPL LSLLSSPDTASFSADQPRDLLPVFESLLALTNLASYPHDDSAPELTVREGWSAVEDLL LSSNARVQRAACELVCNLMTCESGVIKFADGSKQAAQRLHILLALTDADDAPTRRAAG GALAMLTEFDAAIAAVLDRPRGVELLLGLCQEDDDALVHRGVVCVRNFTCVATGDIGT RAKAAVRTAGGIDVLTACLKKTKNQAVLQAGVEALKPLI PEX2_087740 MNSVDASEHYELGATELSPSLLTIVIDTNPHAWAILEDSLPLSK AIANILVFINAHLACNYANEVAVVASHSQKAAWLYPSHNAPRNGTADRDGDVTMNGAS DTQPSETNKYRPFRIVEEQVTRNLKDLMDSTTGDDLRGNMSTMLAGALTLALSHTNRR TLAWAEEHGGANAEDAAAEGNGNGNGGGASTSANRYSASNEDERLQSRILVISVSGST DAAHQYIPVMNSIFACQRLNIPIDVCKLSGDAVFLQQASDATKGVYMALAEPRGLLQY LMMAFLPDQRSRRHLVLPTRVDVDFRAACFCHRRVVDVGFVCSICLSIFCEPPPGSDC MTCGTHLDIGDNAKPALLPRKKKKKKRVNGASGAGTPMSTPTPGP PEX2_087750 MSQTPGNEGICAIFIHAGAGFHSHENEHKHLKACEIAALKAMAF LKSGGTAVDAVEAALMVLEDNPITNAGFGSNLNAKGVVEGDASIVDHNGLSGAVGAVP NIKNPIMLARKIYDKSNVQMGMSRVPPNFLVGEGAKDFAWEHGMVIIPEEALISPVAL ERYEVWAAEVKDYENEHRGEAIDPWIRRPMTPLDTRLERLERASQSGAHTAIHAVDEE IRTLPDPSVFADVKGRRRASLEHQTQKKAKLTNSHLPVQPVSTLSPSRDGSPDSADSE QDDEDTITDTVGAIAIDKYGNIAAGSSSGGIGMKHRGRIGPAALIGIGTHVIPRDLTD PDGTTCAVVTSGTGELIASTLAASTCAQRMYYSQKMGDNGVFTPVMEEDALKSWMKRE FNEHSAVSNSILFGALGVVVVKKNNSGIELYFAHNTDSFAIASMSSNSNKPSCLMSRG SRGTIAQGGCRISFPDCLQCTLDEDYNYVVAKPLCSADFWSVGPHQWARWFSPSTTCI CFSPPSPLYVLCVAIPNSPLCFLTLRHQ PEX2_087760 MASQTPAVVMDNGTGYSKLGFAGNDSPSFVFPTAIATKAGAGST GSSGGRPPVANKPSFLGAGSGSSNLSAKRGTEDLDFFIGDEALAAGSGPGYGVNYPIR HGQIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIMFESFNCA GLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIPI AGRDITYFVQSLLRDRGEPDSSLKTAEKVKEEYCYVSPDIVKEFARYDREPDRFLKHT VTAPNGRSINIDIGYERFLAPEIFFNPEIYSSDFLTPLPNVVDGVIQSSPIDVRRGLY KNIVLSGGSTLYKDFGRRLQRDIRHLVDARIRASEARSGGARSGGLDVAVVTHKRQRH GPWFGGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGSS PEX2_087770 MQNGPKKHFDGKRKKAKVDKNNKSSGHDEVLLCDINQLLKRNET EQDGDSEPLSLPEKFTEIDVKIVELSSTGDGLALAENGKYVYVVPFTVPGDTVRVKLV KHFESLSYSLTDFVKVIEPGPQRNDALIGCKYFGECSGCQLQMMSYEDQLAHKRRIVE KAYANFSGLIPELVPTIGETFASPMQFGYRTKLTPHFAQGGAGKGSKQDGEEPQVPPI GFTYKNRRLDMDIEDCPLGTDIVRRGLTSERKRVAENIRSYKRGATLLMRESTARIPK DAAPTAEGETPTVGGIAATPNVDTGDVIRIEHENYIEEKRCVTDNNATSFEYVDDYIF SNKAGAFFQNNNSILSGFTEYIRSQAIPAGNDQDAKPIKYLLDAYSGSGLFTITLSPL FKSSLGVDIGGDSIVSARENARANNLPNTGFAAADAATLFKDVPYPSDQTLLVIDPPR KGCSEDFLRQMLDFKPRRVVYVSCNVHTQARDVAVMVQGDEKQNVRYEIESVRGFDFF PQTGHVEGVAILNRTSFPQTETV PEX2_087780 MNVTRPARCLLCSFTRAAGPSTRVPRRQFHPTPAPLSNRKPKFP NVKAPQVDGYKPYTEEQKAQLAKEYSEAQMAAIEAGESAIDPKDLAEQMYERSDPMAF KYIDDFSVIEPGVDRHQRAPESNSDYNFKLKSEDDFAKDFGRFFYEMPDNATGADFVK FADNLRVTHGKEESELAPHSALVPDLFGPGETIDEPRVEERKTAAEKGEKERSAEAEE MTDALKSLLLATGYTESQVKGLRTKTLVSHSVTNQTRLGKVRRAYRLSIAGNANGLLG IGEAKSDEPSDAKIQSQYRAIRNMQPIPRYENRTIFGDVKGKVGATELQLMHRPPGFG LRVQYLIYEMCRAAGIHDLSARVGRSRNQMNTVKAAYEALMSQRNPEQVAHALGRKIV DVRKVYYAGKI PEX2_087790 MSAFAPEDSDNDYSYAEVDPGETTPPIPQSMGDTDASHGRAHSN NPLMGSDNPLVSDLEQEVLEEYARLLRNVNQLSDKLADLAGSPASMPLDGLRLLERKT ATVCTLLKASVYSIVLQQQIWNENEEQQQQQQNEDRHFQDHEYQHGGYEGEGDMTFQ PEX2_087800 MSTPIRARDNVFSRREIEGMLAEGKLIIIVDGNVLRLDSWIKYH PGGDMAIKHMIGKDATDEVNALHSQEARQRMSKFQIGRVEGRWINFLPPIQGGEFRPL IENEINEEDLVSSELSSEESSAPPSPIFEAEDDRLGLRRRLSVSTIASAVSAIPPLAE EETQTKARAYVIDARTQEEIELDASKYPPLDAEHQEYITKKYRELHHRIREAGLYNCN YKAYAIEISRYTLFFGLFIFFLKQSWFCTSAFFLGCFWHQLVFSAHDAGHMGITHNYQ IDTTIGMIIADYLGGLSLGWWKRSHNVHHIVTNAPEHDPDIELMPFFALSHRFFSSLR STYYDRIMEFDAVAKVTVKYQHYLYYPILLFGRFNLYFLSWEHIIVGRGPKHGAGWWH RWFEFAGHIFFWVWFGYGVVWCSIPTWFNRVVFVLISHMVTSPLHVQITLSHYAMSTA DLGPLESFPQKMLRTTMDVDCPPWLDFFHGGLQFQAIHHLYPRIPRHNLRKTQQFVRE FCEDVNIPYAVFTFYDGNKEVIGRLAHVARQAKLLEECRKACAADPHHLH PEX2_087810 MPYTDFHRPHHDSNPSPVFVDSDGSQVYESPYQGLLSQIISRND LAALKSYNDCPYTYVFWKNYEFDESNPFHIAIYNDNFDILRELVRIYSSDTSLTEPLQ NYIKRHHVSPVLEACAMGNQEMVLWLMNHNPSLGTLEDRDSEGLTALFRAVEGLKEVG TMMLQAQDEGSEALRRPKLDQRWARMEPFISWLLDMNCPMEMEDEGNEEIDKQLPKDE CDYTSVLHENVLGEAVCHASYSLVSRLISKGADPYVFQRWHGGNSFAIEYAERTTPLH IAALYGNVEAIKALFEHRSLGKNTNMVSIIDEEGRIPLHWAVAGTHMDTIDSYKITSR MAATVKLLLDFDPDTLYARDKVGATVFHYAAKNNTGNGANIKAITILLGAARDCSDIL NTRNNSGTSPLGELIDSHQLTPSGLTHMKEITGLLLKRGADARRCDEAGRNILQKICS LAWLEPISSTFIDQLLQQLDVNEKDDYGCTALHYLVKNFDQADAVRHFCSQGADVNAV DKEGNTPLHKAMKGTMIRKQDDPTGLEQLPMRLKNAQNEIIQILLTSGASEGIVNGNG ETPRQVQKRGLEERARRLAAQKVARGRGQGRAVR PEX2_087820 MTQLFASSPSAVTNNEKRGNFPALSLPSRKHSNESSHLPTRIKN FFRINSSSHSPQPNTSGSTERERDSHGAAKNDKPAFRQSRFLPGIGRNRSTTVASEGN PLDEAMSPTASANPYFAHQGQPALRHRNDGSVPSSPPDTPELQVTGVSAVEQATTANK EELARKLRRVASAPNAQGLFTSGQGARPQTAELGKEPLVEQSGGSMGLADLASEDTNL AIPSSVSSDFGSNAAFRRTYSSNSIKVRNVEVGPASFDKIKLIGKGDVGKVYLVREKK SSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDYLYLCMEY CSGGEFFRTLQTRPGKSISEDAARFYAAEVIAALEYLHLMGFIYRDLKPENILLHQSG HIMLSDFDLSKQSGPGGAPTMIPARSSGNSTTGLPTIDTKSCIADFRTNSFVGTEEYI APEVIKGCGHTSAVDWWTLGILIYEMLYGTTPFKGKNRNATFASILRDEVNFPEHSGA QQTSTLCKSLIRKLLIKDETKRLGARAGASDVKTHPFFRQTQWALIRHMKPPMIPHQG RVGTDTVNFRNVKESASVDIGGTESTKMKGVPMDSGLATPNGELNDPFEEFNSVTLHH EGDM PEX2_087830 MEPPRPPLPDNSSPVRMAAINDESIVPHVFVAGRLVSRNRATQE NSTIILSSTESDNNLHGRWTPPLLASTSQFAAPVDSQYLTASQAEESDIPYDEWFEYQ PLDEVDTEFVGQTSNAVETHEPASQAEERRARWALIETHINYEDSAIAQIMSFMSPFD IYPGEDFYVRYVKVAWMCGLDAMIEAKSRLNNKLRNHERYLEEVNEVIALDPQLSPGD ACYNLRVYNINERARMLGALDQYDTFMRYAEAIGMNNPNDPEGFAAQQAHGNPNYIHM QPGRAIDDGSHGNLSTDGTNEVARANINRGVDIINPETGHPIQFQRQLLTATNNNRNG TGRTDGSNSDSDNMQVDGSSELMIRDGVDGNRNRNRRNSRFNQIQVDRRSELDVNGTS GNVPMGSNDPLFDANSRLDSLFIPEMGSEAEISWTPQEAWTERRPRNDIRVSRTLPRN FGRNIHNFRAALHSITELDHEEHAIELTSTDESDGSHTPNLVLSDNEDEVNHSAFQNR MQYLQNEPRVTSVSSSLDDEQQTMRNSSPGPGLNEEMLSELSFDREHRSTEELDYQAS IIPSDFFDVFVVDVEGDQEWGGSGGRRGRFLDEQFEDGMNHSFGGDYPDDMSDTPLLS QNSPFGRHNPLSRNSPSRAISRSSVRHRHYVPVGGLSSVGSRMITSSLNARRRHDARE NVRNARRALTNSSRLIDDLRDKVPVTTVLGLDTPDSRHNFHYLPVYSTQLIDASVRHT APAIVNTSRINAHARI PEX2_087840 MHGLFIALLALQGPATVSAQDSHSIEKVWGVFAFTVHGDSKPSA LTSSRTLTDYGANELAAAASDFRSRYVSGGSDTGIQNISPIILDSNDVDVLSTTDQNI VGSAQAFMQGLYPPLGSMNISSGQIANGSFAQAPLNGYQYPRIVTVGEADPQSILIEG HAMCDMYDAAEGEYNASDEVQDITRDTEAFYRKLWKLALSGVYDESFATYTNAVPISE YLDYEVVHNDSLLESLNNADILRARSLADQYLWSTNSQQSSSSGSLIRVVSPIAGQTL ASSILEAFDLNIQEGGTRQKITLLFGGDEPAVALSSLVGLANQHQPNFFSRLVRGGSF VFELYSFEDGSNLYPSYPGIDNLYVRFLLHNGTDNTTDFQAYSLFGHSPSRASIPYTE FHSELETFAVASTQEWCLRCNAETVFCNGVLDQSESQPKQNKGMGPGVAGVIGAVTTL AVIGLIGVIVFLVHRARKGTSPKKGSLGGFKGSGKLASDTDVSFSDPIWRNSKTDDTQ PSNGLAAGVGVAVQGHERTGSWEMGQGSKESENIRPDGVEGRSPFEHEEDWIVHSGLK PVRIRESV PEX2_087850 MRPTPKPSFLLSEVDTPTSGRRFVQMVETRGVRPSFDGQETSDF PPFPSDGGDIDQKVPHSLDDEEHGSPPAAKKRRTGDGPDGDISLPDDVILMADQHGPG HKIEEELASALGEGVIDTVEQTDHNINQSLQHGTLDTHDTSTGIATTQDGTSDLNPDV ATIISEIMDHTERQEQTVAMGPQELPPSNDFPGARGFAFLKANSHLKIQSLPILDNLS TQILSFLSKNTYQDLTAMVSEPDSENGQAYATMRSLFDHTKRVYTVKHSFLQPTDLEI TDPSQIDVIRKANLASFVSSIFGSQEIGFADLNDHFLDVFVPEGGRLLKVQGALYLEL KTQAFIAAMHNKSLTRTQLLYNLFPDDMEQRLLARRPGTRQLAPSETDFVNRLTSRRD ILLNEINNEEALNALPDKYHWEDFLRDLSSYISKNFDAINTQQGKKAVKGRQPSSSNG DAHESPNTTHQGQFSLNQQVEVPVDRNMHGDLVARAARAAQIALQGHGLRRSQQQSQQ QHQQQPQQTQSQASPSPLPQQPQHQQPPPQQQQPQQQPSQPENQYLHGYTAAQQPQPA HQQYHHSPTPPGGYQTQQASPLTFQQSPLQATFQQYNPNAAQAMQARANGMSSNHGYM PGIPHYSQSQPTQVLYERARMAASAKSSPTSRKSGLPSQRRPWTTEEENALMAGLDRV KGPHWSQILAMFGPGGTISEALKDRNQVQLKDKARNLKLFFLKSGIEVPYYLKFVTGE LKTRAPAQAAKREARERQKKQGEEDKAHVEGIKGMMALAGAHGAPVSGHDMSASPSLP PDANSQSVFDQTAEQNLMQTLSQEVHGSQYPQQHAQPTPDHIDPHMQLGQ PEX2_087860 MSDSQTSQPPKPTPQNGSQEHPPPSESEAKIKTEPDLDASIEKD VEMNTETLTGVNANASAPGQDPMAPAPEPSKKETSLREFLGKMDDYAPIIPDAVTAHY LTLAGLPPPGTGPGQTPPHLARLLALAAQKFVSDIAADSYQFARIRASNSSSANNPIG SLAAAAGLGAPAGAGGAPAPGGDGSKGNKAGTHLGIQRPGFGGGGSGGSGQGRTVLTM EDLGMAVSEYGVSVKRGEFYR PEX2_087870 MFPTRALFGRSVWKGPNIVPLRLPTTFPPPANTPPIRTTKRAAT ILPNFVGLRFAVHNGKTYVEIQVTEEMVGRKLGEYVATRKRFTYKQSKNK PEX2_087880 MSSKPESRKTPQLGSEELIPFDHAAAGHEGVRCTPSGALIAKPC TRQEVEFYESSALHPAFQEFMPLYVGSLSSSEQQQPLLVAAARESGVLPLLDSGDVTA DSSLPSQPTSHTSSVQGDSAALAKEEGAWVPSGGKEIDTGLSIVLENIACGFRRPNVL DVKLGARLWADDAPLEKRKKLDVVSKETTSSSLGYRIAGMKVWTGHNGETDEGDRTDP YATKYEGAEGEKGEVIEKDGYRRYDKWYGRSFNKDNVKQGFETFLAGAKAGKIDRSKM VAQRLVKELQDLQLVIESEESRMYSASVLVIYEGDIEAMEHAIEEEQKAPAPRSRGEE EDEDEDDEEFELPEEALELVNVQLGDGMPQQAINISFDPETMQIPEDDEDEEDEIPKV HALRLIDFAHASWTPGQGPDENLLKGVRSLVKVFEELAE PEX2_087890 MDDNPKPAHEDNLSTAAQPLNSSVYEEPMKPTGAMTSDDATANL DEATKDGSFTSSPESVAKSRQSSPQYAHVHFIDPVIPANSEPKKPEVASKTEHNVSAD YVLTPFISQKGYHTDIILKVEDPLIDSDQKLNKHVSQVSAEADLGGDLPKIIDFAVGI KEPESTAGTSHMKDESVSENVPNVGFKHDPEEEKFLANVELDVGTSKKKKKNKRRPKS QRGENAPSGFEPFYADAPMTPVEFEENKTIYDPTLPILDRIDEGIKRFLYKRRLDPYR RKVFLKYLQYGGVSVGPNHSQGVTPSELKEMTKEEAMQARCNTAIFPKMGKTYPISFN DVATGYLTGFYMGYYNPDTEEEIKVCTDTMKNFFSYLLYHNVCPEQTEDLEEARKTCD RAAKELWMNQRLVHHQGPGEFNRGCSLLFGGYYFEDVDDPEAWTNVRYADQKVFTSEM ARKVVKYAVAIAGNDRTARKFKILAERDMIEAKQVPDIDGFEIISAEEPTEEIIAYYR ELCPDLAPVGKIRAKEFRDPARGPFDLTPWEKVDWDAGFAPTYEFEFLVEDHVFAHIL PGMKIISDVFETNFGQYYFDEILSVLPSFYKFMYNDWMMDYVEPMPINFIPDEEEVAR RRAKESIMHPPEPTPAEWAMHMLTHELDFNLRPGQDAMVAVWQIIHTLEAFGWDMEEV KDLTGLVDLNPRKGKGNSTEGEQSRTRLSNEPYNIPFDEALKETLDRTINHFKNPEKI RPVIAPEVIAKFLKEKRNN PEX2_087900 MSIPATMKAVVVEQTGGPEVLQYKTSYPVPTPQAGQLLVRNNIS GVNYIDTYFRTGLYPAPKPEILGREGAGVVAAVGPQTSGFQVGDRVAWLSSGGYAEYT AVPAAQTAKIPEGISDEDIMASFLSGLTVLAFVKETYPVQKGDWVLLHAAAGGAGFLM TQILKTLGAKVIGTAGGAEKCALVKSLGADVVIDYRNEEDKDWVKKVKEATGGRGVDV VYDSVGKDTWEGSLEAVKRKGTIVWFGNASGPVPPLPLARLTPKCVKVARPSLFGYIQ TREEFESYTNELFGLLKSGQLKTKIHKIYPLEDIAQVHKDLEGRKTMGKPLLRP PEX2_087910 MAPSRSSRRARSQWPPAPCVEEEPQSLSKELNGLSKLGDKPGVD GVHTRGAIDQYPIIVFANSPPPPSTSPASVPSVPGLGSVSSDESSGPMTPPPQEPILR NTVRFDTDERPKCAPPLTASQPRGPPSRPLVQQQPIHELNTQREPQPPSHGRDGNSSR HMPPAQNTSGSRGPTAPDRSGTRAPMHDRGCLQPPKTSISRSNSARHAPSKSRPMQER FRREPSSGYLSDSTTATTYNRPLSNLPQAPVSTAPVSALPSGPTLAERIEEKLRQRQE QRDPGSMSDPEVRQMPTNAKPVKLNSLNVSLPPPAPAPATAPAPAPASASHSPSRGLQ RVPTQRTQQEVLPASRARATSVTMSPTRSMSGSRHPSRPAPEMTKPTSTQVATQLNPD RSLAHPAPTRPPGTSPQRPNSVGLGLSPCPRTIAVAGFQDWYTLKGLTHLDICPSCMS QIAHSRYRDYFIPSLSKPAGQKTRCAFANAWTRLAWAQMIKKQHDSLELLYQMTRPPP GTRACPGRIVADQSWYRIYDPETGSDLPGFHVCSSCARNIRILMPSHRETFQLNPDPT ERVCDFVTSSPRFVKFIDLLDASASRAESDSSRTRRPDTREFLSYARRKVVLRDCRRD RPALSTWHFIPSLPEMCVCEDCYDEVVWPLARSNHPIACMITMKMRILPGDGPGRTRE ASCQLYSPRMRAKFREAVVRDDFGLLRSVAQRRVEAERRFLDRREELLVAQSKGYDCD EEMRKAVDEWRRWE PEX2_087920 MFPSTAVLAGLGSLYTPLVASLVMSDTLPQQILNKPTQPQSFLE KSIFRKQEYMSSADSGQNEFHIDNNYSPPYSGIATFAHLNWTNCFVSDNDKTFDIGIV GAPFDLGVTYRPGERFGPSGARSGSRRLDPDMAYSMDHGLNPFVDWASVVDCGDIANT PFDKLEAIHELEAGWRKIGSRKPKNSEKGDHVRLISLGGDHTITLPALRALHGTWGKV AVLHFDSHLDTWDPVQLGGGLTKYSEVTHGSMLHLAHEEGLLADKSNMHLGSRSMLFD KVYDMKNDARCGFTAIRARMIDEIGIDEIVRRVVDTVGDNFVYVSIDIDVLDPAFAPA TGTIEPGGWTTRELLRILNGLSHANLPIIGADIVEFAPVYDNRAETTAITVAQIAYEL LQWMIRVPVNAN PEX2_087930 MSNQLSPLLQQADDNTSFLWNKCRQQVTSQSCQWHRFANEIVLL LQKSLPVIIAYALQNSLQTSSLLIVGRTSPENLATAAFSYMFATCTGWLIGMGGTTAL DTLASSAFTSRLNEQYLGVLLQRAFLVLGLMYMPVIVLWVYAEPIFLVLGQDAYLSKS SASFLSCLIPGGLGYIYFEAMKKYLQAQGIMSAGTYVLLLVFPVNILLNYMFCNTLKM GLLGAPLATGISYWLCFILLILYSVFISGHQCWGGWTTKAFQNLGVFARLAILGIINV GAEWWAFEIVAIAAGWLGIIPMSAQSVIMTTDQILNTIPFGIGVAASSRVGNFLGARD AYGAARTAKAAAYLSICLGAAIMAILMFSRHQFAKIFSDDADVISLTAAVMPFVAAFQ IADGLNSSCGGCLRGMGRQHIGATINLISYYCAALPLGIWLSFHGYGLAGLWIGQCLA LYIVGILEWVIVAWSDWNGEIERAFSRMDQEDTDASMGEF PEX2_087940 MPESASALLAIAMMTSGYFAILCATPPNPTPEKKNRHSIDRISF ISGTFSTVARRICVTPVIYHGFLAGVAHYAPARMSQMCPLARNTNPELFAWNQLSFTA LLLMYVGTYVRLSAYGGLGKCFTFHLAPPPHLVTTGIYSWIQHPSYTGLAMICLGGAM LFLRWDATPACWIPGSVLSQLEGLGVGISVAVMGGVFWTLAVRVRDEEDMLRQRFGGK WEEWHRSTWRFIPGLI PEX2_087950 MAPLMNHTNNSDGYVLNEYGFDNGTSEHLDAPAWTNGISSSTTR IGITRNSSPPGESHASKAPSQEPIAICGMSVRLPGGLHSPQQLWDFLIAKGDARGPVP KSRYNASGYNSEIPKPGSIKTKHGYFLDDSIDLASIDTSFFSMAKVDVERMDPHQRQM LEVARECIEDAGVTNWKGRPIGCYMGSFGEDWAEMFAKENQQYGLYRVTGYGDFMLSN RISYEMDLTGPSMVIRTGCSAALVALHEACLAVSRGDCEGAIVGGANLIMAPGMTMAM TEQGVLAPDGSCKTFSADANGYARGEAVSAIFIKPLADAIRDGNPVRAVIRATASNSD GKGTGGGLQMPNDIAQEAMIRRAYEVAGIMDYSGTAFVECHGTGTAIGDPIEARAVGR VFGPSGGVQIGSVKPNLGHSEGASGLTSLIKSVLALEHRIIPPNIKFNEPNPSIPWES CGLSIPTEAMPWPKSRSERISVNSFGIGGTNAHVILDSAQSFGVSPIPDRPTTSPQLL VYSANNADSLKKIMASYKDYAQKYPHRVNDLAFTLANRREHLPHRAFAVVNLFGEVTA SLPSRSGETPSIVMVFTGQGAQWPEMGRCMILSSAYPAFKKSITSLDAHIQKLKDAPK WTIEEELQKSSKISRLGSAEFSQPLCTAIQIALVDTFASVGIQPTAIVGHSSGEIAAA YAAGAITAKEAITIAFYRGQVTKLQTKVGAMASIGLGSRSVQEYLQPGVVVACENSNK SVTLAGDCEAVELAMIRIKEAHPHVLVRKLQVDKAYHSHHMAEIGNKYHALIENHLSS KSPEKTLEKLFFSSVEGKLLTYESSLGSSYWQRNLESPVLFHSAISSIIQHDIAKNMV FLEVGPHSALAGPLRQIQTHLSNTSPYVSALVRKQNDVVSFLTAIGTVYALNASVDFS RVIAEGSVLPDLPRYPWDHSKKFWYESRLSKNWRHREHKYHDILGVRVAESLEFEVLF RNVFHLENAAWIRDHKVGDDIVFPFAAYAGMVGEAVRQVTGVNEAFKLRNVVVSTALV LREGQPVELMTTMRRHRLTDSLDSDWWEFTIASHTGAVSTKHCFGEARSHSGDICHAE SNAPLVRKVDTRRCYRSMARCGLNFGPAFQRLDDIRSDTLTRMATSGVIGKATDGEGY HLHPTIIDASLQLLTVAASKGYADPTTKMMVPTNIEELCIYRCHEDVQLRASASYTPN GSIIGGGQCISSNGKVVLHASGIRLSVLDDPASVDETTARAEWGPHIDFLDVNTLIQP SIDRSAHTRALTELSHMCMLHTNRLIAGLETPISHMQKYRSWVGQQLQLREFEHLQTL DNPAIEERVKRIVHDLSQTIAAAPSQAIQKIFSNVKSIFTGEVDALDLLLSDGTMNSL YASMDQCDESKFFEHLAHSKPNLRVLEIGAGLGGSTANALRLLTSGGRTLYSSYTFTD ISSGFFVAAKDRFSSYPNIEYATLDISKDCSEQGFDGRTYDLILATNVIHATPSLSVS LRNVCKLLTPNGRLLLHELTPTSKWVNYIWGTLPGWWLGEADGRVDEPYVGVDRWAKE LTTAGFRTPDAVVLDSPEPYQLGAVIVARPALEIVPKKCVTLLSLSESTHVRSMVQAL ENRQYAVDCRGLQDMPLPAGQDVIAFLDDEAPFFENMDHQRFESFKALVDNMKDLGIL WVTGLLQIECRDPRFGQINGIARSIRSERLVSLATCEVDNVDSSYEKIIDVFESFQLR QEDHSLKPEFEYAIVKNTVQVARVHSFTVQNELLTSDASDVIALRTSKPGRLSALHWA RQEIEALEGDDVEISTYSTGLNFKDVLCAMGIVEASEIGFGFEAAGIVRRTGPHVKDL KAGDRVMLMGSSSFSTQVVVSENLCEKIPGGLSFEDAATMPCVFATSIYCIFNVGNLQ AGQSVLIHSACGGVGLAAIQLAQMIGAEIYATVGNEEKVKYLMDNFGLPRNRIFNSRN TSFTEDVLRETNGEGVDLALNSLSGELLHATWKCIAAFGKMVEIGKRDIIGSGKLDMS PFRENRSYCCVDLDQVCSRRPVIVKQILKSIIQLLRERYIHPIRPIKVFKADEILDAF RYMQQGTHLGKIVVSIRDSAGQVSTGLHAQKRKEPTQFPSSGAYLLVGGLGGLGRPLS VWMAERGARHFIYLSPSAGTNQEHLEFAQELASMGCRADFVQGSVSNLSDVKRSITQA HGQLKGIFQMSMVNRDQNLTRMTIEDWNTAVDPKVKGTWNLHNAAVSADAELDFFVLF SSLSGMFGQPGQSNYAGANTFLDAFSQYRLSLGLPACAIGVGAVEEVGCLAVRESIMQ RFKATGILGDTISVCELFEGMELAIKSTRNKSSPVTSNNFCIGLRSRVPLSDPENRAL WKKDIRTAVFHNREATGKKSSTSASDNIRSFIAAAKSNPALLAQPDSAHLLAVEIGKK VFSFLLKSEDDLHTWCSLSDLGMDSLVAIEVRQWWKMTFEFDISVLEMMGMGTLDALG EHAAKGMLMLFHGGDEQAN PEX2_087960 MSLPGLELTQPSEERSQAPAPPSQISLTPGSEWRFEVAFGHVIK VKLLNGTAELFGTELAESQTYTFTGTKAAIFTWHGCALEVTAVETASTTSDGVTPTVG HGAGGCQSEYTAEETPMVEYANVHFALETMREEAQSIGKDGPRVLLLGSENTGKTSLA KILTAYATKIGRQPLVVNLDPTEGMLGVPGTLTATAFRTILDVEDGWGSSPMSGPSPV PVKLPLVYSYPMQSPLDGEGVVYRPVVSRLALSVTGRMAEDEDARETGIIVDTPGILG TDKPGTMELINHIVTEFSITTILVLGSERLYSTVARQYDNKPTSSATAAVFDEHISVV KLSKSGGCADRDDAFRKATRESQIRSYFFGNPIPSSSPSSAITLSPHAQQLDFASLPV YNYTVSSADDEDEDEYDPSQLGTGDSFLPGGGGMDDYTPQETKQDPDRAAPLPGVVGS FSESTDNANSNANAINGSSVPLKKVLPPAPSALANTLLAITHAPTTASPAEVRDASIM GFLYVADVDADKGKIRVLAPVGGRMPPRAIIWAKRWPGELVGLVG PEX2_087970 MSRTLPKQFNPLITIEVAPTYDELLARRRLGKTNLSVKPAQIGT TNATKPENLGVFEYAHLRAPLPKDLEGSEIFPSHTSQQHPGSYFLMRRSKDGFVSATG MFKIAFPWAKAEEERTEREYLKAREYTSEEEVAGNVWISPTFALELSKEYQMYDWVRA LLDPTDIVQSPSSAKKHVTPPPKFEIPFDEPPVSQRNRRGRSASPSKKLLSPRKPRST RITKDVTSTPSTTAANASLQSALDTASALPDTIPELRAVGGETEVTPSGSPAKHIRAR KVTRSATAEPEEETKEEKKKEKKKAEKKEKKVEDIKEQEETVEVLVADDATDAIKSTH TTVSLDMPISLPEVPSAAETEEMIAQAKEMVEEAIKAQAEGATAESTSVKTTIKRKAE EDDDEETSAESSQRAKKAKVLENKLKQERVRNRSIFGVSVAFALAASIPYFF PEX2_087980 MADHHDDELASTATEGFKVGEKKTLDEYNQLDKDDEAMNRWKAS LGLNSGEPIADPNDPRKCIIKSLALQVDGREDVVIDLSSPGSVDSLKDKPFKIKEGSK FHIKVTFQVHHEVLSGLKYLQVVKRKGIRVSKDEEMLGSYAPNTTSKVDYSKEFNEEE APSGMIARGHYNAVSKFIDDDQHTHLLFEWSFDIAKDW PEX2_087990 MPGLPTNIDLDECIARLYRKELLADSVIEAICLKAKELLMKESN VVHIAAPVTVVGDIHGQFFDMIEIFKIGGFCPDTNYLFLGDYVDRGLFSVETISLLVC LKLRYPQRVHLIRGNHESRGVTQSYGFYTECARKYGNANVWHYFTDMFDFLTLSVVIN DEIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDTERDEFSLSPRGAG YTFGAQVVRKFLEVNSMSHILRAHQLCQEGYQVLYDDRLSTVWSAPNYCYRCGNLASV LEVSDTGERFFNIFDAAPENDAHRLEQLGQQQQIKDGSGPMVDYFL PEX2_088000 MDISRVIKPWKPNPQRNLVFVNATIVDPAEGKLIQNATVHISEG KIIQIITDGSTTTTNGLPEENVIDLSGKYLCPGLIDCHVHIAVVPGEVNLQAYKEMSE QVSLLRQPYVLKSMLDRGFTSIRDCGGASLAIKEAVEDGVIPGPRLFIAGHALSQTGG HGDLRGSHDTQICCGGSISGISRTVDSPAECYRYAREELRQGADFIKIMGGGGVASPT DRIEHVQFSDEEIRAIVTVARNAGTYVTSHSYTPRAIQQAIKLGVRGIEHGNLIDLET AEMMSEMDVFLTPTLITHVMSKQMNFLSADGAAKNEEVLEKGLETMKMAVDAGVTVCF GTDLLGPMHFAQSKEFSVRSSVLTPLQILRSATVNAARLVMQEHRLGQVREGFMADFL ILKGNPLKDITILDRVEEHILAVIKDGRVATSRWDKIKVDC PEX2_088010 MAGDDISKLAVLIDADNAQFSTINLLLSEIAKYGAAFAKRAYGD WSSPNLKG PEX2_088020 MPPIYSQKPLDAEHQETVSNLLFKSIGMAILEAYTLLRLHLMCR AIPLHAGSMAHLNA PEX2_088030 MDLKCETTQSINSSYSEGEHYKNETVTCHLAPPQGKSTQFDVNF YTLWEDSSPNPVGLDYTTSGISWHVFSAYGRSLQYRRQTGDEPFTWPSDPLMVLGYAE IGYNEDYLDSDLSGGIRVDKVTECSLELCHLEYEVSFGNGTPHINTSVLDYGQLFWRN SSEARSKQMLCWKPTSGPPPPDIIIENVTISNDSFVRLSPVEGVFCGIEDNIILDENV FTGSTIHVHGRLRSEHGYGQDYDVMREDSDRNVLRIGSVGLDVIMSNVAGHMNKEGLA RNGSDVNGIAYVTEVFVEVQWLWLILPIILVVSGTIFIVIVIIENRKNGTNLWKSSVL AFCYHGLHDVDKDDSMAASVMERKAEELIVRLQESEDHGGLVLREKKNLLEVHE PEX2_088040 MDGLSTTASVIALIQLTGSIVNICGGYIRGVKDASDEIKSLQHQ VADLGGAIEKLTELRHGPGGKSVPTSQALVDDANKCLRALEKNINPRSKRKVMSKLWV RALMWPLTRAEVEKFLKDLESYKSSFTLSLELYQSGLVTEVAQTTDLLDRKIDFNKLP VADGAAYNSYENRNEDECLPGTRSEVLYQIAEWSISLRGRCIFWLCGMAGTGKSTISR TVAKSFGRDKLLGASFFFKRGEGDRGNAKMLVPTIIKQIMAHNRIPQLEVGIQKAISD DPDIAGKSIREQFEKLLLQPVLSLKGSKTQIPTMVIVIDALDECEDDNDIPVILQLLP LLGKSNAICLRVFLTSRPGVPMSRGFLKIAAEDYQDLILHEIPEAVTERDISLFLNHR LSQIKKERLLPTDWPKSKDIRALVTLSVPLFIFAATVCRTFGDDNWDPMESLTEILMH QDEESKLAPTYIPVLKRFLNNQSEKQKKRLVEEFQVVVGAIVTLQSPLSITTLSRLIG LSEELISLRLSPLHSVLRVPKNKTTPSNESQEISEFLLDAKRFILKNRQMADDAPLQL YSSGLVFAPKMTTIRRRFEKEIPSWICRLPEVEETWSAELQTLEGHLGRVTSVAFSPD GRFLASGSDDNTVKLWNTASGALHQTLSGHLGWVRSVAFSSDGQLLASGSDDNTVKLW DTALGTLYIGTFEGHLDRVMSVAFSPDNRILASGSVDHTVKLWNIATNTICQTLKGHL DWIRSVAFSPDGQILASGSDDNTVKLWNTALGALHSRTFEGHSNHVMSVAFSPDGRFL ASGSADQTIRLWDTASGSPHSGTFEGHSNHVMSVSFSHDGRFLASGSVDKTIKLWDIV SGALHQTLKGHSDPVRSVAFSPNSRILASSSVDNTVKLWDTAINPQHQTTRGHSDWVR SLAFSPDGRLLVSGSVDSTVKLWDIASGALNQTFKGHSDWIRSVAFSPDGRTIASGSV DHTVKLWDTASGALCQDLKGHSDRVWAVAFSPNSRLIASSSDDNTVKLWDTTSGVLYQ TLKGHSGCVISVAFSSDGRLLASGSDDNTVKLWNIATYALHQTLKGHSNWVRSVSFSP DGRFLASGSVDNTVKLWDTASGALHQTLKGPVGSAVFSEDGSYISTNLGSPHTQPLSY KNASNLPRPNVEILILENRWVTLNGERVLWFPSEYRPTCSAIRDNVLALGHASGWISF IEFCEK PEX2_088050 METISRISSMLETARELTLEAAQSATINRTSSTDYTSRTYTHAH IKKLLDSRHEREVLDGMRRVISLMYRSEPSLTFFSAVVKNVATANLEVKKLVYIYLVH HAETEPDLALLSINAIQKSLTDSSPQVRTMALRTMSGIRVPVISQIVSLAIKRGCGDM SPHVRKAAALAIPKCYRLDPNTLPQLMGYLETLLGDSQYFVVGPAVAAFLDLCPDEID LIHKHYRSLVKKLVDMDEWSQLSTLRLLTFYARKCFPQRTQKVKRAAPDAFYDDEKQQ QETQNDVEEYEVPVMDPDLELLLRACKVLLQSRNSAVIVSVVRCFLYLAPLEYIASAV GPLVALLRTPQDMQLIALYNIVAVALRVPKPFAKYTAHFLIHANDPPHIWRLKLEVLT ILFPHCGKHWKGVIISELEHFSKGTDPELVRESVRAIGRCAQADTSTAGMCLRILLGQ ISSPDGNLVSESLTVIRHLIQQDPPSHKKTVLQLAKHLGSTTHPDARATIIWLVGEFA GIDPENNIAPDVLRILIKGFADEMEIVKQQTVLLGAKVYLHHLLQNPPKEQPEQVASP SFPEVPAKSQEEFHNEWTDNGSDEPQDAGRTEEGEESRQGNDGTEPKETEEAAEDRIT LLWRYLLLLARYDTSYDLRDRARLYKSLLETPSSTQLANLLLLAPKPVPHAPSPSETR KDLLIGSATLVVGPDAGYHGLRGYTNVPDWVKPGQEPDPSLRIDEVKPDTSSNTTSMT AGDRLDKALREHKTTSVPSRSRNGPAMPLDPAGKKTLDQWLQEEEEETETESETDSEE GETDSEEEETDSEDESGSEEEDESEEETDSETEAVHKEAQKLLR PEX2_088060 MNPTFTIAHCDLVSDLLQQLLEGNSDTHLIVCASRAEFLVQLTA AIHLPRADPDTITSHSLLTKTIGLLARSSKIRLAFCPSLESLRAYLAVLSPAVEMTTE DGSLSHDRQLLAVLDMMAMHVTTSEFSAQGLSRTLASVVEASSRARMNLRLYECMNAL DPSSVVRGSKLWDVNVPLLNGSVRMRSDQGTWGGRGVTVKRVAQRWFEFDQNQNTLNA ENRHDIVT PEX2_088070 MSRNSTAMGYNSRMSQQFQGNQHHGRGRKKEDENDALMRLPDKE IAGCINDIGIPFTLADLAKPNAQQIQMVFEWFAELLMNTTRETVEPAMHAAAEDICGD YPDIVPNDTRNLMGFFMMLRKLLAECGVNDFTFTDLTKPTHERLVKIFSYLINFVRFR ESQTPVIDEHFNKTEKTKSRIDELLAENQEMELRLREMRQDLQSNEAHVREKVSRNDA LKARLLELGREQSRVAETLDRVKTERARRQQQLEEKTERTVRSRQEAEKLRPYVLESP ATLQSSLAELSENLMREKASIDAMERRARALQTSSDTFTVVSNDVQGCVKLLDDIAAE MQKEDEEESRAARTTEAISDRGNSVREVEQTEKLLQRQLARWVERIEALQKNAQEKAE IAQARMEELRNVQKQLREERAEKQRDMERRRIRIEQTEKKMVDLKENIETEIQSAHDQ YLKLESHIKLYMTEMEKSL PEX2_088080 MASTTSNAAAADTKPFDIVATYNELLRSDADLTMPIAAIEALVL LLTQSASSTISETLDLLEKSTAHLKRSIPNPIGLSAGTDLFQRYLITTLQRPGQLGPA GDFKAIRTHLLTNGRLFIRRAKESRDKIAAFGRGFIRDGSTILTNGGSRAVAALLQKA ADEEGGPSAVRFRVIYVLSSTSHDGKHPNEEPEGMETVRALRAKGVPVSTIPESAVAY SLGKADMVIVGAEGVVENGGIVSRMGTYQIGLLAKAIGKPFYVVAESHKFVRFYPLGQ YDLPIEQQVLEFKSEEDLAEERKQQSSVADTNGDTPIKLPLCDSVDFTPPHLISALIT DSGVLTPSAVSEELIKIWF PEX2_088090 MVALWIFVALGYLLLYAFATMQTQEWCLGGLDKEPMPCMDRVNP SMGSIHHIQRMRLRMGSATTKSPLMIIEDNDCMTLMEGV PEX2_088100 MAIWPFNRKSKRHTIQVTGAEAADLHSSFAKPTIEPTLGRKQSK RQSNQTSRDAADSRRSSALAPSNRPISSLDRPTSADHSRDPRPRDPPLSRTASLLRRK PSQNAHVPNKLRRKLSKRKANEIMREREIRMLSSIPIDIPHRPTDYVLENRRRKANGR RADRYMSDISLSIRDSATSSTSDISDPYTYKVNAFAALTPRPVVRYVEAPRLQTARSG NPPTSSRQEREKLQALTMSEEDFYCSKRRVNELADSLDAGALRELLDRDRRRREKKQV DDQERLRRKLQERADAQQTEEQKLQAAIQIEPEPEPEPNQPEPSIAEVPEPEDVVLND ETTTSQVDEATAVAPEGESWLLRASKGSENTGRESRESSRVVGNIDDSSVRERRLVQR PSFAPSNDITMSRTTLSPSHSSLRHGLNSPNQSQIRAPSSTSDISRGVDSERRLSDTS GRRGNTITSLFRRGSSRLKRTYKERFHDTTPEVSNTSHESFYKIQTQSSPPPPSIIPP RILMPTGTVKRSQSKFTEHFGDEPLSPPDSRLQSPDIPEEVLESSLERDETFLREPTP SPGVDIKNPNRSHHRSWASDSMDTEADNVPLSQSLASIDSEGSWMSGQFFRRMSQKPS SPVRSNLNSFGQESEEAPADAPEEVESLDESRRLSSNTLEPELEPEVADSSASHPAER WHNEVGRRAVLVNPVVRPKSTQGMLRGFPSLTPISAEEDYIVEETTPSELQRIPSTKA EIGYAQ PEX2_088110 MVWLAYWTQTLSSYFHLTSSSTFPKGVINSRVFGATFSPEKPIL SVIESASLTNLPHLSAISMSLTLCTRMFAQRSF PEX2_088120 MGVTPITSAEEYKTKVTEATGPVVVDFHAVWCGPCKAIAPTIEK LSEAHTDVQFYKVDVDDLAEVAAANGVSAMPTFHFYNGGERKQEVKGANPPAIQAGLK AILA PEX2_088130 MDTGSESEEVTSEEFRTENLEFKSYDPSTDDKFFNEVQTYSSSV INWCASTPRPMDLNFTSRVAKHLQRNSHLFVIIYGKDEFFDFVPAGTLFLESYHRDMV HHRCSKLGIGMLKEHQHYETEAINWALDWAFNSAGLHRVEMNVPSWNMRVGKMCEEIG FQTEGDRRECYFKDGEWWNEVKMSMLQRDWKERQG PEX2_088140 MSAIDHEPLVRVTYLAGYIVLSYVISAMGCATTLELLHRRTSRS GLYNWYLLLTSSITMGGIGIWCMHFIGNRAIVLGEGQSDVQVLYNVAFTGTSFVLPVV VLLFAFYAVGVEEKAGYLRILVGGLLTGSSVCGMHYVGQLGISNYRCSYHVANVVGSA IIAIFSSTAALGIFFRWRASWTDSWWRRGICACLLAGAVSGMHWTAAAGTVYLDHNRG IMKGTQLSRSQVVIVCTVLACVACVVLSMCAIIAGRNRRKSTTRAHQLILACAYFDPT GRIMVTTQALLPTKKIVDHYIGRTFKDDDLTRTHPTFLWAFRATRNWPVVKDLVPFMR NRLNSEESAIEKHMLSRGVFMDNDTELQTDFDTLFKQLFCVTAQELSDDLSLPLQDLG TLYDDVLSTAIPISRISRAMGRSSLRTGKGQIMFTVRQLQKHEAARLGSQGFRFATIE HVTGMLSRRIHVPEANLTASLRDMRDYASSNRGFDEGVHLISFMMRPTIHDHFEILTA KGLGNPLPSATLPIRELQVHHLELISHMEGWSMDSCIRYLQSAAAARAFPNLSDFRAH FTKAIMSLSNTIPEDLRAAAQLSSRPLTAPCRSRPSNPETTVQNTCTLLTFCVVGTLD TQVSNDDYTFTPFRLFRVQQQVNEALTDRDDFARELGQELFCTDVRSGSTASENDLIS SAKMTILRLWPSRKSSPTLNSGSGSRHSQESIVDHTATALRDITVRKEVRVDFTHLHE KSNQSNLGIRDSKAVVVGGAEVTPTTYVDELYSLCYAPGIRSRPDASLPNISRGSTAC PEX2_088150 MFSVVVPGRPCLTDIVAVDSQLNGQATKFAFTIPVTPSLSEIVV FFLPGTTLPPNTGAAIYAQLPDPNTGQPSDFRFIGALANEKPSGIFTVRPPGSTVHRS EAEEEDDMLDDAGANSAGATGVMTLGISIEEAQNIAPQLAALEAERPSGPMSMALVPQ AVGQRQVSTKVLAQRIIGNAFNYLASFAENNKGQDFVPLKSFHNWWAKFERRIEADPA FLEREDPNAN PEX2_088160 MGKSNLDLVGECDNFPYYEDDRVAYTENLNNYHAFKVSGYDCTL GYILNAVVEKFPWSEKSWAIDSTAQTVTLVAPAGATPTHRSELVAADIAEAVKQDKFE VLKGWRNENYAVYGPGGEFLLEMERAATPLFGIVSYGAHLTGYVEGESGLKIWVPRRA KNKQTYPSLLDNTVAGGMCTGETPFECIVREAMEEASLPEAAVRAATVSAGCVTYSHV RDVRAGGETGLIQPEVEYIYDLKLDAETIPKPGDNEVEEFKLLSIPEVRAALARGEFK PNCANIMIDFFVRHGVLTPENEPDYLQIVARLHRRLEYPTASHCAR PEX2_088170 MSDPLLFEDTFTITSINAQKYDRVSRLTCNSNDASVTFTLDVNT ELYPCAVGESLSLALASTLSLDGKEDTRASWREVSMGDQTLADDYDYVCHGKVYRFEE GATKDTMAVFVSFGGLLLYLEGPYKKLAPLRIDHVYLLLKK PEX2_088180 MSFRKRNIGLSPGVDRNAVPNATAQPAAPESTPGIRPSPDDGRP TTSTGTRSLDNLLAGHAGLPMGKLLLVEENGTTDFAGALLRYYAAEGVIQEQKVHVVG VPEQWGRSLPGLIGSAESLDDKRSDRRKDDRMKIAWRYERLGEFGAVAGSRGAPTNPG EQDAAAKEAPAFCHAFDLTKRLTHPSITNMTFIPLMPSKDSPFLAILKRLQTAITSSA ANTIHRIVIPSLLNPTIYPPNASQPEHVLQFFHALKALMSAHSARVTAMITMPLSLYP RSSGLVRWIELLSDGVIELCPFPHSADAMATSGAATSQEEPPQGMLKTHRLPVLHERG GGSDQNVGQDWAFVLSRRRFEIKPFSLPPAEGDTEAQDASAPGGMPKKSDLEF PEX2_088190 MDFQPDLPIPISYVSGRYLLFSIDAVTYLRREHHICGVLIGTLP QIPQQNVFLGLPLQLMPEEARLLVDKGVACIVDEAKAHDGMNTLLEEDRRRYLRDLES QGLHVSRIQLDRKERNREQTLKKLEEKKANAKAKASAAAAAAAQAPTAPEEATSTPSP KNAAIVDLFNAEERSSSPSPASTSTAPQGAIAITPATSYPPLPTPSPSSYLSAPEVPP SYPLFAHLHSKGYFLSPGLRFGCQYMAYPGDPLRFHSHFLVVSYDWDQNIDLMDLVVG GRLGTGVKKGFLVGGAQKGIDEVDSEADRVDTVQAFSLEWAGM PEX2_088200 MASSPAPKTHIAHTLLSRAHSPDSATQQFTERVKQKPLFLRATS PSASDNRSRRRLHRLRKKEYFLRHQKPQPLSAREKRKTGLYDLPKEECKYALFKGLHE LWVGYMQEILDVKAGSHGHVSPASHGSKLVSADYHGAEVEVVRSGCAGRVGSKGIVVR DTKFTFIIVTEKDEMKTIPKEHTIFRFTVPVPAPSEGVQDQQTQSDAEAPKPLVFELH GNQFENRPVDRANKKFKWRNIDYI PEX2_088210 MQSQTDQKDIPNNFPGIPPFPDDVTTAPLLRLSSNRLLAGDPVE HKRLFQASTDIGFFYLDLSDSEQGSSLLSDADDLFQVGERLFELSLEEKKQYDFSGQN SYFGYKGQGAAVVDKQGNLDRNEFYNVSKDDIMGISDSLPAPETLHKSRTRLGSFIQG SHAIVTLILDILNDQLALPKDTLSNLHRQEAVSGDQVRFIKAPPQPVDDRRTALGQHT DFGSVTVLFNRLGGLQVLPPGADAEWVYVRPLPGHAIINLGDAMVKFTNGLIRSNIHR VVAPPGLQAESTRYSLVYFARPEDSVMLRRLEGSDRIPVMEDGQSDEEINSKDWIIRR ALGRRVDCVKDLDFEKSAGTEQLSRRIKA PEX2_088220 MAQDDHPEERPEGFSKYLKRMKTVLRPRSMSKRQSITPAVVAPA ETTAPKATSPPATVSAPVSAPAPAQELSPTGPVMITNYSTTQQEKARTLFAKYGLTVN TLDWKTPPDLQLTRVTKPIRMRVHRTCHRCETTFGADKVCINCQHTRCTKCPRYPAAR NKDGEASTRKLKLPETYVHQPHLFPRTSHLKLSTTKEISLKMPSPTGGQDFVRRPVRQ RVHRHCHLCASPFALGSKECPSCSHVRCKICPRDPAKLDKYPDGYPGDAHPPKPIPER TFKKPRRRVHYECHVCETGFQVNSNTCLKCGQVKCAETKRIPPKKVKPEISPEVLKSM EEKLAAMVLEHTPNIVEATA PEX2_088230 MSDSRLYSFSPETKEKLRKFRLGTSRAKDAQAIIYIIDAKTQEI RPQDDEIYSKMEDLADDLPESSPRFILLSYPMTTKDGRPSVPYVLLYWLPENCNPMQR MSYANAVELMRTSAQVNRVIEVEADEDIIDIKSKLTGSD PEX2_088240 MTSAAHPKPIHTAACLIIGDEVLGGKTIDTNSPYLAKFCFSLGI ELKRVEVIPDDEEDIIEAVRRMSSRYDFVVTSGGIGPTHDDITYSAIAKAFNLKLALH QPAFERMKRLSKPHPMQLNFDWETPSPGLSAKLRMVELPFDPKVPAESQAVFVAEDLW VPIAVVNGNVHILPGVPRLFERLLLSLKPSLIPRLTDPEGKGTFRLMFSTPLPESAVA PYLTELAAKVGPRGIKVGSYPRWGKKRNTVTLVGADKAYMESLASEVEENVQGKQVAK EDELDPPSDSEHVYSQ PEX2_088250 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLIYSITSRQSFEEIMTFQ QQILRVKDKDYFPIIVVANKCDLEKERVVSEQEGEALARQFGCKFIETSAKSRINVEN AFYDLVREIRRYNKEMSSYPSGSGAFGARAPEGKMDVSDPAEDAGCCAKCVIM PEX2_088260 MSFADLKVGGLYVILQARQEPPEPNEFYWGLYLHSDTVGGMVYH VVDTRSGLRPEHEYTGGIFNTPLLTGLFRIADITRPLHAFVDRVIRSYDSSLNCPGRS SNSKFWVLNVLALLIQPTATGWLPVNCHNLPILEQEIRDWGNRMSQGRCIYQRPKPIG SSTICGLPEWKTQRELPISLGES PEX2_088270 MVKNFHIFGKGISFSVSPTIHSAGFQHYVLPFTYTIHESNSIDE AVFCDEQIKTAQLIGAVNTLTVKGNDSEQKIIDDNAYWSGLYTTTEKVKHDFKSVFEI KVVPGLQALPKKPEVVIGTVSAYTTTEDQFSLLFSNRSLCVDVSYKPRQTPLLTAAQQ NQGWDTITGVEVLLAQAFDQFLLWTGLEPPREIMAEAVVVFDDRVTMAKGGML PEX2_088280 MASHNNLDSPDSYQIAWIAALPIERAAAEAMLDEEHTAPTSFTR HQADTNVYTWGCMGEHNIVIASLASGAYGTTSAATTASSLIASLPSVRVGLMVGIGGG IARPDEDYDIRLGDVVVSQPSGTTGGVCQYDLIKAKPGDKRELKGFLGRPPTVLLNAL SKIQAYHERKDSKIPCFLQEMLEKNPKMGKRTKQSPGFIHQGFDNDRLFKALCNHIPG PDCRGCDKADEVQRDTRDTTNPDIHYGTIASGNTLVKDAAARDRIVADIGEDCICFEM EAAGLMNHFPCLVIRGICDYADAHKNDQWQRYASATAAAYTKELLSYVPVTEVKETKS ALEVIQSVKRQINSLQQTSVATKAATDHIRSSLRTDRIRSWLCPPNPSTNANHARTLR HKGTGAWLLESPIFKSWHSGSRRHLWLHGLAGCGKTVLSTTVLDYLAKGSDGLILIFF FFDFGDSTKQTWDGMLRSFAFQLYQGEVGSAAHLDTLFKTHQDGSSQPTTKALSNIVF KMLSIDRKVSIVLDALDESKTRNDVLMWIKEVISRPELSHIQLLYTSRPESEFQRYIP PLIGEDNCLSLEKQAINSDIRSWVTAQLSQRRDFTENHLSQDILERIRIKVGDGADGM FRWAFCQLESLARCPHEAAIEEALISLPPDLNSTYQRMVASIPTELKDDAIRLLQFLV YSDRPLTLAQAIEIIATQIKNESQGFNIKRRLFREIDIIKYCPGLVIVVQGTAKELHL VHFSVKEYLLGENHFESTTASISITKTCLVYLTDITGSNREIRRDFPMARYTAELLIG HAALAQASNDITRLTVDFLKKEATFQRWTRLCQADWIWDDNPGPPRGSRLYYACLLRL VAPAQHLIAEGADVNAQGGKYSNALQAASAEGHQEIVKLLLDKGAEINAQGGTYGNAL QAASARGHQQIVILLLDKGADINAQGSTYGNALQAASAGGHQEIIKLLLDKGAGINAQ GGRYSSALQAASAKGHQEIVKLLLDKGADINAQGGRYGSALQAASARGYQEIVETLQI SGRPSKRSDSRTPTKPAKKIRLMDFEPHDQTQ PEX2_088290 MAGKIDVNNKVYKLAAMPFILERKGDYDEAITVYKNAITVLDGA VKIYKKGNVPKINRKMFERQVQVHRERLAYLENLKGKGSFDGIILPPTILDAMEEIED EDGKTWSLTQIRRDLNTFRGDDPSQSTKDISVAPAHLQPLLNTDTSQRPFFSLTLSPS APTITYRITHSSELVSLGLRSYWFFVKDATNTHVLYALQFVWSNEAPIVETVLRRAGE FLPQIGATSVKLQKTKGGSFRMITRTIPDMGAITEIPDGEMQRKDWSPRRFEYGGRNF VWKSAAAEGKKEGGMFGSFGFAWETLYETKRVWAKSGSRTGKMEDEIVGPRLCWGEKK GANGAEQSIHMIGGLDLYFREHLLAVQLSRLARVSYPPQKDTKGIEAASAGVGWLSII SDLA PEX2_088300 MARAKNLIAFKKPLLVSVHSDQEPFTADVLVSHNGEITYIGNEI DEDNMEADIVIDATGKWLIPGFISAHSHFWQSAFPGQASDKTVNEWSEAIYEPAMRLT TQDFYNLTIQGASSHVRKGITTAFNFTYSPRFRDGLADRAQFAGALDSGIRFIHGFNI GAMTPKWSRKQAFMRTQKFLEWASTFENTGKYLGTMVANHGIMYKENMSTHAEAEIMC KLNLHGHLHYLENPQSQDIETEQARWQWLKDAGLLNRRLILGHFLHTTTSMLEEAGHA GMSVSWNPMSNGRLGSGIADIPEYLKHNIHIGLGVDGEASSDRCDPFENMRMGLYSVR GKYQDLSVLTTREVLYMHTLGAAGVLGISEKVGSLEVGKQADMILLEPPTTWRLTDPM SALVFSAGVENINSVFIGGVEQWPQKPNSAPSSLSWASWKFPVI PEX2_088310 MRVQSLALLAGATAAIAAETVTLFLPGFDQQQIEGKVIGTSGSM TKYFIKCPADVDSDDCGLPPAGMTVNQGSSTVSLSYGMDNITIAESCKYDATSAKCGA TFDEHGTTSTWNSAIAITDIPGGALMPVTITATGTDSGSATTGASVSASTAASTTSAS TSDSTATGTSTGTAAAAGTSSDSSSAAATSHTESGNAAMPMITGNARWAAGGVAAALA LVAL PEX2_088320 MSRCDTRGDDNLKFDVAAPTGWSFAPGDTIIGNLVRRAHIVTPE ATVTLTLSGRIHSQLTPRDKERQVSDDWHLLYPREEVIFRGPLHLPEGSNKSLSWSFS LQIPPKPVDMLGKKRAQAGSFVALDGDQTTHHPLPGTFTTLGSDSSLASGCFIEYYLS AKLKYNFGSSQVCSATWKVDIRHPVELVEKSQFEQVTHLSIEGKVQSQRLLVAMSDAK LSLKQKTQKLFGSSKVPKFYYRIDLTFPRILKLGDSQNLPLVLNIAPIEDNTSDSLKG TPQEAQINWIKLSLVNYTHIIVTDRPPFGTVHRDRHRFSLDLHLEKAFDSLESPLILP LGHQNAPIDIGDMFQLVLHSTGLTSSGLRLHHLAPIYPDFVTYNIKHTHAIDWKISVT IAGETQIFNKSVPLKVLKETQTCEFPA PEX2_088330 MASAMAKRLEGKTIVVTGASSGIGKSTAKEFARTSPKNLKLILT ARRIDTLKEVAAEITKEVGDGVQVLPVKLDVSNPEEVSNFVSSLPEEFREIDILVNNA GLVKGVAQAPEIAAEDIKVMFDTNVTGLINMTQAILPIFKKRSEGGRGDIINIGSIAG REPYSGGSIYCATKAAVRSFTDALRKELIATRIRVIEIDPGQVETEFSVVRFSGDKAK ADAVYKGVEPLTGDDIAEIIVFAAGRRENVVIADTLVFPSHQAAAGVMHRKS PEX2_088340 MPSPAKVSYIAPLNIVSKGDRNLHESSSTQSALSEDLLRRCNFD WAEDVEDAVADHNLPMNAIPKSLHYRAPGMSWYDEPFPTPNHVPTPRFQPSFSTLYEE VWDELWGWAHGTSDSASSSSKEAESDLRETTSASSSYDEPFHNDEFHREQLTPTADSD VYSVAQQSVDNIFADRQAWIEADEDLHHFNWMGVGTYTHSSTTPSHSLAIILAKPKNP QGSATWRILSLLNRAGEYIDPVLVLMDGTDNALFDLRGSELVRASTRRVFKFYSPHGT WLEDPSDSSEETTTDFGDVRTYDALDLAIGNGFVESSAIRSVSQWTASRNEACDASWG QPPQRKTWERKPSPLSQCQSILPETLPQAAQPETIKGAKKPPRKITTCVVGAPPEEYF SFPTPVFGRRKGIKHVFAKARKGLASMLTSLRGKFT PEX2_088350 MASQKDIEVTASPPISSTTSSERDESYEFYKQHQGLEYTTEEAK RVLRKIDIRLIPLLFLIYMLQYLDKNSINFASVYGLKKGTHLKGQQYSWLSSIFYFGY LIAQWPAGLALQKLPVGKFLAITTLVWGGLLMTTPACYNFAGIAINRFLLGMVEAVVT PGFVLMTGMWYTSEEQPLRLEAWYCTNGIATMFGGLIGYAVGHITTGLPRWMYVFLIF GAFSIAVGIIALVFLPDLPSTAKFLSERERAIAVERVAINRQGVKSSQFKWYQVRQAA EDPKTWLLFIMAIGAQVPNSALTSFTSIIVGTFGFDTLGTQYLQIPGGAVQFITLILG GYIATKFDGKFHSRFACMIFACTVCIIGSGLLVGLPDTNKWGRLVALWLCYFQGLGFS MSLTIVSSNIAGYTKKQVTGALLFTGYCVGNIIGPQTFKSSEAPRYKSAYIAMLAGYA VKLTCIVALYIYMYLENKRRDREAVDSQEIEAEGVENGMLADPYAHLLVREHFDWWED VENDIAIKAKAIPPISTVSKLGLKDDITRNTKPIPKPSTVPITAPKLDEKYARLLVRE QFDWCEDVDNGIAMKTKALSLDTKTPTADTKDLATASTFPVTANIMNGGDVQRPIITQ YNCQGDVDNDVVIKAKSLYMETHIPTTGTNDQASTSTATKLDDEYAQPPVREHDNRLE HFENDTTLNTKAVAIDTEITTIDIGDLDTSSTGSATIKELGDEDIQVPFDYDRSEDAK SESTVDTEDLDVTSIGTATARKLVSIPTSNSPIPFIHQRLTMVILKDDEGIQHLVSEC DNWSERPISGHDDCLENARNNIAMDTEDLHLNHELHDTTNGPIIAIQLEDEESKQAVI EDDNWSEDATNDITMDTEDLNTNNGPIATTDGLDTAVKSEDLHDHLHHKISASADDVE ANIAMDNKHSTGSNEPLATGDDLVTVAELDDSHAHPLHPETSHLSDDAESTLATDHHP ELNAATKSSDEIADSPQPVESSQLLDVPKSNSSPVSVQTPQPDEFAVPDGDVDEYEYR TLIGSEDEDIHHWNWFGRAMYAPTATPPAVSLAFMQVRPKVPKGRDELRVISILNRAL HQIDPVILRVDRGNEGVLQMHGSEMIEVCDGNTYTHYSLHGNWMSDDFSMRRMIVPDS GAPWMDWRDQLVAAAQEPSRSPRKLTWNPTPSCFKIESMASKKPSPPSHIPSYSFAPL EIMSFIIQKAWATERKVARTFISLPLGVMGPIIKKAPVLKTVPHPPLPFPIGIIGNTT NKAWATAKNDFRKVFVFSIGIIKNAWEFIKAAFHSHTVMPEVVSFLSG PEX2_088360 MASSKDPTKDPNISEAPAVAADLESEHTSQDPGEWLYKSDRAEL TELTPVEAFKWSVEGDQSPFPEVAACVSNKDDPTIACNTVRAWILMTVFVILFSGVNQ FFGLRYPSLTIGYVVAQILVFPIGRAWEKLPRWRVPLGKLSFDVNPGKFTIKEHAFIV ICVNISATTAYAQGALVAIVSPVYWNRDLGAGFSFLYLLTTQMIGFGLTGLARRWIVY PAALVWPTSLSSTVLFRALHEPESRTPVNGWTISRYRFFAYVTAFAFVLFWFPDYIWT SLSTFAFVTWIAPHNQKVNTIFGMNSGLGLIPISIDWTQINYAGYPLMTPFYITCNAF AVVVFFYLFLSPILYYSNVWYSAYLPLLSSGTFDNTGSAYKVSRVLNEALDFSESKYK EYSPMYISMSYSLTYGLSFAAVTAIVVHTYLYNGTEIWARFKNSQHGGEDVHRRLMRQ YKEVPEWWYGVLTVVVLGLGILTTKYWDTELPVWGFIVVCFGLAVLLIVPEGILQGTT NQRVFLNIITEMIAGYAWPGKPIANLMVKCYGYNAVKHGMDFAQDLKLGQYMKIPPRV LFFGQIYASILATATQTGVLRWMLGHIDNLCDPKNKQRFTCNGAKVMYNASLIWGTIG PQRMFQSGQVYNGLVYFFLIGPVVTVIVYFIYRRYPNSWVRYINVPIFFNAAGNIPPA NTTQYSLWFIVGFLFNYLIRKRAFDWWKRYNYLLQAAMDTGTAIATIIIFFALGYHAV TFNWWGNTVGSNTYDTKSVPWLTVPKGSYFGKGPGEF PEX2_088370 MDVPKTAIPVILGSMTLGKTNKAHVRIDTIGGTNEMLDVFQKHK HTEIDTARTYGAGSTEEYLANAKWQDRGLLIDTKLYPTKRGDLTWITSEQWTHEPWDV RAGLMTSLKALQAESVEMFYLHAPDREVPIEKTLAEVDALHREGYFRRFGISNFQSWE VSKICEICERHGYIKPSVYQGMYNAFQRSVEAELVPCLRHYKIGLYAFQPLAAGFLTS RYQRNQNTEDYEVGSRFDPRESRNLFYHRRYLNSRYFDAIELLRPLAKKHGLTEAECG LRWMAHHSVMKRELKDGVIIGASSSSQLEQNLLDLEKGPLPDEIVEALDAGWKGIAGT YAYWH PEX2_088380 MPLPAPPPPRSPLDRHRQLAPSASVRVSPIFLGAMNFGEAWKER IGECSKETAFEILDYFVSQGGNFIDTANNYQNEESEKWIGEWIAQRQNREEIVLATKF SSSYKNHEAGRVQSNYGCNGSKSMRVSLDLSLQKLQTSYIDLCLNDLVVSGKVLYLGI SDCPAWVVATANHYARDHGLRQFSVYQGLWNASIRDFERETLPMCRDENMGVVPWGTL GQGRFQTEVGYKEREQNNPGRKGRAATDEEKRAAKTLETIADAKRTNLTSIDFAYVRH KAPYVFPIVGGRTLEHLRGNIAALEVSLSVEEVAQIEAAYSFDHGFPHTFLSGSLFDG SAPRAPNGPGDVWLTKLMGNFDWVQPQLPIERNQ PEX2_088390 MATMTLSCKPVTKPDDSRVDFGAELTGFDVETMTDDDFDFLRRT LYENQVVVIKNQGKLSPRAQYELTRRFDPAAGVYSHGKSIDKRSVLHADLTTIPHQPQ VQVIGSGFVKEYEGLSNIRLKHPHHKTFHKNPISPEEDYYYTHFYRWHIDSAMYNLDP PLVTTLLAVKVPKGRRQTCRYDDGTDTTLDVPLGTTAFFSGYKLYDMLSEEDKHFVRT SKAEYAPHPYIWMSKAKSRSNGLGIISEGLELAEDQLPPFEASKLRVYPMAWKNPVTG KIAMMVYPTCVRKIHLENGEVLDNLAEIRERLYKMQRPAIDPCYLYTHDWEEGDLVLF NNHGVMHSIVGSFGEDEVRVFRQCNMAASRPPLAPEDSPSIV PEX2_088400 MPEPTSLTFDLLPDEIRQSSAIGAEIQLPPGMPLLDLDRLTDYD KDTLRKALFENQVIVIRKQKGIDPTVLPTLAKIFDPNASDIHSAGEKAVSDPKNILSA YKAGRIPRAPQVGIIGSGKFQDYEGLKELEVVHLDHTLFHEEPLSQEELDEGYTRPYR WHMDTPFYERQPGEVTILHSIRSPSVPDQKIKFPDGKEKIIGAGATAFFSGARVFSLL TPEEQEFALNTTVTYAPQAYEYIRQCKASEDGLTIPNFGREVPVEKLSEWTWGKVAEH PMVWRNPLNPDRPFFQVHGCCVYKLTTRNPVTGEITVMDDVEKVREVVYKMQSKIYAA ENIYAHRWQKGDVVIFHNRGVMHSITGQLAQYKEQEDKRRLLWQCTMTSTTAPKPFRD YEGVNDTGYART PEX2_088410 MPTSPDSPILIIGAGISGLVLAQYLQRHDVPFKIFDRDSAIDAR SGGWGLTLHWSLPALRELLPEHIVERFPETFVNKEASARGDTGRFQFFDLKSGDALYN IPAAERIRVSRVRLRELLTTGVGVQWNKSFQSIESTADEITAHFEDGTSYTGRLLVAC DGSRSRTREILYPDVQMNQLPVQLLGASTLYSAEELGGIESIDPFIFQGSHPESNVFL FFSFLDTPGNFENSSKDRYHCQIITSWADSKGITVPDANAERITLMKKMTDSWSEPFR SLVHKLPDDVEVRSIRIEDWMFSLGRAHAHPRAVLMGDSAHTMTMFRGEGANNAIVDV LDLVKRVDMRQPSSFDSEALVSSLAAYENDVFARAEPSFLNSRQAVVQLRRNAVQHGS EIQFLHSLLVDLESSPSDPVPQVVKKIKNQIFRLETGQDPTESDLSTTASAQRESSTS EDRAPSKVSLGATDSTSSQIDSSLLTAIEHLAWGRNSAGCFPHMTCSCQYRKDGPQSL SGTGPLPVNASSPGLNSSLPSVTDAQKLIKFHLCHVAWHHNCIHGPKFLEQCELFWET GKFDDPLWLALYFSVLSATVSSIQDSTKVRELVDVDLQAIPSTHQLFSAMVQTLYNSH FLSNLSVCSVQAIVISTEVAHNLGLSQLNATLFNAAVRIAECLGIHKIQDPSKKPAQN KDEWDEKVEREVGKRVWCQMIIQDHFAIPFTDTYSISPMHFSTGPPMNADDYDLTDMP ITSPTISTYIRVLVNLAELMPGLVDGLGPMKSRKPMREQYEHILHIDQKMRGIVKNIP PVLLRADKIKEAQIPWLAIARRSLAITAAEKIIMIHRPFLFRSFNDQTYIYSRRTCVA AAMTILREHEVIVREGDISIWTHTAFAITAAVIMCFEVNATADNQVTIAQSHKDAIVA ARTRLADRTNDVLAQRGVALIDAIFTADTSSDSAGPGNKMIDFGQILAKFSTFTRMNL GPDTPETTVSRFSNTDPQDVIGAHFNEMQPAWDTAEDIDFDAWFNGTFHTLHDPLQF PEX2_088420 MGWVHNASPEVEAASQYPLILGINYAGRPFYQLGIAGFKASLCL SYLRLISGTSKRHYRIVIWIVIAASTLGHLAGALSLLFNCTPVGRASTRAARDHTLTT IRPQQIRKSWNIRVPGKCLPVGGLFYGLAIYTIITDVIIIVLPIPLLLGLNIKLAQKA GVVCLFLLGLFTTVCSIMRLTQIQRVAFGDGNSTMLVLWGTIEFNVGNIVTCIPYLAP LLKGFVRGIASSGDKSKQFYYDSQGRSYLMDNWSKDPQSHMQSTASGHKKPKRTPSEE LILATQEPEHGGIEKTVEYRVSSEGTAPK PEX2_088430 MGEIQSEVVPQPHANRVDDAVVYLKGHENEALDSQVDLRALRLF GQGHAEREFDFESRRMRHVINARMWIQYAAVMGIKKDLKLAGNEFSNVATWVFIAYLI AEVPNVYLLQKVPPAKWLGGNVFFWGIAAAASSGARGYPSLLAARIFLGIFEATVGPS LMLISSQYYTKSEQAPRFTIWYMGLGVAQIIGGLISFGFQHVHHASLEGWRIMFLVLG LVTAVVGGLTFFFIPDTPMKAPWLTDSEKVALLQHVSQNQTGVWSTTLNVKQIWEAVL DVQLWLLTVITILISVSSGVVTTYSATLIAGFGFTGPISALLNTPGGIVSIFFTLLVG FGIRQTSNRWAWNVLCTIPGIIGGALLSFLPKHNKAGVLIGIYLVNAIVATLPILYQW TMANCAGHTKRAFASALVAGSFSVGNIIGPQTFQARDAPEYRPAKIAVLATQAAAAVL SVVLFFYYKWQNSQRDQTKAVDENNFDDTKWAGLTDKENPSFRYVY PEX2_088440 MHITPAVAALCISALLKAAHATASETCFSALAATILKSQVVYPD SAAYKTSVGSYWAENVQLEPTCILQPRTADEVSLTVSMLVAADGGCKFAVRGGGHTTW AGANNIVDGVTIDMSLMNTTTYNKEAGTASIIPGSRWGDVYETLAKYNVTVPGGRSGV VGVAGFLLGGGNSFHTARVGFGCDNVVNYEVVLANGKIIEVNKDFHADLFKALKGGSG NFGIVTKYDMKIIEDDKLWGGIVTYDNSTTLQHLEAGHRFINNLEKDPYASWIGMWGY ISVTDQNFVANALQYTTPVAYPAAFDEFTTIANTSSSMRISNVWNLTQELDKPLTYSG DVFVTASFVNNLDVMKRTIDIHNKMIEEAKADTKGDDYTMSTMIQPWSKLFTDHSTEQ EVLFDYSWKDEADDALFNRLANSALEEITMYSKSIGADNEFIYLNYANSNQNPLSTYG SENVEFIRAVARKYDQTGIFQTQVPGGFKISAVAK PEX2_088450 MSANVSTENDIALAEISNTMTQEGVQQTERTSKYAKFSSVILIS IVLCLATLCVAVDNTIISTAVPRITHDFRSIDDVGWYASIYPLTSCAFQPSYGKVYTL FSSKIVFLAALLIFEIGSVVCATAPNSHVFILGRALAGLGSAGIQAGTTLILAECVPL RQRPTWNSIIGSMFAVGSVVGPLLGGAFSDSTTWRWCFYINLPIGGAVMIFIALFYDG SRGGQRAFESTGFRSQIARFDLAGTFTFMSATICLLLALSWGGTTYAWNNACIIALLM VAGVLFCSFITIEYRMKDSAIIPLRLLRKPSIVAAILFGICLGGVFFVNVFYIPLWFQ LVNGVSAVESAILFIPFMLSVVGGFMFAGFGTAATGYYTPFVYAGSILMSIGTGLLMT VQPQHTSRAKWVGFQILCGAGIGLGEEQGLYMVQTTLLETDVATGIGLVLFAQTLGGA LFVSVAQAVFLQNISKALKTLAPNLSPKSVLSGVAPGSSQELRAVYGLAIKEVFRVGL ILGTVSSLGAVLYDWKSLKTKHDDRNDQHVSSHELEHVAEVRTKDK PEX2_088460 MPITPVAVAVVLGLLTLLYIVTGKKKGENRPLLKLPLIGDIHSS SIEKPLLNWDSWTKENGPIAVPKLFGVIPIVVLNSYEAVTELFSRRSQWYSNRPPSVS MEMITRAEPGKSKFTLMHDYDDHLKFHHRILAPSLGALAAPQYHPLMELESKQLLFDL TNAARQFPQGISTETIYPLLERTQSSVILALHYGLRIPSFEEPILHEIIEIQTQVDLY MRLFRHGRDSTGWNSTKQAIATAKKYSEDGVPDLDLAFTLATSIQEGMETSPRQLLWL FIAGLHEPSFMARAHALLDQVVGRDRLPQFSDRPGLAYIDAIMHELFRWRPISPGSIP RRADRDDEFNGVKIKKGVTLMANAWAIGRDEKVFDPALGHTQDFVPERWLRRDADGEE RLRTDLPLPVFGQGRRICQGKKVATDGSFMHVACLLWAFDIEPADGEEVDPWAMVVAG FMTMPREIKFKLRPRGDWVLDVINKEWETAEKSLGKVMGTGVDVEDK PEX2_088470 MVVTFPIETPAQRQVLGVAIAFSILAVVAVSLRLLSHHLANKKL ILSDYFIIAAGVSICQIKPNKINYTN PEX2_088480 MDFTDITYSIPRANIFSGLEPCLAVILASVPMMRPLLGRSAYTP EVTARPSNKSSSHPGRSRSAGEGEFQPLQDDSSELCLRPIGPKHEVSVAVQNLTEARE RHRRSEDLLEAGESRNIDQTQKEDWAISVKQEWAVYHGEK PEX2_088490 MLFRYLLALVIQLCWAALGFGHQDATITIPWVTDAPDYFQGYGG KVIGAKDATTTYGINCLSDQTACHRFTPDLTVVYGPSTYDMIANGYKFDFTSGCTLMG SPTPTGASCTETKSLHETSTITSATVLVPATGDDSTLGIFPATLIVTDTGDFSAPSTA TDLETSANSHVSTSGASLTTPATATATATADSIASVSVGFPNSTVMIPGVPTYFGNGT SRADKNGTTVTVTVLATSIPCHCECDCRQNQTARATVTVPMVIKNHGIKIAAPVTLMG GIVAGAVFWI PEX2_088500 MGSIQPEFKNAATASLLELVKARRTFYDLKGESPVSDDVIERIV QDSVLHVPSSFNTQTSRVVLLLKEEHKKVWDIAINALEGLVAAGHVPKEQFETATKPK LNSFRAAYGTVLFFVDFESLAPIKEKFAIYADKFDPFALESNAMSQYLVWTALQSEGF GANLQHYSPLIDEQIAKTWNIPASWKLDAQLVFGTPNSQPGEKAFAPIEDRYKVFGN PEX2_088510 MSFAPDAGVDPRANGPQDLDLTPPQGQDGDSAPVSSRSSMSYTR TYSTPATGAQTPDPLMGTKIVDKVALQDLSINNIGRDINESYALDTTNTMKNRKNADP VSRPHPDSDEESGSPIRSKQGIPPELSSFTAELVLICVCSAGLMLFSFLLGDMLAPQE QIKTALGITNTELPWVVGAFNTANGLSVIISGSLTDLMPPKLLMVGAFAWLAVWNVIG AFTLHPSRYVLFFVMRAMQGLAIGVLVSGSMSILGRVYTPGIRKNRVFSAMAATAPFG FSLGAIQGGALYQHLPWIFGSNAIICALLCAAAWFAIPPLRPIADVSGKEAPSIKQFD YIGGFCAAGGCVCLLFGLTQGPVASWSPYTYVLIIVSFVLFIGLFFAERNAVRPLIPN RLWRTPGFTPLMIAYFLGFGSFFGCWQFYAIQFWLRIQHASPIAVALYHIPNALVGVL ATVIVARTLHLVPGHYIYTVSMLAFTLGPAFFLPQTANTSYWALSFPGISLVTFGPDL AFAAASIFITSNVERSYQGSAGALLVTNQNLSSAIMTSVADAIGTRVDRGPSGEIGLD GLHAIWWFALAAQLLAALVTIVWVRIPKEEEKEHVT PEX2_088520 MYGLIHTLLPREDDNGASHGRQALVVTAVLTAISTFIVAMRFYA RLGLMKITGREDWAILISLMFSIIYLALVAAQLHYKIGVHSELLSSHVLQQQLKCLWA AIPMYNASLAFTKFSILFQYLRIFPGKPFRLACYATMAIVATYSSWAIVSGFVNCVPV AKFWNKDLPGNCLNFEALWFFNASMNITTDIALLLLPMPLLSKLQLPRTQKIALIGVF DSNVAAAYWTAAECNVAITCSCLPFLRPIIGRIFPRLLSAGSSNRYAANKPTVMNLTG TQTITRHTQLFSQNHDKDYDIYSSNVRPGDHSSHSSLGGIEVTTEMSVMEEVPLKNST SEHRLVMDA PEX2_088530 MATLKDFTLTFTGDVMLGRLLDQLMPEHVTNQHDERIATTFIKA HPTLLSKGNYTPSSPWGTTLPLLHSSTLACINLETAVTTAPIPWPNKVFNYRMHPANL GPILHAGGIDYASLANNHTLDFDTEGLTETVQTLQRANVAYAGAGETADDARKPAVLH LPRASEVIDESKQHRYTVHVYSASDHPRVWASVPGFNLFDYTRDTRARLREMLVDAEE TKPALKIFSVHWGPNYSWQPDGRITSLAHFLIDECGVDIVHGHSSHHVQGVEVYHGKL VIYGCGDSVDDYALNEEYRNDLGALWRVVVREKSGGGLGLERLEIFPTRVERFRAVLL DSKDADHVWVRRRICELSGELGTSVKGPLGEKGEVIVELSGL PEX2_088540 MRQPSAEDLDAAQQLISSAQAGREHLADHYREDGMVQGSGQPES NQWIGHNEITSNKTSPGSQKDITFLGHSCSNCGTKSTPLWRRSPTGAMICNACGLYLK ARNVARPTKRNRMQSEGTEKPPPPASSHCGGSSEGGGCKGSCPGGGSCNGTGGAEGCD GCPAYNNRIYKSAPRGAAAIQSSWGRHPAQELEPATQEEPPAKIPAPADGPNTLVACQ NCGTTVTPLWRRDEQGHPICNACGLYYKLHGCYRPTNMKKSIIKRRKRVVPALRDQSP TAATLSSNGSSASPEASPATLAHGHDDHYRYMSSEPADHYHMMPGKTEDAPRALPFAP PPVDFTGYNVSSVPLAHGLSISHGLPKPLGVDRLGHSPVNQYGRRSASPNPLNLPKKR TLAETATEALPTPSTLEAGSNQLPPIMSSANPTPPGRLSSISSILNQSEVRGEHRPDT NLGRPPPIHHSISPSPHPPQPLPGLASLGDSVDRRARLEREAEQMREMLRAKERELAE MRR PEX2_088550 MDFTNIFRLVNIAVGVFMVLGGISNFFTGTWSSFILGAYAVVFG LVVGGLEFLPHVPDYAYRYASFLFSFLGRGVFYIFIGSILLHDHVLRIIDGSLIAFIG LGYVALEFIPSIEPPSNMRETDQGWGAEQV PEX2_088560 MEIITLRKPWLFDLRSSKQLIGLAVFSTTFTDGFLYGIIVSVLP FSLTVRSGVPEADVPFWTSMSLAVFGLAMVLGAPIAGLIVGKCERRQIPFLGLSCAFG ATLLFMLGVEPWVIIVARIFQGLSAGVVYTAGLTLLVDTIESHELGPWIGFGLSGMNF GVLVSPTLGGIVYEKVGFYPVFIMGLGVVLVNLVLILLMIDRKTAAKYRGQGDPTKCS SLPNGNSTKSAIANGKRRLSTVEDGDPTITTPLLSRCRETSPAAKDPSWWTIVGGFLQ NHRICAALYGSLINTILVSAMDAVLPIFIKQTFHWFSGATGAMFLNLAIPSLIGPFVG LVSDKYGVRLISGLGFTLAAVAVASLALIQHNDAINKVMACVLLSFVGIGLNISLTPL VAEIPRIVNTIQDEQPDIYGDKSAVTEAYMLLDAAFGAGTVLGPLLSELAFENLGWTG CTAMLGFLSVSAIVPVMVHLAPNPKRR PEX2_088570 MSGHKPKEAAQKINKVLNEFGRSPLHGTVLADPNSLNASPEIVL AMVLDAVIKARPISHELTQKTLRKLIEADYHEIDVLSKSTWQDRTVVLQEGGYNRFRE QCATNLGELAKLVVERYDGDLNNLLKLADGKIHKARILMKEVRGMGDVAVEVFFNNVQ SVWPSIAPCLDSRHMKIADEIGIGTDLDEIYNTLKQDPMRMSQFANGLSEVRLEKRQG VIEEL PEX2_088580 MMNNIVVLGAGVSGLTTAYLLSRDPTNKITVLAKHMPGDYDIEY ASPWAGANYMPVGKEGSAHHKWERDTWPALKEIAEKYPEAGIHFRGTLIYNRKKDQET DTGKWFSELTQPNPWYKDVVLDFKSIPSDQLAPGVDNGQEFTSVCINTAVYLPWLVGQ CVKNGAIFKRAVFKHIADAANAHHTGQKADVVINCTGLSSKTLGGVLDDKMYPARGQI VVVRNDPGPMMSTSGTDDGEDEALYMMTRAAGGGTILGGSYQKHNWNGIPDMNLANRI MKRCIDICPSLVKKGQGIEGLDIIRHGVGLRPLREGGTRIEKDKVDGVAIVHNYGHGG FGYQASFGCAYDAVSLVKEVLQNKSRAKL PEX2_088590 MASNKDYFMQFVVAEGGERRRPKRAAASAYATRSADVSAPSQQD MERRFQEMIATTRKNGEWGSSEHLQAFCQAFKVDLNVYTMDGVSVFQDVNAHPTQPRD VLHVAFHDFKHYSSVRVIEGKHEGLLTKLKLSQPTEEGLNPEVILDSKSDINGEKFTL PEAKAGGGYTTNDAGLAVDLYPPWDIKSIQEGLGGRYDRETIVDMLQRCRGDIDRAFA ALLDEKTDIPSDKKAAPGIPIKPSLQASRSSSPFSTGSKRSAEDSDDSEDPRPARRTR PKKRLVSNLTLGVGISFRDEHDEVVSLNLRMNSDAEDGQATDPPLPGNTTPETSDMDG KKCRRSRRLSRPRPH PEX2_088600 MRTLPGAVLNDLVYKDTRVYFSQCFVVLSFSSFLALLFQSGPWP MIQHWWRAGVTALSSGLDFNTAI PEX2_088610 MHSPLRIAVLECDTPLENINRRYNGYGGVFRVLLKASANALDQP EKLDPETGLEITAWDIVNDDKYPKLEDVDAVLLTGSRHNSFEDIPWINRLVEFTQQVL AQDRVRLLGICFGHQIVGRALNTKVGRSDQGWEIAVCDVDLTDKGKELFGRDKIRIQQ MHQDIVFNYPPNVVPLGSSPRCAVQGMYAPRRFITLQGHPEFTGDIITEIIQSRADSG VFKGDQAQDALSRANNEHDGVAIGMAFLKFLLED PEX2_088620 MPIIDDNEGQPVNLCPSEATPLLRNNSVHQKTSYRDVSYSATDV ESACSSKDIEEEAHIRCLSNENSASKGVDVGKASRAGSPNPEPRIPTQDEKDYAARFI DISPARFWVIFGGILMGYMIGFFDSTLMASSHPVITSYFNAANSASWLSTAFLLTSTA FMPLFGRISDAFGRKPVYLFSIAMFFFTTAGCGLAQSIGGFIAARALCGLGAGGVFSI GLIISSDLVHLEYRGVYQSYINLCLGIGGSLGLAFGGYLCDHIGWRGAFLIQLPFIFV YFIAAAWTVPADLGIKQTGMERMKVWQLVRSIDILGSIILITCVTMLIVGLNFGGNVY PWGHPLIVTSLVSSLVLAVILVRYELHVPRPVLPIELMSEDPRASIIFGNFFASMSLH TMLFNAPLYFQAVKLASPTDSGLRLVASSIAVTVSSVSTGFMINWTRRLQPFVIIGVC FMVIGGFAAASMGVETSQPLSMVCISFSSLGQGFAFPSMMVGVLATSEKQDQAVATTT LGLWRSLGAVMGVAVSSWIFQNSLIYHLNNKVTGAEKNHIIALVRKSVDTIAHLDPIH RREGVFYFFEVPTRFDLANNNSVTTSYSAALQLTFLSAAFWGVIVLILMGRIRLPRLG RKA PEX2_088630 MNLRKVAKAHPQSPDISTRTSTPKSQASDSQSTPRPRSSRKKSS DAPDTKARRVRTGCLTCRQRHLKCDEAVGRCLNCRKSDRICRRGVRLNFIDTQTVAPP HIIARPPGSKVTFRDDSRLIASLYVGGFEMYPPVQPESPVQENRHSHHNFDFMEDDDL TNLFQSVAHSFDPLGFDVPPPNAADFVGTDTWHQSHLVPGDELLPHGTSHFARKLAGK HEYHSFLTDPEQVSLLRTFTEEVGPRMDIMDEMNHFSQILPGFAIGEPLLLNAFLACG ARYRSFVEPSYGDEKAAHYYEAATRDLLNAIHDPNRDSVLCATAALALAFSETMPSQS RHTGDHSAGSRALIRECGWTAKTPGLGGACFRISISAELLNCRKYNWRLSWDPNNWGI NMDMDHSQAINGGNQDLWHHRILYIFAKVMTFQASSRPSHALNDDAARAIQLNDHEWI TYNRWCEQWAKSIPRSLVPLGHLQPWQTNSNSAFPEVWLANRSAIVSRLFYHASRILL AKTHPFQSEFEEDMRKMKCSHAHEICGLVAHTTDRGVAGISFYFLALAAECLETREAQ EEVLGIFDTITKVTGSSPEPIKNDLKQIWSWAEAHPHTVTPAQMHNHFYELDPSLSIS NNQCSSSSLHNPLLTAGDFSLENHPYQGYYVPPLHHHALNQYHYGTFDMI PEX2_088640 MASNYTYSFFRVCKTDGIAISAQKYRDLRLKALKTSPDSFSSTY DIEAAFADTDWIDRLTLPDRELFICAATPTSLDASSSNGTEWIGQVTLRGPSSRADFE LPVEAGQPPQKSDEEEERWQMLSLFTLPEHRGHGLGGKLCQEAINHLRSYRLFPRQIQ VRLIVKAGNHVTVELYRRLGFMHAGKATLVEALIANGDEGLLPKDLGSAKYSDRKGLI MISRISRS PEX2_088650 MFAMDYYNMSNPTERTAEDNYERENDPSPVTEDFTENTYVKEPK SHLRGQVPVQLDEQSFEDPMQPPYSNSDQQLEDDEREAINKSNVMRGDRLRHAKPRTA NKYNEGPGEDDLPAGLE PEX2_088660 METKSAQAQSPAAPIYILRGHASPIHALHIYSQNLRLVSGDANG WIVVWDLVTKRPVTAWKAHEGAILEARGFNVGSNATEIYTHGRDHKLCVWKLRLEDES FLDKTLPVDATESAQPEIRTQPWLLHSLPVNALNFCAFSMAFVNSDGLPGVPSQYGKP ENTLFAVPNALDSGGVDIFHLPSERRISTIPSDQSTKTGMLMAVNLFVSPSGDIYVAS AYEDGHVMVFVHRGALKSPSFEREYISNNPLKWDKLYAGRPHSQPALSLDVAPSHGYF ISSSADALVVKHPIPSIGSAGYIPTAGYKEESPLKIVNTKHSGQQGLRIRSDGKVFAT AGWDSRIRVYSGKTMKELAVLKWHKDGCYSVAFGDTESTSSSVSSSPESAKQEPRDAD QGVVGHTAVEGHNYSLATVQQQRNQKVQQTHWLAAGSKDGKISLWDIY PEX2_088670 MADDGMLMNFSIGDSVIKPEAKFKGGTWRDRLTAKKRSAHRVSE KEPGNGDAAARNAASKNPNQIQLGTRPVKRQRTEDTDSFNRPSAPRSGGDRRPQQHPR DPRHFVSSLFSSNPEARNPEGPKEEREAEDSKPTNAPLIDGLDTFTNLGLSPNLAAHL LTKLELKAPTAIQTSSITQLLKEESDAFIQAETGSGKTLAYLLPLVQRIMDLSKAKNE HTDTQVHRDSGLFAIILAPTRELCKQISVVLENILRCANWIVCGTVIGGEKKKSEKAR LRKGLNILVATPGRLVDHLDNTEALEVSNVRWLVLDEGDRLMDMGFEEELQGIVKKLD ARQRPSRVPGVPTRRTTILCSATLKMNVQKLGEISLKDAVHIKTDPSEEDDEKSNKDE EESFRVPAQLKQSYAIVPAKLRLVSLTAFLKRTFMRKGSVMKAIVFMSCADVVDFHFE LFSRNQDREQQKKSDEEEKDAKDGKDEKDEKDEQDEQDEEKKKLAAHGTIAPGRAFST PTNPVLLHRLHGSLPQNVRTATLGSFSRSTEACVMICTDVASRGLDLPNVDLVVEYDP AFSSDDHTHRIGRTARVGRDGRALVFLQPGCEENYVEVLKRGYRDGGKALTRTDANEI LKRGFGGNDNKKKNWEERTTDWQMDVERWALDNPDYLEMARRAFQSHVRAYATHTSAE RSMFNIKELHLGHLAKSFALRDRPGKINVPGLRPGQEDTKKDFKAARNGVAGNKRKAE DAPSSTNVTDEAARKMRAKMREHMSGANEFNLA PEX2_088680 MSRLVNGARSFSTTASSLFADATAASQSVRQSSSFQVFRDVPSI RGLRRQLLQKDRSVGFVPTMGALHEGHLSLIRQAARENTDIFVSIFVNPTQFGVTEDL SSYPRTWDTDVEKLEKLNEELERLGENSASAGRITAIFAPTSKVMYPGLPPSSEVDGY GSFVTITPLSTKLEGGSRPVFFRGVATVCMKLFNITTPDRVYFGQKDVQQTVVIKRMV EDFHVGTEVRIIETTREHDGLALSSRNVYLGDRRRTVGLTIYKALKAAEEVYLNGKNS RADILGAARNVTDSVLSGQESLSPCERALYEVDYISLADPDTLDELEVVDPAKGAVLS TAFKMAPLEESKPGEDCGLGDGKVPVRLIDNLIFGPRP PEX2_088690 MPSPKSAIADDHSAPDLEIVNSNLTIHPAGFTGGPETQDGQITE RNLVHHMARFRENPFDFLREVSLYMSGTGWRAYDEPIGQPIFYSGFSEGMKTSILGSL LLQTKITELANGRLIVEEKEGLLAINEGITLDDKRARRRTEIEDNLREVVDTMMENMI CKMESKTFIRGAYYMCTQLLTRAYHQAETAAKKKQSIVFLPCHKSHVDYVSLQIICYR LGISLPVIVAGDNLNIPLLGTFLQHAGAMWIRRSFGNDPLYNTVVQAYIDTLLQQGFN FQCFIEGGRSRTGKLLSPKFGILSFIMDSILSGRVEDLIICPVSTQYDKVIETESYIS ELLGQPKAKENLADFISSSSVLSLKLGRVDVRFHEPWSLRDFIGQQLTRLDRPSTDIN NKLSYTDRGRILRTFGYRVLSDINDVSVMMPTALVGTVLLTLRGRGVGKAELVRRLDW LCERVRAKGGRVAHFYRSPTETVVDRALEVLGPKLVSVISGLVEPTYYAVDRFQLSFY RNMLIHLFITEALVSVAMYTKIKQGGGPANQRITYEDLKKQVSFLSQLFRGEFIFPPE GLARNFDKTLRGLEKDDVVKITRDESSTPIYVELSESERLCGRENYDFYCFLIWPFIE TSWLGSVSLLGLTPPLDGPKEVWIDSKKAQDSAQILGKTLYHQGDLSYFEAVNKETLK NSYQRFEEEGIILVAKNKDSRASPSLRLAPEWAPQRDEGTGKVLAGGRLWDFVELIAQ SRREGKNRRDGATVSSRVLTMSDLVGRKLFQNAAAPVPADVSSRQMRRNAIGAEAKL PEX2_088700 MKFSLSCVAALFAATALATSVSDDVLEKRDNRGHYTVSGLGWHK KAILDAGGNTLDLAIAMLEIEDMNTGHYPYGDAKTYDAANFGLFKQNWGQLRVCASRY GFVGKSESQWNDGAILNSNVYADVASRWDCQNYYGYDKWFAGHRNGASGLNNPYTQDI QNYKSAVQWIQKQIDSNNDYKYDDTRFWVDVVAI PEX2_088710 MRRYLLHRIYDLHCEPSTSTSSESVSAPVTTFSTVASVTRQPSS TVAPTSSPALSTSLGNSLTTVSSSFAKVDGLKFNIDGETKYFAGTNSYWLPFQTNDAD VDSVFKNLKESGLKILRVWGFNDVNTVPAAGTVYFQLHDKATGTATINTGADGLQRLD YVVSAAEKYGIKLIIPFVNSWNDYGGFNAYVTAYGGNKTEWYTNEKIQSVYQAYIKAV VSRYKNSTAIFAWELANEPRCSGCETGIMANWVAKTSSYIKSLDSNHMVTTGEEGMGL TVGSDGSYPYSTSEGGDFAKNLAAPNIDFGVFHLYVADWGITDNSWGNGWIEAHAKIC DAAGKPCLFEEYGIKNDHCSDSLKWQKTALTTTGNAADLFWQYGEQLSSGPSPNDDYT IYYGTDDWKCAVADHVSQI PEX2_088720 MESRGYNVHGCVEAMGDMKVEDKIAGIQHSFSLLYADQGRLQEA EAMYERALEGKEKAWGRDPTSTLNTVNNLGNLYTNQGRLQEAEAMYERALEGKEKAWG RDHTSTLDTVNNLGLLYANQGRLQEAEAMYERALEGYEKALGATQMSTFIPALHTLEN YGILWEEQGNVEKSLLYYQRALNGAEAVWGLNSESHTNIRNRISSLQRHPCEIPKGQD TITYRPTTLERKWRRVRAKFSVYVSKVTSSTLFFCTSHVTSVVPVNLRYEVLEVTSVD LRKTSEKTILS PEX2_088730 MVVPTFAGMSGRLLSRAVTTTATMGFLLFGYDQGVMSSIIDAPD FTDILTELRGNATMQGTVTALYEIGCLFGAIFMLVWGDWLGRRRGIISGAIIMILGVV VQVTSYAGKQPLAQFTIGRIITGVGNGMNTSTIPTYQAECSRTSNRGLLICIEGGTIA FGTLIAYWIDFGASYGPPDVIWRFPIAFQCVFGLFIIVGMSLLPESPRWLFTRERYEE GEKVIAALLGAEPDSYEVTLQKNIIMDSIRAPGQMGKTTPMSAIFTGGKTQHFRRMLL GVSSQLMQQIGGCNAVIYYLPILFRDSIKLEGKLPSILGGVNMIVYSIFATASWFLIE RVGRRKLFLYGTIGQMVSMLITFACLVPDKSGPAKGAAFGLFIYIASFGATWLPLPWL YPAEISPIKTRAKANALSTCSNWLFNFLIVMVTPIMIEKIKWGTYLFFAAMNACFLPI IYFFYPETARRSLEEIDLIFAKGFSENISYVRAAHELPYLSDEDIERVAIQYGFGSAD EMKPGDISDSAGNTSHLETA PEX2_088740 MAVRSPLSVLPYRVNATSPAYYSPRSASMSLDGIVDGNESSHFS APEPINSLSGASANEEDCDDCDNCDDSNGDDSSPEPIRGSGVPPQPELTIQTEYPNHV GNNTRSDDDEAPQSVIHAPPGFGDFAPMHPTLKGTEESQSPSVSSDEQTDIINVPTRP RKFGNSPISPPPLTTSLSLDNDCTERATPRAQTRHEFEEFERRTRSSSLQGLTSKEAE INALRAALDECWTLCNTLANLSYIHRERLLKSHNDDMQEDAWRSCWRLCQKLYDTRDD DYASQIHPTLDLCRDFCQALFEARIRDTDLSDSVLRVSFELNNHLYNTHDRNLPDAFR ERTLDFYITLCHRLMKQRTRITETDSLLSACWTLAEMLFSIRQSKREEKPLDEELLGS AVQACWELCDLFREGWTLHNLRNSDRGTPRPSQTTFSQAFHQTSRQSELDFGDDPMSQ LGNPETPTTIFEDTATASPDDAPIPNILVLGYGNGHNNPHTKWSSNASSISEQSRSSG HTVSSANTVTTISEDPNLTRLKILITKAAIISGYQRGGKQNLSSFVKSLPSDAFGSAA WQTSLLKNYRKVVAFDPAFRSVGLQARVGAIDVAHACQAMLQSGQYSWLRDLYRLVFG FHIEEAMGRKGVIIQT PEX2_088750 MIIPVRCFSCGKVVGDLWERYLQLLDDGVPDGDAMDQLGCRRYC CRRMIMTHVDLIEKLLRYNSAEKDRSKAQV PEX2_088760 MAANQSARQQKRSRLSDAASEKPARSNEERSKRRRVSGANENQS LQKVEPTDGVKESSNGVVQTQDAETKQKNPAPWSFSRPVGGRYNNLDPILTDDEAYLL VGLDTAVQVFATSTSRLSRTLQMEAGQQIIGFSICPEDQESLYIFTSSGSISKWNWNS GKRIARWETTCTTVSMSLASVGKEGSTTAISFSIISPKDGKRQISINTLGDKKIQGTT ALETSQKINSIKVTQDGRVIIASDGKHLFMGTTTGAELENLETVQYTWREATLPVNAT CFDIQVQGSESIDLAVGESGGSILIYQNVLNTLFGKDFSDKRSSPRKLHWHRGSVNTV RWSKDGNYIISGGQESVMVLWQLDTGRKQFLPHLSSPICNIVVSASGSSYVVKLADNS VMILSTRELQPLTTITGLQLCPDMSGSVESSKGPVVAKLHPQQPERLIVAVPASHQLT QNQHGSQPANAAVLQTYDIRANSNISRQALARTNATTLSVSPEGSQIVAPDVKYLDIV HDAKWLATVDTWAPHPQDVEALDRSSNAKSTATLRPEVFLKFWKWDASSDTWELVTRV DGPHFSKNHHSAVLGLVSRPGAHEFATLGADSVLRFWCPTAKYRSGLKAKDQPEQPLN TWKCRGTVDLEGCLNSTDDSPLDAACMSFSEDGSVLAVCLPSTSAANDGLVLLIDARN CSVHYRRTGVFLGNPCSTSFLGSHLIVASTHSVAVWDTVDDVVKTIQSSDSVDSPAGN SQLIAVNARTKSLAIVTSSSHKKRRKVRFQIKIYDVPSFEVVFQETLQTSPVALLSDA YSGDYIVVDSAATVQRLGCLDKASQKSQNNQSRDVTGQIDNGLATLFSRGPERLLAQS DDVEDFSAQTKGLASVFGDTPSFSLPAIGVLFRNVVQTLGSN PEX2_088770 MYIQEKKALEEIMDYMRTACQFAPSKRAFQTQFKRWGFPSKQNP AHKNIELVARVKQLWERNTSQRDMLRMLNDEGFEIKERELMRVRAKNRWLLRVPNGMK SQSNVEEQAVPPEDEGLLALQQEVYKVESALDDPHSLPTQPDTERPTTESPALSPEVI AKRQERLDRLKTESAERWASRKRRRRTRGWAGLPADPPGPPRFPSETTIDESKQYLNL DNTMYRQTRDHFQRICEEAGFIKKTAAGPERWQAAKDKLIQESPHLQQVFNTDPTQRD AKALALDVVCTDVTKRMRTLERRMTIAEAKNALGINPEESRQIRNAFYDTLKVDHFTS KLEAGDEHWRELKEQWIANSELLQQILAPGPADPDHGNKLKALEVLCRDVMKRLRDDQ TKRDPARKRSSARAESRNPTPTTSSMGSMLSNEISNGISTLASQALASAPITATEIGD MQIDPSLLQAANDPSFSANPHHDAGNGFEYVDPMLSSALLHTPVWFQVGSKDQSQETT QKPWLEKLATRTVEELRQLIGARYPYSIITRIEGIDRDEEGNEIPFSIDDDHELDAYL THVHERKALLDFTLGQI PEX2_088780 MARADDSYSRESTFPVMKNRLAGESGSLQRPTKARPGIETGRAA SYHIFPSRDINNSQDQFSFSDVADHSYSPVNLKKRSSMASSMAMHDATPGEQPWNSEQ QLMNASDHNISSEALDSRSHKSKSMKSKVHIKPILRKLSRDDAPSTSIDLSRSSTEQE GLGIYMNFEREHPRSESLAGVTYKRTPSGLHNRSTSGTSQFSNGSGSTASKPGSQYVH PMRQTPRAYTPPLGQSYEESSHDSEELDESPDSEPASIQETRTSSGPSLPRLSLQIQD DSFTRLPGISQTNVTSRPSFGYSRETDGTTSPMSRTSLDFVFRSRTRTSTDPISRAAT VQAARQAFEEKEAAKNRRLEKQQIKAEERGSRRRLKRHTSEDLESPVQSREEISEKPQ RSIVHGSEKPSASWKSQSKSTWVLFMTWLRTRVFKLRRKIKKLH PEX2_088790 MGLSFQNLGLSLLILIAFSGQAYAFGAGNIASLSRLEGQNWRHG DIEDALLTLYMARVAGGKKFGKLDVKRVYFGNWLRDYSQAVDVGTVKYVSAEAIRILL WVLGFMSFGYGTREFEVTTERLGCYQPTEHIDNPLGYATGEDARDYDRRLRGPVDEER ELSVDPRTGLKHYIATEDLGIDTSAALIRRVLGRSIQMGRRYGQSRNKDDLYEALRLL GTGLHCLEDYAAHSNYTELSLIELGEAEVFPHVGRDTKLQVNGVDDEVYPIVTGTFGG VDFFHSVLGELTDKTMQSEIESLENVVAQSENQDSSESFLQTLLSKIPDGLLGDSNDQ TGQMDDFKSKADEAKHDGENVNPREPEEWTLYLNNVQKQIYPVLEWHDNLLKQINAAI EKIPILPDLIEQIQDQITLFVFSILAPYVLPIIKQVKSELETGSSEVIQSSREQQHVV FNDDDCSNPTHSMLSKDHFSNILNEPAGRIASAVVKWTVPQLMSCWDDEDADIDRTLD RIISGVFHHPALRESGHDGAADMHQIMFSTVEKWWSEKNDEERDILREQLSRDGVLEG RNHKDGVQDCGHGCGKPLALPKSHGSSGEGQGSGGSSGSGIENLAAEAVGGGAFGGIL GGLVGGMGSLLLNNSGSKPGRESPEPQNYDSGNSYQSRPQHESHEQYGGDSFSQMQPP HQSGYGQPIEPSYGGYGQPLVHHEEYRPRPDGYEYQSETVYQQPPPRRDEYSGYAPPA ENFRREEHHQYQSESRGYYQEQTQETYQSGQSEYQRTETHYGYGGPPHREEYGQESSG YGRPQPGYNEFENSGYRPQEGYGQREGGDGYRAERQYESEERPRYHSGSRSGGSDEER SHRHHRHHHHHHERSGSDSD PEX2_088800 MVFNALALGVRSLRTRPSPRLLRHFASSAKQAKENQSFKSQLYE STQQRLKRERAEQEKFAQYQTQSPAGRSAALVFALVFFSTGAYYLGSIKPAALPSSST TPLSEIEAPKHNVSPSNLQAAWADFVEILGKENVSTASGDLESHAGSDWSSYSQKEDE KPFLILYPSSTEEVSRIMKVCHQRVIPVTPYSGGTSLEGHFASTRGGVCVDFRRMDRI LELHKRDLDVVVQPAVGWEELNEEIAKDGLFFPPDPGPGAMIGGMVGTGCSGTNAYRY GTMREWVLSLTVVLADGTIIKTRQRPRKSSAGYDLTKLFIGSEGTLGLVTEATLKLTV KPQSENVAVASFGTIQNAAECVTHVVEAGIPVAGVEILDDIQMKCINASKTTSRQWKE SPTLFFKFTGTPNAVKEQIGMVQKIVSRTSGNTFEFARGKAEMAELWSARKQALWSVM AMKKNPDDHVWTTDVAVPMSKLPDIMEATKEDMTKNGLLAGICGHVGDGNFHAIILFS DSEKKIAEGVVHRMVKRAVEMEGTVTGEHGVGLVKRDYLEHELGETTVDTMRRLKLAL DPLRLLNCDKVVRTEQPAPGEVKEW PEX2_088810 MAAAQNLSFVLQGIHKVKFEDRPVPELKNPHDVIINVKYTGICG SDVHYWEHGSIGSFVVKDPMVLGHESAGIISQVGSAVKTLKVGDRVAMEPGTSCRRCE PCKAGKYNLCEDMRFAATPPYDGTLAKYYVLPEDFCYKLPEHISLQEGALMEPLGVAV HIVRQAGVSPGQSVVVFGAGPVGLLCCAVATAFGASKVIAVDIQQQRLDFAKDYATTS TFMPGKVAATENAERLREENGLGAGADVAIDASGAEPSVHTGIHVLRNGGTYVQGGMG RSEIQFPIMAACSKELTIKGSFRYGSGDYKLAVGLVSSGKVDVKKLITGTVKFDQAEQ AFIEVKAGKGIKTLIAGIDV PEX2_088820 MARQRGLSNASSTSSLPDQELGSMYDYLAKVILLGPSGAGKSCV LHRFVKDEWRVLSSQTIGVEFASRIVKLGTGSRRRRIKLQLWDTAGTERFRSVSRSYY RGAAGAILIYDVASYASFTSLPTFLMDARALASPNLSVILAGNKADLTSDAQSDFEDN SRPPPTPSSTSSRQSSIPWDINGSIRSTSLMGTGTRLTATRASEGREVSSEETSHWAA KSNIPVAVEISALTGDGVEEVFNRLARIILTKIELGEIDPDDPQSGIQYGDGGLYGGS DASSIRSRATLDDNSVPLQRRTPRRKGKAPGTPNWKSGMREWEDVFRLGSGSRPNQGC C PEX2_088830 MKFTWATTLLLLSTKVASLTIPQTEPTDLIERDVDLLDLDYTQD TSDIVTLEKRRGGGGGGRGGSSGSSGSSSSSGGSSGGRTGSSGSSGSSGSSGSSGSSG SSGSSGSTGSSSSSGSSGSSGSSRSGSSSNVGGTTSGGSGTRATYGGGNYYAGGARTP YRSGSRSTGGIAPYVLGGAALGFFPGLWLYSAYAYPYSNHYNYYNDNDHKNESLPIVC VCQEYSECGCDNNNNRTYYESLFNGTVPKNSSLVRVVDVNGTQTIYINGTLANGTTAA DGSSTSTSSASGPIAMVLHASGYWVTVAVVVAAVWGF PEX2_088840 MQFTKALLFLSALAPVAFAAESATADCVTKTITLVPSGYTPPPS STPTPTPTSTSISTETPSSTWTPVVTSTPSSSATPSMSHIASSSTPLIKASSTPAGEG ASATPSAPATAGAASIHLPAFMAAGAAAIAGLLMI PEX2_088850 MAGYTCVQCLQVLRQGVRASAPQARLQSLATARRRSPISPSFIR SFGSQRNSRSLASSPEQPKHTHSQSCCAPKSSPSPLAQRAAHTATGSSVNPRAVLKPD NLFHSLSNSPSPALRKRAEFIKQNAFCPHPSHQQTRAAVSPHDPEARKTTDDANLPPA HSHFECPDCGVPVYCSEGHWMDDFEAHLEICDTLRQINEDDHDLNSGRFFPEFHYPGP QDDNFVINMTNWDTYLYTREFAAINDDRSMRQVTRMLTYPQTIGSVLHELSPYSVRSG GRLTPEGLKSLSALRYSLHPPKAGESIDVKGLRLKAPPVRIFILGARAESSLPRDVWL QLQYMYPRALLHLIFIGPESMANRDGEYPLPERTPENPFGGIVEDRLGGQMKITTYVD YFHTMNKAQYFGPFDPYLDCFMLFHPGLGHPASSHEWEETLPQLLETKVPIICTGYTQ WDLERDIKWVGEKCRGEFDVLLEPGENIFRSLRWDLNDQDPHDVSCGNWGLWAFRGKR YEAAFSKEE PEX2_088860 MVAFARAALPSQLSAVARHPVSLCRRIHSSPFRSAVAHPITAHG PPPKAPATPSAEFKETSNSNDAELSASEGEASRYKLTAALKKRFWKDVHVHGKSDEYQ VLLDKRPVRTPAKEVLSIPSTKPHLAHAVALEWDVMASAQQALKNHLIPMTSLAARAT DIAREDAEGNSTTRKQIITTAMRYLDTDTLLCWEPERQRHALERTTADGEPIESLRQI QTRIAGDVMSFLSTKVWPGLEIIPILDTNSILPLSQPKGTKDSICTWVSELSAYDLAG LERAILASKSLLIAVRLVVEWSENFRHIQRPEAKKFGIEEAAEASSLEVTWQTDMWGE VEDTHDVGKEDLKRQLGSVVILCRLQGCLINLKALALLHRDKSRGEDRDPDDNRDTSS VVSASSSPGSLKHTRSGSRHSHRNQGQDSSPPPAIQESAEDMDTVEDLPSLNQMETGS MSLEQSVRTFRLFEILRSGDTTAISNAIKDTTDPQGVSSLNGTTVLHLALQCAEPQVV EYVLSTAEDLDINSRDRDGNTPLHIAAQLGRGPLVRELLNRPSINDAIVNYRGQTALD LARTPEIFQQLQLARSLFIDSKNQEIQVLIGNSNYKGLEALLEEPRVEGIMDVNSYDL VTDPTTAHSGGTLLHEGARNKDAQLIEILLTHGADPFRRDKKGKLPQDVTKDDKTRAL LKRSPAAVIAQRGIQERAILGNSNAQGLAGRVSVGDAPLAGKDAREMRGYLKKWTNYT TGYKLRWFVLEDGVLSYYKHQDDAGSACRGAINMKIARLNMDAQDKTRFEIHGKSSVK YHLKANHVVEAKRWFWSLNNAIQWAKDEAKEEERQRSKNAEVLRQAKIDQTEGRPTDT PSESGLSRKPSTKGLAAPSLGGGSNSGTRLSTYASRTTLDIPAGDEDSSAYGGSYDQI LAPNDMNRAISHVTSAPEGEGEDEEFIDYGSSHENEMPVADKDALNITAQSARLQLDL LANVAASLQAEKSRNPEMTIADPALEQALGAYEAAVSSLKGLVQNLLKISRDRDSYWQ SRLSREESIRQMWEESMARVAREHEELQSKMGESEEKRKRTKRALKEALESATVSSRS IAGGTPRLATDSQNLEASQTEAGITETLNEANQPTQQLSTIPALSRNPSTYSKLSSLC GSESDGEEFFDAIDAGEIEVEDFNNAEENHMEQPKDASAELRAVKSTIIAPSFKGYED PIRERLKMDADDRPKISLWSILKSMIGKDMTKMTLPVSFNEPTSLLQRVAEDLEYTDL LDIAAGRTDSMERLVYVASYAASEYASTIGRVAKPFNPLLGETFEYVRPDKGYRFFVE QVSHHPPIGAAWAESPKWDYWGESSLKSKFYGKSFDINLLGTWFLKLRPSSGGEELYT WKKVTSSVIGIITGNPTVDNYGLMEIKNWTTGEVCYLDFKPRGWKASSAYQVTGKVVD SEGSPKWSIGGRWNDKIYARHTPGFEAPVSGQDPESAKTFLVWQAHARPTGIPFNLTP FVITLNALPDSLKEWLPPTDTRRRPDQRAMEDGEYDLAADEKHRVEEKQRAKRRERDT KGEVYAPQWFTRDKCPVTGEEFWAHNGKYWDSRDAQDWSRSEDIF PEX2_088870 MAFPFMRAFVTLFLYRYTRLVFNLFSFWSFKPIPPPENPKLTSQ DVTVIIPSLEGCGDELVETIRTILDNQPFELLLVTIEANRTKAERMLSAMPASKSRIR LFTVEHPNKRRQMTEAIPEVRTAITLLADDDVSWPRTLLPWILAPFEKDEKYGGVVTC QRLRRAIAPSLSQRLWGFLGALYLERRNFDCGATTHVDGGLPCMSGRTVAYRTRILQN EAFTYAFTNEEWWWGKYQLNADDDNFITRWMVSHGWETYMQYHPEAEVLTTLEDNPRF LKQCARWSRSNWRSNLTSMFHEKHIWHRQPWSAYAVHLTTLSPPAFLGDILLVWLCHK ATGSWGESWHDQSMTMLYLWIFTSKWIKLLGHYLRYPVDVFLLPVSVIFGYLHGAIKM YAVMTLNVTTWGSRDGADDYDAERMKKRTDADRMKQPYYPQYLIK PEX2_088880 MSNQSHNDLPPSYDASQQSWEISGTQPQTDASASGNPPPYHNWQ EAVPDTATFPPPPITGYLISGTGNASEDDAQRAHDFCNSVPLWLPTQPSTAVYHDVQA YDLRPVQPAELRGNVSAVARGRWRGHSADGNGDCVILTQLPMYFPATDSPFVRELKKT IYFEVKLLALRAGPTPQDRSGISIGYAAQPYPSWRSPGWERGGLGVFSDDGCRFVNDS FGGRDFTTAFKVGETIGLGMEFELPDNIYSGDKKSKRCKVHIFFTRNGYRSGGWDLHE EVDADSGGVEGLEGDYDLYGAIGLFGGVDFESCFDPAGWLWKPE PEX2_088890 MEAISTDPPRRARRSSISDHIHRVFSKSMDKRHSQNGQFVPPVP FLAREALAHETATDNTSHARHSLYDETGPSSVSYSSNSRLPSTGTPGSSLSVANGASM EDDTPTQQMTPLPSRPNGDIDNICKSPTWDKPKKKEKRVTKRLEAERKELEKRLLALE DAQSKVDLGVYERSSRRLTKKQPVSRSSRSSSTNAERPRSSSGLSSIFRRSRRNSNSS DQDSPSAEFSQLRTDTNPPSLPLTLPERFGTAITRELQSKHGTALNLSASHKISNPTQ HNRLHASAKSDDLRENWKMAEAWKTKDGREAHRSVSEQIPKGTQSMVGKGARNGETLG PSDDLDRESFSAALKHDRKSVPAADNPLDRVTRHDRSVRASSMPMSQSMSQPNFYSSG HPDSPTQVTTARQLQELTPPETPDNPTSPSNARPYPPPLNPALILARKSRVDPFPRAY KSSPLALNPANTDESSQNDTMIPRPLTAQNLDHANPSQPPQSPAQPHSEDRGRSRLPI ASSHTPKRTSSRFKENFQETPQSIELPPIPVKSNRRSLEIRRMPTTSADPLKDIPASP FQVAVRSPFHTGSVSPSERNNRTSKDLSDDNYIVPARSPRRASRTSTELPGNVLVVVG PGGFIPGHSRTPSHTSSHDGHSNYDTADEDAPESPGFSRQSLTRVDTTPSISAVEAPA VQEAPVSPLIQPSSQAGTQPSIQPSTQPVLEPIQQSGSHPDTHHGIQYGPQSGTQPDI HPVMQPSTQLGIQPSSPQSRPGPMSILKRRSQKAKAAHSPQTIAKIFVICCRCKYWHD LPSEVYARLACPERLGSDSKLGGSRSKKQPDSGARKLTGSRSLPFGQFPAPHSTQGMP DLRPAPLLPRKVTCCWCGHNMSRSCCEGWTTVVEMRERHH PEX2_088900 MLTSCPAPTRIAGTGFPASALPGGDHTTLDGTNWGGIILEDIVE SCVSGWTNNNYQNGYPRPDFNTIVDSFGGDVPSVRTPGFMSLPVCTNASAIIDTMQLG NGDSPYWPCEDPEGYTASGTNIHVSSGCILINDSKRCQTWGGAYNVADQNTASSTATI YAMFDGNNNLNAKVIPGCKIMASWPRSYGDLDFTDNCLKDRSGDYSSAAPTAQPPLML STIHMLLDKTASQNVDASLRSTFRWNPFNS PEX2_088910 MRAIQVSEYVKGPLDLKVTTVPTPTPTADKYLIEIHSAGTNFFD ILQIQGKYQNQPPLPWIGGAEFAGTITAVPTSANNRYKLGDRVFGATQGAYATHVLAA EQTLLPVPEGWSFEDAAGLFVTAPTSYGGLVQRAGVKAGDWVLVHAAAGGVGLAAVQI AKAMGATVIATAGTERKREIAKVFGADYVVDYTDKAWPEVVKGICKKNRKGNGAAGVD IVYDPVGMIETSLKCVAWNARLLVIGFAAGKIEKVALNRVLLKNVSIVGLHWGQYAKF ETETVGEVWQGIFDLVKQGKFKGTSFKDESFVGLESVPKALQALGGRETWGKVVVNIV GDAKSKL PEX2_088920 MMKTSISSDIWEKKKALISKLYMEEEWPLKQVIKQIRTDDFNPS ETQLRSRLKKWRVTKPSRQTRKKSLASASGEELDSDKDAKRKASIQRPLPSLPTRETP TTRHEWPMTQPNYGHSSTLQHSVPDQDRKWSSPMIQQLTPSPSGEHILIPDRTTAVSY SDPSPTTTSFDHSHTSPVGEGLMLNTTSAMAPSYPAYPLSPESCLPSPGTATTPGGIG WSPRAVSVDYGLNPSQWYSLPFEAITPPAIPQSTAAPMTPSMSGYLPQAQLYHPQYHH HYGEAPEYPHGYDTKNWKRAMSLQYDMAGHLAARPENDRKQVLPHTQPHVQPHPLTSP HIQTQHGPHSVMCAPIMSYMGHDHHVQKPPGIGY PEX2_088930 MTFDRSDYLSNVWQDGLFKDRIVFCTGGNGSICSAQVRALVYLG ADACIVGRNSQKTETAAEDIATARPSSRVLGIGNVDVRRPESLQRAIDRCVEELGGID FLIAGAAGNFLAPISQLSINAFRTVIEIDTLGSFNVTKLALPHLMAAAKKRNSSSTST PAGRIIYISATMHYTGAQLQTHVAVAKSGVDALAANIAIEYGPYGITSNVISPGPVEG TEGMTRLSVTPQFASKRVPLGRAGTVKDIADATVYLFSHAGDYVNGVVLPVDGGAWRV GGGNPGGEFEYPDFILSGKSVRFEAAGKQSSGLLKL PEX2_000010 MAAIPFVGESKESANIRTTSRDNQANRDTHSLEDKNERELLEHP DQVTQEAQVGVQKAEATALVWSKTALYATYACSNVIYYAYANFASAPQISQAFIVSTI IGGVLQLPIAKTLNLWGRAEGFLALLLVFILGLIVIASCDGPNGFAAGYTLYWIGYAA LNFILTVFVADASGLRNRAFVYAFIGTPTICTAFVGPLVAQAFLTHSTWRWAYGCFAI ITFFLFVPLALVFKFYQRKAEKLNLFIRLPSGRTTAQSFVHYFHEFDVVGAFILMAAF ILFLLPFSLETYGFSGYSSATFIAMVVIGILLFPVFAVWERFYARTPFIKWELFKNRT VLGACILSAVIFFNYNTWDQYFYYYVQVVYNLDTSKTGYMTQTYGVGSTIWAVLFGIW IRQTKHFKNVCLYFGAPLMLLGAGLMIHFRGSQSNVGYLVMCQIFIAFGGGTLVIGDE MAVMAAADRDSVPLMIAIISLSSSFGGAIGYAVAVAIYSNTFPQALLSALPDSAKAEY AAIYAGGSAAQLLHPPGSATRNAINYAWAYSQKYECIAAAVLLILAFPAIAMWTNYNV DKKQVKGTVI PEX2_000020 MTIRNPLPLSDEWRDPDEYVQALLSFATESVIFMNLCGGVHILD FLTRDPDLYESLLPEDWRSFFEHHDIHSILQLLLREDISPLCEPSETDTGSENGRTWN GGAFPPASLLEYIRDIRRFSFDREFTTPENSQPLPSHIAMGMNKKKKHEVEQFSRYVA SLSDTVNERRGEPLSHIVDFGSGQNYLGRMLASSPYHKHIIAIERKHQYISGAGRMDV HARLAKEEKKKTMHNAKLNKLKKQCMDCTDTPELAPNSTTSEKPEVPGLDVEAQPEPS KTVPEEPAGQDEDIEVFNMLGDMTLEADDVLSSKDKPHVLHVPKARPEVDTRGTVSYI EHNIQDGYLEPIIEHVVDPKTSVETRTSTEEVIIQPAEKKQSDARVMVVSLHSCGNLV HHGLRSLVLNPSVVAIAMIGCCYNMVTERLGPATYKIPILRSAHPRLKKTGSTWDPHG FPMSKLYENYQAKTTTGFKLNITARSMALQAPHNWGRADSEDFFTRHFYRALLQRVLL DRDIIPKPDVPDDLYKDHTENPNAEGIALIVGSLRKSAFESFPAYVRAATVKLSQDPI RGELVKERVCTMSEEEFQRYEADYLHTRKNLSIVWSLMAFSSQVVEAAIVVDRWQFLR EHDSVKECWVEPVFEYGQSPRNLAIIGIKK PEX2_000030 MQTPNIIEVRQYRLFPTNLVPNSPRPLLHYKNVLARRPSSSHCD PADVWDMFNQNGWNVQWIFRYGETQLSHYHSKAHECMAVLSGTAKIRFGVADTSDDLF ENTHGSSWEEGGIELEAEAGDVFIIPAGVAHKTYDAKPEAEFKLLTPGTGHGIEANDP RKALDDIQLSGYTMMGAYNGGDWDFVKSGGDFEKVWAVPKPSLDPVFGKSERGLCRTW RGSGTASARL PEX2_000040 MANHTLSQCTDPKYVFQSFDNLGNCYNAAGDTLAINFTNVVEKC LNDYCKNPYPDLGGCGNWHGTASLPFLVSTAKGSQYFWNNATCTGVSDEVNTDIGGPG VFVSYLMQLGIIFYFWILLRSFRLFPLLISFCTRRKQFYDATSPANQTKGNTIPRIRH FLNQHDRIMKTILVEFQEAQCFFMLASQSAILLAKKSTTIFQSNTMPSLWANNGVAGV VSSAGVLPIVIGMWSLQKMHLTEPWIFLLSVTTIIVSEFALYWTHETPSVGQISPFEY NGWPESCGGYAPPLIYCTDPTVESSLRLPLLLFWEVLNPYCLTVFGLDVILWVWPYVV RIVDVEKICQKTCARLGPRDFPSKIRRLLASTWGEWLKRLPSLLTFCVEALFLAAVFL ECMCFAEFGHLKVIDFSGWGFGQIVAMAIWFPVASKYAYLVLFGTESYSQARMPKSNS TNNGDIRKKEAYDVELAALQSSDVIEHKAQDGQEADRR PEX2_000050 MAALPPEKRPIRHDSSHTQGTISLQSSKTSKRLNQIDRIRANGV GDHIALPQLVVCGDQSAGKSSVLEGISGLPFPRQDGLCTRFATEIILRHEPTDIKTTA TIIPHSSRSDEEKRLLNAYHHVLHDFAELPNIIEQAAKLMGVRGTDAQAEGPAFAADV LRLELVGNTGLHLTIVDLPGLISVAASEEDVQIVEKLVDSYLQHSRTIILAVIPATSD VDTQGIIQRARRFDEDGLRTVGIITKPDLINVGTEARIARLAKNCDRTKLTLGFFILK NPSPTELKAGMTTEERRKLELAYLSTGVWKEQGLDPSRVGIDKLRCFLEEILDSHIER ELPKVREDIRRLLREKNEELDELGAERESPNQIRMFLTKIATNYYNVIQAGVDGFYGG RDASFFEIRDGRLSARLRAAIYIENEKFSDNIRQYGEYRKIGDPDARTDEGGQLLVTE EEMLDWIRQVYDQTRGQELPGNYNQALLAELFHVQSSRWAEIAREHIVVISKIVSQFV NGALEYAIKDGKVRENISRLVSTMLEANVDAAQHELERILQDETRQPITYNHYYTDNI QNARNEASKSLIEDSVRDAIASDWDGTLHLRNTKKDSVRLVSSLKKRLIVDMKEQACS EAKTDLAAYYKVARKTFVDNVCRQVVERHILAKLADAFNPMTVSLYSEEELISLAIES PQICHLRSDARQLQAALEQSLRDLAG PEX2_000060 MEHADPFLQVQADVVSTLQTSRPLFSSYLRIRSLAKSPNNPELK QARSELESTLTELTADVNDLVESVRAIEQDPYRYGLELEEVQRRRKLVDDVGDEVEKM HQELQQAVSESAVETLPNPTEFDAALEEEERGRGGDDYYASMEQQRQSELMHEQDEQL DGVFRTVGNLRQQADDMGRELEDQAVMIDEVDTLADRVGGKLSNGMSRIKHIVRKNED TWSSFCIAALIFVLVLLLILLIAL PEX2_000070 MSNDQSLLDRVEMPSIPEAAMKKIAILEEQFSRAEVEQLRHSAK LMAPLIKKRSEIINIPDVQAEFWMRVFASAPPEIDEYILSSDAQVLGESLKNMNVERF ELDAQGNGEPRSLRFTFEFKTGEENPFFTNDKLVKEFYWRQEVSKNAAGKSRTWEGLV SEPVRINWKKDMDLTKGMLDAACDLAEAEKKKGGDRKKLPEFAALVKKVEEAQAEADA EDDEDDEDPSPVGMSFFGFFGYRGRDVSAAQSKEAAKEIEARWAKIQKGEDVEDAGDS EDEDEEDEEEGLEEIDIFPDGDDLAVAIAEDLWPEALSYYVQSFQIGEELEDMDLDME EMDGDDSDEESESRPRKKARN PEX2_000080 MTQPQKTIAVVNATGRQAASLIRVASAVGYSVRAQIHSLKGVIA PELQQLPNVTLLQGPLLGNIALMDELFKGAQLAFINTTSQSGDEVAIGRDLADAAKRS GSIQHYIYSSMPDHSVHGPWPAVPQWAPKFTVENYVRQLGMPATFVYAGIYNNNFTSL PYPLFQMELLPDGSFEWRAPFDPEIPLPWLDAEHDVGPTLLQIFKDGTKKWNGHRIAL TFETLSPLQVCAAFSRALDRPCQYVRVPKIEIKVNIPPGYREQLEALEELFGRCDAPY FPQPEFSQPAAGSPKGLGPAGGKGAGAGMMQGPGGVISLRVTDESRHLWQGWRDMEEY AREVFPVEEEANGLDWMV PEX2_000090 MISTGLNPHLSAGSTKMATTPALDAAKAEETAQESRVLIIMTGG TICMQPSPAGFIPARGFQEKCMARVPTFNDGSASATMDVVVNAARETKEHPSLRTPMT AYGRQVRYTVFEFEELLDSSSIDAKGWTQIAEAIERNYTLFDGFVVLHGTDSLAYTCS ALSFMLQNLGKPVVLTGSQAPMLELQNDATDNLLGSLVVAGHFMIPEVCLYFNNRLFR GNRTTKVAASDFAAFDAPNCAPLAVTTSMRTNVNWDMVHRPTSLEPFSIQTNLDTTHV ACLRIFPGIKPEMVDAVLKLDGLRGLILETFGAGNAPSGQDNAMINVLASAIQRGIVI VNITQCLTGSVSPVYATGMSLSRAGVVAGLDMTTEGALTKLAYLLALPKSTPESIAKR MSVSIRGELTESSLPIFRHPDGALPERIQTLTSMGYAIAHGDLEKVKAITKTEHHWLL NDADYSGNTPIHLAATSPSVEILHFLLSQGGSVHLRNRAGRTPLFLAANAGLSEQTLL LRKSGAHLHSDERPAAELLARHRPFVWGMAGVGPKEISQRETEEWERDADKNRMIPGS APA PEX2_000100 MSLNPNGSQPQHEKEDISSPDSFKFVVGCRKSELALTQTRTIIS ELRQKLDPSPTFEIATSSVVGDADKQTPFIQLSKQTGGSDIGKSLWTNGLEKDLAAGK VQFLIHCLKDMPTTLPPNFLLGAIPEREDCSDAVVMKSDSVFKTIDQLPPGSVVGSSS SRRRALVRRNWPHLEILECRGNLDTRLAKLDAPDSPFSCILLATAGLLRLGLGRRITQ RLEPTVFPYAVGQGALGIELNTDRQDILHLVQHVDHKPSRWRGMAERAMLRSLQGGCS SSIGVWSSFEPLKGNVSTDAALDSGTLHLRATVIHIEGTSEISSEDVSTVQSDEEAEQ LGISVVNMLLDKGVRDLLPEQL PEX2_000110 MDPFSIIVGTVGLADVSIRVISYLGSLKSATSKIQDEITILGQE IEALVAVNDSVEDFWHSRHDLNGFDAPVNDGSHASNVWKNLASLLQQSKMTIEQLEIL LKEVVGKKSTLVAGKLDGLRKTIRRQDRDGEYMQIRQRLANNQAGMQMLLSALNLSYT LKSHSAADRSVDTLPENLQHQNNKLAIRIKNLRRILQSSTDPDNLHDSLISADAVASL IRFNKHFDMPQTVSSYYTGRQKQLNLLKSTLDVVNSRDPQDHQKRFVIYGLGGSGKTQ FCCKFAQDNREHFWGVFWIDGSSYENAKHSFAGIAKIGGVEPNENAAKNWFSSLQYPW LLLIDNADDPEIDVMRYFPSGERGVILITTRNPANKGYGTDGSRFFHFDKLETEEASD LLLAAAACSRPWGVSTRSYAARAAEILGYLPLALIHAGKAILEKLCSLSDYPEYYERT WNKIRRSRSRSPSRGQDDKRALNMSVYSSYEMIYLGLESKNNDQSRDALELLKTFSFF YWENIEFDLLKNAASNPRREREDARAKQRVAKPVPINSRPKTWGKIFHDWAATVIEPL TRPSIILPAVLRDEDDDPFDEDRLRSALSLLVRLGMLTLHDENNSYWMHPLVHTWVRQ RPETSTAEQAVWCQAAATILAQSIFFQAPRAYTAQDEKSKREIYPHVENVRKLQADIK QRFEENQRAPHWLWPLRWLAPQPGFGRLQATEYAKFSLVYLHCGYWSKAEELQLQVKD YLFAKLGPESEYSIAIAFLLSKNYVWQTRNNEARVLQYEVLESAKKLYGPKHPTTLQI MDTLGATCILGSRYREANRLHQEVIESLSKLEGFGPEHEDTWTAVDNLSKVKLRYFEH EAAVDLQRQAYEGMLKRLGPTHEKTLEAKDNLAAIYGFIGEEYLPLALQMSEDVTLIR KDTLGHEHPLTLKSTLTVAKIKTAMNQFKEAEEIFLEGLPIAKRNLGENHLGTLTART WLGHLYWRQERYSEAQEIWEDIITKQTFEQTKRADGGHGDRVQAMWFLVHCYEDQGKI EDALNMTEDLIQIVSNFGGEGLGQQHKLWISLNEKKEEILKVKEEGRDHIVADSAGVS SSGLSTSSAIPPKKVVKDFTF PEX2_000120 MTFKLFGNQGYLTADPKNVESILSTNFEDWCLGSRRPGLMPMLG EGIFTQDGRPWKHSRELLRRQFVRIHRQDSKIFDEHVEELISNLRSATASGVVDLQPH LFRFTLATTTALIFGEPVFALPGAETDTFEKAFDYASYISAIRLRLADLEWIWKPAKF RAACDVVKEYASHFVQLALDDMEKNGEEAASERHAFILELYKEMQDKVLVRDQLVHVL IAGRDTTACLMSWAFFLLVRHPETLAKLRSEIQDVTGGSHELSRAKISKMTYLRCVIN ESQRLYPQLPVNVRVAAKTTFLPSGGGPDGKSPVLIPKNTGVGYSVYHMHRLKSVYGE DANSFRPERWLGPELDGIGWGFMPFHGGPRICLGKEFALTEASHAIIRIIQTFPELRL PPETPIVPPGEEKQALTIVVMSAEGCKVLLD PEX2_000130 MDAYSVINAASERSDATSARHVQTVAALALHADLPVKARSHQSP GGGEKKIDLIEERLASIERTLQQLAKNTSQPQGFTPPNPREPHFAPSPQKSTPSQMSS TTPNPGKLKGLLYLPNPTIEQHDSSSGFEGSSSLTAHGAYASAFLESAVSKSSPQVLS SPKINAALSSLKQLVGIQNQRREVDLQGGQPHANNARLGVRRDIRDLEMPPLAVVLDM LRKIQETPPAFFGGYVPFLGLKYFTEKCREVYFCIDDYSDAAFVVTNFCLYGVFYEYG VTEKDASTQEDYQNYIEMCRNNLETALANLNILMPANHESIMALALGVSPPFPFRHFL IFSFQIYFIQNPYLQTQAMHALEISKPSVGWTLASTAMNLCQTLGYHRFTSMEQDSIP VQRQKQNLFWSVYTILNMMSLRLGRASSIQNYDISLPPLDEDPEIPQPWGPVCMWWTK SAVIQSEVYQYLYSPEALQKPESERVAHARRLAAEMKSNVMEPFEKFMLSDLKVSEMD LMYLATDKISRLAIMTLIYRAIPAPTDSGRVSTFIPECIETAREALETHRQCVAALKG TDDFMRISYMHSTNSDKKYRGILLSPFVPFIVIFCNIITTSNGNDLTLLEEFVASLQP LSPFSQSIERLHTLCSVLGTVARLYFEANTRTQTAADQDQNLIDVGQEFDVYLSALGL APTNPLNPLNPLNPLNSGTSQMVQSQGYFQADNPAMNVSSAELPQQNMGGFSAPVDSS QVQGQDLGTAAQLGNWFWGNQYMMGLLEEDLSQVNPGRP PEX2_000140 MFGEGREEAARLYVPPLLEDDERLNDPEASEDLPPPDVEAGSTL PVWLRESSKSFRWGWVPLPLRKVGRATANWVKGPDPPHMLLLKPLFPRVQELPVQYLD RLFPKRKFKTALLLLLYISWFLPWFLILLHSRSSGYIEGYGRPQTLSCATNFWELGNG CGINGNDCRPFSSSTVPFRCPANCRDTKLLEPYTVGNHTYNYQGLVIGGAKPDSSDAA LYRADSFICQAAIHVGAISNDGGCGVVKLEGAAHSFPSVKQHGILSVGFPSTFPKAFS FLQLSSPQTSCPADPRWTLLGITAAAVGILWLFCTSPPVLFFSTFFMVFCHTGLVGDP PSYPFLADLVSSLLSRLLPASFVVYVLYKFCAAPLLQPISSPIYQFSKLFLFLPPLFI GALNNYTFARLIPLQRLTPMDIQKQPGAKLALALVIPIVISIILSQAWQIRQGGLLPR YLKIYCTMGLIILILLPLPGLRLRIHHYILAILLMPGTAFPTRPSLIYQGLLLGLFIN GVARWGFASIIETPAALGEQAPLGDGIHGWWGATYPNITTASVNISLPDLGSNNTYRG NGNITFALWEHERMADLAVDGISVLLNDVERWRGYLDEDKRGEFTWHRHGHDGLELQT NPRLINRDLDTNIDPKSVILGDSDSDDKPEDLFFRFAFLRGSEAGIYSPTGVWLSDGA WCPPAPPKK PEX2_000150 MPLIPENQDLAEEIEAVNAIYEPDTITVTRTTPANATTNNTLDL GSPAHSDNQIHTTVVTLQIPEQPHLSFILGFEASYPDSRPAVLGTASTAARGEGKIAI DVLENIIQRTWQAGAVCLFDVISEALDVFPELSIGQDDVENSSTPPTVDASTATDAFE ALSLRDAFGIDSPPEWILSDVVSEKKSVFVGRVARVTCLAQAQAFIDHLTATDRKVAA ATHNISAWRIRQRKAGDGEGGETVVQDYDDDGETAAGGRLLHVMQLMDVWNVVVVVTR WYGGIQLGPARFRLINDVGRDALVKGGFTKEKEEAAGAEKGKKKGKK PEX2_000160 MFFTTKVIAALMSASMVNAHMIMNTPTPYSKDSLNNSPLAADGS DFPCKLRDNAFEAPSTETILAIGESHPLAFTGSATHGGGSCQISLTTDLKPSKSSEWK VIKSFEGGCPANVDGNMSGGPDVADPYTFNFTIPDGISAGKYTLAWTWFNRIGDREMY MNCAPITVSGGSSKRSPEELERRAASFPPMFVANVNGCTTPDNVDIRFPQPGDYVEYD GEPANLAKEGSEACTGTATFGGSGDTTSSSSSDSASNSSGSASGSASSGSVSSGSASS ASPAASVESASVAAALASAAPIPEAIPSSALADPEPTSSSAASPAPATTSSPSSSGSA SSSSSGALTGSCSTEGQWNCISGTSFQRCASGTWSAAQQMSTGTQCSAGQSAELVVTA SKKARHISSMRFRNRAFGAHHAHALS PEX2_000170 MASRPTVSIATAAGKPSGASHPLPTVFSAPIRPDIVQHVHTGIA KNKRQPYAVSEKAGEQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNQCRSGRMFA PTKVWRKWHQKVNVGQRRFATASALAASASPALLFARGHRVANVPEVPLVVESKAVES LNKTKAAIALLQAVGAGPDLVKVQKSRKMRAGKGKLRNRRFRQRRGPLVVYNPETDGK DLVKAFRNIPGVETSPVFSLNLLQLAPGGHLGRFVIWTSSAFQALDQVYGTTTSPSAL KRDYLLPSNLVANADLTRLINSSEIQSVLKAPKGEARTKRVNVQKKNPLRNKQVMLRL NPYAAAYSKQKLGQQSVEGGKPTAPAASFIETLYEN PEX2_000180 MSTPQGSGSGSGSGIGPHYYIGPFGRMLPTTDGPSSEQQSEHPA NLQESPDLPYQLPPPRVPASLQFGSDPFPRQKGSPQGKDSRPNHQKPEQLPSVSQILT PTPGTNSAQYPQPFATSTPNIGRREPAYPPHYHDPNFQGSPTSIQDRGRSESLPQLHT GLPPLSQVALHGHGGIRNHTPTRSDPSATSYPHGQLPFHTTVSHDQAPSGELSSPESS NRSRNQPLRPHVVDERVIDGELCFVYADGSFCPKFIDGTPVNANWGVTKAGRPRKRLG LACLTCREKKIKCNPNPTPEAVCDQCRKSGRECRFESAPRGNRPPLRGSAGPSGRPDP YSRASHGTLDISPAPYDIARASDSATSLPGTNGHSPISEGSMLTPSAQDATYETMAEA DKALRSRSYRFPPSLPGADDTLGRPSAHIESTRSPEYSDILGELKDNNSDDPLAASWH LDPYESDPETAVHYTECYFLHMNDGLYHMFPHGRFSSWLKSYPTKSADDKMLLYSMMA LGSVFSDRPDKMAAMRRYSRIARFAINRSQHTLSLQLAQSHIIMSLWYYATGSLVGSW DSIGAAGRAVFGLRYNVESGGVVVDQSQICDYGLHPQALIECRRRTFWIAFVLDRFSS LYSASSTFISSDAALLRLPCREEIYETQQYATVPYFQSFLNHIPASTGDDRSTLSPMA FLIEIMAIWGDISLHITRLPHIPPEAYNQIAEEFHTTIVQKSNQWMNRLPEYLAFSPI SLERSIRQRKADTFISTHLFYHASLMKLYRHARYQSLRPEILSQYIHRARYHAVEILR ISLTFDQYAKKITPSRHNTETSISQLTLLNPFLGYVILSAVDVLSSAGLASQLHECIS YIRGALDTVHELGRYWDSSLQLSAVLHRRLGLMISCMNERSVFAEKQGFALDGPPLET KVHAGALHSHPPATMGEDLFTGSMPRQVLLNALRLDETLISESGIAWIRDP PEX2_000190 MNTRLKELGSQQPPVFRINLSRPPEERYTALAYIYKDRMRSVTS IFDDVIHNLSPNIPTKPIHWLARLFLRRLYTDEETAEIRGISRVTGVDLYLLICLNVV LDLLMGCTSGGVRMLDGLWTRMVHFRTLDWGMDPLRSLIVQLEFVRDDAPDKVLATCI TYVGFVGILTGVRKDLSVSLNFRAVHDSRRNFGFYLNYLLVLLGLRQSITSLLRQCVL PGVEGEGSARLSNTSTLEEILNEVPSLRTTAAYLIFCDGSSIVAMEKDYGTAVWRRSS SFLIKTNHDEDTISVMEEAIANDRGYTGLRVVDGMQSMAEIIEESKERQASMQGKWDR RVLDHGVFQQQLKKRRVKNAALPHSQPVHLKWKRNTNIGEDPESEVSVTLGTVLGWLC SDPIVNEDTHYAVLMDPAEGRFIYSGQFPANSS PEX2_000200 MSSFSQSVPEFLGAQEFRATVEDDGLDGDYSMVGDYPEEPDYYV LLGLARNPPPTEAEIRSAYRNLTLSFHPDKQPPHLRHAAESQFRHIQEAYETLIDPKK RVVYDISGAAGVRQEWGQRGAMGIGGEAQRQEVGVKAMSPDQFRRWFLKTMKKRERKA VESLVSSKGGITLGINAASMISVDEDEDVQFHIPSPQVSSYGVSYNFKTPVSLPQLWG TGENETETSGVETDSGEGVQEEESEHMEVTWNAGITGGLARPVRKVTVQYEDGTEGEE KFQMPPLLAAQNFQFGATVTPNFKNLVGTKGIWAKHPFSLLRDSHVSFNALLLPAPTL KATIARAFQPIPGTKPFQVSVTSIHMRSLEETAPSFEVQISREIAKRKIGVITWSSGV VNWPEFLLNWFPSLGMGAQSAIASANEVSNLQIGLISLPQQGQATVDFDDDDEESGDM EEDVRHLLKKKRHIDQSAESWQTMLQATPAGGALILSYSRNLFSGKPADDPVKTEWSS EGYFPMPSMDQARAVRLEISSVLGTDMSLNWTIKGVRRVGEYTRVGLGIGIADKGIMM TVSWSRLGQTITLPINVCPANEATSGAAALTAIFPWLAYCAIEFGYIRPRDRKLRRQA AARRHRELKKLIPKKREESLQAIDLMTDQVQRRQAREEAQDGLVILKAEYGYIPPVTK KPKNGLAEPRVIDVTIPVAALVNRGQLVIPGKSIKFQILGFHDPAPLLSKRLKIWYRF QGRDHFVEAGDKEDIACPMRTHFIST PEX2_000210 MSTSITSGLFKSLPKPKYTGEEEELPQHAQPRGPRVVGADQIDE TQVVLRRTGPPPYGNRAGWRPRAPEDFGDGGAFPEILVAQYPLDMGRKGKSSKSNALA LQVDAEGKVKYDAIARRGHADNRTVHASFKDLIPLRQRVDMGEISLEKPSEEEIQAQM EKTKAALTTLVEGAASAQKPKNVKGGQRAEPTFVRYTPANQMGNNGRQNDRIMKIVER QLDPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDQEAWKIPPPVSNWKNPKGYT VPLDKRLAADGRGLQDVSINDKFAQFAEALFTADRHAREEVQLRAQMQQKLAEKEKAQ KEEHLRMLAQKAREDRAGPSARVSRARSRSRSASRSVSVYSSRSATPSEDDEAARDRA EQRRERRREDERQLRQSRMGTERRIQTMAREQNRDISEKVALGLAKPTQSSESMWDSR LFNQTSGMQSGFNEDNAYDKPLFAAQDAINSIYRPRAQADADDQDAGEGEMSKLEKTK RFEVLGRAKEGFRGAAEAEERQGPVQFEKDTADPFGIDNMIADVTSGQKRYGIQEAEG DDDRKSKRPRVDDE PEX2_000220 MVNWILGDKFDTVYPHQGSIKVLWESKWKFCASKSIYPFHDGSL EDFEPIFEKLIAENINDANTEAYTEAFLPTATALENQAAKALNNGDLSRAADLYRRAA VILRISRFPYVSPSTRPETSIKRAAFDRQKQVYLKAASLWNPIITEEVIPHTHRAGRD GAEIPLYIRLPEEASAINRVPVVLLMTGLDGYRPDNSQRSHEIVNRGWATVIVEIPGT ADSPADPADPESPDRLWNSVFDYMDRRPEFDMSRVAAWGLSAGGFYAIRASCTHRARL AGAVAHGPGSHFVFSPEWLARANDHEYPFNLTSAMAEKYGYDSEEEFVKNAQKKFSLV ETGVLDQDNCRLLMLNGVDDGVVPIEDCIEPLKHGGPKENRLFENRVHMGYPDSLPVA YKWLESVLSPNMRELKN PEX2_000230 MVVQNPNASQLELAGKVALVTGGGRGIGAGIALELAKKGASVAI NYASSSKTADGVVQEIQAQGVHGAAFQADLTNVDSIANLFRQVVAHFGQLDIVVSNAG TEKFVPLSETTLDDFNAVFDLNTRAQFFVAKNAYDHIQPGGRVVLMSSIAAGLGVPGH SLYAGSKSAVEGFTRCFAADFGKKRATVNAIAPAGVKSDMWLSNAWRYAPGCDQNSSI EEIEQALANGSPLGRCGVPADIGRIVAFLASPAGEWINGQILPCNGGANI PEX2_000240 MSPAVVNNTAEPNLDISFQGLFQSTAIHLLVLTSADYLILSKLV FDWADSYDAKDWDRLRSIIAPTLKVDYTEVGLKKWDNMAAEEYMAMITHPGFLGDKKI KTQHLLGQTWWEKISDTEVIGHHQLRAAHQVYETEELKTVKLRGHGHATNEHYYRKVN GVWKFAGLKPTVRWNELRFDEVFKGLD PEX2_000250 MDSLCNDNSFGPIVRPSCRGGFDFTLLFENAILTILPCSLIIVL GLGRLFNLRNSPRKVGNQASFAYKAVAICLLALFQLTLLIVWVYQRLHGDRVTIPAAT VELVATIVLALLSHAEHLKNVRPSTILTLYLPLVVTLDIARDRTLWLMHLHLPIAVLF TVCLALKVAWVVLEAREKRNIILDKYSDINSEESAGILKRSFFGWLLPYIIHGAKREI AVEDLVELDGNLRSRYLHEAFQREWHRGKSPALVDPRKPNQLALSLLKIFKWRLLAGV LPRIGLLVFTIFQPIVIQKLIDYVSATHLPMGDATGVGLIGAVLLVYGGTALCTGVYY HTMYRLVVMIRGALISAIYEGALASHGSNENASSTLTLISADCDTIVLGLVDFHEVWA SFAQIGVIMWLLARQVQWGAAAPLVLSLACVFASVLLFGRLSLYQKDWNAAIQVRLAA TADMLGQMREVKFLGLSGFFTAFIQGLRIKELCISHKLRTLLVCITVIALTPMTLAPV ITFIIFVAPKSTGSLVPSTAFSSLTMLSLLSQPINLLVQTAPGIAGALGCLDRIQIFL AVTRKKTNDPSDSTQIGSFSDKSELQPMKTLNVNTAPLKEYVLHMSNSNLGWKKDSFV VRDLCISVSPGQSVAITGPVAGGKTTILKGILGETISAQGLIDCHGSSIAYCDQVPWL MNATIRQNIAGDHVSGLQRYKKVIKACQLEEDFMNLPDGEQTLVGSNGMKLSHGQKQR ISLARTLMKRVDLALIDDVLSGLDKRTKTNVFHRVFGPHGLLKEDGSSCLLVTHDQTF LSSFDKVVALNSDGTIDHQGPIENHQSNEQCSGTSKEPSSNFRDVSDIQIQPNQQIVS TPSQDRQNGSDWTLYKYYANVCGNWNSLMYLAITVVLAFAYNFSSLWLDWWSSADSGT KESTTVKYLTVYSILGATTLGLIAVASWQLIVRMVSKSALQLHLLVLQQTMRAPVSFF ASTDTGVMMNRFSEDMQLFDMGLPMAALNTTIFFNISVIQLIIVCASTRWMATMIPFC IGALYLLQSLYLRTSRQVRIIDIELRAPLYTQFLETLSGLVTIRCFKWQEAFSAHHEG LLDTSQRAFYMLYTIQRWLNLVLDLLVMGLAVMLAVFAVALHGKMSPGSVGLAITNIT TFNYNLVSVIQAWTKVEMSLGALVRTREFLKNTPAEPGVDTVADLPPRWPERGEIAIE NLVASHGADSPITIKNVSLTIKSGQKVGICGRTGSGKSSLVFSLCRGMHSHSGRILID GIDLATVPPEEIRSRISTITQDPLLIKGTVRFNLNLSRSHTDAALLSACEMVGLGEFI QAHGGIDTDLSTLALSPGQKQLFCLARLLLNPAQIIILDEITSNVDNETDLKMREIID KNFQRQTIISIAHRLDSILSYDKIAVLDKGKLLEFDSPDVLLSQKSHFADLLHHSSRP TDQQYLDYQYYSPHFSSSSDPLSSPLKTMAPQVFYFDKIRSASFEDEDYRLELFVYWT KAKSKRLAMKDDNLRLNAPFCVRVFQRPKSNRLFAPTGWVNRTTFWVTVYERSVKSLT PSPMVSTSSNIKVPDQHGYGEASSSTAINEPTNITGELYTSKNPSAQNNHTESLVGKD TKYDLENIASLANMPAGQYVLGLINWARNTMPLTEVSLATEAEILKMHLFHDLRKLTQ SVEQNIAFWMLLGTAYPVAKFLMSCSPSNAYTKDDRAKILQATAAAINAVYILANNQY EAQDSNRPDYIDVFQEICRQHPDDEYLTSLVKCAIEQLPEAPEAEQDEQSKAGLAERK AGLAERKAYPPVNEDDTYDHCDLSVSDCEV PEX2_000260 MPDPIRIALFGLSADPKAWANGTHLPALKNNPHYRIVALVNSSV SSARTAIEKHGLDSDVKAYDNPQDAADDPNVDLAVISVNVASHYKLVKPCILAGKDIF VEWPLAANTQQAKELTELAKVHQVKNIVGLQERIDPCFLKIKEIIDSGKIGNALNTTI NARGMVLGPGPVHQRIQYFLDDESGGNLLTIPFLHLMDGVFSILGKPKNYQTLLHKGM PPRNIVDDDGKVVVADYDGTAHDQIAFHGILQGGTMITMQYRQGGTMDQGLRWYIYGT KGEIEITSSQPYIAFIPESVKIRVHEWATDTITDVPVVRPDHFPSELQGASIDLYGLY EAFWNNEVEKFASFEDAVEMHAFLDEVRSKDQERTV PEX2_000270 MHLKEKSLANRSTALGFATVGTNAGHNGTTGESFLNNPDILTDW SWRGIHTATVVGKEISQTFYSRPHDYSYFLGCSTGGRQGFKSAQAFPDDFDGILAGDP GIDLSSLLAWIGNFYLITGPANSSTFVPLPLWSTIYDDMLRQCDNIDGVLDGIIENPA QCHYRPEALLCNPGTGNNDSLCLTGEQANTVRQLLSPLYLDGKLIYPAMYPSPSIDGT PELLYGGEVFSLTVEWLRYVLHNDPSWDPATMNITDLLAMIKLNAASSDSFDSDLSAF RDAGGKLLTFHGLQDGLIPSSNSERYYDYVARTMSLNTSALDEFYRYFQVSGMGHCCY GPGAWMVGQNSAGNTGLDHKQNLLMALVRWVEEGVGPDTILGTKFVDDDKLQGGSGDG ADPEHWECI PEX2_000280 MATHPFCCTTIQPTKPPNPDHEQTFTEFTKWGLTTIGNLTGSTD PSEASVCIQLVRQVNSGPIESIRYFVASDTHGSFEEVPEDGIVDANFVKMNDHGEDQD QEQNQNQDREGGISQSGDGTEINQDSNDDQPISSSPTFDTEVTQDEDAGSNSPGFETQ ATAYDEDRGTVASRMNRIVEIVEVVTIE PEX2_000290 MAKFSLFALATWVGLAAAKDVYLNWNITWVNASPDGFERPVIGI NGQWPCPQIDVNVGDQLIVDVYNGLGNESTAIHWHGMHQVGSGVMDGAVGVTQCPLPP GSHMQYHFDVDQAGTYWYHSHNAGQYPDGFRGALIVHDPKPPFHYDDEFTLTLSDWYH REMPDLLSSPEVARGQEPLPDSALINDSTNTKIKVLPNKTYLVHIICVGNWPGHFWVI DGHEMTVVEVDGVYTDPYPAGDKFLRVATGQRMSVLIKTKADASQNFAIWDTMDVNMM FIFENRTIPENYNPNATAWLVYDDAKPLPPPPVFHHIDFQDDFVDDVTLVPADHQPLL EPVDHQIILETGGALVDGVPRFTVNNKTYIGPKVPSLYTANTVGPEFASNPAVYGQVN PFVVKHNEVVEIVINNHHNNLHPWHLHGHQFQVLQRTPVDGGYYTGLSSNVSKTPIRR DTIMVQNHGHTVIRFRADNPGVWMLHCHIEWHVEAGLMATIIEAPETFANTVHAPPKS HYAACAAYPQPVSGNAAGKNGLDLSGLDTKATAGSHGALYTATKSTKTAAAAHPAQQS SQAAAPKPQPQAPQPESPPAAPSPNDNPANVASYWPVPHTGETNIYFDSPDKSHDHHI TVHEESTNGPWPNQNTHSGYVIDGDKKYPLPESGSADILKEIKDWE PEX2_000300 MTLIDAQVHFLEECVVLVHIPLELYPYFLKSVLRLIFDEIPPLE DEKDETDDAISDEFENASEYDSAYKPPAFMNVSITPVEVSVMCPRRLVDKYFIPVMDQ LDRLDDSLRSRLIVSENDYIAMQVLGEGLEAGKRVLELTSPLALAGISIFFISTYFSD YIVVPKQSKASVISALEKRGFQFNNTSAAFITNPLSPTIERRLSDLIPPGTPPPSTLG ELQTRTFNNLRKHQITPSVDHSLRLVQCAAHHEYHSQESSMSILRDALTTVLLVDEPR FLSLTLAALDPAASLLLEKRLLPRFARQSTSAAGTRVYEDGSGLLLGSKEDHLVPITL DLRDLPLEASGIVCGVAGRLAAAATIPLSSHGAVAGGTSSVVGSVSGLFDSFGTRFSA LSLNKTSTSAPAHGLQPLAHSTHHLQPDVDVADAVEISFLSTARAGTIIVGEDELKRA IDALEEEKIQSPKLEGIGFESRTPPDSPQQRG PEX2_000310 MPRTIFPTRHIHTLKTHNGPVNAVTFSSSGGTYVLTGSSDRAVH LSRAVPNNTNTPTVVETINPIQKYEAHGYSVLDIAVTADNARFASVGGDRQVFLWDVE QGITTKRWSGHNSRVEAVQFAGDGDSVVVTGSADTTINLWDTRSSSYKPIQTLTEATD TVSTLHVHMGSYSIASGSYDGHARIYDVRTGKTTVDVLAHPVTSVRCSSDGNALLAST LDGYIRLLDRMDGKLLNAFGGEKTVAGGIGKPRHSYRNAELRVRSVFAMGDAVVLSGS EEGTAGAAAFAWDVVKGEVIAAVPVGEKVKAVSCVAWNEGVGDWAAGCSDGTVRVYG PEX2_000320 MSTSETATPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGKTT FINTLFSTTIKNYADHKRRHQKQVDRTVEIEITKAELEEKFFKVRLTVIDTPGFGDYV NNRDSWQPIIEFLDDQHESYMLQEQQPRRTDKIDMRVHACLYFIRPTGHTLKPLDIEV MKRLSSRVNLIPVVAKADTLSHADLVRYKDRIRAVIEAQGIKIYSPPIEEDDEHAASH ARSLMAAMPFAVIGSEKDVQSNDGRVVKGRQYAWGVAEVENEDHCDFKKLRSILIRTH MLDLIHTTEESHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEETLRKRFTEQVKVEE QRFRQWEQKLISERDRLNKDLEATHAAIKSLEQEIEGLQGPGTRSHGRR PEX2_000330 MKTDFKFSNLLGTVYRKGNLLFTPDGTCLLSPVGNRVSVFDLVQ NTSYTLPFSHRTNIDRLDLSPKGNLLLTVDENGRAILTNFQRRIAIHHFSFKGRVSAL KFSPSGRHFAVGVGRRLQIWQTPSTPGTETNGEIEFAPFVLHRDLAAHFDNIESVEWS SDSRFLLTAAKDLTARVWSMDPEEGFEPTTLAGHRQGVVAAYFNATQEVIYTVSQDGA LFRWEYVTKKDEETMEDISDARWRIVKKDFFMQNDAKVNCAAFHAPTNLLVVGFSNGL FGLYDLPEFNMIHQLSVSQSNIDVVTINKSGEWLAFGSSKHGQLLVWEWQSESYILKQ QGHLDSMNALAYSPDGQRIVTAADDGKIKVWDVKSGFCIVTFTEHTSGVTACQFAKKG SVLFTASLDGSIRAWDLIRYRNFRTFTAPSRLSFSSLAVDPSGEVICAGSPDSFDIHV WSVQTGQLLDQLSGHEGPVSSLAFAADGNHLVSGSWDHTVRIWSIFGRSQTSEPLQLM SDILSVAFRPDGQQVAASTLDGQLSFWSVEDAVQQGGVDGRRDVSGGRRVGDRRTAAN AAGTKSFNRITYSADGSCILAGGNSKYICLYDVGTGSLIKKFTVSVNTSIDGTQEFLS SRDMTEAGPRALIDETGEASDHDDRVDSTLPGARRGDAGARTTRPEVRVTSVDFAPTG RAFCAASTEGLLVYSLDTDFIFDPYDLDITITPSSIIATLDAAKAAATSDTVDEENTF LKALIMAFRLNEQKLLRVVYEAIPPSDIPHVVRFVPSVYLPRLLRFVAHAAEETPHLE FNLMWIESLFSSHGRYFKDNTGTFATELRAVQRAVDDIRENLKRLTEKNLYDLNYLLS KPVLGNKKTSSALLAMETEDAEVPDDQMVDADEGEGDWVGFE PEX2_000340 MALPFWPLVGVLVVVLYLLQRPPASSLRHIPTVKHKAYLPDFIN RLIYYPKAASMISEGYEKYKDSPFRLLTGDGEVIILPVKYQEELRHLPPSKLSSLHAQ YENALGQYTNIIIDTLFPHFRPHKRPEKLIYIYQIGRVVPGIIDELRAAFDTALPGCE GVLNTDTWIRINPCEMFTRLIALSTSRMMAGDVLRENQEWLNVASNYAVNVGITILLL RPVPKYLRPIVAPFLPSVRMMKKQLRFAKDLFIPMIHERRLAEQAKDPNYTKPDDFLQ WMMDLGGERDEDLEPETLAHHMLLLVTLAVTHTSTMALCHCLFDLVTKPEYIEPLREE MSRTLPDGWYNATQAALKEQSRLDSFLRESQRFAPPGELNFHRIVKEPITLHDGLVLP VETHICFAAGPISKDSAYIKDPKTFDGFRWCHNPRDRFVLTPKLAKPNSMPNGADEEM QAEKHASSAYFVSITNTNMHFGFGIQACPGRFFAANTLKAILSRIILDYEFKFVKDLK GKRPSNLVVGEHILPNMSTEMLFRKRPIGV PEX2_000350 MGVPKFFRWLSERYPSISMLIAESRIPEFDSLYLDMNGIIHNCT HSDSDSPTFRMTEDQMFIAIFNYIEHLFGKIKPKKLFYMAVDGVAPRAKMNQQRARRF RTALDAENAKEKAIQQGLEMPKEDAFDSNCITPGTEFMQKLTKQLKYFINKKISEDTD WQGVEIVLSGHEVPGEGEHKIMEYIRCSKAQPDYESNVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQVSKKPKELEHQNFYLLHLSVVREYLELEFQELEQEGVLDFPFD MERVIDDFILMAFFVGNDFLPNLPNLHINEGALALMFKLYKEVLPKMGGYINEQGVIN VQRLGMLVTALSAVEYRFFEAENSDAQWIKSKKNSNIDYSTSQQKKELTLTPSQKEIL KTVKKYVLNRSDKASEPLDLPPTLPARDRTFVERLADELRLSWSSIDNENGDRFMRLS LPPAQGDSDDDEEDEEASMAVQRIIRKYEKAKVEEMSAEEAQKAAQEKYDAKFREWKD NYYRTKFGWGLDNKEEMKKLAQNYVQGLQWVLFYYYRGIASWPWFFQYHYAPMISDVN DGLGADMDFKLGQPFRPFDQLMGVLPDRSKKIVPTAYWDLMTSPESPIYDFYPRDFDL DMNGKKMEWEAVVKIPFIDEKRLLDALKTKENQLSADEKARNDFGACLKFTYSPDVNF VYPSSMPGVFPDLPSCRCIENLFDLPVMDGLVPYAGLMDGVHLGKAALAGFPSIKTLP HHGQLGFHGVCVFNQDSRNESQVITLLDPGSRSSVELAKTKLGKRVHVGYPFLQEALV VRVSDELFDYVLPVGEEHPLAIPHTPQQIEQWKRKANKIEGTYSKRLAIIIGDVESLV HIQLLKGMSKTDDGATIKEFADIPGQETDYALQVVVDDVINPDQRFLEREALSIQEEF PEGSRAFFLGDFNYGRPVYVGGHEDGKVNGLVAAVKGREPEFGRERVRASEHLCPYMP SYAVARSLRLNPLVLAKITSSFTVDIEGQRANLGLNLKFQAKQQKVLGYSRRGESGWE FSQKAVELLQQYMIKFPEFIAGIQRNPQSDRYSPTDFYPEETAALKMKEIKDWLKSIE AKNFERVPLDAEQLDSDIVMLIEQDADNLNASQPDMQPKKVRGVPRSALLRPADVEHR LGNQNFKLGERVVYAQDSGKVPIATRGTIVGLTRTSRALLLDVVFDVSFMSGTTLGGR CSPFRGQTVLASSLLNISNRQLITSSRATASQQTQQTPTPLTVAGYGAPIGPNGQGQL RNASAPPPLRGSFRGAVAGQGNGAGRGGRGGLGLNNGQQTTLPFRPHTNGGGIPRGPR GGRGGARGGYVPMDNGDPSEGVIQNNPNFRPQNYSHVPPPQGLDRGRGRGRGRGNGFR GRGGRGRGAPQSAPQPAPQ PEX2_000360 MDPYEDDAGVGDEHEKDQDQDHEHADEKAINEEYKTWKKNAPFL YDMILSTALEWPTLTTQWLPDKQEVPDKPYSTHRLLIGTHTTGDAQNYLQIAQVQLPN PNAPNPEDYDEEKGEIGGYGGGSKKAQMEIKFNIVQKIDHKGEVNKARYQPQNPNIIA TMCTDGRVMIWDRSKHPSLPTGTVNPQMELLGHEAEGFGLSWNPHVAGHLATGSEDKT VRLWDITTYTKGNKAVRPSRTFTHHSSIVNDVQHHPLHSSLIGTVSDDITLQILDTRQ DDSTRAAASAEGQHRDAINSISFNPASETILATGSADKTIGIWDLRNLKSKLHSLEGH TDSVQSISWHPFEESVLASSSYDRKIMFWDLSRAGEEQTPEDAQDGPPELLFMHGGHT NRISDFSWNLSDPWVLCSAAEDNLLQVWKVADAIVGKDLEDVPTEEIEA PEX2_000370 MLNGSSPLQRSTGSRDSRERESLNTSIRSSFEPRIPVEFESPET ETETKTETKAETETGAAPTQAVSSVDVPIRSVAPVRPTLNDPPRDPRDEAAPLTPSNT VSRPASPYTLNPPIDFDGLSWPCPGTRQRKESTAEETEQRLEKMSGAIRTILECIGED PEREGLRETPMRYAKAMLYFTKGYEENVRDLVNNAVFHEDHDELVIVKDIDVFSMCEH HMVPFTGKMHIGYIPDRRVLGLSKLARLAEMFSRRLQVQERLTKQVALAISEVLQPRG VGVVMESSHLCMVMRGVQKVSSTTTTSCMLGCMRSSAKTREEFLTLLNRR PEX2_000380 MADRTRRSAVHDSSDLSSDDDTPGFANESTGTNNAAESRSSWFP TPATLEILQAHLAADADSDSSEASGPRVHPDFFVHLERLRRINETNRVNARREVEAWY EAAFGSNQSQNQASHMANSQDHDSDMLDESQNEASRILEESYRGTSQFTNEFNQPSYH PSAAHGLQPPSMGAHEQYETQGSTAAGPFEPMPHVRFEAPGFMGPDHLMGHSPHHRPP SPYPAVAQDQYSAVPIPEGTPPMPAPQPQRYTDWGNPYRFPFDPTPFPEGATPYAPER IIQAWLIRQQLIAGVQPDDIQPIAAPRGRRHRTPPYIVLNDSGAHIVRIEPATFEGAM LPLPTLPWLPRRANPNPPTAPVSSDTSDASGLFTAPNVVTASDNVAALNTVITPSSAN SLNSSVNPNLSAAANPASVSHLPAAAALITALTPPAPLTLPDDLDSANTLSPSGPSNQ PVASHLPAAATILDALTPPTAPALPESTVVSDPTTVPEHSSEDSSQHVAESQNPDPRM VAFFQPLRLHEPANSLSASVPDLHPERQSTSQSSLNENSEDPVVALQPQPQAIAPLNV QNSTDVNSMSSQEVHGLGLSFAHSQDQYSSAASPLSNEEAETGNVPPPQALGGNGVVH HAVTFSEPEDPEDPDEPAAPRLRRFAIDGTDESLGVGRLSGEAKAVGDQSSLGGLI PEX2_000390 MVDYLGTNTTGTDMKHGRKSQFQHRFKPTFSSHRGAYRMRGQCT RRSRQEPKINDGSTPIQYNPVKCASPQRCGLSAKC PEX2_000400 MSARPVLENSLELEIMMRKRSERWRPTVAAIAGGSLSGLGNPER WFFQIPNCAHCQALVNNKNISKGKIEWGIRTNSDLSNTPTQSSIHASQVASILSPLCS PCPLVQQLPVFGKATLRLCWLVPRMKLSTYCTRPDGPTFPRQHCEQDNPRWIIRSHHK IKGWSLLSFFLPGSWSCWSIRMADST PEX2_000410 MPLATLIWLGPERVRWRDGEVEGQAAIEGEIEVVTEAVHIGPIT AISIARGGRHHAQKPPVLEETNHQPDLHRNGKGLEALHRVAVVALSLRRVQITPTTGI SGIEALHSRNEVAATKAVVLRDEDHRDGAGTAVEKTVAVQIFHVQEDLDHRFMTEGFI LRPIDGLVPLGMTIIASTDLTIVRTHGTPSRRDSAMNTTRPIQSVVDGTARSPSPPRP IPSFDSDNFGPPGEPPNHARDELSMHAMRSDVKNQPRRRPSRPHLDTRTYANPQNAAS TGSYHGSPQPPSPYSTGRGGWSGPPPYSGPGHTSPYRHDDYSSQNGNYYHNQGQFNGP NNHHPQSPYGGPPHRGGHSGGGHRGNFSNQGPDRRFSGSGPHPYHNGPSHRGARGHFN NLQWTASGSRGRGGQHSPHQTHSHGSQTPNRPQSHIDPQSPRAGESDNYGRPLNRDEE ENQTQPQPAGGNPQSMPPPTHESNETTPANKGGKFSFAFKSKAAPSPAPKPVPDLAQR MQQVREPAPRAPEPPQQPRNRFTNGPLPKFKPDQRADRRDRDRGRNRDRDRRDFREPR EFRDPRDRRDDRRFDQRRDRRQGERPPDRPLDWQDRRRDPSPEPPREAPPPKQKRILI RPKPRPTLPEEFANAESVYYRKPGNESVIGAGTYGKVFKGIHVYTQRKVALKKIRMEG EKDGFPVTAVREIKLLQHLRNHNVVSLLEVMVEKNECFMVFEYLSHDLTGLINHPTFS LTLSHKKDLAKQMFEGLNYLHHRGVLHRDIKAANILISNRGLLKFADFGLARFFSKSR QLDYTNRVITIWYRPPELLLGETRYGPAVDVWSAACVCMEMFTKKAVFPGEGGELSQM DKIYNALGTPTKTEWPDLVEMPWFHLMRPTERRKRIFEDVYRDVLTTGAMDLISSIFR YDPSQRPSAEDVLKHPYFVSEEPAPRPPIELEHVEGDWHEFESKALRKEARRVEYQNQ KDRDKRKADASVPSSDRDTKRTKPDSSDRVSTQ PEX2_000420 MDNANLWTRRTNSSKLSLSTSGADPKDSARVELPRPSKRFGPDS SHGRSNPFNAISPLSGGVSSPSTNASSAFGLGSGAFASFGAPKTPGGSELKTPGREVP LEHDEATRKAFENHSVAAPGEHPLKSTWIVWYRPPTPKYSDYEKSTVPLASISSVESF WAVYSHLKRPSLLPTVSDYHIFKKGIRPVWEDEANKRGGKWIVRFKKGVSDRYWEDLL LAMVGDQFAEAGDEVCGAVLSVRGGEDVLSVWTRIDGGRNIKIRETIKRLLSFPADTN IIWKSHDDSIAQRSAIDQARHDKTSTGTGHQERRRTGQDDSDKAKPAVAL PEX2_000430 MKGPDDDAAYLLDLFSNLSPRRQRETEDRRSAVERARPPPSTPP RSRPAGSSRNPFALLSDEINLTERTSPQNAGIAPLPTAVHSYVDTFSSPTGSTTADEP LRPRFPHPFLSPITRLDMSHRNPQRFPAGRQKLIPPPISRQGDSSQMLNKDQQKDSQN RQDEARLLGARYPDSNAVKIKPGAFHSRNKKPGANFAFSPANKLSQNARSSGPVRSSG GSNQQPPRRKSHHFEEEFEESSRPTKIRRQSETSETIDLTAPANPAIGQLEQGPTPFV SHQNAARRNRHEDPRDAEHGVKVAQSPIRPQHAQFSSADSRDERFTETAAQQRRDQAR SKAPRLYQPNGKANQTSEAIVIGSIETGPKRTGRNRTAVPSTSIHRPANGRESPDELQ GDITTHQVPRSFSGTQSQTTRPSNPGMDTESPTRKRSPSDAQTPDLAPPSPATKKPKI SQKGSDKKHILRYFRTGSFGKSCDKMEYVPIYSNKEGLELREDVLGQGNTISIPFHQI RQIFTGEPPSRKVRIRMLQNSVQADDQLDVEFWTTEEKLKLLEVLNQANDKVQRPLKE MKWMDKAFLKYEKQFPLENQLPKKRILDDLVEDPDPAHSPPPSRRPKLSKSLRDDQGE VNNVKLREIKRTAQEKGKYGNGIADCRTSTKKQDDSRPLDTDTAVDVRSTANPLERET RYSTRRVTNKPDLSNGDNTSEAHSDSLLRDDSFRRKWKKPLVYPRNGKKKAEVTLGDR ERLLRDDFLNDNLIALYMRFLQDHLERTNKEAAKRIYFFNTYLFATLTNTPRGDRGIN YSGVEKWTRNVDLFSYDYIVVPINENAHWYVAIICNLPSLSLGFADGAVEPVQTPTLQ KESSNASESEIQEIEETPEPEPKLKSEPNASDKASQPCEIRKGSETRKDLASLQIEKN EHAIKNPKQSVPDDTPLPAPNLSKFAAQKLAQEQAAASQPTNKSKKKRTGLKLDPNQT TIITFDSLDMPRSPTIKILREYICREAVSKRNVELDPTDVKGMRARDIPLQPNFWDCG LYLLAYLEKFVQSPDWFITKVLQRSMNSNDDWPPLGSGLLRYRLGKFLDELYEEQRQA DEPVMAARQPVSFLLGPPLPCQEELPDVDVVPESQHETDPSEDPSKTSNSKIEDSSED STADQMHLLPTAELLKPPKASPDSPADTKSQPHHIAPPREEPIIQVPGSQEEPEEPGT PTPTRKERRKQSPRGPSRKR PEX2_000440 MGDHVLFFYGTLMAPQILHKVIHGQANPEPWQKATLRFQPAILH GYRRHRVQDADYPGIVAVSKPKVDTEDSAPKTNGGTSVVGTLVSGLTDGDVHRLDRFE GSEYEKKPVTVRTLREGRGGDLGHAGEGATAESQLREMLNATGAEVAGKEEGEEVSAV TYVYTAGKDMLEDAEWDFESFKRDKMSWWVGVGTNESEW PEX2_000450 MHSSLNRIQSVFGFFTTVALVVSALAAVSVLFFPADQTNASVQL KNVQVVKGRPHYYSTKREEYAQLRFDLDADLSPLFNWNTKQLFVYVYATYSSSDKPGS QVRASESIIWDTIIPAAPSPYSWDILKDQVLALLPATVTSEAVAAAKRNAKRHAKSAK AAKSKKEALAPGVLRLRGQKGKYQISDITGRLAERQNVTLHVGWNVQPWVGALLWAPG TGAAPRTGGTIVSSEPFDFPEVKDNKKAAAEKRAKEAEKAEKKASV PEX2_000460 MAGTCSMLCLILITIFIPPLGVFMIAGCGVDFWINVLLTILGYF PGHIHAFYLEYVYYDRRNRDPLTRASQRPAPGVYSDRIQNGGHRHTPPDLNYGTV PEX2_000470 MLGSPPGNPKLLKSQLHARTRYLRQLTFFGRTLTEPRLISSANY VRTELPTRLAHRLRDIQQLPYVVVANPHLTLVYELYYKAFERARVVPEIRTLEDNDRF CEILKEMLREHLIAIPNLAMGVLECRNLAPADEMDRLMNTLLRARISRRVIAEQHLAL TETFNSPWHFPDSHDRTDMNADFVGEVFLKCKAKEVVERCGKFAQDLMRKISGSTQIP AITVKGHADATFPYILSHLEYIIGELLRNSVQAVMEKYQDSTQPPPPIEVLVCETPQH VIMRISDQGGGIPREILPYLWSFSKGPRTQSRLENLGQVPAMAATMQELQVSHDRKHT DRESYHEGSLDTLTSRPPNLRLGMGLPMSRVYAEYWAGSLELHSLEGYGVDAFLQISK LGNKNEQVTTRAAIDAVSSRDVVAKIKMKRISSPVDDNIPIYIFILLFAILLLSFLAT DFKPRSTKPEQ PEX2_000480 MLVDDYDQYPAEKTDVVVVSRSGSDEPESAPTADDRMFFVAPLS PDHKQQSRLASYLDKAWDLNEIVLEKCTSYAAMMAKVLPQNSDLETLEEVHNTWRLSD WRKMERKSHGPVFRCGDSPWRILFFPYGNQTEFASFYLEHAWEGGPPENWYACVQFAL VLSNVNDPSIYTHHVATHRFTAEEGDWGFTRFADLKGLFSHAWEGKSVPLVQDDEAIV TAYVRVVKDPTGVLWHSFQNYDSKKETGMSLYFTNAFRKAVYQIPTDQEASRENSAWA LQRLFYNLQTNDVAVSTTELTASFGWQSSQIFEQQDVQELSRKLMERLEHKMKGTVAE KVLPDLFVGKTKTYISCINVDYESSRVEDFWDIQLNVRGNKTLDDSFRDYIQVETLEG ENKYEAGQPYGLQDAKKGVIFESFPPVLHLHLKRFEYDLNLDMMAKVNDYHTFPMEFD AAPYLSESADKSESWVYQLHGVLVHSGNLDAGHYYAFLKPTKDGHWYRFDDDRVNRAT DKEVLDENYGDSQQRTNGTTGGRSAIRTNNAYMLVYIRKTRQDNVLLPVTKDDVPSHI EQRMSEDRVEMLRRKKEREEAHLYMNVGVLNEDAFQNHHGFDLSSNDLPADDPALPTP YKILRAKKVKEFAQEIAEERDLKPEAIRFWVMVNRQNKTTRPDQVISDPEMTVEEAYN KYGTKGQPLRLWMEVAPTGADGKPTSWPDNDSILIFLKNFDITAQTLTGVCSVYVHKS QKVSELAPTILSKMDWPAGTEFMLFEEIKHTMIDVMKPKQTFQQSEIQNGDIITFQRA IKESELPASALYTDARQFYDYLLNRMEVQFAPIKTNEGETFYLTLSRKMTYDQFAKKV GEHLKVDETHLRFAPVMASTGKAKPFLKRNINQNLSQILNGQYGAYGYTMHRADALYY EILDMSLSDYESKKCFKVTWLPDGVTKEEIVEILVSRSGTVADLLSALQQKLELGDEP IRIAETHSGKVYKELREEQNVAAINEYATLYAEKIPSEELKLDAEDRIISVFSFDREP SRTHGIPFKFVVKPGELFAETKKRLSARTQIKGKNFEKIKFAVVPRASFSNAKYLEDG DILSDVAAGADDFLGLDHPNKNRSFWGKSDGFSIR PEX2_000490 MRFALFAGLASLVSSVTATALTYRLEANEKACFFSKVEQNNAKV AFYFAVQSGGSFDVDYTVTAPGGKIVLDGTKERQGDFVFTAQSVGEYTFCFNNEMSTF AEKMVDFEIAVENEQRAQLPSRQGASPEQTSSLEESIYKLSAQLSTVSRNQKYFRTRE NRNFSTVRSTERRIFNFSVIESLMMVSMAALQVFVVRFFFQGARKGYV PEX2_000500 MTHSAQLQQPTENLNSMFSDSGGVENMANETLIDSYRSLDPTRA SLEDYNRSMLQYTQRQMSSFADTDDSRRDSQSSGKSGRSSASSGSNMSRQANMSFTPA SDAGHSAENKFSSRATADAKSAGY PEX2_000510 MQLTTLLTGLISVLSVTTAIPMGSESPTPTSSVSKSLIAHSSSA SVSASPTPSPNPYEAYTCPKDKFKACCMSVQQTGKDIVKQLGDLVPVLSGLQVSSAIS FQCKNMTEREAPDSCNGQGYTPMCCNTKVEDTGFNTCKPFEDVKKAYYMNNMKDIPES QADMIMDILT PEX2_000520 MATHDAVIFKAAFAACLLRPDPTSVPRDEISSFHISLERALSHC SPSNIQTCKAWLLQFVAFSSNRVGGLVNYLEALAASFPSQPTGSKLSPKRQRLHILYL LNDLLHHCKYHLGTPTTLSTVSGSLQLHLVNLVGYAATCDRQKNPRHHRRLDDLLDIW SEHEYFHPDLVDKLREVVTMGVPMDSNDAANGLNSTKKSRKNVPFVMPSTHGDPSTPW HEVRAGNIYPHIIPNSTIPIRPDATKPIQLLAGPADAKSVEALQAFLSDVDKLFDPEA PADDSHTYLDQLGQTVIRGENTGDILDGTHYHGWSLEFCQPADTETDDSRGRSRSRSE SRIDNKRGRYSDSSISQSESRSRSGPRTYRRDIHRRDVSNSRPAQRSRSRESSYTPRE PSTSHFPPPHQPQHPPAPFNSFPTTHAPSGQYSHPPMGPNTGYPQVPAPNYGSWPPPP PHMPTMPFPPPGPGSSSAFPPPYHHPPPMPHGQNQGQNQGQRQGYGMPPGQYHFPPPY SGGQQGAPWGPPPPPQGGRGW PEX2_000530 MASILASQIVRPSIRHFSAHRPRPDSRAARAVAMGCIVSGVVLP FVPPALESSRQRSSGLSTSAKNPPLCFHAR PEX2_000540 MNTIRSTWMGWGTLCLAGGGAYYFAKQSINADRQARFEAEIKRK AQMKQMENEHKRQAQLASTPPPGNDTSSKRASMARFQNAPDDAASPSAEASYDPAPTR HEPLTETDRVSEKGKYETAQPYRPPSGNRFS PEX2_000550 MPPKRKAADTGRSSGASKRATPVPDIDISSGDEYSDQEELPEES NLKAVVNKFSLEAFSKKNQVEKTDPRFGYKDLSSLPLKRDHYNRPLWIEPLKGTITLE SFSPLAPQAQDFLTTIAEPLSRPTHLHEYRLTGHSLYAAVSVGLKPQDIVEFLDRLSK TPLPESIRTFIIEFTKSYGKIKMVLRHNRYYVETTDPEMLQRLLKDEVIGPQRMDNTE GIIERAAPKMGGLVIPGTKDAAGVRETTQQEAAAAIARDETEEGGAVNYGIDDIGEDE TEESTTYSFEIPAAGVEVVKARCQSIGCPALEEYDFQGDTVNPNLDMDLKPAARIRSY QEKSLSKMFGNGRAKSGIIVLPCGAGKTLVGITAAATIKKGTIVLCTSSMSVVQWRNE FLRWTTIDPGDIAIFTSDHKEKFKRSTGIIVSTYSMVSQTRARSYDAQKMMDWLQSRE WGMMILDEVHVVPASMFRKVTSAIAAQSKLGLTATLLREDDKIKDLNFLIGPKLYEAN WMELAAQGHIAKVQCAEVWCPMTTEFYSEYLRESSRKQALLYIMNPRKFQACQFLIDF HEKRGDKIIVFSDNVYALERYALKLNKAYIYGGTPQNERMRILENFQHNEQVNTIFLS KIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDT NEMVYSAKRQAFLIDQGYAFKVITHLQGIDNYEGLSYATPAERRELLQEVMLQNESSA DVEQVNDDLFSMRSGKRITSKKGGAKRSAATLSGLAGGDDMAYIEYNKSRNKQLKDKA GHHPLFKKMERDRQRRKKERETED PEX2_000560 MRTANLTPVVIGVGDIKNTSLKPEHAYEPLELMLQSISAAIDDS SAMRTKILPAIDSIDVVANWTWPYPNIADLLAEKLGVKLVHKHESGHGGNAPAKLFDE AARRVSKGESRLAIVTGGEALATHLGTLHSIGLPIQVYPLYEAGFRAHRKQSYAENHQ ESAELYANFAQIAQNNPLSWNYGKRAATVESIATVTKNNRMICSPYPLLMNPFNNVNL AATCILTSVEFARELGVSESRWIYPLGGAGTSDASNFWERTNFHSSPSISNSLDEALR VSGLTKEDIDIYDFYSCFPIVPKLAAQHLNLPITRGDRPLTVLGGLTSFGGAGNNYSL HAITQVVRDLRSRRGIHGLVLANGGILSYHHTVCLSIRPRADGSSYPSQNPLPEFSRD TTSPAIAVKANGRAVIETYTVEFQRSGSPGQAYVIGRLENGERFIANAANASTLKQLS STTVEQIDRTGWVENDVKSSKNLFSFEHIHI PEX2_000570 MAASLKTQPPLTEHYTVSFPHPQTLLVTINREKQRNSLPSDAHW EAHELFTWFDHEPSLLVAVITGVGKKAFCAGQDLQEQNSKQARHLSPAQRAVYAHPPT GFAGLSQRKGLKPVLAAVNGFALGGGFEICLNCDIVVASPTAEFGLPEAGVGLFAAAG GLPRLARVCSMQIATELALTCRRLPAQEALGLRLINRISKTPESLLEETLAIAKRITE FSPDAILVTRQGLREAWETSSVQHATSRVYEAYVDRVVKGENFKIGVEAFAKKIQPHW VESKL PEX2_000580 MMKPLFILGAAGFAAARYTGLTHEADTVANEPSVVEMLSTKAPF DGPKVIPVNASTFDWWYFDVVSEDASQSLTVNFYSAPHSALGFGAELDSINYVHVSGV FPNGTMFNSAVVAEDSYVRTVGDGSSGSWVGSGFSWSGSEDLLKYRIKAKDTGSGFSG SMSLRSVAPAHYPCGPPIKGQSEEVVPGVGWANSMPDSEGKVEFKIGDDSLTSILTLR LRVQNWGSRPFPESVGSWYWGHARLGEYSIVWFNTLDTEATEYVSAYVSKAVEVLTRQ CSGLKIRPYGDNSTYPPVVSTGSPTGYHLEIDLPVEGKLLVNVTNGALVTTLGNPYQR FIGPVTGTLAGQALPAGSGLWEQFKLKESW PEX2_000590 MPSDPKLDLDDNTPWSEPAWYRTLDSPYYNESHRRLRDNIRKYH DTHILPHSLEWEEKGDVPREEALRYAQSGIPFDDVPIEYRPSHIPNLAGIPHQEMDVF HLLIRIDETARTEGGVSIALGGASTIGIPPIIHHGSEEQKAKWLPGLFSWETSFCLGV TEPNGGSDVANIKTTAVKSPDGKYYIVNGVKKWITGAPWATHMTTAVRTGADGSGARG ISVLVIPMRSEGATTQKIYNSGQNAGGSSFVDMEDVRVPVENLIGEENKGFPIIMRNF NKERYVMAVQCNRKSRTCLALALSYALRRETFGQPLIQNQIIRRKLAELAHRVEAHWA WLEQLAFYINSAPLGWQSPRIASRIALLKVQGGQMLELAAREAQQIFGGAGYQKGGAG ATVEQISRDLRMMVVGGGSEEIIADLAIRQEVSMLERKDKL PEX2_000600 MYDTIIIGAGLSGLQAALNIHEAGHSVLVLEARDRVGGKTCTAS LETGGCVDLGAAWINDTNQYRMHGYAQRFGLELIKQNTEGNGLMQDLDGTIIPFAYGT TPPFANVSDVQDLERIRDTIHDLSVQARESLLAGSANGQVLELDQITLNEYVQRQRGS ERTLKMVNLWTQVMLGVDSDEISAGCFVDYCAKGGGLMQMRSDSKHGGQYLRFRKGTQ SVAKNLAKLLPPGSIQLGSPVKAVSDHGTKVQVTVSSPLSIDKVFTARKLIISIPTPL YKDITFSPALPARKWTASTSTNLGTYTKSILVYKEPWWVEKNLCGLILSYDGPIVVAR DTSSAVDGQYSLTCFVNGSIGRKWSEMAPFKRQAAVLEHLYQITGDKKALEPIDILER QWMNEEWSQGAVCPISGPGVMSSVGNLWKVPIGNIHFVGTEFAKEWKGYMEGAVSSGE EGAKEVLKLLQRGPNL PEX2_000610 MDKPKDLNGLGDMGEKQTSLNHVVSVGEGQVEADVEKFGSLHRT LTPRLIHVISLGSNVGSGLFIATGKALADGGPGSMFLGYLVVCIGVWANLQNLTEMTI AFPTSGNYIDYADRWVDPALAFGAGVAEWLGWTSVFASEATFFVILVNFWAGGAVPDA ALLSIFLVICLTVFFMPNKFFGWLEYFGSLVKVFLFIFIVIISLAIIGGAGSKGYVRD GSTWTDLPTFKNGFGGFANAALLGIWAIGDQVFIGVMGGEAESPRYSMAHSANLIPWR VAVFYLVSTVLVSIIVPSNDPRLLGGSGVAASPFVISVQDAGIKGIPDLINICMIIGV LAIALECIFLPSRILRTMALQKLLPSFIAKVDERGRPRWALTITALVAVLLTYMSLSA GGLEVLNWLIAITSASFFTNWAIIGFTSFRFRAAVKAQNSPIFGHQYGWKSNFWPVAP VLILIISTLLLICLLYKSIKPATGGFTAYNFFSYTIGLFLILFSTIAYKIIFRTPWRD PATADLVTGHRALTTEEIRQLDAYYERPFWRRFGTYIRLW PEX2_000620 MAPSIDDGAKVTGLAALSQGTPLPGVPKFKNFEAQREYMLNHMA GAFRVFARHGFVEGMAGHISMRDPENPDLFWTNPLGIHFGLINPSDMILVNEDGVAVG GNMSRPANAAGFLIHSAIHRARPDVNAACHFHSTYGKAWSTFAQPLEMLNQDVAIFYG AAQSVYEEFGGIVLREEESAALAERLGEGKVMILRNHGLLTVGRTVDEAAYLFLLMEK SCKIQLAADAAAASGRKKLYIDGEAAKFTYENTSDPESLFAEFQGYLQWESAISHDGY KAMGC PEX2_000630 MFAMQEAEAQLRDSSSPAKANDLAQNAAELIFITGDNTVVSILD ELDQQTDGIGNHQTLCNGPFHVFQATEMLSTTQLEALSDIFDPELWNPSLSNVAEMMD EENEYSPDPLAKTPEFLTMEDRLASETFILENIDFVCPSTPAATQSVISLPSSPSRSS ASDLEDFTMPLAKILLDHYRHTMVTFFTPARVEAKSPWEAIYIPSLLSTVGEIGLAGD SSNAKVSLLFAVFAISAFSQNQSSSLTERGYQDWNALGEMYRERASRRLKRSLFDLSH DRAKKEKYKDILMALLSMVTICVVSGKMGNAAHYLRDIEEIINLHGVQKVSQSSKVRM LHSIYLYLRVVTERTCIEGRSQPNIFENSMTMSISSSAQDISSWDRLIGFSSPLTEEN FNLDTPSKSTFEEIYSAPQSLFKLILQTTHLSVLINKMQKSGTYNIDHGSLSGQVKDL ESRICSWEYEPQGNLGHSAHTPLPQREIFPYHFVQAMYKALIVYFYRSVRDVNAAILQ FYVQEIIDHLFEYDKKKQKHKDQSANTCWPGFIAGCEALNPKLREQISDWLERSGRST GIRMFIVALEALQKVWQTRSLPGMQNAPWNQVLEEFSELRVLVLS PEX2_000640 MAVKQPQVQRIDRANVADIIQAIIEDGCCVIKNFTSVETINNVN AEAQPYIDADKPWKGDLFPPETRRCANLMGRSKTAREQWLVDPLVRTLTAKFVDKTTS NFYGETKHTYTSEAICSIAMTFDVGPGAKAQRLHRDDKNFHVNHEDQISTGYRVGSDV MMAFMIPGVKTTVENGATIAIPGSHLWGADRAPKLHEAGPALMDLTDCWVMLGGLYHA GGANITPDEQRTLHGMFFTRGFYRQEENIYLTNSAEDVLSWSPEAQKALGYELSSPNI GFVEFKTPMQYLRGADVDAFGDFDPSQEGKS PEX2_000650 MSEKAQVLLVGCGGVGAIAALNLETGGQAAVTAVLRSNYSKVIS DGFHIKSCDHGDISGWKPSKVVNTIPTQSEMCFDYVVITAKNTPDNPPTIADLIEPAI VPGKTVIVLIQNGLNIEKPFLEKYPNNICLSGVSLIGSHETAPAVIEHEESDRLIIGA FQSSHLPTEAGDAIAHQFVKMYAGGGKTDCIFTFDVPFHRWQKLVYNACLNPICAITG LDTGRIRLAEDTVSTLLRPAMQEVVAAAKAVGVILPSGIEDRIINVDPLTMYFRPSML EDVQKGNLVEFETLVGEPLREGLSHGVSMPTLTVLYHTLKAMQWRFKEQRGIINVPAK GGYLENGSK PEX2_000660 MEEGDTWATLFFSGSPLDILQTSELDNKTFRPFCAEDDKWKLRS RVDIQRASDANAIQVFWLWINGHRKYIGKVFPTYTEEDAKIQLHRISVYPTPEMISNA MHEFDRFSREARAYSHIDLYCSSRERVYFPRFYGVVTDMPRSRLRSGYWHQRAVILEA IRSDLGSRRVLSQDVDLSRDIDQLPEGFLTTLERLSKRLWTITETMFLSPFEQEWYQS LLKDRLRRLNALHRVGITHGDIHDFHFRLPDDFYDTVLYDFSASYTFSETKPFRVNSG RPRPLSRISEGERERVLLSIQDRAASRDLRLYLTTSNSGTSVDNALWQPLDKEEGLLE LIIIKVSHRPDGFSMPTLNSIFPFLEAVCPKSDLCWHIRRGRLLHHYKSVWAVSRDEE TQPISFDCEREFRTIETTKDSRFMLCLVPNSWIISLKMNPDVSGHYDRLQQFCSSLIS AESPGVVIGRGEFLKDAEITKTPI PEX2_000670 MTDRNDRAPPVGDPPTDTPPVNNPHNAESHENPPHPPSDEPADV NPGTTRGLDHRATGVNTNIPALMTGTGAAPAGPWAAYIKAPPFNTTTKGEPLNSELLC KLSPKTAINEPPLRPRLAHYWNPAGFVAEITASIARVEGATTQVVGYEVLQQCESCKE DEGPFSHCVWDSDADKCSNCHWLGNNHHFSLSRLPVPPTTPSLTGQRLLSTTELAEAR TELTSLLMQRDILNERYSQLDFSLARVHIAIFWLYDDHNLMNSAVIHHNYAEVSEGLE GLEVSIHDLWVIQMEL PEX2_000680 MSEQQTPILDHIVLLVSHKTLLGISEHIEGQFTLAPGGTHADGL TTNKLILLPDGVYLEIIAFFDDIDPEKRRNHRWGNEKEDTIVDWAFTLRSETDFSAVQ QRFQGADTNASYTDPIAGGRTKPDGTVLKWAVAVPKDGQGKVVPGSLPFWCLDRTPRA NRVPYEVEPNLAQHPNGVQGVSSVSVQVPEGEISNFKGAYDAIFGGPWNYEVPSGSTA GKHGISLSGGNASIRLVFNGLKEGKVELLPGLFFDIEKLDSL PEX2_000690 MSSPIQLFERPFQAYSGQNGIMRAILMPNTDPIASGSTIILPQY KPEDFIGYPVIRATVHSDHAGYGSMYGWIQFVKCTSNDEVPSHDREPTGVQDGCSDLD WQLDLAPIFQDSDIPFTYFGSDPTLFDAPTRIGKTDFKWRAQTYLTYISDALITRQVT PILGFAWGFNVEDGIKTIVPLEELDLNTSWNERLDALRRSYPSWTFNSAK PEX2_000700 MATATKINLSPITDSGIYSSRVREDTARTVSEILQEDLASHHVF FNDQHFHNHIPHQLLSIYALGAAPEDVKACYERNKTYQRPALPANQEIIQSMHDVAKF QEYFGKEEHYPNYLAFFQHEIDAKGVGEVLGKYIFAGDERAESMMCRMFGGLVHPLIH LGFGIEFNQPAIVAQGLAQTAVHDDWLGRAFFLPAEKMAGGIGKPGQKSTFQLINEMR ADTALVKSVQWADGNKIKDGVLHRAPEQMLKYASQFTVSEDQIEERLAGMINTVVYYT SAAQRPTREMWLDFFFIHCVNSSIFFSKIINLPFLNQRSKLRMLEWKGRIDLLMYTSR GTPDLLLDEVANYPIKEDWSQIFARSIAHPGDDGHLAKLARALAHGQEVCQAYESKTP EMPIKGDMWLRIGNIAVDSTVQEGDRPMWVRSTGFDEAWEPERQAHM PEX2_000710 MGNEDINRKDVSTQKDWPDDENNPFVAFRRYADEQVSTMLQSIT GLPSMVTQPHNGHWDIFADNHGYQNRMARQRTGDNTENSSYPTDRESASGYPGNRDGD DPRNYAKNSLSPSPESDDSWRKWCNGPSDFFGLDSFFDRFFEDRFFPFASQLLHSGHN LLLRDMFEDTDSPTWPIGYILFSPYSPLHLERQAQYQAHRDKGVFSSLMSSLHLNSDR DPSEPQWREAFEDLLRLENDKPMLDGNAPATRSESGKDWLQGLVKRGSLGDKWKYVPR TNGQPWSGITLSSRAGPEQDQSQSRSLPEKEKESADTKEADSELDLYERFLHDIENRE REFFRGVSESPLLRLLLEERRQQQEELEKYRRSPPTPDDQHSHDDNENWIDLVSGGNK KSVPETPRDLPAEIDSKPTEAVSEPVQSRVISTMTRTERVRLPDGSVESKIVNTRRFA DGREESNESVEVSHPQESSKLESDSSKNGWFWRD PEX2_000720 MGHLVTLATCSLNQWALDFEGNAERIIESIRQAKAAGATLRVGP ELEITGYGVLDGFLEGDTFLHSWEMLARIIDHPDCQDIVVDVGLPVRHRNVRYNCRVI FYNRKIVLIRPKMWLANDGNYREHRHFTPWQRPQEVEDYYLESIVGKVTGQYKVPFGD AVISTRDTCLGLETCEELFTPNGPHVPYGLAGVEIISNSSGSHHELKKLDTRINLITQ ATKLSGGIYLYANQQGCDGDRLYYDGCAMIVVNGDIVAQGSQFSLNDVEVITATVDIE EVRTYRCSASRGMQASKQSPYVRLDLDIRLSRRDEDAAPSLATAQPIKPRYHCPEEEI ALGPACWLWDYLRRCGAAGFFLPLSGGIDSCATAIIVHSMCREVLKAVGEGNEQVIKD VRRLCAKPEDSEWLPTTTQEICKSIFHTSYMGTQNSGKETRDRATRLASDIGSYHIDF NFDTVVTSIMNLFTVITNFQPRFKMHGGSPAENAALQNVQARLRMVLSYLFASLLPTV RQRPGGGGLLVLASSNVDECLRGYLTKYDASSADLNPIGSVSKVDLKKFISWSGRSFG LPILEEFIHATPTAELEPITHDYVQSDEADMGVTYAQLGVFGYLRKVSKLGPYSMYEK LLHLWGNEYSPREIYEKTRHFFYYYSINRHKMTVITPSYHAEQYSPDDNRHDLRQFLY PPFTWAYKKMEDNVKYWESKGWTTAKGAKKSVKAD PEX2_000730 MSTTPQIPTPAHMLADSMLSRHFGRSNAKESTGSPINRLSFLRS DHPFLSTALKHPSARFVLLNNLAPLTPSPAQLYYAKYEEIRKLVPQDTFDTSEEDMIK SYDSRKTHPTLIFLGLDESRKEGGMAWKIYSGTPYFAVDVTPKGPEEQQTAAKDIIGA MEAKGLSFFQARVVMSFSADEAAVYAQARALMDWNTRNTFCGTCGHRTLAVNAGTKRA CPPTDVARATEGKEEQRPECNTRTTLSNLCFPRTDPTIIVAVVSADGKRILLGRSKRF PPGWYSTLAGFIEPAESIEDAVRREVWEESGVTLSRVVIHSSQPWPYPANLMIGAIAQ VSDPAHETISLQHDPELEDARWFEIEEVEEALRIGVSDLGAQAGPEYKGGLRLPPPTA IANQLIQAAVSAEYFAAEKQSKM PEX2_000740 MNAIEQSPAPNALAHLTRVPIAQLSPDLEKLAEKSFLATVALVW PYSSSTKSVSLLLAEPDFRLRGAKGQIKVTFHGRVAEKVAESHVGIGDTVCLALKDAK FVSNESVQKTPGRSVAWDAHFENGVSLEIYQSSQPPLTISVEPQVAAPHETEIAPPAT PSGKSINPELDLPSSARPWGSPIFLKSTRTSFGGTIRSDFDPFTEEDGFVPGKGRKKP RYSLHREDWRVINEPESPPEQEAPMDWEQALNQSIDQELDEADLASEPSHDPTMDAAQ APTYTEDVPQEPVPVFAKPSLEFSGSILERHADESNVLSREQVDNLETSFHLPTDTPQ IRPIPSPGLPIPSPLISSHGDSTSYFLPWTTPTQSQEIRSVPTEMDTLAIPAASSTDV RDTNAIDPIHAEIAEPTYPDVETIQQEHVFDAGFAFRDDSASPPGSEGLPGKDQVEIL DSSESIVESHVVEADVAVNSTGDEAQMSNEAEHGHAFVDPNLSRDHPASISAPEGELD ESPDIVDESAAAQVDAGTEDATDEAQKSDTTDDERIIDLSYLWRDDSAASSRPEERAE DELLESSQEVDGSDLGQADLAIETASNNVGSPQTPDRSHEEDSEREPQSVNYPEPTSA FDQDAINALERMITARDEEVERKRDREEEKEMEDDIDSSPSQGYGEENDEMVKDQEVY YAESVENYDSQDECEEDDHFPCDPRLAKDDFSVIGSRDETSEQEQNLPPQTGNHEVIV LDSDSDDEPASNYPVAPASQSTEREDLSHCFESGHPAVSPAAADFATGVQEYPEPWYV GGDGGYHGAVEEGSDIDEREESEESEESEEGEQREYNQQDDRVHESDMDDDESTQDHY DTDHPSEVASPKPEQDEDEEMEDAELIEEADTDENHDVTKLGAEKSEERENNQEDDRV HESYMDDDESSQGHYETDHSSEVGSPKPAQDEDKEMEDAELIEEADNDEFYAAAELGA ETEVPVDRVSDDGQSGNTDPNLLDMPKSHHQDPPSVVKSPAGHYLTGDGQSDLHIPSS AGNMADFLLRDEPTVEGPEPLGGRVSAPIRPPSERQLLTPEPTQENASTREPAHDLEP DTSSTIEENEAPTSLDKTSQPDQGDGLRADPAKSTRQEPHVDGHDGAMPTSELAEAAE PPATPEPRRSLEVVISTESPKTPTAPVSRPSIPDRNASGLRSKLSYFAPLATLIDHYN ALVDTISIVHEASPISRAKSGSKDWFMTIQLTDPSMAGTTLRAQIFRRYKASMPSLAE GNAVLLRDFKVRSLDHTVMLVSVDSSAWAVFDGSGPDAETNGPPVEYDSQERAYASGL RRWYVEVGSDRVADHMLQAAIERDSEERDMTPSSQVPSESGSPDSKRGSQRKRKSNRR VTIHELRDGTRYTEVGSPNSGNSSVHELRDGTLYANI PEX2_000750 MPITNPIRRVAVIGAGPSGLAAVKYLLAEKCFDEIKVFEQRNSV GGVWNYTPSSSKKGMSIPVPHLTPHEPVEKPIWIDHTEGREATFVSPLYDRLETNIPK ELMRYSDQAFPSESQLFPKHWTVKQYLEKYAEDIKGLIQFETQVLEVKLQDETLSTWS LTTKSLPTGIDTTHTYDAVVVASGHFTVPYIPDISGIQAWDASHPGVISHSKFYNSPE PFQGKKVVVVGSSASGLDIGAQINEVSQGKLLVSQQSESYLAAPPNGDTIICPEIVEF LPPTAYERGVKFADGHIEEHIDAIVFCTGYFYSYPFLSSLNPPAVTHGWRTMNVYQQL FYIDHPTLVFPVLSQRVIPFPMAENHAALFSRVWSARLTLPPKDEMKAWEDSEIDAKG DGKKFHLLPFPMDADYLNFLYDWAEKAEPRAGLSNNGQGKLGTWWGEEERWMRANFPD IRRAFVKRGDERSEIKNLAELGFSFEEWKKQQDEN PEX2_000760 MLFREQPHPPNLDSHHRKNTDRLPAAIVVISDSSESSDGSDSDD QEWPIKCILRETDTEYLIDWEGPYDPTWEPKENASELAIQVWNKRKARRSNELDWRST RERVTQSPSIIEVSSSSSEANIGLEDRYSTSFDDESDAHSDNRPRASSSLFVRQEGLS EIIDSLQSQYIKASGCFYGTASPSQSLSDCSPPLAALSTSTRSQPDATLFEYIPESSI PPEDLQPGEWDDVNTQQPDPTCDLKGDSVDSCSSYRQYSGEINNAFEFSYPNTPGLGL SSKVSEIAETPAQPPGSGADSLCLGSFPLDNTLSHNNLTSQHLLLCGPIARDCEFAQS TYLSSIPETVFHHLSQHPESLGSEGSTRPVLSFSNIVEQERSTEIMEDKEPKLSDHSL SDATVDRYSQLPGSTPTEKMQNAWAQLSQENQSDLSRPANAVETPSSVGDIEASIPLS VPDTTAPLSVRPDTDSFSHSHTAVHHGHSEPLLPPSELAHGGHLSQPSLQTIHPSALT VTGMDDEVAPGSVHLGPSEFAVTLPMDSRVKDDYERVLSDAATSIRQFFDSFQSNTQI SEPEREVLHSHMREVIRRLSNVSTHPDLNISDHLKDADPDLAKEASWAEYSSAKFLLL GHLMEIVGTNELHLILAVQDEKKQAVVERYLQGKGFTYTRPRGEMGSTLEVSLAKGSL SFGIHSSETARELYKPPSAIFALDSYFRPKSPSMQHLRTTYARNGNLLPVIWFLVANT SEHIERCLPDSPEPDRLRLLVHYIARLHDEVGDLQDDALGVHEDAEEILGYLLDSVAG WPLPTIEPLNLVSLEELECYSPSSDDAMPPAQKRTLDEEPEEHSSKRARVGTQENSQL TESTKPPSQTLDRDLQSLEKNLIQMKHIYASEKAQLQAELAEANSRFQEMEKALGVLQ HRYESRTNELHATRQERDRVTETKPSLEQRVEKQKETISSLKEERAELKRELDEARQA LKNGGGSSAELETAREEIRRLAKENSNLERKAEFEKNQSEYTREQYQTASTAAAQSGT ENRHLSAENEKLKRKAESNAVQLRELHMKDESARHLARIEELELTLATRDEFLRRKED ELREIRKNRPSTRSTSTQPRSPKWASSRPTSPGIGHNGNGNGGLGGRGSALRYSSEMP F PEX2_000770 MEGPSHVYLFGDQTADFDSGLRRLLHAKNDSLLAAFFQKSYYAL RKEITSLPPSERQGFPRFTSIVDLLARFKESGPNPALESALTTIHQLGCFIHYYGDLG HAYPSADESCIIGLCTGQLASAAVSSSRTIGELISAGIETVVLALRLGMCVLKVQELI EPSKSATPSWSVLISGMHEPEAENLIQQYAKKNALPRVSQPYISAVSPNGLTISGPPT FLSRFIEDSVSKEHKPTRVPIHGPYHASHLYDDRDINRILESWPTEQFMTFVPQIPVI SSETGKEFQAESLEQLLRLSLQEILQRQLCWDKVIESCQETLELATTCTLFPISSTAT QSLFNSLKKAGVSNLEVDSTIGDVQKDSEGDNRTGRAEQSKIAIIGLSGRFPESPDTE AFWDLLKKGLDVHREVPPERWDVKAHVDKDGKIRNTSQVQYGCWYNDAGMFDPRFFNM SPREALQADPAQRLALLTAYEALEMAGFIPDSTPSTQKNRVGVFYGMTSDDYREVNSG QDIDTYFIPGGNRAFTPGRINYYFKFSGPSVSVDTACSSSLAAIHVACNSLWRNECDS AVAGGVNILTNPDNHAGLDRGHFLSRTGNCTTFDDGADGYCRADGIGSIVIKRLEDAQ ADNDPIYGIIGGAYTNHSAEAVSITRPHVGAQSFIFDKLLNESNSDPKEISYIEMHGT GTQAGDAVEMQSVLDVFAPDYRRGPAQSLHLGSAKSNVGHGESASGVTALIKVLMMMQ KNMIPPHCGIKTKINHNFPTDFPQRNVHIASEPTPWNRPNGGKRKTFVNNFSAAGGNT ALMVEDGPLDEENVEDPRSAHPVLVSARSQSALKNNISALVQYIDKNKNLFNSNEASL LANLSYTTTARRIHHPFRVAVTGSTLDEVRSGLAPIVNRDSISPAPANAPGIGFVFTG QGAQYTGMGRQLFESCSQFRAHIEHLNCIGQSQGFPSILSLVDGSVPIEEHSPVVTQL GTTCVQMALTKYWMSLGISPAFVIGHSLGEFAALNASGVLTTSDTIYLAGRRAQLLTE QIKVGTHAMLAVKSSVAQVKQFLDDATEVACINAPSETVISGAREKIDELAQTLTNEG FKATKLNVPFAFHSAQVEPILESLSEIGKGVNFNAPSIPFVSALLGDVINESNSELLG PNYLTRHCRETVNFLGALEATRHSNLMNDKTIWIEIGSHPVCSGMVKATFGPQATTVA SLRRQEDTWKVLSASVSALYMAGIELRWKEYHQDFTAGHKVLPLPSYKWDLKNYWIPY TNNFCLLKGAPAVPVAEAAPVAVFLSSAAQRVLETSGDNSSASIVIENDIADPELNRV IAGHKVNGACLTPSSLYADIAQTLGEYLVQNYKPEWKDRGFDICNMMVPKPLIAKGGK QLFRVSATANWAEESAKVQVWSVTPEGKKILDHASCNIKFFDPSPYELEWKRSSYLIK RSIEHLQESTISGQAHRMKRGMVYKLFASLVDYDDNYKSMREVILDSEQHEATAVVKF EAPPGNFHRNPFWIDSIGHLSGFIMNASDNTDSKNQVFVNHGWDSMRCLKKFDPSVTY RTYVRMQPWKDSIWAGDVYMFDGDDVVAVYGGVKFQGLARKILDMALPPGGASAPKPA AKRVPAPINVQKAKPSVTKKASPSPKSGLPSMATRALAILAEEVGLAASEMTDDLNFA DYGVDSLLSLTVTGRYREDMGLDLDSTVFVDSPTVKDFKHLLAQMGPGESSDGSSSEG DMSSAASSTDLSSPNTSGLPTPANEKSMTHGLQGQNDSMRQIASILAEEIGVDSEELL GDANLGEMGLDSLMSLTVLGKIREDLDLDLPGEFFIENQTLDDIETTLDLKPKLAPAE PIRLPEQIPVEAPVVAHSTATQHPPATSILLQGNPKTATQSLFLFPDGSGSATSYATI PGISPDVCVYGLNCPYMRTPENLKFSLDELTAPYVAEIRRRQPTGPYNFGGWSAGGIC AYDAARKLIFEEGERVERLLLLDSPFPIGLEKLPPRLYSFFDTIGLFGEGKAPPPKWL LPHFLAFIDSLDAYKAVPFPYEDPKHADKLPKTFMVWAKDGVCSKPGDARPAPAADGS ADPREMLWLLNNRTDLGPNGWDTLVGPKHVGGITVMEDANHFTMTRGQKAKELARFIA NSMASA PEX2_000780 MLRCDSGRSGTPESGTPTSGTIILSKEPTAYQVDEDGNLKNRCS KPTRKEFDPMPLDTTAAEAMVDEDLSEPDDTVKLLPSDESSLTGPPQTPPHDSDQSYD EATSGGARTPPPVVIEAAVSEIGKLQEQEVDPPLTKRSQSQKRRLFRSYLTASFCRII CDEGHRLKTIYSRQHQSVALLNLDSTWCITVTPMWNRALDYCGYLALLWKKKFALPVD STAKYPPGTDPSPATMTDPLDPYIWWSAYGKLTLEGQPYYLLDPRGLVVLARRGKLSA VNGFLALPVVLRLSSLMREAGNQIIGPNGTTVVIGDDIPRLHVSTVKLRNTRFTQGIH NRVLTFSSSPSMALQVIPQPQMLRRLHRRTIPQS PEX2_000790 MHLLRVDKLADAEFIDKRLATAISHESISSEANKRPDVVKMEKF LEEELGKNFGAEVQPYCMGKQKKKNPTDPDLDLPPILVAWYPPRTSASNDKKTLLIYG HYDVQPELTGWSYPAFQLTRVQGPNGERLYGRGSTDDKGPVLGWLNAIEAHKNAGVEI PVNLIFCFEGMEESSSEGFTAFLEKYGNDLFKDVDGGVIADNYWNTAKCPCLTYGLRG INYFRVAITGAPKQLHSGIYGGAVAEPMTDLFSLFSKLVNNEGQILILGINDQVEPLT EKEKELYKKVRFTLKDFTDAIGDTKVPLYQDPIDIMMHRWRYPSLSIHGINGADASDD PGTAIPSKVTGAFSIRTVPNMDSQTVNDTVTKYLQDEFKKLGSKCQISIGVFPEHVPF WRANYEDPNFTAAAKATNEVYKTDPDYTREGGSIGVALYLQNILDKKSLLLLPMGASD DGPHGPNEKIDRRNYIEGTKLFGAYWHFFAGKI PEX2_000800 MTPSKNINQEKPITYTPKHPIVRDLIDFINRRTPIRNTKETREG PLPLKTDRLSLFNLAIAQAVEGGAQEMQAEGISDLDGYLKFVDHFVEWTPTVSSTGDE VLRKLLVFYWPFNQQALSDLQTPIAPQFTNTDLRWLSYWLVAFGRRFGEYMATPESVG NIWSFYTSQKYGQGPGDEDKGTKYDKGDRYAQWKTPEGGWKSFNEWFSREWADINYSR PLDGEGNDKVIVQGADSVFNGHWDIENGTVHIDPDDVNSAEVAVDIKGITWPIRDLVR SISEDTPYHNGSFTHAFLGPTDYHRQHAPVEGKVVEAKNIQEQVYLQVSQSTTKDGKT TIHPERGVVISPQEQERRSINTSNLEKKTNSESQTNLLALYRKNNYLEKNQSEQPAAN GPKPEAGITAPDQAGYQWCQTRGLVVIDTSYDSQGKKKSTDDGLVAVLPIGMAQVSSV ILTVKEGQFLEKGQNISYFQFGGSDIVTVFQKRPTYRTDLQAGKTKLHIRENVAEWK PEX2_000810 MRFPCWCRAVYSWGGETTRDLGFVEGDLIECLNAGDGQWWMGRL RRDRRAVGLFPSNFVELLSEDFVPVSRDTTSPMVLGGSSPINNPTSAPKKQKTVWRKP FQAHKEAVSPASVARRATASGPAAPAIPQTPPRDGSVPRSTKPLRTHATAVKNQGSLS RPTSSGSRPSSRGVSPRSSAEPERSPSMLPRGGVSSSRPSSREQSPLQTQERSYAMTS KGRISSTRPLSRNPSPLPVHDHSSGMVPQGSISSYRTSSREPSPLQMQDYPPPTMQHG GISSYRGSSREQSPLQMQEYPPPTIPHGSSSSYQSQSRDPSPMYMDDHPPAKMPHGSM SSYRAPSPLPMQDQSSAMVLHGRMSSYQAPSRGQSPLPMQDHSTMVSHGSMYRAPSRG PSPLYTQDHPPAPMPHGSMSSYRAPSPLPIQEQSSALVSRGSMSSYRVPSREPSPAQM YGEREDSPPPPPPPPHRVVVARTETRSPQPAMHYQTRSHSPLPPMHSSQYRAHSPQTP SHSPHRSRSPTPLVMNDRYATFDRTPSPSVASMHSAVSETSAQSDIHGNTPSPLRDAM EDVMTSLEDMGLPREAQSPSPSPVFNDPWAPEEFDTSHERTPQGNRRRPLTSLGFDGD KDQPQGGLVHRNSVYSHDHMDGPPQLNNYVQRMESRLRQLEDQNRPPEDRRAGQDDDN GPPPPPPKHATYHPRNNSIPGQYAPLKARRSGHDLRGDILNRSFTNKSSATNSSSGVQ SNGTSMTTSTDKTNQSVMSGFSAGGFSATSAGSFARRGGHERPNTAMDTVRSRGFSDV RPETPFTGVSYHSSHNSSRQGASSAIPWSSTGLDATDHSGVFGGLSTPKSKKQGFFKK IFETAKTGAASARSSISVGHGERSGSPTKSNRGIDVVSPAPASHSNRDSGRDMGLGAG TIDWVQVRRDVNRASSPSRNERIDRAERCQMMDHPVIYSVEELYDTAEGDESIDGQPI TEPTNFHAANLTLVDKSARFISSLPPMTNPMSLAQAYICRPYKSDVQRLRAIFTWVSE KISWEEPVDGLEVDMKRLLQAKRGTPEEIAVLVHEMCAAVGLHTEIIRGFLKSPGDAL DLESLSRPNHWWNSVLVDGEWRFMDCALANPTNPLRSKFVSNNSSIAESWYFLTRPID LCFTHVPQYPEEQHICPPISPDVLLALPTVCPPFFKLNVQMPDYDTSFLRIDGLEVMH IRMTVPTDVECAAEVEAPGFARDADGDVFESGDIVRKRALVQPDWIRGQKRITIKAVL PGDEGQGVLKIYAGKKGLMHTSRDIPHPLALALPMTHTGENPSYDFVLRHPTPHAQRH DLYIMQPQCSRLAVNNTFVFAVRQHPASPGSAKDEASNGRSSPSSVFTRPSSALSMVS STAGGSTISGASNEFSASTSVISSTRSASGRDKAAKLAIQSPSGKILRLTRKAEHMIS SDTGTESVTDAVAEGSVWETVIKIGERGMWRGLVLADRAARWCVFCEWECV PEX2_000820 MISIAAQIQHLACACLSTGWDNFQTAHRAILPEEWQRRCEPFSW IEEFRVHRALWQLRIYSDLWNIAAEKKSPVPDPYPSACTSLRKWTWSPSEADKITTLA IFDLSPVQSYEIHTVADLLRQLGVPSLCDDETPTIDGTGSGSSHLIPPPLPLFASLHV PDMNITRYLTWPSPTTPEETALNFHWGRSPDCTSGASKQATYYGTMQMDLAFPPGLHP TGLDDIQPFYRLGVFVWDTWRMYLMGCLSMGRPPWIKEMIQTPDGSYVCSLHVGMMVL EKRWCALVGRKTGGSKWRRECMEVTRLF PEX2_000830 MTLLQFLRQACQLHHRFLSGTLSEPPIYVIGNPSADLDSIVSAI IYSYCANKHLPIKSPRPHIPLLNLPNFPAGTELYRLRPEFSAALWSSTNFPTLKSEEQ FENTLQSAGSFLREHVMTVADFAQSLQDQHVWKQILADATLVDWNAFPRPSADKGSGS LTGLSGVSFRTVGCIDHHIDEDSMPSPDELPEGQPMIIQPGPGSCASLITRELQQRNL WDETPEMVQVAKLALSAVLIDTSNLTAEGKVTDVDRMAVEFLQSQIERGSQAAVNSTG NWDLEVFYKSILHAKQNSLDLLTLDELFERDYKDWTETSQSTGKTVKMGFCSAVKPMR WIVQKAGGPDKFLDDVRLFAASAGKELDVFVIMTAFTGTQDKFCRELFVGVMSDNESA ATGAKRFVEQSSHHLGLIEWSPLDGEDIPELTGDCLSTLNDESPIWMKLWVQTNAAGS RKQVAPLLRAAIAKL PEX2_000840 MQKEVVKPSRGPQSQFQKGHQVPIEHHEKPGLQAEMEDPKPTSS KIPTEDSGYQTYKAAGKLQGKKAIITGGDSGIGRAIAILFAMEGASSLITYLPQEEKD AQETKRRVEEIGQSCHCFATDLRDKKNCQAVVDTALKSLGGIDILINNAGTQTMIDDI KNLEESQWESTFDTNIHPIFYLSKYSIPHLKSGSTIINCASVNHYIGRPDLLDYTSTK GAIVAFTRGLSNQQVKNGIRVNCVCPGPVWTPLIPATMTTAAKEQFSDIPMGRPGQPS EVATCFVFLASQDSSFISGQSLHPNGGVVVNG PEX2_000850 MDSKHNNQSFRLENLFNVKGKVALVTGGGSGIGLMATQALAVNG AKVYIAGRTDEKLDRVAELYNKDILGEIIPLSADVTKKADIHNLTEEIKNREGYLSIL INNAGISSHAETTEHEDASKLRKSLFDDEAADFDEWDRVFRTNVSQIFFMTTAFLPLL QKGSEQERGWSSTVVNITSISGIVKVSQHHFAYNASKAAAIHLTKMLAHEISSSNLTI RVNNIAPGVYPSEMTAGESDEKQKSFIPKEKYEKKVPAARPGKDEDMASAVLFATTNQ YLNGQTVVVDGGYVLAAGSL PEX2_000860 MSLPVQLPEAFAQIPRYNILYSHPSPIHPLPSIAPPRLSPHHPL ITIHAKREDHSSPLACAGNKYRKLEYIVPDILSQTPLYHSHENNPTPPGPLQGAATTL VTEGAIQSNHTVQVVALARKLGLKALILLHRGIGGGLAAASDKEAFQRSGNVQINHLL GAEVRFCEEGDPLALDATPLLDELRASGQNPYWIPGGASLHPLGGLGYARAAFEIAVQ EQEMGLGGSGRFDYIFVACGSGSTVGGLVAGFKLLEKIRGSDVSKTIGEPRKIVGILN SPTRPREYHEKRVLAFARRAGELIGLDGERDIGVDDVRLDDRFVGTAYGVLDSESKEA LETMARTEGMVLDPVYTAKVARGMMHWVNEGEVVDSAKLLDQVNVLFIHTGGQAALGA YADVE PEX2_000870 MGAPASAGATSTAAAAQPTRSSSTHPSHSHNVPLSARRSTPLDL STVERRGQPNNPREPSKRIRPHGIPEAPTFRPTEEEFKDPVAYIQKIAPEGKKYGICR VIPPEGWQPTFAIDTERFHFKTRRQELNSVEGGKGSYSGYQAAPAWRMAPQRGSDPHS NIGNRANMNYVDGLAMFHKQHGTNYSRLPSVDKRPLDLYKLKKAVESRGGFESVCKTK KWAEIGRDLGYSGKIMSSLSTSLKNSYQRYLQPYEEYLARAKPGVQQQLELEHGGPYT PSPLQSPMTHKPMFDENGTPSKVRDETPSLPRMAASHTPMAYTPVAHTPMEKSPEKPT PPIEPTPPPSSRPAAAGFTPVNSGLGGFTAVNRSPFTPGNNTPANNGPPIKREGENGT STPQVISDHASVSTPMNGQDEESLKRAISREDSSQCENGDDDDGSGRRSKRLKKDCFP TVAGSHMSLLRPVPVRSRKDGSQPVGEKCETCGKSDDQGSILVCDGCELGYHKACLDP STTTPSEHDWHCPKCLVGTGEFGFEDGGVYSLKQFQEKANEFKKKYFASKMPFDPVLN THRRETEDDVEAKFWKLVVDLHETVEVEYGADIHSTTHGSGFPTIERNPLDPFSSDPW NLNVLPFYGDSLFRYIKSDISGMTVPWVYVGMCFSTFCWHNEDHYAYSANYQHFGATK TWYGIPGADAEAFETAMRDAVPELFEGQPDLLFQLVTLMPPDKLRKAGVNVYAVDQRA GQFVLTFPQAYHAGFNHGFNFNEAVNFAPTDWEPYGAAGVERLQNFRRHPCFSHDELL LTAAARDTSIATAKWLAPALARTCTRELGERAAFLYRQKEVSSRTPGFGPDSMKDDAQ PRFVVENEDLPEDDYQCQHCKAYAYLTQFRCHKSGKTVCLSHVDTYDCCGETFAQKLC GSGHTLRYRMSDDELQGLVQKVQERARIPEAWSEKLDKTLEDEPKPQLKALHNLLNEG EKIPYHLPGLQDLAAFVQRCDKWVEEANNYITRKQQNRRKNEKAWRRASTKAAQLDER DREVRRIEHIYALQAEADKLSFDCPQMAALEEKTREIEKFQQDINNALMHPHTRPLQE IEDLIERGKNFNVEVPELEHLEQVSRQIKWIEQATRKRDQYMTLTDCRELLAGAEQLG LSDTNEHLVHFKELARHGDAWEMKAKELMSVEAVHYQQLEALSAQASRFPVSPDTLAA VDAILTKQREAQKRIQTLYEKSKDPEMKNRPKYKDIRDLMESLEHLTSRPIGAIDLER EQKRHEDWMRKGKKLFGKANAPLHILRSHMEYVEKRNSYCFDLEDSYRPPVEPSSRDN SPEGLLENPGNPPNMWGPKSRKRDVFCICRHSEAGMMIECEVCHEWYHGKCLKIARGK VKEFDKYTCPICDWRQKIPRDAARPKLEDLQDWHAEIATLPFQPEEEEVLESIINQAT AFRDFLHGFTNAACTTTEEVPTLIFYLRKIEGAEVLLTFETNFFRQEIHKWAPVAPEP PPILEQSLSTRKPRPTKQQKIMAQLGVNRPEDLPEHLRPKHIGVTKRKSVDAQSQSGP SQPASMQPAPPTSSSGQPPTTGPTLAQMSDPNAAPYPFSANYSLPASDSTPAFAPTSS SAFLPHAAASQSPSFLPRSPPPQPDLETSLFSPPRFGHDPQFASSSPRQNLDDVFADL TNQEIDPDAENQAMENTHANEALEALVVSNGSSRAGSVQEESAQNGEPGEPHGEPKLD GTNGDLEDEEL PEX2_000880 MEESENPKPIPAYYCCYLLRSTVRHASLYIGSTPNPIRRLPQHN GVAKGGAKRTARDKLRPWEMTLVVEGFTSRVGALQFEWAWQHPERSRHLDSEDDLDTK PRAKANANTKTGKPKPKPKARTRRSLMAHLEDLHSLLRSTYFSSWPLRVRFFCVDVYR VWRAWNDRVDGRLPDNIKVILDGNNLPKVPDTKKGDELAPVGSINNLSVDYTKLEDHL EKSMFMLEDPDDLQCTACKESISPDEEQIVVCPHANCRDTSHLLCLSTKFLDATNQPD LLVPTQGTCSSCRNTVQWVTMMRELSFRNRAEKEARAILRKKEKRVRKESAAMEVSSG SRSTSIEPRSLPEEPTEDDLGPNWFEEVDIESDSDFEGRQKYRSTRPPSKLEIVIEDS DWDDAELVE PEX2_000890 MAGPSATPTRRGALIVVEGLDRAGKSSQCEMLRDSLSRQGRAVK YIRFPDRTTPIGQLIDSYLRGTSHQDDHSIHLLFSANRWEVAKSIEDDITNGTTVIVD RYSYSGVVYSAAKANPTLSLDWAWQPEIGLPRPDICLFLSISPEEAAKRGGFGAERYE NEAMQTRVRELFRTIFEKQQDVSIIDAGKSIDEVAQEIQGAVAGCIARLDATSALRKL EPLKN PEX2_000900 MDQATSASPAMTFSVLASTTPVLAPRVGKLAIPGRKTLATPHHV PLTSRGTVPHVAHDVMRDHTEINSLYAGLEDFIAKKQAPVYKTPAADHESPLKKFICV AEDMPLILGPRRFPPIPCPPANTSSSIALLTSVGFTQLSAHEYVKAVQKLRPDITVGM VDLANKQPGSKRRAKMVDRTHAWTRDALEQLYGDAVPAEEKSKSAYFAPVLPLDNAQQ SLYLDDLESEFRWDISGLALYQSASLGFVPESLANLPRLLFSEPETPHTILRDVSLGA DLLTTPLLGEASDGGIAMEFVFPAPAALQEGKSEPRPLGVDLWTKGHATDTSPLGEGC KCYTCKNYHRAYIHHLLLAKEMTAWALLQVHNFHVMDTFFAGVRESIQRGSFEQNVET FARVYSSSMPESSGQGPRHVDSQCVILRQIANNLPRLRGYQLPANGPNQPRRMPKVWG QLEDAIQKFAETQSEIATPDTDASGLEEHGFAEKL PEX2_000910 MEKKITEKIAALPPDANYFSLEFFPPKTRMGFANLSARLERMAQ ALRPLFVTVTWGAGGSTAARSLELAEVCQRQLQLTTVLHLTCTNMSRKLVDMALDEAK ALGLRNILALRGDPPRSEEYNMHGEDDSNKDFTFAVDLVRYIRQTHGDYFCVGVAAYP EGHPADTFQDVQDPARDIPYLVEKTQAGADFIMTQLTYDIEAYQKFENTLRNHESGAF KTIPIIPGLMPIHSYKILTRVTKLSHVTIPPPIARRMDELKHDDDAVKRAGVDIVSEI VGGMRDIPSPGPRGFHFYTLNLEKTVSFILEQCDLIPSYSDAGDLAIEDDPASIPLDF PGRALRRRRASSINSQPHNRVIVDKLSVHESSQDSVHEARADSAGIPAPPPNRSTTLL ISEGLGALGREATWDDFPNGRWGDARSPAFGEIDGYGPSLHVTANSARRLWGHPVDAK DMSTIFRRHVSGELHMVPWSEGGAEENGEGLNAETELIRPELLKLIDGRGWWTLASQP AVNGVHNDHPIFGWGPQREGFVFQKPFVEFFCSNNDYTNILKPMFAKHGHDKLTWFAT NIKGDFESSLPVQPADVELDDLGSNPESVNAVTWGVFRGKEIVTPTIIEEVSFRAWGE EAFRIWDEWRRIYPRGSPTETYLDTTKNDSWLVCVVGQQFGAGTKQGSKEEEDEVKWM WRVLAGEEA PEX2_000920 MASRPPNLLRYLRLQTARNTRPHASRRNISTPSPPQPPKPSQSS SSDSPSRLRRFNDRLPRFLRAYTTPLFGAPVTHITSFLILHELTAIVPLFGLVGAFHY GAWMPDLASQTGETNAFDEGAARFGRWLKKKGWVDESDVNTVTEHETTAEKSVDRSGV RLVLEFATAYAITKALLPARLAASVWATPWFARSVFTPTANLARRLFRR PEX2_000930 MSANEEQTFIAIKPDGVQRGLIGPIISRFENRGFKLVAMKLTSP SQEHLEKHYADLATKPFFKGLVAYMLSGPICAMVWEGKDAVKTGRVLLGATNPLASAP GTIRGDFAIDVGRNVCHGSDSVENAKKEIALWFGASEVLKYTSAQSAWVYE PEX2_000940 MVAGERYELVWLGAEYALWAWGTLREHWEQEIGVNSGLPRVLIP GGASCSFLCVEAEEPLEPGPYKDPLVKKSERIPGAPCMSVFLEGPSEMSKTEKPFYAT MKITYDGPTNEDNEAINDDIKPIIIHDYPFTYEHFRLQRRCLDYDPSKDSNGNSTQWK TYIDDEYNPGWRIVDEPDVEVNVTDPEFFRSLKPGESFVRKYRLEIFDLHPDTVVGDT YRYQYCGGRVDWWIWGDCEEHAKTVVKLPCWLHGRVVNPADNDGRPVIMVPSSNFVEF TVVE PEX2_000950 MLLSLVILLGCIVVPGLAVKNKDFKTCEQSGFCKRNRAYADNAS SQGTSWSSPYELDPATVHFKDGMLTGVIVKTTTANEKVRLPLTVSFLESGAARIVVDE EKRMKGDIEMRHGSQANKKRYDETEKWVIVGGLEISKSATLNAQSETGFTNILYGPGD KFQAIVRHAPFGVEFQRDGQTHVQLNHQGLLNMEHWRPKVDGKDGESDEDEGTWWEET FGGNTDSKPRGPESVALDITFPGYSHVFGIPEHADSLSLKETRGGSGNHEDPYRLYNS DVFEYELESPMTLYGAIPLMQAHRKNSTVGVFWLNAAETWIDIVKSTASSNPLALGAR SKTDTQTHWISESGQIDLFVFLGPSPNDISKTYGELTGYTQLPQQFAIGYHQCRWNYV TDEDVKEVNAKFDKYQIPYDVIWLDLEYTDDRKYFTWDPLTFPDPKGMQQKLDETERK LVVLIDPHIKNSDKYFVSDELKSKNLAVLNKDGDIYDGWCWPGSSNWVDCFNPAAQAW WATLHKFDKFKGSLQNLFIWNDMNEPSVFNGPDMTMPKDNLHYGNWEHRDIHNLNGLT LVNATYKAMLERKKGEVRRPFILTRSYYSGAQRVSAMWTGDNQATWDHLGASLPMVLT NGIAGFPFAGADVGGFFHNPDKDLLTRWYQTGIWYPFFRAHAHIDTRRREPYLISEPH RSYIAQAIRLRYQLMPAWYTAFHEASVNGTPIVRPQYYMFPEDEQGFAIDDQLYLGST GLLVKPVVQENTYSADVYISDDEKYYDYFDFTVYQGAGKKHTVPAPEEKVPVLIQGGH IIPRKDRPRRSTGLMRWDPYTLVITLDKNSEAEGTLYVDDGETFDYERGAYIHRRFNY RDSVLSSEDIGIKGPKTAEYLKTMAGVTVERVVIVDPPAEWKAKNTVTVIEDGAKSGS TASLEFHEQEGGKASYVVVKKPDVGIGKAWRIEF PEX2_000960 MNRGSIGKDDVEIVDQSSHLEHISKPSLVTQVGGFRVVGLTADD ADFYNNYPEDKRKKVFHKVDKRLIPMLAVLYLMCHIDRANIGNAKIEGMVQDLKMTGL QYNTVLSIFFIPYVLFEVPSNIILKKFKRPSAYLGLLVLTWGIIMTCTGLVKNYAGLM ATRVLLGVFEAGFFPGAIYLCSYWYMPKELALRISYFYCASALSGAFSGLLAAAIAKM DGTAGLEGWRWIFILEGLASVALGIACFFLLIDTPALSKRWLSPEEIRYLELSMFIKQ GGTSTGEAGFKWRDLKVVLLNWRIYVQAYLLFCQSALSYGTKFTLPTITKAMGFSSTN AQLTSAPPYIAGAISAIVFARLSDRFYWRMPFVCIPMIIVVVAYSIIMSLNGALEEKK GVAYFAVVLSVIGIYPIQPGAASWNANNIAPASRRAMGIALVNCVGNIGGILGSFMYI EKESPKYTTGFGLSLALGGAGFFVALFLEWTYKMGNARKERIADDARVNYTEEQLFDM GDKSPLFNLLLSHHLQNSPFLVNINSLEDTVQRHIHPATAIMTNDTSTITSAAQPTEA APATPYYGMYGHYWKSTRDIPPTRWLQETKKLCGCEDHQASQWPMEHAIITIPPCAYK CPYCPKFDDRETTVAQVVKLRAHMKRDHLKKRPDEFIGLSVTPGRVTKPRVPKP PEX2_000970 MRVLDTSSLKFVEFDEFPDKEYAILSHTWLRQALEDGLWIQGEL EYKDLKRISASQLENLRNGGWEGSRLKKKGWEKIVGFCNLARHEMYEYAWMDTCCIDK SNPEETKRSIHGMWEFYTHAAVCYAYIEDVNMRDKEGKSEGAFNAAKWFSRGWTLQEL LAPKKLLYMDRNWCEIGSRETKGAPGTGLTHLLQKYVRKVPMENDLATQLSWAAARNT TRLEDQAYSILALLQIEMDVDYTAGKHAFLQFQRELIRKYDDLSLLAWFSNPDIQDRY EKRHGEQAPKLGILAPSIDYFQKKRARGKMILTGKRKAQNKTNHTPEMEYRSDHRSNY LHVRAKIFRIGDLYVRYKRLGQVVRIMGTVVHYVVQLFIYSTEQGEEKSVGIIVVEDP EEKGWFIREYHSKGLFLFKYNPGDKGENPTCRGRFSPGYHSEETWENWTIEEQSWNEI NFRCE PEX2_000980 MIQLPGIISEWAAVIPLVCHLASPQDDYLIAGDLSLNGRFSIPL FPRLGTLWGLGRLLKNGSRYFDFASVRGGSSRTVWDVKWGSEFPCANGAASAAIEGVI LERAKSKNDKLFKRSLPPSKTSAASNIREVPLRKDQTTSNKPHFRQQILYVYQYNFHQ PHCESLRYSIVRISRSRIWTVLSFIILCGIALIFCLMGAYGTASLILIVISSRLVGRI ITIPRSSGYLRNNEKSENATMLVASHVNASEWALYIGDRAIVDSLLNKPMISFPEGNR VQLAARFFYVAHGLQLIVITYVAAQKGWDGVSLLCVLCLHYVYRWLAGDQDAAYWLAR EGVQVKVRAYEFGWRSVMLGSIQLFSDSKTTRWMDSIIVPHLRRDAFLSHLQGGKIEK EVEAKLDEHDLNWVNQATILSVQSAETLRQDFGLENSRTE PEX2_000990 MQGNQISSTEKSQLDEPDDEGRWSPVQLAAAQGNVCQVQRLLAQ PVDPNEPAKGYYGQTALQAASCNGHLAVVEILLAAGADVNAPGGNNGGRTAVVLACGG GYMNIVIRLVSAGADINRPPHPYAGRTALQAAAEGGHIDLLQWLLEQGADINTPPAKN SGRTALQAAALGGHADIVKILLHENANINSPAERYKGFTALQGAAFGGHRQLVRQLLD AGADVNAEGSYYNGYTALSAAAECGHPEIVQMLLDAGADVSLKSGNKRWTAGQFAIFR GQDAVTQIFEQNKQTEKIF PEX2_001000 MGFDSSPRQPDEEQPFKSYASQHRENTLEDRPSVRNYAVTRITS LKPPMENIKSPFRLLAMLNAQQWAFLAVSFAGWSWDSFDFFTVSLTVSDLAETFNKST TDITWGITLVLLLRPLGSIAFGIAADRYGRKWPFIVANCIFVILELATGFTQTYGQFL AVRSLFGIAMGGLYGVAAVTALEDCPEEARGLVSGLMQQGYAFGYLLATAFARALVNT TNHGWRPLFWFGACPPVLIIAFRFCLPETKAFQARQAIRKEQGSLAQTFIAEGRVALK RHWLLLVYLILLTSGLNFMAHGTQDLYPTMLRNNLNFSANAITVTQVVANLGAITGGT TVGYCSQFLGRRLSMIIVCIIGGALLYPYTMVQSKGVIAAAFFVQFCVQGAWSVIPIY IMELSPSSFQTFMLGTAYQMGNLASAASSTIESTLGERYPLPSLTHADGTVVKRFNYG KVICIFMGAVYAYSIFMIFIGPEKRVRVLNGEHDTEVGGLEDQAEEHVTKA PEX2_001010 MGSNNAEPSRLLTVAACQLGSIHLANSRHDVLKRMFDLLDRAAE EGVKLAVFPELAFTTFFPRYLIEGKELDQYFDIEDPSKGGIEQSPNIKPLFDHARSLG IDVYVGYAERSLQKDGFHIDYNSSVYYSAQVDKVVGKYRKVHLPGTVEPRTAPGAFQQ LEKRYFRNGDLGFPAFRAPGLVEGALKKSSGIEDASETAGKGDPIVGMLICNDRRWAE AWRVYGLQGMEIMCCGYNTTAFATTSEGHMVDLSPEAAEEEVLLHHKLSCQGNSYMNG CFSINVAKTGAEDGHPLVGGTMIVHPLGHIIKEALTKEDEVVVATIDLADCRRPKSTV FAFEKHRRPEHYYSILERTGVIEPDLLWN PEX2_001020 MLDVIIRNGLIATESGVLPAGQSIGISNGKISVIGSDLPEGPET KIIDAQGAYITPGGVDSHVHLAQRNAPTGDNWETGTRSAVAGGTTTVLAFASQTKEME SLFPVLEDYHNKSREQCYCDYGFHFVLTNPTAKILNEELPVIAKQEGITSVKLYMTYE LYKLSDRQLLETMLACQSLGLTTMIHAENSDIIEFLIQGLERNGHTDPFFHAIAGPKI AEDEASYRAIRLAELVGAPVLLVHVSSDGAMKHIRDAQTKLLPIHAETCPHYLFLLSD KLAAKEHDAFHGAKGVCSPPLRHHVEDLEALWSGIANDTFTVFSSDHAPTKYDHPQGK KAGIINGIPKFSKIPKGIPGIETRLSLLFSESEGCLPRGQARLSVERFVQLTSGNPAR LYGLKSKGAIMPGLDADLVIWHPENQGERIISQDNLHHDVDYTPFEGMRVRNWPRFTI LRGELVWNADENRVTGVKGFGKYLKRDNGRVLVGKLGRQPAGMIEGERDLWRPKTVGQ PEX2_001030 MPAELTLPSVSGSPSDRKIKILLVNPNSTQYMTNACLKAIAPNL PSDVIVDGFTAPRPGPTAIESQTDAVLSTEVCIRAIAPIAENYDAFLVACFRAHPLIG VLREEYSQPVLGIMEAAMYSSRMLGDRLGIICTSARSLVAHRHTVLAYGFLEYFAGCE SAELGVLELDSKPKEEVHAIISQKVNRLVKEKGADCVLLGCAGMAEMRTHCESAVEGT GVRVLDGVGLGIQLLTGLVRENLKTAKSGLYKDSSADRSKRGQAWL PEX2_001040 MGQADIILEFSILWRTEYDGYLLAGISGSTLCLGQISNQTCLAV FFQNFETHLYSRAYLREDHRDPPPEDASKPTITGGFSLPSEVRQTEIICGDSKTFAAP GNFG PEX2_001050 MGRATVVIVGASHAGLGVANELLKTDSDKVKVVLINPSEKHYFN IAAPRILAKPQFFQPEQYLLPIEKSFARYPKESFKFVKGEATSIDIASKSVKVSSLQS ALVYDYLVIASGSTTTSSVQGELAPFKPLGNQDIDASIRSIQKVISEAKSVIIGGAGP VGVEFAGELAEAFKLKKGSSITIISATKHVLPMLKEKGSLMAEKVLAEKNVKVIASRK VANAVRNTSGTTAQWIVSLDNGEQLEADAYISTTGVIPNNQFVPAEFLSADGWIAVDD KLCVKGGDGSIYALGDITTQPLRTAIKVAEQVPVVVANLKVAILGKGKHSTYSSNPSL MMIVPIGESTGTGQMFGWKPWGIMVSKIKGKDFFVSKAAGMLGMS PEX2_001060 MAIKNGFLPLEAPRDFAQSSMSRCLRHGRLVLGTLVLAAGLVML IQTKYLADITTSNSTGREAFYEGLNKCYEARYQYKEPQSTEERENPRWNSISGQQRPI LIQNATLFDGETVLAETVDITLEAGVIRSVSAATLNHQAPKDAQVINAHGRFVTPGLV DMHSHHLLLPFPKLPSTSDVNERPVLGPITPFVRSLDGFKPYDPAIQIIASGGVTSSL VLPGSANIIGGEAYLVKNLATPGPNAEPVVDELLLDYGIPEKSRKRYLKMACGENPKG IYKNTRLGLVWLLRKHLEEARQLQERQSAWCRTATSIDKASFSQSRQISQFLKEEGSR PESFELETSLALLRGDLNVNVHCYEPEDLERMLSVLHEFGIHPRAFHHALEAWEVPEL LKSLEENITIATFAENALYKAEAYGANLRGPKILADHGVQVALKSDHTGEGNYAKYLV YQAAVSHSFGLSEEKSLQAVTSVPARSIQQDHRIGYARPGYDADLVIWDDHPLQVGAT PTQVFIDGRPVLKNDNSHEWNNGTSGFSKPLAPQIRPSLETVQKEDICTKIKHVGSRI LFTGIQKVLVDTHSPSTSATNDLVMLVEDGQVKCLDTKSSCLSGEIHENTAHIALNNG HVLPGLVAFGNKLGIQSIPSESSTGDGLASKNGDALKEEKTLHFAKYGVHLDGRGLAR ARIGGVTRAITAPLHGAGVVQGVSVGLRTSKDATILDNGIWKDDVALHLVIGQAARSD ETPTVSSGIERLRQLLQAGQDAAPGSTNIYARAANGSLPVIVQAFNEDDIAQLILVKR EFQSVNLIVYGAHGAPLVAKPLAEAGIPVILTGNRGAPDTWEKKNSLAGPPLTESPAK ILSDAGVLLALAVKSDSTIHGLAQEAWWAGKYAGLTDQQTIALVSTNFDLILEGQSKK VQEGALVGDFVVWEGDPLRGEGSVVASFQDDGKIADCWPDTVGAIL PEX2_001070 MATSTSFGGVNSGIQTSILNGTVNAQFHLPPVRPETPPTPLSTV PFRRDPEFVDRGTLFDQIHEKGSVPGSRIALVGLGGVGKSQLAIEYCYRVRDQSPETW VLWIHASNATRIEQSCRDLADRLRIPGRQDPEANVFKLLHDWLNDERKGKWVLILDNL DDEKSLHTPSPVVPDGLGNDQSGTPGRSIWAYLQENLNGLIIITSRSRRVVSRMVEDS DIIPVEPMDETHAITLFEKKLGAQAASEDVIQLTAALEFMPLAIVQAAAYIKQRLPRL SVTQYLETFRRSDHQKTSLLQYEGGQLRKEFPTVFFEMRRRRNTKYAIFSVSNEDLRM DASDGESSASDSVVDKFEDDILVLKEYLLISISPDETKFEMHRLVQLAMQEWLRAHGQ LEKWQGQFIRILYSKFPLGTFENWPRCQLLFAHVQNAVAHQPDTKDLLGEWISLLQQA ASFALTRGNFVDSRRMAHKAAIGQAKLFGPDNKKTLDSFEMLGVAYILGGQWKQAEEL QLQVIKTHKQVLGPEHPKTLTSMNNLASIYQDQGRWGEAEELGVQVMDTHKQVLGSAH PDTLTSMNNLASIYRDQGRWGEAEELGVQVMDTHKQVLGSAHPKTLTSMNNLAFTHWE QGRWKEAEELEVQVMDTRKQVLGPEHPDTLSRKTRSAVDELVFLCAYHTTSELLGYRQ PDYIGSMVLEFGFQLVELFYLLLEFKFILFELGFVVFNSKLLLFEVKVQFTTS PEX2_001080 MSLFQIAFDYAAPFFLISSPITSYADQILSIHRTRSSAGFSLDI PLIMLVSSILKIFYWFGEHYSSTLLTQAIVMIVVQVTMLKVALDNRPSAGVRNGIEHT PFSGGNSDGSSSRPYEFWQWRATKPYWMSLAYFVGILSVIHLTPVAESSFYISLIGYL GLAVEATLPLPQIVANHRSGSCKGFRLSVVAAWILGDTMKMSYFFNSTETIPWAFKLC GIFQCVCDFYLGFQFYYFTRNAPSQIPSHSHSNSLSASFSNPLSTSHSRATSQTAGES MEHSGRWGHHEKDIRMD PEX2_001090 MSDLPTIRIGYVPEHYLTPLHLALRSPAVASLPFKIAATPFPSG TGHMITSLRGNEIDIAIGLTEGWVAGLAGKQQASQTSADADGGYKVVGHWVDNPLRWA IVTGRNRDEINGVSDLKDKRVGVSRLGSGSHIMSFVLAQQQGWKADSLTTVPLGPFGP LRDGVSGLDASKPDQAANPSAEFFMWEEFTTKPYFHPTSEKPNPPLKKIGEIFTPWPS WMIVASTALFPNPEQDQRLESLFQALDQGIKQFEADTTQVVKLLGTGELGCNYIEEDA KEWLKDVKFTNATRGVDDKIIGGVVDVLKVAGVIDSAMSNDEAIQRVIGIKR PEX2_001100 MIPPTGQLSDIPSDRAKFFSQNVAEKAAGDIIAPFTLLGKTGLS PSEARAQTAAQCNVSEDMIEDLFPCTALQEGMMTMTVKRPGQYVRRWVFRLAKDVAMD QLFKKWDELVAQHPILRTRIIQTSRQTMLQTILAHKTRSSTATHQGVDQYIAEDDTCT FGLGTPLVRFGLIKETRSDQQFFVLTMHHAIVDGGSLVMLFNEMQVKFHQNFIKSRSA NSATPTPFQSFVKHQMETESKVMKFWERKLEGSDPAIFPKLPSQNYDPKANEILEYRM TGVPRLQKNGIQLSTAVWAAWSILQASYTHSSDVVFGVPVTGRQKIPVPGVQEMMAPT VATVPLQVQIDWGTNLGKFLQKIQSQVTDMLPFVHVVVKPRDNKVFQYDSERSARDLG VFNSNALMIECQIDADGLHLLISSDSAVIKRDQVVRLAQQFEHFLRQICDRDSQLIEL RRIDTLSPQDVRDVQRWNATRPVRVNRYVHDLIAEHVNDRPEAPAILMKTGAAFVFLD EALPLERMREIVAQTRAQVLLTSQKSLENASGAGVPVVVMTGAGADWLENSDDSVQTR LETLSSQGSRGTPADSLYIAFTSGSTGKPKGAVITHSGYASVACAHKGPMGLNQDSRM LHFASYSFDASNFEALTTFIAGGCLCIPSEEMRKNDLATAITKLQVNAMFLKPTVSRL LTPEDIPSVKIMLLGGEPILDSDIEFWNSHLHLKIVYGPTECSAICCCLPDTTEADAG AIGHGTGASLWVVDPSDYHNLAPVGAIGELILEGPVIGNGYLNNAEKTAMAFVDRPNW HSKVLQQPYDESFSWYRTGDLVQYREDGLLKYVGRKDQQVKLHGQRFELAEVEIHLRN VWKEAKDVVAAIVTPVGGKATLAAFIWLERSPQDQQAICRDIFARTTSEQFSTKAHET QGELYNLIPSYMVPSLFIPLHFMPQRVSGKIDKALLCSHAATFTRSELETFMGTQSDK REASTWAEEMIGGLIHDLLQNDTTPSPNDNFFRVGGDSIVAMKLVQRVRRAKMTLNIS DIFQSPKLCDLALLIQQRHENARPASSLLSSASSTTSSPLLTLSLESSCIGLPQFMPF SMVSTQVRDEVFEEVSSQCGISPKEVEDIYPCTPLQEGLMSLSIGNPGTYIRQDRYLL PTTIDAARVKDAWMQVIDANPILRTRIYHPRKGEKALQIVLNTTLEWENCEVDSLQDI STSNADRKRAMGFLDPLLRLTMISERKTDPFLLEVTLHHALYDSLSIEQNLVKSKHLT VAYKRSPLDGANEKTALFPGLPDENYTPRPTEKMESSIPVLPGHQQLGYTLNIQLRAA WAMTIFQYTSSNDVVFGVTVTGRNGDIPGLDQVTGPTFATIPVRAIRNDSRTVDETLS SFQSQMVEAIPFEHCGLQNISSINKDAAMACGFQTLLIIQSAVGKQKDNRGEKVIFSS PVAKSPQENSQADTYALTIECAPQGDSISVRAIFDSTLLPINTVKRLLLLFSHAFHEM THNPSRPMGGISMISKEDQSQLSIWNLTLSEKPVLENLERRYSSVHDLIQRQCHAHPS DLAVCAWDGNLTYDQLDLQSSIFAGHLAQNMKIGPEVIVPLCFEKSLWTTVAMLGVMK AGAAFVLLDPSSQPAHRMQGICKQVKASFVICSRSNVSNFEQTSNITPIVLDGHTLDT MQSAQNSTPEHILLSPSHENSLYVVFTSGSTGQPKGIIIEHGGYATSALARQSTLGLH RGSRVLQFASYAFDVSIENTLDTLITGGCVCVPSESQRKTDFARITDEFQVTYADLTP SVARLLSPSDIPTVETMVLGGEAMASEDVNRWATQVRLVNAYGPAECAVTATVQHVQA TSACSNADATNIGRAFPTAGTWVVDQSDHNKLVPIGVTGELVIEGPLVGRGYLNGGNE TSRSTSFLQDPPAWRSQFSSSIGKISTRMYCTGDLVQYNADGSLKYLGRKDQQVKIYG QRIELGEIESQLRVAFPSAIDVLVDVAVTSKNPRPSLISFISYPGTKEEAESCGDTTI LPSTPLFRNSVAEAEVRLHELLPRYMMPTVFIPVRSIPQTAAGKADRRTLCQAASGLS LEEIESYMTSRDEVRAPSTAMEKALQVLWAKVLGVSPETINASDGFFRVGGNSILAMK LAGLAKSEGIKLSVPDLFENKSLETLAFELDTSVHAEANATVPFFDWDLEAAIPESLD DELQLCLTDGLHRQNEPTVDKRGIEIILTGSTGHLGGEILRQLVGRADIRCIHCVAVR RPDQLAIPNWSAQDNFDWKTLFDQKVKVYQGDLSLPRVGLSEDEETILSNCRAIIHCG ALVSFVRSYDLMRDINVGSTRYLAGLAVKASMAFHFISTVGVNCAPGQEHAIIEETSV AEAHPPSDGAGGYIASKWVSEVFLERVSARYGLPVSIHRPSNVVGPNASKDDIINSLL DTCRRIGMVPRLPGWTGYFDLISAEAAASNIVSSIEESIQVNHGIDSIGSVQYRHESG GAVIPVDALNSVIEKTEGRPIRLVDLDEWMQDARSNAGTSDLVLEFLEMRKGKGVCMP LIRNNRTAWFSGGATPGGILGKGKLSGMKGRQMREVISSV PEX2_001110 MDVPSSRPIGYTWRSSRWFIISTVSIALFIELFLYGFLVPMLPY LFEDRLHKDPSQTQRLTAGILTLHGLVSAISGPLIGHFADKMPNRRTPLLLSLAGCVV GTILVAWSPSLVVLLLGRVLQGIAGSAVWIVGLATAADTVHESDMGKMMGVIMSFASA GIISGPMVSENPQHSSPVYSDNSDETTTLLPSQTQIEPHDASTTFGFWIFLLRDSRVL TALAVTILTTAILTSFHATLPLHTGEAFGWTPRDVGIMFFLLSVPALFLSTPAGWLRD CIGVRLPITISLALHAVFHVLVGVAGNKHFPWTTLQHRGPALYISSIICLGVLRPFVT GVGPVEVTASVRAYEKETPGIFGPRGGLSCVYAMTDVAATSGMTIGPAISGFLRERFG YTSMNSVFRVVYIILAIFAACFLRSK PEX2_001120 MAHSGRDEEWLRAQLHTLRGPGPNDQPIQPLISTHFPTWTLTEN NQAVLFLTQASEERRNGEVKTMTHPVKIKQDKWTYEDYNSVLKNMVGAGLVPVGVIES VLSHFKTHQPKKARTGTLKLRKSVTDDETDYLMVDLMTTALAKNRLDQLRILAHSAGS DVVSKVIPMAIWTDNIKGVQVLMEEKADTNTCAEQFLGSALAGRLDIIRLLLRSQKSV SSDITTQALPAAVQLGNLEMVQLLLTHGANPNFDNGLALKNAIDADHADIVFLLLLCK IPPTGVLLGSMILYVWSTPHIFARRQGQLIEVLLNGGACGNDVDVVLSGAVKQRWGEL VQLLMRKGVSIACCDGQAYREAVYAADYDMLEILNGSDLGKDLASDIFASIDSNRHGQ EISPQDWWKLALSLLTQGAAGDVVHEALVNRVHARDLTSVVLLLEFGASVDYNGGRAL VMAVSLEELDYVVLLLDRQPTIESVNAAIAHVSNISHHVQLDITRRLLEAGATGVSVD AALNIAVNVPICQRDHIFIEALVDGKADVGQKEGSLFHEAVQDGDDKTLEILLGGDFP IAILFTCIPLAMSLKEQRRYKILEILLDNGAEGGPVIGQALVDSIDETKDSSIRVTEL LLKNGAASTAFNKGEAFTKAILCQNLEFLKLLLQFNHLDESEFCSCLLFAISLPRNDA RLEKVGLFLAIDVEMSSEYWIASLNHEMQCMRQDDKETLVVIGFILDAGADINHNQGE ILCNAIDMGYFECFKLYLGCKLLIPSHEAAFNKAFAHAIKTTDLRYLTEVLKLETPTS LLNGALLTATEGGEQMRDICELLLQHHASPSHQSGSPLCNAIKSTNYHIRIIKLLLEF QPSSEAISGALNCAFDVLGSEKRRAAVKLLLTSAKPQATLDKLLLRAIQEPSCDHSLL KYLLRADASVSYQDGKCILHAVMKNDIKSLKLLQPYFTEPSAIVSRVFERAWKDGARA ESHEAVLSLLLEEGATGEWLSVALVDTIEAYESATNDFLLIISLLKAGADVNYDNGAS LVKAAAKGNVDILQRLFAYGPHSDHMTLAFPHIFASKGRYNISDEVFDVLLDGGANPN RKTTSGLTPLELAILLPRREVVASLLRHEADTTVTTGTADSQSMLFLAVTTRDSHIVR MIMLASLMVRDGALHEAAREVDVKIIEILVREGNQRDYAYSGCNGRTALAELCLRADG NKPASELKRAMTLLKDDHNFRQKSNGKSALHLALDNPRNAPSMTQALLDSFMADYVNN DFNLYKEDELVYSPLAYVAKHRNMASSKHDESLLQLLTQFGCKNRFWAREGYPQPGDV INPPDDIAQIISNQRSKERMLERLHIQSKAAQATISDRHELILKNEQHAADQRAKLEK EAEERYMSIATSRYTAELAHMDQLAHLAFAGYRSSASLELDGPLAEYAQLDRKRQKAE LEHLKKQQTLITAGYKERAEIEKKNRETNALEMRRLRELWYEIDPWDQTG PEX2_001130 MLWDLVDEYRQPTADPRHTPPSHRYNRRHGDTEESPQRQALRQT AQETMNVLPGLLNHLNSANEAHKSKKLSSNIIRALHPNNCPRFPSPATIKVINDDTLN VAVRLFESTRTHRLNPSPANPRPIIINFASHRKPGGGWLNGAMAQEESLCYRSSLALS LHSRDYPLALDESIYSPYVLVMRSDLASGHTLLASPSTPPEDLPVVSGITIAALYRPA VRTLVARDPQIGRRGHSPRSHHSSPSASPSCRRTQQVFARDKDRNLTKSKMRQSLRIA AANGHGMLVLGALGCGVYSNPPAEVAHCWLEVLRENEFRGNWWREVCFAVYDPKNEGN FATFERVLAGKKV PEX2_001140 MFKSEWKHPKTKGKLVSIYVVKNAKNPRLKLRFHGTQRACMIGN GSLQPCDNIECYLCSILKKGFSTNHANAGSMFGAGIYSSVVSSKADIYSRNHHIRSHA HVLILCGVDAGYSVDMKAAGNPGPCDSVEGLTKAEGGQLEYPETVVYDPARIKPLGLV VYTREGWTPR PEX2_001150 MDSDKPPERSSLPPAHVDEDILMAYSDSATSETEPPVDFANMPP RVIERRPRDIRSNAVVEYQTYASERIERSSRATPQNPPPPVSTKMVVDSWIDQTKISQ VEEIILFDIKTYPLHPDGQTPGSYKTASRDVELLTLLGDFPLRFYGARRACYIGDVGY PLDLCRSVECAVCSVFQEQYTARKAGIRFELGAGIVTSPNSSQADVFATNHHIHSPQH AMILCASPNIAQSDTQATSSDAPPPYTEFPSLGTVVVPIGLIMYTRTGWYPS PEX2_088940 MAPLATRQSHSLVPGIPRPPKRPPNIPVEVHKEIDPMVFFELFA GTVGIFIIAVFIWKLGGFIRRFNRNKVLKAGKNTNTRYARTWYGWVTRPTHERNKRVI HDFFAQIWRPMTWGSTRDDYSWIWWDPGNVEKQKHRREQKGFRWVPEYLKSYDDSPTA DEIWNPCSRPKCHGALKDSVSIPQNVPAPGSTRRPQAQEGISGPTLPRPSALINHPPV ITRSILEELLRDPLRPNDGSYDHQPWFNPCKNAPSLATRAPVPFHQVQSLPCRQNQSY QIRGSIPWLRGERSQDISYHIQSVVQHDSFQYELAELSGSMHTEEPKPLHRNANHRRY RGWSARMQMGPKEAVFDNIGDSSGPPGTPKTELLVSYVSDPSSSLRGHLKRPRNSTEG CLHISEDRKSFRLLVENEVLLSNERRTYSKTIQWNSAPARSHFRGKGTNSKARPPLSD EWQFMCDPKHPVHSRRQEKRPGIEVIRCPADQVQLKTGCAVDELSDWEIRMMERLDRK LLWLFNEFTPGKKPYHFALLANHWLNKETWYVYDPVSRVSTDGRRMWGDPRFNVPYPQ PVFSPRPKFPVSERKRAQTPRIDSWRAAVNKQRKVSGIRDAIRTITLYDESAEEPPDG HIDPGCWVLPKPPQGFEMSTAQKNAWYEGGAGWQEKLDDWQQVHRGYLLHKALHEGRV NRGRVKEVAAQVNKCCRTASEKLIPSSDLGKRRASNLVS PEX2_088950 MFKSTQALFGGLLWKTPWRLSSPQKARQRKRLRSVDRVVDTVSA ALERNGQTSKAVTRWFAEMPREEEMLPKDKYTLFDKKEKSYRKSIHKLPKWTRVSQRV NPPGF PEX2_088960 MGQVLPTHRSAHSTFGGLAQPAVTQAIRLLSKGPFPVDHHRSIP ERQRWSWHNVCVDPFSDIPVAYTTDGQDSHLAPTAYSCNSNSWVHIFPEGKIHQSPRK TMRYFKWGIARLILEPKECPDVVPMWIEGFDNVMHESREFPRFLPRPGKDVSVTFGSK VDSDAVFGEVRSRWQKLKARVEKSYPNSRDLPLGVLSDELLNDKEAVELRKEVTLKIR NLVLDVRRSRGLPDEDPKEGLVDTWLEEGAKREGHMKDDSWVRDI PEX2_088970 MPKKHQRFHAKPANLAHHSLAPSRSRHDGGSGVQGSASATSVND LISHLRRTQTLSASHDDSPSSQRAQRSFVAPRSVHPSLRDVLELPATAPPRPRPGPRR TVFGVRPPRPTVGPPPPASWLSGNTDSARDQGLNHCLPGAFEEIHRLARLPGPPFPDQ RSLVHLMLKSMALNWCWHVEYDGPFLSQLPNHIKELLLSYVAVYARGSSLRGYMKGLK PLFLTRQDQDQISEENPDFKESGVIDADFKIVRLDLGNALGNWITLKQLTNEMILSPA PAVGVPSDEIGEVVPVSWDEAVHNGRGESMLDDLLAPPIPKAITQTLRFPELRALSLA HPTPNAASWNSLLNLVAHLPTLTHLSLAHWPMPCRNPRSATTRIRGPVARFLTPDVLD NNWAEAASILRQLSRSTYCLKWLDLEGCGEWLPALKWVGKDPDGFPQNPDTVGPEWNG SWRDIEWLGISPGFLDLPKSHDSSHVPSHEVPVPQTSSSDSSIHASHLQIARDVMRHI RQIRKGRKWLEIELGLGLDDVEPEIIKSLDGQELSVYL PEX2_088980 MPSTITMKRKLDANDVPSPEAAGAEDQELDFEALNLDPRLRQAL IKEKFTKPTLVQSKAIPLALEGKDILARAKTGSGKTAAYVLPILQAILQQKTADPSSK ATTALILVPTRELAEQVQKVITSFAIFCGKDIRSVNLTQKVSDEVQRSMLADFPDIVV STPTRVYSNVNNSALSLDKITHLVIDEADLVLSYGYDEEINALSKAIPRGAQTFLMSA TLTSEVDTLKDLYCRNPVILKLEEKEEKGAGVSQFVVRCAEDEKFLLTYVIFKLQLIK GKVIIFVADVDRCYRVKLFLEQFGLKSCVLNSELPINSRIHVVEEFNKGVYDIIVAAD EQAVLGVTKSKKSREVKEADEEEAKEEMGSSEDEEAVDESGKGKKPEAKKRRKMTSKE KDYSIARGIDFQNVACVLNFDLPTSSKSYTHRIGRTGRAGKAGMALSFVIPTEQHGKH RPTSIPSTKHDETMLAKIIKRQTKLGHEVKPYHFEMTQVDAFRYRMTDALRSVTRLAV QEARGREIRQELIKSEKLKRHFEENPDELRQLRHDGELRAARVQAHLKHVPDYLMPAK GRKGLSKESVGFVGFAKSKQNRIRKARDRNRARGKSGGKVDPLKTFNRSKK PEX2_088990 MVVVKEQRGSCWCVPITTYSGQGVAKAGIDRSKYAIIHMRGNRP RAVQSEPRMVKEPLEVDPARPDQKLDSMSRVNFGKVYTVEHNVKVLPVGKITEASRAR FLEYAHGEFVK PEX2_089000 MVDKGDEATESESSSPQNLQQWNAYEDLTGSSVSSFRSQYSAEI NEPTNWYGMPAPPPESARDTSAKVTKLIQEVLSTYEDVLAKRYRIREMRHMLRQKRDQ EDDVRVVLQSKLNLITTENVYEDLAAINQTINDLQVATASCFIFENDYYRQEDELAQV EYDYNRRLETLQAILKYEGHLLAQIEPIISDTESSSSDYTSEYGDQMVPQVADYLSMV GEVRILTERLSELETYYQVLVDQRELRERVGIPLDSGALNFLLRYPDKKSKIETELEL ARHNVEAHPEHVYRSAQIAQEEDKEDEVIQHFMPKKPEDQTYNDPLHFSEFEDSSPFF ASAHSQPVNKGTFVNRWLLHRLQHSRVEIMRFKSAPELVDLDRKGWGSEDISKMAMML WFQDGAASMEHIMSRSAG PEX2_089010 MPPVWPIGDSPDIPGATVGGLYVRDASNENIADTLSSADPNTHN LFPRTDSSTDDSGQPKYGQGTVDPHSIKMQGLMALFAIIGLLFVIGGIWFFFWAKNGG FVWRKGDWDDYKSTVLRRKGPDGKTLSNATASTKLGGGSVVGKGYTDDGYSFTEGSYT DTATTVTEEKSRRKRFREGAKEKLLRRNKHEQWEGADDADVRAYREEKPAQIGGMNRE ADGTYNGSDYDTSAPPTSYQQSEMSQSHDFAYEQPRRQRRDPSGFSFTQGSEDVISQT TEERRVRDPSTRRHNRRRDRRSEAAPSAPPSAPSSRTSSPRKQRERRSVPPGHFTEPL DFSSAGSRSEYQYSNVDTEDSGTKSYKHPIPGLTKGYRRDGRSRRRDSLSDSEGETQY S PEX2_089020 MASKGPRPACVEDYDEEHHAILPDTRLSANIAANTAAKISSRLE RFQEPLIDGASDSGYSSRTAATVNSTQSGPSGEKSPPIPHKLDPPKRNDLARKSSTRE RKDKERSRPSREEKMVGAYPGAAHHTHVPRSSSKSRRRESSQARQYHDSYYDYPTQYH QSTPVDHRQSEYSYYQPRPPVPEYSSSPHNARYPAGVIENIHVSHPGRPSRSNSYHTY HSNGRPMSFHGVPHGMGSGMASPMYPQHGYDHHGPPPASSAYMHQYSSSPYGQTPSYY APSASDYPPSEYPRERSRSREPSRRRSSSIYTAPNPPVDSGAYPWFDDEPPMEHYSSR DVRERERPPTRHQEQDEDYYRMPPPGAKPKPRPQIHQAKRPERPEPPRKMHTSAGIPS QRRPSRAIERDLDRMDMPELAAALPVIQDRSHRRMSRDAPLPERTHSLRDNRRSTSYQ DDRRTAQVAVASSRRRKPTEYYYDEPSSVNGDLEDREREAENYQAARSGRTSTAALPL STEALLPAKASHHPGSESGSQNSRSSRGSATPSRKEEDKNMTLTLNGFQIGFTSEAVA GKSINIRAGETGAVRLNIGGPRPSKQHVNSSSSDYAGGSSRRELEDVVRRPRGDRRSE RSSRRDSQSAYGTTRYN PEX2_089030 MSAASLPASVEALSLQSTAQKSQFAGCFPTLNPIDIYRQHIAEE LSKVSGIDAQKIYPRIAWTSTLDKGDLSLPVASLQVKQNPVELAKDLVSKFPASDLIH PPTQLGPHIQFFCKPQPLTGNVLGRILKEKAAYGTNGNQGQKDPSDPSKGQKKIIIEF SSPNIAKPFHAGHLRSTIIGGFLANIYTVMGWKVTKMNYLGDWGKQYGLLANGFKEFG SDEALIKDPINHLFDVYVKINRHVSEQDGPIKELKEQIKAKKEKNEDVAELEAQLAKL VDVSEDEKARRYFKSMEDGNPDALALWRKFRDLSIEKYKQTYARLNIDFDVYSGESQI KSESMTDAYKLMEKAGVSEESEGAVIVDFTKHGAKKLGKAIIVRKDGTPLYLTRDIGA ITERDNEYHFDKMIYVVAAQQDLHLAQLFKVTELMGHKDLASRCQHINFGMVRGMSTR KGTVKFLDDILHDVGDKMHEVMKKNEVKYAQVEDPVKTADILGITSVMVQDMTGKRIN GYEFNLDAMTSFEGDTGPYLQYAHARLCSMTRKSELDVDELVNANFDLLTEQHAVDLV RLLAQWPDVLLQTAKTLEPITVLSYLFRMTHMLSSSYDVLKVIGSEPDVKQARMALYA SARQVLNNGMRVLGLSPVQRMGDPSTLIASTTPDLEWNDSGHFLMNETVRNFSWQGLT VTVKDRETKKSRDLINDISGDVKHGELVALMGPSGCGKTTLLNVLARRAASAGAKVLG ENYVNDTQMDSRDFQRVTSYVEQEDVLIGSLTVQETLKFAADLSLPSSVSKRQRMDRI RTLLEAFGIQNQANTLVGTPIRKGISGGQKRRVSVASQLITNPRILFLDEPTSGLDST ASFEVMSYAKELARANNLLIIASIHQPSTTTFNLFDKLLLLSAGKTCYFGAISAVESY FSGIGYPIPMQTNPAEFLLDTVSSDFASSKDFEEDRVEAIQTAWANSNEAKSLSRQVS ERVSSAEKLANKGFMEEKTRPGTISITTALLHRSFVKSYRDVIAYGIRIAMYLGLAIM MGTVWLRLRPSQDYIQPFINAIFFGSAFMSFMAVAYVPAFLEDRATFTKERANGLYGV TPFIVSNFLIGLPYLFLISILFSIVSYWLSNFQPTAKAFFTWVMWIFLDLVAAESLVV LVTSIFPNFVISLALVAFANGLWMSVGGFLITQKILNPFWKYVFHYIDYQCLIRGTGV LESYGYSTGQTGKWVGILLGIIVGYRVLGWIALYLRRN PEX2_089040 MGYNPMDSTAGPLDPNIDPQLGQLMDTPLDPNIDPQLGQLMDTQ LEIKSEPDEASTAPTGIADGLPDASSWPFDDCWNSIRPIEPTSWAPNAKLCDCPEHSE TTWPAEHAVLVKLPSAWRFCLFTDTSPIQFIPDCAKRCPYCPWKTERLAKIGNPAPML RRHIRQYHLKEHGDEFPGITVDPLRVSVRTEYVSYERTQGSRPKVDKNTEEA PEX2_089050 MSYYISIHHGPGVDESPENIWVNITFTPRQCYYLRTDGALICYI IERIREKKFELGREPKDRHYRWCISIPVSKPHRTMDGIVADALQLAEWYRVQILNGNA SINRELLFDRKPYEGGKECCRDNVCDRILHPEWWVKDTSEDAGKDASTDASEDISKDA SPDASKDASPDTSQGSSKNS PEX2_089060 MSLATIGTALAPSALLIAGVYAIKPEFIAYAIAVAGILAGYLVF SNKPRKVLNPTEFQNFVLKEKNEISHNVAIYRFALPRSTDILGLPIGQHISLAATIAG QPKEVVRSYTPISSDNEAGYFDLLVKAYPQGNISKYLTELKIGDNMKVRGPKGAMVYT PNMCRHIGMISGGTGITPMLQVIKAIIRNRPRNGGNDTTKVDLIFANVNPEDILLKDQ LEELEKEDDGFRVYYVLNNPPEGWTGGVGFVTPDMIKERLPAPAADVKIFLCGPPPMI SAMKKSTEALGYTKARPVSKLEDQVFCF PEX2_089070 MAEVPEVPEVPAFNLTELDHKLLAMTDEEFVYHDWEELKGIIGK CALISKSALETLPLMPHPVLARNDLGALKRKPSDLRRYLAWTQETKTQYGTIMNYICQ QRLKWHLPVNTASGINSAVIQQSGTPATFKNPRPFADPQDYKILRNDWPYGLTPGISH LVVWLRTPIPVQSGEGHLTEESRAMINSFVRKTFVDRLAKDPQSFLDPDSHVLWFKNW VGLQSVRALEHVHILVRDVPEHILFEWVNE PEX2_089080 MATMDTEYMNQTKGPRILGVFWAFFSNIGLDDYIIVAAMVMVTS YTILTTVNVILGYGSHTSVLMEKGGIELVERILVINYADFALGIMSFTTPKLAIAALL NRIMNPSWFHRIWLWILTGSVFVASTICIIVLFTMCDPPHALWKIHLMSEGATCRSTK ILVGYAIFTGVLSAVVDLYLAIYPTVVLLRLQMSLQKKLALCGALGLGAVACAMAIVK CLQLPGLYNTADSTYATADLVIWTSIESNIIIMASCIPTLGPIYEMIRGKRSWSSHGR YESGKLRSYTDRPTKKSASNAHEDDDILMTTNIGTTKSGSQESILNSDQLRGEVHLAG KIHRTDQVKIEYGERPQGDHVPRPSW PEX2_089090 MTFSNCNGNSNGSVNGNAIDVEGLALTSNLPDTVPDLLQTVASH GKDLLAQDPEARLKLLEATRALTYALETPREAIIRHCWSESTSYAALETAVDINLFSA LGTDDKLKNVADLSKATSVDPILLGRLMKHLAAMGTISETGYNEYRSTGFSKVLTVER YSDAFPLMTRRFTKGIMALPAFLKKNNYQNPTSPTDTAFQMGYETDMGFFGHVQQEPL TAKQFNNHMSVYAQGRVRWMDPGFYPVQEQLIDGVTIGEDDVLLVDIGGSFGHDLSDF RRKWPGLPGRLVLQDLSEVVVSVKDLHPSIEVTGHDFFNEQPVKGARAYYMHSVLHDW PDELCRKILANTVAAMRPGYSKMLVNENVIPDTGAYWETTSLDLIMMEIGSGERTERQ WHALLESAGLKIVKIWTAQRGVESLIECELA PEX2_089100 MYVKQIIIQGFKSYKDQTVIEPFSPKHNVIVGRNGSGKSNFFAA IRFVLSDAYTHLGREERQALLHEGSGSAVMSAYVEIIFDNSDDRFPTGKPEVVLRRTI GIKKDEYTLDRKNATKNDVMNLLESAGFSRSNPYYIVPQGRVTALTNMKDSERLVLLK EVAGTQVYEARRSESLKIMNETNSKRAKIDELLDYINERLAELEEEKDELRSYQEKDK ERRCLEYTIYSLEQQEIGKVLNEIEERRQNGVEDADNNRDQFVEGEKAMAQIDAEIAE CRQQIEFLKVDKAQLEDERREASKTLAQNELQAKSLSDNQAAAQALKSRYDSDLSSVQ AAISEREAEHREILPRFNALKDQEDTIKSQLTDAETSRQRLYAKQGRNSRFKNKSERD KWLNMEVRESHNSINTVQGVISQTQEDIQDLEGEIAALEPETERLRQQIDGRGDTMHN VDQQVQDAKDERDRLMDQRKELWREEAKLDSVLSNASQEVDRAERNLSQMMDNNTSRG TAAVRRIKRQHNLEGVYGTLAELFDVNDRYRTAVEVTAGQSLFHYVVDTDETATAVLE ILQKEKAGRVTFMPLNRLRSRPMNMPRASDTIPMIDKLQYDPAYDRAFQHVFGKTIIC PNLQVASQYARSHGVNAITPEGDRSDKRGALTGGYHDARQSRLDAVKSLAKWRDEYEA KRNRGTEIRKELEKLDQMITKAVGELQKLEQQRHQVQNSSGPLRHELRAKRDLLQNKN DTLDAKRRALRNVESNLAALNDQVNAFQTELASPFQKALTSEEEARLESLSSTVQDLR RQYQELSGQRSELEARKSVLEVELRENLNPRLDQLLNRDIDIADEEVQGNLKETQREV KRIGKALEKLNARLKEVDTSIEEGNTRVMDLQQRNAETRREIEDLARSIEKHQRRMEK SMQKKAALTKQGAECAANIRSLGVLPDEAFTKYQNTDSNTVVKKLHKTNEALKKYSHV NKKAFEQYNSFTKQRETLTTRRSELDASQKSIDDLISVLDQRKDEAIERTFKQVSREF HNVFEKLVPAGRGRLIIQRKTDRATRLDDDVDSDDEEARQSVENYVGVGISVSFNSKH DDQQRIQQLSGGQKSLCALALVFAIQACDPAPFYLFDEIDANLDAQYRTAVATMLKSI SDSTNGQFICTTFRPEMLHVAEKCYGVSFRQKASTIDVVSREEALKFVEEQKT PEX2_089110 MASNENTAQAAGIADGLAPSHTYVPNEGYVNPDTADSAEAGQDL LDEEGEEEEDEYYDDIFDEELDREDILSSDNSDLTKAYNRQRRINDLAGDSNVPRWTY PKTNTQKPTVNTSASVDDQVKSLTRHAAKIKLDDQQAGISGRNDKGGDKADRATSEQV LDPRTRMLLLQMINRGLVSEIHGCLSTGKEANVYHAMSISQEDEDAAPLHRAIKVYKT SILVFKDRDKYVTGEFRFRQGYNKSNNRAMVKLWAEKEMRNLRRIYAAGIPCPEPIFL RLHVLVMGFIGSSKGLGAPRLKDVDFNIPEPEARWRALYIELLGYMRVMYQTCRLVHA DLSEYNILYHKERLYIIDVSQSVEHDHPRSLEFLRMDIKNVSDFFRRKNILTLPERTV FQFIISPEGPIDGTAGNEEMTSAIEKLLVAREEGDDEQQEAEDVDTAVFRQQYIPQTL EQVYDVERDAERIRDGQGADLVYGDLLAGGKKKATAIDAVEEDAESDASGGVSVSGSD SDDEEIDPFAPKPPRGKRFEDKDSKRDHKAKVKEEKREQRANKMPKHMKKKLVSGSSR KKK PEX2_089120 MASLQSSSSSIRPQVGLNEGDQQSLPQVDTLISYLLGAKRSLSS ITHVWRANEIVTASHSALEKSVVLCSRTGFLRRGLNGQLRLLYDVRTEVEQISYRGRD EFSVALKNLDAADAQLRNTLELLRGTIVHASFRPGDEEQKSLHDFVDERGVEELHASL KSSIDRTNTARAELDTSNREFDDELQATRQSLRHYHTATKLASSRLSITSSSSSASDS GLLTLSSMPGQIQSLEAHAQEMAILLEALVRHFDLCVTAVKHTDGGGAVARSITGDMP TGVDGSNDGMPNIGAEINANLNAPLDPMTDAEYQEMVAVLIKDAPEADDVVMEIQDRI NEMESIFEQVQAQRDALLSISKATIEVHSHLSSLASSRLPRYISQAHNFTRVWHEEND RINSGLAELSDLHSLYDGFLNAYDSLMLEVARRHHVRQRVEKVLRDTRHKLDQLHDED AAARDTFRVEQGDFLPSDIWPGVGLAPMQVQFLRLSGGHLDNGAVAQNALEEPSVHQC TGSAKARVSDGSAEGEQIPDLPKDLIEEAFARVKARNNVVSQMHTA PEX2_089130 MADVPEPSSTQQSLVLANSGVSANADSSRSLQRKDTDSTTQTPE ELARQNVAPFLAKYQPRQYAPFRSQVSAPSEQNLVNASYCYRHQPDSKCGRRQADEQS MTQLQSDLNLLPQSDQQGIAHVWSLYSAAPAKQRTLMLQGILAQSCFPQLSYISASVR ELIRIDFLAALPPELSFKILRYLDTASLCRAAQVSPRWRALADDDVVWHRMCEQHIRR KCNKCGWGLPLLDRKRLREAKREIELRATNWGNNEPTVGSTEAAMVESCSTVVPAASG KRKMESDEVSALAKRHCASLPQTPEEDEGYFKTRYRPWKEVYRDRFVVGMNWKHKRCS VKVFKGHRDSVMCLQFEDNILMTGSYDATVKIWDTDTGEELRTLKGHVAGVRCLQFDD TKLITGSLDRSIRVWNWRTGECISKYNGHAEAVIALHFDSTLLASASVDRTVKIWNFK DKSTFVLPHPQGVNAVKIDSASRTVLTACDDGAARLWDLDTKTCIRVFHNHIGAVQQV IALPREIELENHLADCENDHVSTSSQNGDNIMSTLSPLLEAKSLSLQNSPFGSSFDQD QGRIEPPRYILTSGVDTTIRLWETSTGRCLRTFFGHLEGIWALSADTLRIASGGMDRM VKIWDPRVPTGQDTYEGHSAAVNCIGLSDSRFITGGDDYQVRMYDFRA PEX2_089140 MAYSPERLVRAHQLFGRRTGKFCMLPHLAGPYHLRQRASKRAAA IASWHDNDEDEDYSPSNKRTPTKRKLSFFNGGDEDTRSDKRARSMSPTSTLRARLSPD PGREDSVSPSTPSPHSEPDSWDRYWAVNLEIETPNSRYSLRSRNKESLSDSPQKSDTA NVETSASKPTDDVVPANTELPLRVCDACKEIGLECSLASDPDPFAYPCTTCEVDDVFC VVSPPPKWKRSCEICKGRKLCSYRYADYDHSQPCLECRNHGFECVAGPARHPPFGLFS TSEPSEPSSSPKVDSPETSNSLHGGSPQPDVSELSKTSEQISFQKINSPPSSNPPHGT QEVAAIEVSKPPSPSAIQPKVQTSETNPTKNNWELPSPPFSQAQPSVVEAPKPHEVIV LTDSDDDTPKTPKTPKRKPSPIYISDSLESPTHTTVSNPTVSNTIASQPANIHRIWTE LAHPVVFLADDSDASPPCHWCNNFAYGINGLGPRNPEVWTVDNGTIVELQDGHTGEGK EQSRMCFSCTWDRCKIIQCSHNTLDRLPMPLSPKDAARNDAVTLLRQATDAMTDPETG KAGPYFPSPIYEWCSLCREPAFGSCQALQPIDAYAEVVNCDEESHGCGLMLCEYCLDL TKRFKGDLNAVVAWGRNDQSNPISYRADVEYLLSGAENNTMYKLYMEER PEX2_089150 MLVVCMWIALGKGAALILSSIFPWLPRAATRGAQLIATTSSPKR RKVSPEPRTSPGLRARRHGRSEPPHSRHTSSSSSRGQSSSLSPPRYVPAHLQFQSGSP PGRSLTPATATAGLTLSSDHSDMPSDTREGTPPTHGRSPSPGEKRPASEITDSDPEGG VSTVGNNARTYIIPRTCDDTNNESTDPITSSNDKTGSASSAGEQSRPASPKSGDLPTI DEQVAEVNALMEAPLKDGQKGFVVSMAWLKKVLARTTAHADHTDKGSLDGDIGPVNNL NIMLDTDPATPSFKDETGEMFVPMRPGLHDALDFAIIPQHGWDLIQKWYGLADQSPVI IRYAHNTSQPGDTAKIEYETYPPIFTIFKLANPAAGTTPTILRQTIKPSVKILASRQT NYQKWLKDAKEQTGIDMSTKVRVWKILQLPRSTTASASATPAASRSQSPAPPLALVSN PNDKLLFDLNAFLELPEGSHRVLLENVKDQTQNANYNGRMTLDMAGLSVTNCVVLEEQ VGGYKGGDWVSEASVKTLKSLGIPVDQPKNDAVAKASAAKAALKSTQPSGRSTPTSGP DPISGLISRGRKGKQLIVGLQNLGNTCYMNSALQCVRSIEELSYYFLSGMYKPELNPT NVLGCGGTIAKQWANLLQELYKSDPQPRSVNPYRFRSAAGRQREDFAGYEQHDSQEFV MFLLDALSEDLSRIVGSKPSTVIPDSTDEMIHDRKALEDFGKKCWDLYEARNASVITD LFAGMYKSTLICHNCEKTSIIMDPFTMVTVPIPTGPTLINRTIIFYPLDGPPVSLKVR LDEHDTLRTWKDFIAEKMGIDGERIFAAETLHNSFWQTFCDDDDTFGSLRITQEDTIV FFDLGPLPASTNSSDSTSNDDAVLVPVFHRKLVPRKNKEATHDLFGMPSFIRLSGEET QDLEAIYHKLLTQANNMTTHDILAAEENSHDDNATDDSDTVVTNEDDARSADSRIKTS SVDGEDSMVDISMQTEASKSAEGTDESDSDSDSESVKSPQHPLAGKIPANLLSLFDVT VMSTNATLPDGRNVSAMKKYPSISSRIPSPPTSIKGSDASSKISADDNLDEDVDTDES DSTPNSALSLVRQGDAILLDWSSDAVDSLFGGKPRDPEELRGRPTHMNIKNVFDHAMV VSQKKPKPSNVTLDQCLDEFSKEEILSRADSWFCPQCKTHVSATKKFELWRTPDIMVV QLKRFSQFRGRNGHKISTLIDFPFEGLDLSSRVEGPMDGKSAVYDLIAVDNHMGGMGG GHYTAYIKDFVSGAWVYCNDTSAKNVTNMQSIITAGAYLLFYRRRSDHALGNQELREL VEGYRNREASVPGSPSRSRSPGGSQSLSEDGGRILGLGPRKGSSALAGAGVAPRVSRG QDSLGNDLYSSAEESTSDSEDGGSEGKKIDFEHENGGSQVDSLSKLTEPSWSFDAAHD ISQITGGNTATEDGIFEGRCSWGDGMDIDPQFQLGLTSGGEGEDSSDDLPVVELRVGS EDMMSEDP PEX2_089160 MKRTAPSPKRRGLIKHDPCQLDPQLSKLCPEVINVSPASARSMI EKINSEHADRHLAFRSTGDQLELLRLEALRLKILESTKPKLTPENEMSSQNAARVSTE SLSSNGEPGPTVPNSMRTSMRTRASVLSESEISVLDLGPSLHSTHLSARNSRRNSSSA SPHTGIGLARTTAPSFMPGPGSDTSEQQVELHLRTDELESVALTSASGSVNNDFPLNL RQRRQNRPHITLPSPQELRTHRVNRSFTISGAHSSRDESAFDHSPNSFTDEPIRRIFS LSVPQSPQSPAPPPHPTLRRSQSSFSGMDPAFSAMRNAERAKKNARDAAGSRDTDRK PEX2_089170 MWNDEDNNPYGAFDQHQSGLSDSLHSAALSPPLYEHDSTPPSSR DSSSEPPDYITHTEDLSDPEDEAEYGASSEQYHRKSVYDSRIEQILYENPEMPILITD AGKNHEGGGGFIVYTIRTADLEVRRRYSEFASLRQTLVNLHPTLVVPPIPEKHSMADY AAKPTRAKDDMAIIDLRKRMLSVFLNRCRRMKEIREDGVWWRFLDPNVSWSEVLSSHP AASVPKNNLKAPPLDPANPTPAHGWLPIPSTSAKLKPSGGTTTAGGTAASPTSPTEPE PPSFPGPEMLGRFPPESRKLSEEELDPYFINFEASTRELELLLQGNIEKVNRRTLSHL SGLSADLMELGARYNGFSLSEQSPTVAAAIERIGQAADTSYIETEELSSALSASFAEP MRESAQFASVVRGVLRYRVLKRVQQEMTRDELSKKKTLLDSLERSELEAKRIEQYLNR TNPHSGGTKPRSQSTSSAISSEGGNGPVMSSDSGFPSTHDDSMSPAPGSQIGGLRRSD PSVPGSPAHRKSSSGTFVANKIFGRISHAIHGFADVDPERTRRDQIGKTKESLIQLEQ ALEVSEKDVKDASAGVLNDLKRFQKDKEADLRRYMVAYARCHLDWARKNLETWTEARD EVDKIVAR PEX2_089180 MLSFLALICFFSVGLGISIKRDQNFEHGEKLQDLGNDQAISRFP PPRQTQQHTHDPTILRVGDEYYLYHVGEHIFIHTAPSMAGPWKHVGSVLDAKSVIPKG DRAVPWAPTVITVDGTYYCYYSVSKAGCRDSAIGVATSNSPGPGNWIDHGAVIETGTG NGSDVYPYTASNAIDPSTLVTPDGQAYLTYGSYWHGIFQVPLSKDLLSPASTTEPDER QLAWEPESLAAPNRLANNICGDPSGPHPIEGAFISYNDGWYYLWYSHGFCCNLKEDNL PPAGQEYSIRVGRSQNPRGPFTDKAGTDLVKGGGELVYGSNGETYAPGGQAVIRDGDT DVLYYHYLNTTVGIAFQDAFLGFNPLKYVDGWPIAQA PEX2_089190 MHTSSVVLEWVIDFPLAHHAPDSEQELPISDEQPRLGITGIQEV PEQPQPEVPEGALDLSENHEQQLLAQDETTNLPDGHGHQSEELPHLPRSLQQESEASA GTSEPLVSHELQQPQVSEVCQITEKAQLEAKAETLDLVSDHHENHPASPGISENLGQE QLEVVGGAPGVLNNQGEQQPEVSEVPESSKQSEVETPEDVATSSGDSHQQDPGIRKRL RSRLGELLNHGLRPWKRRRNT PEX2_089200 MWPECTKKAKSSTEEAEIAPRPTISPRTEPITPYLDPTLPLAGG FDQRPEDYPMMCVHHRPNRANTHSPPHTVNQQPNPLSKRQQRKQRALQNKQKQQQERE QQQSQNEQRGKKTRNQRKQKNKNQGQNHQKSPTAQGGQDEQHDPWGNTEGQNDRTSNH GGDDWGNDETPRDNQDNTGQNDNNNDWGNNLNDDQGGQRNSGSGSPRRGSRDNHNSSR EKSHRWDNSRPESPDRASRNDADFLRGSWGQSDDGAQRDSHSRSNHSNEDRNHHDGWG DDDAKSYHNKSKRNHHTSPDPESRKEADTRSDWGQNNSGIRQNSPRRSIYPDEGRNRH YGRGDDDTKSYQKKKKGNRHASPEQKSRGRSSPNRDWGQNDHEERGDHGRNRSRSNSW KHAENRHGGGSPTSIQDGNVHRDKKKKKKKERWQIELEENEKMRQSRGRSRERSDAGW DNHSMEINADPKFDINNFKHDPLLFTEKGIHRYCPGGFHPVILGDNFHDGQYTVYHKL GFGESATVWLAVDNTTWRDGAKWVALKILAAHIKEPREIRNLQYLRDKSAGLPKRHSI VTMLDTFLIEGPNGTHHCLVLEFVGPSFESIEQSYIDFNNYGHYLEFENTEFKKSARY FEMGYFPTNILTHRLLNAVQYMHSLGLCHGGISGANIALKYTDMPRFANSKELFEILG SPHVVSLERCDGMPLGKGLPKEIIQSAKWTGFIDDDPFESIRLIGFGKSFIRGEEPDK LKQPVHLRCPEAIMGEKFDHRLDLWHTGCFIYQLAMLKPLFPDSLDNYEYIRHMVGVV EDLPIEWESKLEELRLISERNSALENAPTTAI PEX2_089210 MEDLAQKVQLLSEKTLRDIAKGPLVKFTLTCQDTEKVNRVWQSL VQTLSTASISPPHFAEACNTASAFIDAATKSQCDATRELIFSQEAWLSLFDICLTRYE DAKPKPVKQLLASITAILAKSHQGATRAALQTSIIDALIPSIVLGEPQSRLKGCLVFL ETFIRKDALLPSEFIPLIRQWLLKNTNKWATLFANDHETLSLGESGPAFDIASGSLSD ELAARIFVLGLLIQTNNRTMALPAGNVLAILIQKMKPDNSPEKLSAMWVMPVRRMVLQ NIETLEDLSNRLLQPLFTIDPNGFKTFLDTLPVKSLLKGDMTDAPEEEYMVLFAALQI GKKVNLVHEDYIFNVGKMKLNENEVLVMKSDVIGKFLLHRDANIRIAALSLLITAFST VKPFTVRATNAILRGLPSMHAESDAYTRGEILSLTRKFFVRLRGGIVKDEDVALKTEK KATKPAQGQVRNETETTEFLKAYLKLLGNDLRLAASYPRHITALKALKLLLDSGLDPR IEVKLQKSEIENIWKVNVEVFDAQLLRLLIDLLLDPFEEVRQTSLSILNLCPREILLN GLHNKTDEQSAAGMRLTDAITRAESLASNTSRADHADTVARLYHIIFCAALPANSGQP VSDWWTTKASVVDTILKKLEERLLNSKTPFNSTMREAPLHGYTSGLRYIVLMPSFHSL VSDGSGSAAWRSVHDRIVAICNKIWIEAKPLLCIDSPEGHSDEPTEDLNVGPKDALSY SWRSLREASLLLHATMVNTSYGPSGSDGLNLGDFANIGGVSFTQLAELRHRGAFSNVS QTFATCCQRCSSSKDPSIRELPRTWYQEAKSTIFGSASQLTRRSAGLPALVTGIVGSD PGTPFFNEAINELHEISSLSVEYDKERQYLELPQVHAMNCLKDIFTNAKLGPHTEPFI MKALTLSAERLGSPIWALRNSGLMLFRALLTKMCRVIPGAGPGFGGNSGSEPGSRITF PKYPGLLELLSGLLTTTQGEAAEGTEIITERVFPALELVGDKVPSLDDPTDEMLRGLV IGHLSSPVWGVREHAARVYASLLTRQNIPEDLRTLVTLPSKITEKYVHGLALCVRYAL RRYAFTTDDFWTSNLDELFTTLRTVLEFLFRAGKSPTVASELVEILNGTMERAIQAQS EDQVTSFINEMFEAHDLDGILRFVFDASQAGWNLSSSSRASALLRRALAWCTTLKMLT SQQWEPLPAFFIGVSQFDADVARWILEQLHESVGEDERYRQPLADLYSSVILGDSRPD VKTMAASNLASIVENLIPSQFDSVSSIGLPWDAIANSFRPETDITAWNREATDAELRL RGCLLAIRPFVDQSASSSFEGDVHSWAIKLRSALSEETEFTTRFAAAVSVGSFSRALR SPGSQPRVDAVLLDVYLVLYDMLNDDDDEIRDVATSAASWVLSHSSVSPDADVSLAPL NASALLADFIIDNYSDSALLGQRVLRYLTGQEPRISGSDERSYLVAVSDQITEHRQES TVLFVEEKQNLFIDDVREVEVWSRALLKLTRSAYTKFSLDETSAWAFDGLTYLCSLVG SEKEPDGLLGWVSKPEIFTLGLRVIVISSALGSQVFPVPESLRVQPNVFRASLESLLG AGKLSSVHGDWLSRIQAALEL PEX2_089220 MSIWDSLSGRKQTGPDAFDPSTAQDATSFLSEVAIPDPSRLHPL AGLNQDALDYLSLDDSALDELPGSRSVLPSRGWSDDLCYGAGTTYLVALATGGAWGLA EGLRKTPATAAPKIRLNSVLNSVTRRGPFLGNSAGVVAMVYNGFNSGLGYVRGKHDAS NSIVAGALSGMVFKSTRGIKPMMISGGIVASIAGAWAVTRKAFF PEX2_089230 MPDLSTTKAKARKPRTKTFTGCWTCRERRVKCDEEHPHCRRCQR TGWTCKGYDLRLGWSQTPGNRSHRRQFRPLSRDVNHELSSAAVTALLVELDEYSGDGC AQQRGPFSVFSVFSNPEVHDPGPFDLHNLEYAPSTQDSEDTQHTSSYSIDSPVGGRYS EVASPKPTWDGLSSTTIPSTSESHEESCTALLNPNSRIGEISISAIAHVVDHNKAENR PPEPSDYGTPVSNIHSNRTGLSLRNSMSPLSLPRLEMELIHHWVVFLSGNMLLIDTPD NPCRTVFLPLALKGLDASPTESNIHLSIFHAICASSAFGLSHLRHDSRYHSLALHHDH LALRHLRGSLQRARCLDEPTLTAVLTCIMAEAMSGRRSRWRAHVSGCLGLLQNDVHGD WVRSPTAARLLQSYLSLSSLCSIPIPEQLMSLLDGPSDFHHYLERSHGVTTPLVQYLA QITTLVETRTQLPTEELDRLELQLYLNFPSLSNPDAPGSIIVQHALNSFYYATIVYFR RSLRGAQLCDVQDLVEKAIHELESADALTRDKGGCSYNWASFVIAADCERRDLQERML TFFGWKTRHGILNINALCEIVQALWNRRTAAGPHVDIQWQDIAREADFDFMLV PEX2_089240 MTSLPSFDDLPPVKGMPQGCAWGIFDKDDKKDLLGTLNLLTPEV VKDAVAEVRQGVSISLNWPIGSIKIPGFFRKSICHNVMKLEDPASGSPYGFDDELEFN TQASSQWDSFSHFMHLPTGLAYNGVKPTIDAFQTPKTAQHLPTLDHWHQRGCVTGRGV LIDFKSYAQRHGINYDQFSSFRIGTTELEAVAAWQGLTFRAGDILLIRFGVTETLGQM TGAEQGIAMSSGKMCGLEGSKEMARWLWDRHFAAVASDNTAVEAMPPIIDGVEQPTHE LVLHQWCLSLLGIPLGELWDLKALAHACRASGQYSFLLTSSPLNFPGAVASPPNALAI L PEX2_089250 MEHKSGLSTMSTIFQDASDNEHTKKEEMDGLLSVDDLAPSILNP ESPLPSLQIRTDLPSIRPSSSRDSDPYASASLSGSYSRRTPSLRALIAPPIYSAGSLS PASFMSSPQLNAMGDITPLPSPIGGASPWRKSDLPSLSRSSSMASRNGSSLRLSDSSQ MLGPPVMPRSRAKPYTGIDGQGEESSGNNWHHRDSSSKHSHSRNRSLSDYAPPGRISV PPRPIAVSGNNGLGIASSSSIDSKSNGLHREQYLAVHRGIALPTVRPPSPPRSSGSGY SDSEPVIHHPAPLSTPQEIYSVRSVRTEQARIYQKVRVLGQGTFSQVSLAARVEPIPE MPLSPDGDGAGTFHGFVNNQKLVAVKIIEHGPAGGADEGRLEVSLKREVEILKSVNHP SLVQLKAFGSDEKRALLVLDYCPGGDLFEFATSGAPRMSAGLIRRIFAELVDAVRYLH AHYIVHRDIKLENVLLTMPAHVMDDVQDWRTYDRAVITLSDLGLSRRIPEPPESPLLQ TRCGSEDYAAPEILMGQAYDGRATDAWALGVLLYAIMENRLPFDVLPGTRGDPAKLRA RTPHRIARVEWAWYRYADEEEEWDPEKGKGLEGARDCVEGLLKRNTKRKTLDDIAAIE WVRDAIDVPGGLKRGDKEVP PEX2_089260 MTTLVSHTVEKTNATILDLEHLVHQSLGGGTNVKEATSRLLDQV ITSIDLGSFCGRENELIAACTIPSQSDKKKDRRSQRKGKKPTGSIDYFSKVYLYLPTY PLLQLAAKYSRRVYDKPSGRERHSYVDSDWLQGTKAMVVKSLPIDDMNTIVFAIRGTQ SFLDWAVNVRAAPTSPMGFLDDPSNHCHSGFLSVARKMVAPVAARLRSLLEEDPTRMS YSLVFTGHSAGGAVASLLYLHLLSESPVVQSELIHLRGCFKHIHCVTFGAPPLSLRPL QPSPTARGSKSMFFAFINEGDPVSRADKSYFLSLLDLYVSPAPGSLLALHDRKKKSAP IYWRTPSSDLSLAGRLVLLRPEDQPSGRPVFVAPPVPGGPPALPPRENVDACGITDTE LRGVVFGDPVMHFMDLYSRRIDALARGALSRRS PEX2_089270 MLLWLLLLAVGATSMALAGPNEALKSCFENALTNRGSFAFAGDL FYDHIVNRYNLNIPVTPAAVAFPTSSQQVAAVVKCASENGYPVQAKSGGHSYGNYGLG GTDGAVAIDLKHLQQFSMDNTTWQATIGAGSLLSDVTQRLYHAGGRAMSHGICPQVGS GGHFTIGGLGPTSRQFGAALDHVLEAEVVLANSSIVRASDTENQDLFWAIKGAASGYG IVTEFKVRTEPEPGTAVQYAYSMEIGNSKNQAALFKSWQAFVSDPKLTRKMASTLTVL EGSMVISGTFFGTKEEYDKLNLGSKFPGANGSALVFDNWLGLVAHWAQDLILGLAAGI PTNFYTKSTSWTPQTLMAPETIVKMFDYIGTVDKGTLGWFLLFDFQGGYTNDIPTNAT SYAHRDVLIWLQSYTLNFMGHISQSQINFLDGLNKIVTDVALPYTAYPGYVDPLMPNA AEAYWGTNLPRLQQIKEQVDPNNVFRNPQSPSPAKKEPL PEX2_089280 MERYSQFRDRGSGIAPFLPIPVEALGLQTPLRILLFCFRLPLFI FITLSYFLILQWLPIGSLGKKAALWCILGFASIWWIDLQVDGVRKGSLKQQQARLPQP GSVIASSFTSPIDAVYLAAIFDPIFTASYPNTRQVERISLFQAILRAFAFPATQPAPG ARMVDVSTLVEQYPNRPIVLFPECTTTNGRGILTLGNSLLGVPPKTKIFPVSLRYTPM DIVTPLPGSYMSLLWTLLSKPTHCIRVRIAESVTNSHNAIDATPKSTFNTNYLDALDQ NGQEEQVSPSEKALLDNVGESLARLGRVKRVGLGVKEKQEFSRAWSQSRRTW PEX2_089290 MASIRHESLDREDREDAPFLDSPDPSNPGPAHRHIHGKPSIGSR PVEANASASAISFRLKATLFAMVLAVEIGFAFLEGPMVRIMESIACRQYFLGVDPTKI GADGQVPEAMCKIGEVQAELAAVKGYHMFFDGSLSALLAIPYGLLADRRGRKSTLALS LPGFALNSILTITILWFSDIFPLRALWFTALTWVFGGGPVVSFAIIWTMMADVTSEAE RAGIFFQFAIVSMGADFASSALSSYLMTLDPWIPLVIGFAIVMAGMMLILALPETKHA LPPRKAEPTHVELSDLTDDPERKHSLHNLNEPEPYRTEPDMNGDHENEPPSWSIQSYS HQSILAKFRANYRFYLRPYRFILNRKPVLLLLTAFLVYRLSRGSSWFLTQYISTRYSW TLAQSNFLVSARPTVSIPLFLFGLPFLKSRVLNPRLSSTEKDLWLARRSIFCLTVGTL GIGLSPTIATLIPSMIVQTSGSGFVFLARSIITTLVERDETARLFTAIEIIQSLGNVV ASLSITTVFQIGLRLGGFWIGLAWMMTSTLFCLVGVAIWSFNLPPSPPTSDFVVEDYD GDDRA PEX2_089300 MDFWSRLIGGSRALSNKSSKATSPTERLTAFKRACNALQQIWRS TNTPSGEQSVTHARMYVERLNSILSEEARGPAPHPCVAYAASSQVFVTVTKLALSFHD EGVVRSATVFFDTLIDAEVDGVVDNRLFARAMVDLVRRAEKTSDEIEGRLVELLFGIA NNIRLQPGILPAWFVPRVTPVAQDSDSQTPSGTEFAGATRKDEFPLFYLLVDYVHHEG RAGDFARTGLLYLIETASRSKNLEKWLIESDLATLMATGLGALYSQLGHLSFASTAED DNLPHIVILSDHAKEETSLQPTLGQTMDAFMSYLLFWQDTIDHCKSAEVNDTLLDHFQ VLFLEQLLYPSLLESSDVAGGSTAAVLTYMCRILESIDQGELVHRILHFLLASSPQPE EQMDMSASRRKSLNVLAALASEAAQPSPSLFNLRDLALLGLQSSNCQTVLATLRLLNT VLQRHHLFARALIHTIPTQPANQRPVGALNAELEQLLTMGTSLVDDPTLNESYDNYVA DATCVLESRLCLPVSSMEEDEETLPLPLQLQQDDPIVQALFGCLESFFTNSVIVNLAL TGVFMSLASSHLFSLDGWVLVDPNQYEAPSSEETGDEFEHVRRAYQAPTWPATAAPTL TLALQQLVNQVRQWQRELPDFDVLVAARRELLHQDDRPQTPDRSREPSEHPASSTNRS RLSHPGSPDASTLASRGRSPYSVNSPPMFSSRDQDGSSVPPNSRGSSNARAVAAEALR QRLTTPFPPAPADLQSPSEDGAAGSEDIKDTPVATLGHVLTNVVILYEFLLELSAVVQ VRGSLFEEAGYDYN PEX2_089310 MARRSSRLAQSDHAPIPEPMPEAIQPMPEVIQPIPEPIAMAPVP AVAPEIEEEIPPAPASAGIEVKTKFPVARIKRIMQADEDVGKVAQVTPIAVSKALELF MISLVTKAAQEAKDRNSKRVTASHLKQAVAKDEVLDFLADIIAKVPDQPASRKHEDDG SDQNEGRRKRGGRRPKDESD PEX2_089320 MAVADVPGLMDIASTLAQEEIPFKLRCAICNKLAVNAFRLPCCD QSICETCQASLSDTCPVCTHTPVSPDLCKPNKALRTTLKAFLRTEEKKREKDRQSAAP PTPSNVTPVDTKIPVHDAAPNQNEAGLVTAVEAKTPVSPHPTEPTESKPEEPELDTPA LDTVGESIPEPSVPDSANQPAQPEATGDQLNGTEAVLESMTEDAAVNETPLNGEPAPP PEEVPGESGTNSMTPNMAGNFPAMGWNGNGMNPFMAGMFNYPNTMGMPMGMDPMANQG MYGMNMTGMGMNTGMNYNGGMYGSLGWDASQQNNNMWQGGQNKFNPNAFANGTGPPYG GAFGGSNMSAYPSHSDYQSGYYGGYGRGGYRGRGRGQFHGSGRGGFGPTQGHYRQGAN PGYPNQNQNQSMANGLNGTQMDAQGNVQTIETAPESGETRPDVPGNSDDIPTGTTDLP QGIPTIDSLDNPVPTGPGYGHMSNGYGQYRYGRYGQERGPGVEGAPAAPRAMREGLPN TSVLRQRGFQIQGRASISCEPTEDNRPRATSNAPSQRAQSRSQSPSQNPVSRPRSPSV HGTEDDRDSRRGAETKRLDRVDELQSNARHSRSPSRTSSRPSSRRRHHDSDRDRDRRT NHRSQRSRRHRSRSRSSSPNGDARPSGRLEKIAEKDGSNGRTKVSSEAPESRDLASRI SSTYRSTKDRGSRREDDRSREQDRDLRRRDRDRDRDRDRDRRGRDRDSDRERRRDGDR DNRDKDRTSERDRDRPRERDRDRDRDRDRDRKRSRRDRSPSAAAGDHPQARRVKRGDE DRTRDTNGGSTKKAEPDKDPYTLEREARNKERLEREQQHREKAKSGHRRDSRQDRVVA GRRINYKYEDEL PEX2_089330 MSGRFVRSSKYRHVFGRSTRKDQCFDNLRVSRNAWDTNLLKVNP KHIAVNWEAGGGGAFAIIPLEERGKLPERIPLCRGHTAVVLDTDWNPFNDDLIASGSD DGKVFLWRVPENFTVRPEADADDIKDLAPIGKLSGHPKKIGHVLFNPAAENVLATASG DYTVKIWDIEAGAPKLTLNIGDIVQSQSWSANGSLLVTTSRDKKLRIWDVRQERPAHE TNGHTGAKNSRAVWLGERDRIATTGFSKMSDRQLALWDIRAVREPINGFKTLDSISGV CMPFWDDGTNMLYLAGRGDGNIRYFELENDKFEFLSEHKSADPQRGVAFMPKRGVNMH ENEVARAYKTVNDQYIEPVSFIVPRRSENFQDDIYPPAAGVTPAMSSSEWLGGKEALP PKISMASLFDGEGIKEVSGVKEKPTGSIDAPAPKPAEAPKAAETPKTPEPASPKVAPE PVSEPTPVARPAPSMKEQGASMAAMVNKFADGEEESTVSDDDDSSFEEVPKPVERPTR SIPAESASPRVSSPLRPKEAETKQVETKPQPTVVSSTIPLPASETPSPTTSIPKNDIE EIKNLIAEQTKTIATQAQQMQTLTAEIEALKSKLS PEX2_089340 MEGENITRTRSSTRTRLPQAGLREATSATTNSRSGIMAPGTIAN KAISMSRMEDPEQLAQHELTAPEATRPKTENPETRRPGSVTRQPSTTTKAHTRGNSYS SSTISRPTAPASRTANSSFSSTVGPGTRPASAMSRHQSSFNGRRPVGASIPRAASALD THMEDVSPSVLGKRKGMPQFPLSPSRIPFCPVGTVSPGMDEDWDGVGELAALSMSEKP ASFPNPSNLATVPVLPSTPFRNASTPKSPGYVQFSSSSSLFTAPLRTPLHSQRRIVKK SSHPAFLTKGSSIRSFDNITGPEWDQASREKSMEGLMQTFMAQVNQQGQASSGLKETV DLYKSRINELEASRDELKELNITQRVELDSLRNQFSMAEQARKEDKREHEIAMDDLYQ RQRIELDSAQQQSRREIATITDRHQEEVRDLKRRFDREIEDEKAARLSALGKLTSQSA LDTQKSQIELERKDREITTLHDGLQDLKAELDRERRTVHELKLNLDATSSNGVTLESS IRALKARIEFLESGREEQSKSFERCNQQMMDAFAETEATKEKLRREETLRRKLHNQVQ ELKGNIRVFCRVRPSLNSEPASDLTLMQYPDENDDGKEINILGPEERSSLGTVNRKNN TFSFDRVFNPSTQNAEVFDEISQLVQSALDGYNVCIFCYGQTGSGKTHTMSSADGMIP RAVHQIYETAQGLEEKGWRYSMAGNFVEVYNENLNDLLGNPDELDKKKHEIRHDMQRG KTVITDITTVNLDSPEMVESILKNADANRSVAATKANERSSRSHSVFILKLTGQNHIT GERSEGTLNLVDLAGSERLSHSGATGERLKETQNINRSLSSLGDVISALGQGKEGGHI PYRNSKLTYLLQFSLGGNSKTLMFVMVSPLQAHMSETLTSLKFATKVHNTHIGTAKRQ ARVRDV PEX2_089350 MDQSNPEFKQRSVVSSFICSNAGETKVALFRRSEKVSTYQHHLA PISGSIESHETPETAAWRELKEETTLTPRDIDLWRKGKPYTFSDPSVGRKWSIFPFLF RLKDSREGGRGEGGIQIDWEHESWDWYSPDTIQDDEKFGGVPRLKESLRRVWFERDMN ESASKALIVGLGELKADHQSGSHELTSIALRAFRDVIEQMDEDINTKWWETARMAAWH LWKNGRESMGAATLNAFLGLLADMEEIVHDILDSKVKWERLLALLDHHLDKRKDMPMR IKSSFATYLESNFLSIANSKPTPSLVILTLSASSTIRYSILEAFASLPIPNLELRILE SRPLCEGVNMASSILSAFQSRFSSSPDRHLKLTVYTDASAALASKEVDFVLLGADRIS DSGSVSNKTGSLPAVLSARHVCPAAKVLVLSELEKVAEPGAESDHSHEENDPKELVGC WIDGGIKGIKILAEGIEADRDSTNYTVEVKNIYFEWVPAEFIDAYICEEGTLDATVIQ EKAQQVGAALDEINFAFDVHTFGVPAKELIFEYVVHRPSTGKEDPHNLIVIQCPGWGL GSRYLQNGLQALWKPEDLASTATTVGYTVIFFHSRGTDGSSRPLTSQMSSMPDFASDL EDLRQHLHMERCPILLGHSNGGAIALGYAEMYPSRVEKLILLDHQLVGFQDKRLLKLE VTRIDPRYQDAWDRMQDRQTGSDEEFTESVRGMWPLYFFNPKQYVPELLRDIGDRKLS VWCYQAQGRCDKELRNPMQMVERLGDVQAETLILFGREDMICGIGIAERTAKDIQNSR VITYGECGHFPWIEKREQTISDIRKFIEKED PEX2_089360 MESEYRRLADAIHNQADAHPKSRYLVAIAGIPGSGKTTTAEAVV QQLNQSSTSRAALLSMDGFHLSRAALDQLPNPKEAHIRRGAPWTFDVSRFVAFISRLR TWADETPLATPYSGTWSSADVIHAPTFDHEAKDPVENGISITPDTEIIIIEGNYLLLD NPGWCELAGLVDYRVFVDSDPLEARSRLAERHLRAGIEKTLEDGYRRVDSNDFLNAIS IRDKLLTPDMVVKSVTVDCI PEX2_089370 MDYHRNYRPCPTFFVEEEYGMESRIRQERQAKQEHDKQVARERQ YVMADELSNLTSEQLREDVLSHMLEMDTQTLPDVESIDIQTEIQWFMRPYLLDFLIEA HTAFQLLPSTLFLAINLLDRYCSKRVVYKRHYQLVGCAALLIAAKYNDKKDRVPTIKE LKSMCCSLYDDDMFTQMEWHVLQTLGWSIGHPTVDAFLQHAVLDDPYDPEVEHMALYI LEIALFHRDFVAKLSSELARAALALSRCILNRPQPRHTHWSSQYDSMTLVALSQQLHQ PSTVVARKYASAHYSRVSKVMEHFLNRQASLATYARCTPPVETPIESKPYNGEIGLAT PQKSQQLTAIPHGYLTPPITPDNEALVHAHAQMANHDMTRGMPTVHHGCSPSPAPSTE MQYMNSEAYQQQQEAMYMAQQAALQQFPLAPTHMGISQTYQGGM PEX2_089380 MFLGSLTAANALLFTEPCSFNSLIREVVILLHSTFRNTSLGVKT LTRTHLFVDASLAVTVRVALCPLPEGSFLALPFEDFLLGSPGLLFPAFELLLHADPFI NRYNSSVVHSSNTLIPRLSLS PEX2_089390 MPSRNIRFQGKIAFIVRVAWRDSTWLQATAAGVAIGDTPVSMGS DPASGALDR PEX2_089400 MWASKPESSFRMVLKGVQIRNGGAEDQFRNNSAQAVKPAFRQSS LKHKGEAITDQETDLVVLQSRSGVCSGTIGYPSKFPQCKVNIRATIVYGHYHARCKGA SGKSAPKMQAQLNMSAQVDKLSHEQGRLQPIVTCNCEWVRQTPISISLDKEYSVERDG HGDTWLCNRNPTSNRYDNISLDEYERAKRQGRLRWIGTSSLDDLESKYG PEX2_089410 MDIHRCRFVSYNPQAINALAFSHPPSADLAGRGVPTLRLAIGRA NGDIEIWNPMRGAWFQETVMRGGKDRSIEGLAWTLDPQEDGPDGTKLPGKLRLFSIGY STAVTEWDLENGRPLRHSSGNYGEIWCLAAQPRWQATKGKDGKVLPPAEGEYTGQHLA AGCADGSIVILSTADNELKFLRLMRPSTKRARVLSVTFQNRNTIVAGYADSSIRLFDI RTGQMLRTISLGKGPTGGPKELLVWSVKCLPDGTIVSGDSAGEIRFWDAKNYSLVQRI QGHLADTLDIAVSANGDTVVSGGADQRTVVYRKKEGEKGDKKGRWAEIMHRRYHTHDV KTFAVYETKDISIAVSGGPDATPIVLPLREFGKEHHRKLSSLPQIPQLTSSPSSRLVM SFWDREVSLWRVSRGPASLHENIDGQRHRLVGKVLIQGEENISSAMLSSDGKTLAVAT ISEVKLFSLRRRKGDEKGALRIQKIDVPKALSDEGARLVTISSDGRWLSIVRPNSDIY MARIQPASASNEKPQVVPQFAKLKRATRHVRHEKASHGTLGDYERTIRSVVFSDDSKV LASGDISGCVDTWVLGTATGSANSAIKRSGASESDDDSSDDEDEDVVIEGERWTTAAT ESPIPRMKSGITLLSFRPKSAPTQKLLANATPQSGEDRLMVLTSEHQLIEFDARDGKL SDWSRRNPKAYLPAEFRGVKDRAMGCMWDLFEGRERLWLYGASWLWMFDLLQDFPSPE EAEAGTEEGKTAGQLAKASKRKREALDDDEDERRKHNTGAGDRIPQSQMDVHFGTKVR KIVGSDESQGEWISLDKERPRVAGEDDEAYEFDETFAATNETTLARLRRGDGAAVEIE ITHKGSKKNAGDTPKKQLVEVNGASAQPTRRWWHTYKYRDILGIVPLNTLSDDGSDDQ SPSGMLEVAVVERPMWDVELPGRYVKDYE PEX2_089420 MGLSYNVYLTANKIFGCKGCKTHLADFNDIMSRNFRGQHGKAYL FNKVVNVTQGEAVERSMTTGRHIVRDIACRQCKETVGWKYDKAYENSEKYKEGKFILE EELFCVVQDLGATQPLRMTPTPSDSGMMQAVVFHGPYKVAVEQRPIPKVQNPEDIVVK VGYTALCGSELHMFRGVEPAGTGFVMGHEFVGEVVEMGSAVKTLQKGDRVVTAFTTSC GECFYCKQGWSSRCDKNSLFGCDSLDGGQAEYARIPNADGSVMKAPEGVDEKYLVLMG DIFPTGWFAANNAFKNSTPEQIAEQTVVLIGCGPVGLCALINALEYKPKHILAVDSVP SRLELAKSLGAEPWNFQLDRAGLDKRVQELTNGRGADAVIEVVGLSPALRTGFELLRP WGTLSSVGVHNGEIPWDGNDAYSKNLTVQMGRCPVRSVAPRALEVLKKNQHKLGFMTD KIMPLSQAVEGYDLFNKMQVQKVIFQADQ PEX2_089430 MDSTEIHQTTVVDEPMTDNFNDNLADQGDLEAPLKTEEEYAIAE LTLRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVPGVHDRVLTVTGQLRSLA RAYAIVAKGLLEGAPQMGMGGIVSNNGTHPVRLLISHNQMGTIIGRQGLKIKHIQDAS GVRMVAQKEMLPQSTERIVEVQGTPEGIEKAIWEIGKCLLDDWQRGTGTVLYNPAVRA SLSGSQPLNNNPPAGNGYQSNTNSRQYNRTGNGADFSDGSYNRRSNSDAGNRGYPLVT EDGEEIQTQNISIPADMVGCIIGRGGTKITEIRRSSGARISIAKAPHDETGERMFTIM GSAQANEKALYLLYENLEAEKTRRSQLPQE PEX2_089440 MISKGSEYFSKSRQLQDIANCRDLVSLQAIVFMNLFLLTTNRSS TCYTYLCTSLSIAVRMGLHRSLKTDQDLISQEISKRLFWALWLLVNDVSSCCGMPRLL SDSDIDQEFPREANDVYITSRNISMQPQSEICYISGANASYRLHMIRDKVTRQMYPVK PLGHDQLHDSTAHAANLEKVRETEDDLKKWANSIPRGAIVTLFYIIIASRGSYQPDFL FKSLAIGMKLLDQLAKQSYPASRFKVILVTMVSTLPEDLQKVRDRLLNFDSEAPNVLS NERPEHNRNLSNDSYTASLAGIVFSARPDSRLRRPSLLPLTAGFMKQSDGGFHKSDLA GENDQNLQSGQTLSSPMNETTEWATIEPKIQTTEFNDPESQSHQSHHQTPDLPAQPAT EGSRSSHIPNVTAPESADQHWQAQPFSLRQDGYVSVDNGFDISNTEHSEINEEPLAGM FNTGGLDLGDIDDFFDFGSWF PEX2_089450 MRLICLRLHLPQASSKAKEATGFFRSDSLPRKQVEGHGVISTAS TGNVFNGCSPDSNSAHQLISISRYP PEX2_089460 MASQACCNTPPKGEPAVVSEDQIDQAAGVNLYVFGDRKAKRGVV LVYDIFGLYPQTKQGAALLAKELDCLVIIPDFFNGEAANIEWVGMDTAEKRDNMMGFF ANKASPEKNLATLYSVTNETKSLYATVEKWAVLGLCWGGKLAALASTDQTPFVASGQT HPALLDIADARRMAIPHICLVSPDEPADILNPYKEALPDHSEFELYGTMFHGWMGARA NLEDEKNLLEFTRGYKQVAAFFKRWL PEX2_089470 MIEQDEEKAEAELPIKNSDRYPVTDLDQGIVGWEDQDDPLNPKN YPVARKWFLLVLVSMITFISPFASSLFAPGVADADREFDNTSSILGSLGVTSYLFGYG VGPLFLSPLSEIYGRRIILNISTSFFVLFHVGCALAPNLSALICFRVLTGIGGSACLT IGGGVVSDLFEPEQRGMAMSIFGAGPLLGPVLGPLCGGFIAQRAGWRWIFWVLVIAGG SFTLFVMLFNRETNPVTLLRRKTVSLQKELGRSDLRSYYDKAKDPKAESRKRKGIFSL RGLATPFQLLFRSPIVSIIAVYIATVYGCLYLLLTTVTNAFRETYHWSLETSGLAYIG LGSGFLFGQLVFAILSDRAIIRLKARNGGVFEPEMRLPLCVVFALSVPVSFFWYGWSL QAKTHWIVPIIGLFPFAVGLVGIFGTLHTYIIDSYPRYAASGIAALTVSRSLVGALLP LAGPDMYRTLGYGWGNSLLGFITLAMVGMPIIFNRVGARLRNSYATKFN PEX2_089480 MAAGSRDHLQPNQVNQRRDYDRDQHFQQSRHFPHSRSLSLHTRF DGPTDETPNLTTKRSLLHRARRSVLSFSSPSPQASESPHASKRPRQDEIHQDRPDVKR TMPSSLAYSGATRTLNDNVRSLNGSLNGTPRGIEDKSSMDESRPKNEDVFLNIARADS GRRDSIGRSDFRRSRLGYSSQSLRSPATEQTPSPDQRYSNIDSPLHFQNDSPTAPYSS IHTPASSAHPLDDSNRFRYSSLGSGARSVVGVPRSRFSRTSPETSPRAPSAAEEKERR ASLNDPRINRHSGLSTIRSSRQPSGSEVTGRPRADTERSRPDGTESTLSTTAPSTVWD ELDDLKDRIKKLEVTGKLPPSSSAAMYTPTNERPRTANTAITTLSSSPKRRRNTSVSA ADTEQSPVHPILQSALAKAKDVLSGDVYTSLEATITDALNLSTALGVNAAPSGTFSAV NGGYTSPERHARRKADSVCRSLTELCLALTDEQLKSAQPSSSRETGVQPQLSNSMGVD ARMSIPTYQRNGNLEPEGVERRHSTNRISSRLDARRASMVNASPGNTTDVKQSPTQSP GMSNPASRLNRMSSSLRSRRLTIGEESGETENPLSRSVSRANTEIGTPLPAQAIPPPR QRFSQGHTLSRSISGVQQDQSGIAYSPRSPQYQSQYQPSQLPQPQVQSSVPRTPTLSS SLSFRRSYMNPATYTPATSRSNIQAGSRRYGLTPSFSSNNIQVSPVEESLRSPQMEPS QTRISTPSSKVATSYTPIQTPRLRTNSLGARRFGLRNRVPATPNNVNLDDSID PEX2_089490 MNVRRRGPSIWNFSASKPDFYRRKHTVGISSPDSVHRKLKIQFQ DHYDNPRGKGRSLVIPRNQTEYGVVDLKLQ PEX2_089500 MPVQTSQASFPDSFPSFMSVFGGPLPRYKEEANTIHPLQYPTHV PVNTRLLPSPHRESVSSVNSVSTESSPTTTISPFDSPGGGDISPSSSPESPSAMPVSY PKFMPSTRPAELPPRLAPVAEPRLSEPTPPNTRPDSPNRRARNLKNLSLRMPPPSQSR PPIATASVVETTSKHHFDAPPSPIPIPSKSKSTRRRAANLTIQTPTFNKPFSAIATDA VPPTPSLRHAESSPSLASIASPSFAPRGGMQLPRLMPHAPRRFSSASAEDPASPVTLK PVDGSGFSRRVLDGLEEEDDHLDSRESTRKKDRGYPDGPIRIHDSGVYLYLEPTAEEA SKFDVVINVAKEVVNPFSTSKDERNDTVMSTWRNASMASKRFSRGEPQTAISEISFKS AWEFQPSDSESPTPTTESPISLGPEYLHVGWDHNSEILEDLLPLCELIDDRIAEGKKV LVHCQLGASRSASLVIAYGLYKNRHLDFNSMYEAVKGRSQWVGPNMSLIYQLTDFRSK LLRGSTSKPPPQEWFVQTGRRSSEPQVARAERPASNESSRPSFRGLSQAPSVSCLSIP ETSSMRPTTSDDGTYTKFKSYSPKRSLSPRPLPFRQKFQSVGPAAGRLRGLPRSVSSY DPLVQSRVFVRDAPDSSGDLFSPRTNEFFSPAPVAPRSFHRIDRSAALFSPPIPHAMG FAAEPADPRSPPSGGEPLIMRSIDEFL PEX2_089510 MAEESHPAYLSPSELGTKDYWESYYARTLAHISHEAPNNDPNAN NNSDQNDDDAASTTSDLNEEDDPGTSWFSEHNAPQKVLHFLTRKSFPLSPRNTVHKKG SRQPSVLDLGTGNGSMLALLRKRGGYKGRLVGVDYSRQSVELARELQRVKGHSAYRSD SEDEDESDDEEEAEAEAETEVEAGSNDMPEQETSIQFEEWDILGSKALLSETGLEVSP SSSSEEKLPWFPYQEGGFDIVLDKGTFDAVSLSDDAKTTRVCERYPDIARRLVRRGGF LIVTTCNWTEEELVHWFTGDRTSGDRLAVWGRVEYPRFRFGGHEGQGVCTVCFQRLGD A PEX2_089520 MLHSRLRPLPRRHHPTNTPSAPAPEFQNGDVDNEVDESAEDLFG SFLPHLFPDDAPSFHGDPGQHLLYSSPRYGDLNIMVPSYPSSSSKRSEEIAAGLAKPD GSVNEVDEGRKLFAHFLWSAAMVVAEGVEQADTPTAPGETETETQIENRELWSIKGES MLELGAGAALPSVICALAGASKVVATDHPSSPAFSGAIAFNIDHNLSKRTPEVAGEVS MHPHEWGVLNDSFATANKGVFTRIVAADCFWMRSQHENLARTMQWFLAPGGKVWVVAG FHTGRAIVAGFFETVLENGFVVESIYERDLVARLEDGGEIRREWTPVREGEGTENQKR WCVIAVLKRKGE PEX2_089530 MSRPLPNTSQRHHAHSISLGALNPNHRVTRRKSVTTAAANAAAA VAASMEGGEATSLPMGAHRRSLAGRKGLESGSIGNVSGFSSYLSRSINSPSQESPVAR KDSPGSDHSDSKPKNRNRRASEGAQLKTEGKRASTAIRCDRCGKGSIGDMHHVGSVQV ALVVIQLPLHRCSPFFYPFPQQLIDVFFSWYLRWEHDPAWSVTSKLLISKHQQVQLLE AASVLVTMNHDDPPDESAELELESELSSGSPDALSEMRDGISSVETTPPPMDSEEDDD FEMSGVPAQWTKRPSVSNASGFSRSYQSIPSSSYNDSAPLHSPSFSHFRHSSIDTRPS TADTRLHEDDEADLAAAIGLCNFGTPRTGPVSMTPDVPPVPPLPARYLDSSSHPSNQG LHSPQSRPTEEAARRDSEANIFLSRSLNPSLSYKVSDEREVRTGGDEAQKSRQRRNAD VDFGNRSAPADDDDGGVFGHMEE PEX2_089540 MGVSTDKSSKEAPHQSEAIGKSSDERPPSYSANQVEQPPLELPP LDLSSQPPASTTVTRDQCVVHLKFLAVLADLRDAISSDDGLFGILDAEAEQFPNELNE ARARIREKRWAVYTARAVERYTKWWSTGLPRSRPMATINDLESIDYESILKCGTIVAW STENLPPLDILMVWHAHSLNPRNFLEDCIRYGKMSTWVTGFPWEAIDRGIDNHTMEYT VSEQAQQLFEQKLNFKWNNLHDPPTKNVKCHCCGWANAVPWTEARFGGLVAYAYKFSS GYADYSFEVKCFSCEHIIDHGRLKVAKFRKDLEAALDKDLPMPGSFTNLYGIPEGGST ATADPRAFRDMLFPTRVVQAARKGLLHLTDGRVDMCQNVTQLRDQLETKLRDTNLLWK AHGVYLKSLQYSEKIQFRRMMSRYWDNLGPFALDLVGAVIRQGTFVDKMDKIDWLHSP TVFNTMDRLIKKYEVFFQIMIENPDKMAVPTLDVDLAWHTHQLSPSRYYKYSTSQVKL GSTRMFIDHDDKVDEGKLSDGFAWTSKMYRRITDGGIYSECTCWYCEATRTPDLYSRL ITVGSASRARTAADLLHDRPDISSDPNKNPHISSHNAVRPTNEYHRSEWRKSLQRARL QSNYQKAARRAEKRRQRSGSKSSASQGDAYPYYLPYAYGYPIVVPYYGPYMMDPSINC DSYACNPGCMNVTAGAAGNCCAGTCGGTVASGGCAGGSGSGGCGGSSGACGGSSGGGG GDGGGGGGGGCGGGGGGGGCGGGGC PEX2_089550 MAGVNQLPVSVAADGVHGRKRNMTIAGIDSSPGSIEDMDDNDAR EEKRRQPVKRACNECRQQKLRCDVIQDPWTDCSRCRRLRLDCKIESNFKRVGKRSRNA EMEREIMELRKQIATGQPVPGMSQQQALTAGQLTPKQESSQVSPAVYQTPSAMSADQY MGSQEAVASLLDLRSGFDGTNFMRNGGQIRRIEDVMVVPEKVAELFNIFFTYYHPFLP FLDRNQTPDDYHNSSSLLFWTILSVGARRYQGDSNLLNSLAGPVTRLVWSTLADIPQS YHVVKALALLCSWPFPTSSTSTDPTFMLCGMMVQVAMQLGLHRPSHTQDFSKFRVELI EDELRDKVRTWAICNVVAQRVSTGYGQPSSTLYDWTLSSSDSLDPNFKLPEDIRPRLE IEKFCDRVTRALYTNRRDPVGLTSDHERSTMIAFLSRDFEELEEQLKPQNDCITDLFL RAANLHLHLSAFFDEPTTKNYRERVLSLYVATTSFLEVVLNLETEVGPVLSYTPYYVY QMMVAAGCTLLKLCKSFFASHIDMEYTKNLFNRTIWAIRGVSASSNDLPERLAEVLAQ MWRLGGATQRANNSTDVDDSLRLKVRCRMSMSLLFDSVWRWREDARTKGRNIEAYLKN PTNPDSAADSSATSSVGPVHASTMTPGMSGNDPSLAPAPLLSQASLGIQPSTTQSNGL PSGFIEPNYEVFDPLNWLLDGLVDLPYSYSTMGGIESQGIA PEX2_089560 MPGYFPKGMGWPKIAKTTPGLIRATVYKHLHRYMPAMNQAIISH LQTLDFKDGECNVGCFDLAYSIVARSGSFALVGSRLSQNEEYLKAVKDHILGMIVTTR VQFLVPEFLKPYIGGLIGRLATLGTSWDMHTSRKIMLKHFDARAAEYHAEIFRGNEPS ETNLDDSDNPVEIFQWLYESSVLRERWTYAEGLYGALVELARRPEYIAPLREEIDRIF SGMGPTVPACDGMVLMDSFLKECQRLHPPSALSAHRVCISDLKLSNGVTLKQGSHVAV PSGIIQRRSEHYANPDAFDGFRFVKRAAAGAKNSRLVDLGPDYMVFGMGAHACPGRWM ASALMKLALAHILNQYDILLPNSDSLPLTGSLSFEEFYVPNFGLKIALRKR PEX2_089570 MASSTSSQKSRPLLIPYKRALLPSRTNKPGPTVYKVLNPSAMPA ETSIKKSYEEATKERNKSTSLSGHNKSSSEDEEDYEPQAPCFEVSFSMPPQPFLDPPT WEAMLKHGRERLAAQSIKEEPGSGEDREEESVERMRQRVERETEYGSMAIRGRSSVRG RGKRGRGRGNVYWGGRH PEX2_089580 MLGVDVMGSSEDLQAFERPLLRSHKTLPRRGVIVPSIEVIQPVS PDQNGRHTATPSLPLTPPGVGHEELVLDERTPRKMNSSLSDIPTSGMLTPRRSSKPPT PDVTPPRTNSNKRPTLNQSNNFSSSSRADSFQTALENISSEEDMDTPVRMSQTTTQTP KQRRQPSKPPTSNGYLPQFPNIKRSPPSPSQQHSESEGDTGFESFDGHWAANPIDGSP TPLAGKRKSAQNHTQLAYNSTPERDVLDVKHLHASLMREKSRRDRVNGAHEVKASTSM EQFRENIGSSSPEGLARSSGPDARPLSVISSTSTVEAMIIDSPKRAQRMLRHTEKRSS LRSASSPITRSERTSYGSIPESQHRLIHKAARISDQDVQSGGTSEMSFSAKTSNSAIQ PNIETINVVVIPERSSSLRSRPNSHASSKLGSHRSNRRPPTASTGRTNIPEQKKRIVS DSVSTRSRKTDSRGCAMGPPVIPPRASSLSAPTSRNNSRATSLTSNSLRSRPSERPAI PHRSSSLSAPTSRNNSRATSLTSDSLRSHNLAIDLEVQKRREHQPVSPPRQNGLASPD RRSLLEAPNMHTLFASSDDMATLRPPSLPFTQGSIPSYSPGPIEIQEATAVSLFAHNN HSLLLVDPRVQAPSRAPFQALEISYDFPQPPRTPDNPSQTATFNVDSPLTNPRPPPKP PSTRPLPPLPLHDAQDENKGLGRRWNSVRRTWSARPRSDSFNTIARSFSMKSAKNRTA GMEIDSRLYPFWRPRPFWEGVSGSPEKESSPTREALPRRAESLIVNNSLGLPQRRIIF DGPPALARRSPEMKRLFNGMTSNGSLVDQGMFRTGSPLNSTRFRSLSRWGLRFQSMSL RNVRNRMRRVRQRRDERKRAIRREILKQSIGGPVYVASSATAEVAMR PEX2_089590 MENREIEGKRCRRLKGFSRCGSVSDKLKNGFTPLEDCVNHKTRK IERKSRPQSYRSREKKGERERR PEX2_089600 MSTIQNIKNFIRHGKQARLVTPHSEPTTDVSTIHAEPQRQPIGQ YSPALEAFGPEGRANALHKPDSQVRHDRSVEIERLVAEENLNRSKMPKYPGLERWILV EKMGDGAFSNVYRAKDSTTEYGEVAIKVVRKFEMNSNQRANILKEVQIMRNLDHPNIV KLIDFSESRQYYYIVLELCPGGELFHQIVRLTYFSENLSRHVIRQVAEAIEYLHETSG VVHRDIKPENLLFYPIPNVPSKNPKPQQPGDEDKEDEGEFIPGVGAGGIGKIKLADFG LSKVIWDSQTMTPCGTVGYTAPEIVKDERYSKSVDMWAMGCVLYTLLCGFPPFYDESI QVLTEKVARGQYTFLSPWWDDISKSAQDLISHLLTVDPEKRFTIKEFLAHPWIQGTDE ETTAAADAPPLATPYLQTPEKGPQQLDSASAEHGPYQPASARFLDQPSVTADRRMDFR SPGAFNLREVFDVGYAVHRQEEEGKRRANARQTNRGGTAGFQSALGALNEDYDDEPEY SHRLGGQPPAKVAKNQGEMAGMEAKLRSTNLGAQSSAAQARQPPHQPKPKQGYGMHDA AVASAAKISIRKHQQPFELTLNGSTLLEKRGRRNQPVA PEX2_089610 MGFQKFNPFKRDSPNLPNVVIPLANAPAQPLSEKTDKEPSESLD GASSSENGAAGPKDSTHLTLEGLRAEIESDVSTSTHDSAYDRKAKVINRALQDIGMGR YQWELFFLCGFGWTADNLWLQGVALTLTPISYEFGLSDTWVRFTTCALFLGLCIGASF WGIASDIVGRRLAFNATLFLAGTFGLAAGGGPTWIGTCALFACLGLGVGGNLPVDGAL FLEFLPFVSGNMLTMLSVWWPVGQLIGSLLAWAFIPNFSCSSREGCTKENNMGWRYLV LTLGAITFVMFILRFFFFHLYESPKFLLSRGRQEEAVASIHGIAHKNGTKTWLTSEIL NEIGGHAEVHEKETGLTYTQILGRLFSKFSMERIAPLFANKRMGWNTALLWFCWATIG MGYPLFNAFLPQYLSQSGGETNSNYTTYRNYAITSIVGLPGSFLACWTVELKYIGRKG TMAISTLITGVLLFCFTASTNSDIQLLCSCLEAFFQNIMYGVLFAYTPETFPAPNRGT GTGISSCLNRITGLCAPLVAIYAGSANPNAPIYASGALILASFVAMCLLPIETRGRQT L PEX2_089620 MLLKSVLTSALLALSLGSDLATASKHGRFAEIARAPQEKAKRVV EARSQKSHKSDKDYRFLSKNTKKYLVDSLPDVPFDIGEMYSGLVPIDMDNKTRSLFFI FQPTINAPVDEVTIWLNGGPGCSSMESFLQETGRFIWQPGTFAPVENPYAWVNLTNVL WVDQPIGTGYSVGTPTAISQEETAQDFVKFFKNFQTIFGIKNFKIYVTGESYAGRYVP YISAAMLDEKDKEYFDLDGALAYDPCIGQFDTVQEELPVVPFVHANANLFSFNESFMV ELDKKHKSCGYEEFIDKYLTFPPPGVQPPLLGSTLPDECDLFTLVNNEIMHMNPCFDI YEINQMCPLLWDVLAFPTSLDYKAPGASVYFDRADVKKALHAPKGTWSGCSLEPVYVD GSSGPEQEGDISANPIEHVLPQVIEATNRVLIANGDLDMVILTNGTLLAIQNMTWNGN LGFQNKPNKPIDIKIPDLMYAKVFAENGETGIDGPQGVMGVQHYERGLMWAQSFQSGH MQPQYQPRVAFRHLEWLLRRTEEL PEX2_089630 MSTNVGSANNKTLDHAFDIVNTNQSITTPFDEKTIAHMGNGKFD TEAAPEEDPSPEDVYRTASTVAPSQRSARRDPVSRVTTDAEGNTYPEGGLEAWLVVLG SFLGLFGSLGLVNTIGTFQAYVQTHQLKEYSSGTNGWIFGMFAFLTFFCGVQIGPIFD ARGPRLLVFAGSVVEMAMIILLGFCTQYWHFMLVIGVLGGVGASLIFTPAISSIGHYF YEKRGVATGIAATGGSVGGIVFPLILEDLFPKIGWAWATRVVALICLIALSIANLLIR SRLPQKPFSKENVLPDFRIFRDPRFVLTTASVFFIEWGLFVPISYISSYALDHGFSTK FSYQVIAILNAGSFFGRWLPGFFADFLGRFNTLIATVALCVLCNACLWLPAGDSLPLL IVYAALFGFSSGSNISLTPVCVGQLCKTENYGRYYATAYTVVSFGTLTGIPIAGEILA RCNGSYWGLITFTSVVAVPDVALEQPALEDRRREERDFGLQERHNHPGLESSLLSVLT EELATTHGPKAETTTVPGGGGGTTAPGGDGGTTVPGGGGGTTVPGGGGGTTVPGGGGG TTVPSGGGGTTVPGGGGGTSPGGGGTTATPTGGVPTGATGTSPTGGATTTTGPAPPVT ETTPTTSAHPTTTLTSPTGGGGVTTCPSPSVITETVGPTACPTTTTVGPTACPTPSTS QETTVIPVTVTCPVAIVSTATVTVTSGPSLGSVTTGCPCPTGTPGEGTGGVSPTGSPT GTPTAPTTTTGVVSPTGTPTGTPTGTPTGSPTGSPTGSPTGSPTGPSTRTTTNTLTQT QTQTRTSTPLIPTTTRGHTVTTGLPTTPATLVTLPTTSVFVSPGTPGGPRVTPRAVIN PDVVRRQILNNTTATAHFTNTTVVSNTTTSAVNLTRIATETATEPAPAFLPRFHRGRN RVA PEX2_089640 MPRHDLERVLADDPYNERRIFVLSCVHDSIYYLRHGPHRRNDVI STLQEEILGEIGIAISSAVVERDPQFERLCRNLELVVPISIRILRKHNDRESDEDVAD DLISCIPTVLQQPTQWDPEVEEISDEEEFERQNKNRRRHRH PEX2_089650 MSIEIVPLAQTDIPEAVDCVQKAFADDPYFRWAFDDPSKFNIQR NAASLAAHLRYGINCGCPISVAKITRAANESKSDGEIRLPAGTVVGVAWWYSPQAPSM TQPWSVWAQNWLLSFRQLINNIRFLGRGGLNVHRYWIWKQVQQTAHDAIWQDPRGYYF CNVVCVDSEARGMGVGKKLMENVMEKADQENMPCYLESSKGYPNVGIYEKMGFELVKE IECVDGGDVCKLYCMIRNPKSKE PEX2_089660 MDGDGNDVLIQEEETETESGTEDSQSEDAHEESSSEATQNCHFH AGVEHCVSAGASEEQSTPSCGIQARDYDIPLRIGTLFVVLVTSSIGVFAPILLMKLPF ASINGVVATVIKQFGTGIIIATGFIHLYTHANLMFTNECLGELEYEATTSAVVVAGIF IAFLLEFIGHRIIVARNSKNSPAEAIPSESESQQTQQKGQHDHSSDQQQQPTVACLGH SHGSFDLTGPNSKFSVMVMEAGILFHSILIGLTLVVAGDSFYKTLLVVIVFHQFFEGL ALGARIAVLPGAVFPSKASMAAAFALITPIGMAIGLGVLHTFNGNSRGTLIALGTLDA LSAGILVWVGVVDMWARDWVIEGGEMLDAKLRKVLTGGISLVSGLVLMGLLGKWA PEX2_089670 MLLLNLILSAAIAAALPTPESSEGNKSQPFSQAQPWNTGGVNQF PIHSSCNATQRRQIEQGLNDTITLASHARDHILRWRNESEIYRKYFGDRPSMEAIGAF DIVVNGDKNDILFRCDNPDGNCDNEGWAGHWRGENGTDETVICDLSYETRRSLSTMCG LGYTVSESETNTFWAADLLHRLYHVPAFGQNYIDHFADGYEEVIDQAIENATLSTHDS ETLQYFALEVYAYDIAVPGTGCPGVQHKHDHDESHADQTTSEAATSQPTPTATSTDAP STTSEVPANCHTHDGGVLHCT PEX2_089680 MSLHGLGVIGAQVAASIKTTDEKIPTTPKIAPPRCSITSSPGDH SQEFDPSIGAKPCSPFYPHSSPTISYEQLTFETKNANRRSQLRDLESLGPYASCRTDS PRRSKLWEEENKPLTWLQTLSNKQRMALKAVVAVVTVGTMIAIALGITAAVGGAGWKA SAEKTAAGG PEX2_089690 MKGFIGGIPVALLAVAAQAKFAERHEHDFHPQAGGTAIGGPSGN DNDGGFVSPYSASIKTDTQVNEWNKDDHSIKLKHTDVYPRPPVVPVPFGYRGPQAPGK GPFGKGKRSAPGGTAIGGPSGNDEGQSFDLSVTGIFNTAVADENKDDHSINIKSKHVY PPAHGVVHPPPQFNGPPRGGYHGPASPPSTAFNTPTEGYAKRFGPHAGGTAIGGPSGN DGGQSFSFSTDIETSTDVTEHNEDDHSIGVTREDIYPQPHAHAFAPFRRSENYGPPGH GGAPPPVHFEPHPEPHYEPHTESHYEPHSEPHTESHYEPHSEPHYEPHPEPHFENHPE PHVENHPEPHYSPSYSPSYEPHYEPHTTFDYELTSLKNNNNGPAAGSISFGRRGFPAG PAPHIEPHPEPHFAPHTETHYAPHSEPHYAPHPEPHFENHPEPHFENHPEPHYSPSYS PSYEPHYEPHTTFESEITSLKNNNNGPAAGSISFSRRGFPAGPAPHIEPHPEPHFAPH TETHYAPHSEPHYEPHPEPHFENHPEPHFENHPEPHYSPSYSPSYEPHYEPHTTFESE ITSLENNNNGPAAGSIAFGRRAYAPTRETPVGGGTAIGGPSGDSDASGFSAPTSIDVT TGVNEHNEDNHSIKGDWTHVHPDGPAEYSPEDKVEGPQCAAQVHEVVHTVTKTQYKTA EVTQVAYQSAPAVDGYPIPGYATQPHSAGADAYAPPARAPSSAAAENPSYAIPPTDNL IPAHVPMAASSTGTSPVYGSYPQNSAAAVPYPSYPQQSAGADPYDGYPKPSSTGVDSY AGYPEPSTGADSYSGYPQQSAGADPYAGYAKPSSTGVDSYSGYTKPSTGADPYAGYLQ PSAGADPYAAQRPSYSKIAVHVPMATPASYGAFSKATPSNSMGKMIPTGVSAEQKASP SSSASASVSHGIMFQGSAARLSGGIVTAAAAAMGVLAFIL PEX2_089700 MVQQDPHQGGTLSEMAAQGTSMPNDAGIMNIIPSVPRPDQRAEN LGFENEGIAKPSTAMVADNTTDLPRSTRDVGESGDVISGTGDSMPAGVEAKHAYMGPN HHAGHGDTRDFKRSKYGRSVIERYQEE PEX2_089710 MNSYLLNRALGSVTPNEFHVAQTTRLVHNLEPAPGIRFSSRRAA APTDRSEDDVAPLDQELGATAEVFAHKSGVNCLAVDQFEGRYMISGGADPSIHLWDLE SRGSELEHVHQSCAFVSKSSHADAHTHAITSLSIYPFDPVPSTIFSTAHDGTLKLSAL QSPSITPIHTFNLDCTPYSHSFSSQPGSTLLVAVGTSERSVRLVDLRSGLSTQGLPGH NGAVLSVAWAPHRPHLLASASVDNRVIIFDVRRGGHNSAVATLDMDDPVGLVAPGTGS APVSYESRPAFSRHARAHNGPVTGVRWTSNGSHIVTTGQDSRIRVWDSATGANTLVHF GPRVRNSSSSHLAERAPLIVPRGCMNPGHETLLWPNFNEQDDRGEIFMLELREGTLVK RLRVPGLTAGSQNFRGRSSALSAGRINDLVWRGNGASGEGIELFSAHGDGTIRTWVSR EPDGEPTETEEAALADRKRKRDVLDEIYQGFLGPGQTALRI PEX2_089720 MSVARSPGLDEDSFVESVEDKQEELDDKPLRHTRRHANVYDAVA GRVNPRGVHAHRTVASQYRDTALANARSLRPEELLYRKQNIPAESTEEKIYFAHENLP SDQALPNSDLLETIHAYAADYYEYATADNGKDDHQTMDETALLAVGILIEEMAKEELG ETGDLALVEGQELSEEEDDRTALESDTTSKSAARAARRKRANSIARQTSKRRKLARSV SVTTDFDTEIDERR PEX2_089730 MPKLTKSEKKDLILTHLRATGTCHTLKDLEKTLPSIASINGIQV KEYIQALTDEGQLRVEKIGNGNWYWSFSSDEKHAQEQQLGRMTMEVEKVRKSYAEIEV GLVAETICRAEEADEEHEREFLMTKKAELQVGIDRVRTTEAQLSGPLNSLNSKDVKQV REKLAGFRQQALQWTDNIYILEEYLRKLASGDRHLVEGVLRDCYGDEYVDGEGLCELQ QTTE PEX2_089740 MSANPPTQPTLPASRNRNSLLSKFRSPLGQRNRGITDFYIDPDD PWRSYFPGDIIKGTVVVTVVRPVRITHIVVCLHGYVKVFKNAIPAGEATPDLGFLGPG RGRRGAEYLGNGLATLFEDEVVLCGDGRLKEGIYKFRFEMGLPPYALPSSINFERGII GYSLTSTLTRPTTMNPTLTCRRRVNLLENIDIAPFPAPRARIVTLEPISRRSKPRGKA KSTNSEATPGPDTASVDTPISGAAPSVDTRPPLSPSPSNVSSSSRPSESSQSFRIASD PSSSASTGGQNSEGRSATPSISDKTITAKAELLRAGVLPGDTLPITVTINHCKQVRSA HGIMVTLYRQGRIDLHPAIPIGTTAAGKKPVYEDCYPRSRTGLGGLTLGTSRTSSTFR KDLSQTFAPLIVDPSTMTAIVKTSIRIPEDAFPTITRVPGAMINFRYYVEVVTDLRGK ITSPDRFIPRFNMVSGGTTFSPSGQVLNPADSSGVTANWAGNILDTDSIRREKGVISV AFEVVVGTRDSQRGKATKRKSSTAEESITSQTAPPASAILLNPVDGEEWPEASPMPHS NGEYGTQEGYFPEETDWAEYPEEYTEHFQPMDTMVPPPEVEEPVDEKTRVRRHEEALL PSQPPDEDEAGPSTVPMEVPTAPVLPDDHHLQDYQHVPSPDTSGGPHAVRSAESLQTV VANDAGPSEPRVPADDKQELERHRLQEQASAPQGEPSSQEPSAGPSAPVFDEDDQLVG GTAHADESLPRYQR PEX2_089750 MAFKSGSFATFLIVCPTCFFLGIIFSLFPYDYPILWSTVTTPAA HYDYLEAHLRFLHASPPLIPRILHIVIFLGLAGLLTKLYKPSESNMLFDGASLVLYMC GVTVYIANIVKGLRLASAGQYGSELAASADENEQILGREDSLKVLSASNTILALVLVG ILVLQAGQWYAERKDAQEDESFATSKKSEKESADATATATTSGASTATAGKSGRQGSQ RNKKSN PEX2_089760 MAQAPRTFTPDSEFAELETPHAPQGRSLSINALARFEFEAGKGN DGTKILMIEWEDDDLTRSITDGSWHVSWAGKTSILPADERPSDSLRRFYFLLPPNTTI PPVVTLCYEPRSTPSNTTDSAAPPPSKPQDSFRLNPLPAIFPPELGATGRAAGKKGVL HTIWAKKRLRVLEAEIAAETRNNIEGIALHMAIQEKEWIEENFGVGSRAIDSVSSSHD SANSSSNYPATPVSPISGRKLTDKLKGLKLQTSEKDLTMNDSSAPNTAHLLSPQSPDV AVPSFSSFRNVTHGHIHSMPTPDTNATPTPSSHINPSGPESLKPVALFPPSALQEVQN NSSPNGFTAMGAAMGAISFAPSNDSGEELFAKALSPRSPDLPRSPFSFR PEX2_089770 MPVRKPDLHPLKTPKTFIFPSEIHKDSKTLTVDDIKREDGSATP ITPPLAYTDFLNALTPVFTSPVSAGGFPKVSIEKSHPSPISQPSTATSPALSSGGDVK LPDTPTISLPPPSPCTVKSAKAPPALRRLRIPQSLKPFSSKDSPRTATPCSATPYSAG PYSATQWSALPRSAASLRSPFSPSDWKLRYLEAPKSATTKAVSVRQVVTRTITYKRTP LDPPPKGKRRKTQECRDV PEX2_089780 MNHALSSSPSSGENDFSRPNYAERYDSNLAAHFSTPGFVPISYP YEVDVRTERQTFVNDVPLRHDSSVSTFNAMGPPQLHATLPTFRSDEWFQEEYFDSSRQ FSQTNTFLGGPGVGQSQASSLQASIPVNDYDRPLLDHFVDNVLRMIFPILEVHQRGSA RARSVLQSLETNKSYFHCCLSVAAIHLKTTSRLNGEQIDHDIMRHRFEAISELCKSLN RDADHDHILDATLAMIFFHCSVGAPDDYLPDIPWNDHFQAASNLVSKLDLTNKMIPCG PMHTVPPFSMSLTTWIDILGATMLGKTPQFAHSYRAKHLSGTPSGLRELMGCDDRIMY LISEVACLEALQIEGRLDSAAVCTHISALGSQLEYTEGADTTLMSPYSAETGAIRPDA LTKTMTAIFRLAARIYLCGLIPGSHRNQSSIINLVNALTDAMAYIPAGPFGFDRSLVW PLLIAGAFSTPSSSFRQVLHNRVTALGDLGELGSIGRMYQLLNDYWRLSDDPVSPSFP SGQHAPEAGYQFAETRQNAIPSAPLLSPGMREIKRQQVHWRDVMNRNGWRHLLI PEX2_089790 MNFLVNQKEEPLPLFPPKTTIHRPFSEATEIFEDDGYHGYSDSS PMRSVASFGTGSTSTVSTPDNVTTPNSTGLTAFHFHIDDNHVRGPSGPHLFGSFTGSD LQSTTDIDPMFQQTPISTTGPYHHKASKFDPFRRDTPVPNRSSGPTPEPQQPTPIARQ ALVSGRTGPQDWTPEEVVMWMQSLGLEDDIVDTFLANDISGSILLELQSEDLKELDIV SFGKRHKVMSSIKKLRDSGVFTSTDTSSSHSRNSSVSSDAASTRKTSVISGSSYHSCS TSDKKASNVKPRARRQHSDGNARKRTDLVPGDSVSIVAIEQLLPKLHQCPKGEECGKW QRQQDRLARLAQDLPFDHFGSRCIVAGDPGNPKTAPNLLKSPKSGVTPSLVASSDIMG PGKPSAYQLSQEKLKDVKPRDPQENVRNFLNFQSLNRLQTANQSATPSRGVLSSPGSE TCDSAKVTPTLAEHLRHLPKLRIPSMHDSSDGSIFTENMSALRTITPSILSRRNHFYD RPTVPGGQELISREVAASPADYYRVDPCYRSETPFSDVDVDVPVTAIQIGPVAREESQ SVPPNMRFGNNKYLAAEPITRPFSTRTETTWQPPSIQNVATMDPLKEGRAMSPIETPE DLQRTPRPPQMRNNTLFPFNRRGEDDITHSGWMKKRKTTKLVCHDWEDHHFTLKGTQL LMHDDDALTHTNSKALEYIDVDDYAVACSSLASRSKLTAAFRKTVLKRSANPRDVSAF SFSLIPTPNGSGFVDRKTMFLNSGKSHHFAVKSREERIDWMRELMLAKALKRGRDSGA ELTFNGANMI PEX2_089800 MRCLGGNRESQEGAQRAMLIMCELLDLLCRLQDQLNWSEDKWVV DPSRLRNLDEVTRYFESTIMSIEIYFQPGGISARSFRKRLLENTFIPRLEHFKVMMIL TMQPESREKSRVETKLRSVLRQFYEMDSDSIKASTPKAEDYHNITSRMTTKSFMRLAD LCNRRQKGTCEWIFNNDTYTKWLFGCSRTLYCVGPAGAGKTFLASTIIDSLQNAFTSS DVAVVFVFGHDETNDNISSVGFLDKILAQLVYRKRTPSHTSASLYNSKSFAEGKVSAK TYQDAIRAEVNRFSRVMFVIDGIDMHVEKERILNRLQKLPDHAQLLVTTREAKYAPKD EHISVLATRRDLETYASSRIEQDGGLSTLLKQYAPELKFAVVQQVAQKSHGLFLLARL QIDILSRCNDGSLLQRSLFHLPESLSDAYGESMTRIVSQNPFASRCLYWTLYAQRPLT VSELTFAASFELQGNGTSKESSFSAHTILYEAAGLLTIDAMNGTVHLVHGTAKEYLSG PAARVFFPTAKAHIADVCLSIITPDEVIDDCYVNQGTSPRKPRGKLLDYATTYWGNHA REVGEDEQATQVLIRAFLNKLCWRRPPSTESCTLGLELPKQLGFGKYFPDWTSLHVLA YFGITAKASRLIEQGADLNDRDNQLGITPLHCAVYQGNEEMTELLLDAKANINATCKN GKTILHMAGEQGHRKLIKLLLHRRVNSRTPTNQGATALQLAIGTTHDEATVPLLIKSR FDMDVQNTVTGNSALHIAVELRRPRILAFLLEKGASVNVLNRDGLTALQLACKTDNCE AVALLLERGAQLETRSSRGTTALQISASEGNWVAFDLLVIGGADINAWDSEGDSLLHK QARATSPSATSIAAHLLEQGANIEACNSQGYTPLQSAAACGNKPIFLFLVDQGARLDV QTAKGETLLHITPPLNQDCLDIVETLLEFNFSAKATTCNGLTPLHHLVINAFNSPDPF SEKTAIFLSLLLSHGADINTPTASHKAETALHMAVTAKMPRESLVLLLIKNGASLDSK TSDGRTPLHLAAERGRHSLFQMLLDAGADPSIKDSGETPTNDKVKGDGQTAIDLARKN PASVLWFDNAGRLESLPALHQRRSLATTIDSVDIGSDVGEIAGSTLVGEGEDCSIWGS RASTTSLDLPSIVSSSC PEX2_089810 MENRVSLGSIQKAVWDGRLPLEIVLASSESRTFDKTDPYLIAYP RISYLPSLLPKLRAFFSNFLIEPNSQSHDGWFEFEGVPLKWHYPIGLLFDLYAGADPA SKAAARSNESPESGPSLPWRLILHFSDWPDDLVRLDADGMVMNDAFINSVKEADFLRN GTAKGIMSLSKEDSSGLWNAVQEQAILTRRNTVDLSSFQRISNVLLPQLSQPFRNVPI RIFLPLPLDAESSSLKVVQSPLPPSIPALSMQSSQMLSSRSGSTLQPQTVGTVLHTLL PNLFPSRRTPVLAKPVLHGAVIPMSATIEELVRSSAYGDGWAYLVVRMMG PEX2_089820 MGILTLAEDRPTPKSVYNWRVYALAGIASCGSNMIGYTSAFIGT TITLASFRKEFGIDEMSPTQQSLISENIVSLFIAGAFFGALGTYALGHFIGRKWSLAV AATVFFLGAGLQLGANSARGLGILYAGRVLSGVGTGVASNIIPIYLSELSPPAIRGRL VGLYELGWQIGGMLGFWINYGVEQHMPQDHEQWIIPFAIQLVPSGLLFVGALWIKESP RWLFLKDRRREAMDNLCWIRQLEADDMYITEEVAAIDQALENQKTTIGIGFWKPFQAL ATNKSVMYRLMLGCMLFFWQNGSGINAINYYSPTIFRSIGVDSNTVNLMTGIFGVVKA IMTFVWLLFLVDQFGRRNLLLVGAVTGSICMWVIGAYICVVKPEDNPSTSLNGGGIAA IVFFYLWTAVYTPTWNGTPWVINSEFFDPNFRSLAQAATTASNWLFNFLISRFTEQMF AKMHYGVYFFFAALSFLAFFFAFFLIPETSGIPLENVNRLFDCKPVWRANKMLKAALA EEEEQFRNDVKQTSYHEENSGSAAPGA PEX2_089830 MQLNGSLFGALTLVLLQTLQLVQAEDLIQATGLTQCSDGAEVTV NNFHAALTPGNKSISIIFDGYSEVAGDVLIDVDLLVYGYKAFTKTFDPCSLDLSVFCP MKAIELQIPTITQTLGDSVFSQIPSIAYSVPDIDAVIRLRLKYKNGGQQITCLETRIS NGKSIYQIGVSWTLAIITGLGLTTSTVTSLLGHSNTATHLAFRSLIFLGFIQTQAMVG MSSTKLPPFVQSWTQNMQWSLGIVRSNALQTIATWFQRATGGTPSSVMTSSSSISVVL QKRSSAIQRRAAEKGSQILLRGIERVGIRDSIELTNIFMTGYLFYYFITAVVVACVGL ILLVRGVLAKKQTLLPKLNRAVTVTADWKTILRNTFFRLACIGYPQMCILCLWELTRR DSAAEIVLAITMWLVTTAVLAFAAFKVFQSAIRSKQQHNTPAYTLYSDPVTLNQWGFL YIYYRAPVYYFLVPALAYTMIKGMVIAFAQPSPVAQSIILLVLELSMMLATAIIKPFM SKGANVAAITMAVINSLNTIFLLVFSDVFDQPELMTGILGVIFFILNAIFTLVLLIYL LIGMVYAIFLKKPDTKYKPLGDDRDSFLWSGTGTTTELSSLEKIARGEEDAEGHPHPA RVVTAPPSDEDVSMAARRPYNDVVDPSLPLFPANYDHTRR PEX2_089840 MRVSAAFMDLPAPDINPGRPQNVHEGNIFDHEGISEGIQPLSSH LEPVADNIPWESWESPAVPQEEAGWTTTGATETGHQNASTTLASNRTRSGRHITQPAA RQAEPVVIKGRPVKAAAKKRKRLNRQVNIVCTGCYRGHSPSNNLIVLCDSCDAPWHQK CHNPNIDNEVIEIPEMNWFCIKCKPEQRQTAQTKSQKKTATKVKKVGRPKKQPVPESQ VGGKYYSEQERRAYLSSLSHNSLVQLIVKVSNEWPSVPIFPPDIQPVTDFTPSLFPPH NHRASKPTPKKNSRSALLDWENLETRSENANAMDSNQRIGKSSDTTAPEATALDADQI FAAMVYDAAPDPAPPAALGGMTGDQIFAGISHTHPSTTAPQGSTKTTLQTPSRAARKF VSQAAPAAVRARKASFVSSNSDLLTDDESSYSQSRLQSPALSVSQSSHVGSQHESDYD PGDYRAYPEAGQGFQVPSTPSDLDIMAEDNNCLTFSHSIRGSAKEARNKKPYSPLGQR KQW PEX2_089850 MSVALRFQVVARRSGLSWGSNALRRRVPGRSYSSTASIDGSLPL AGIRVLDMTRVLAGPYCTQILGDLGADVIKVEHPVRGDDTRAWGPPYAKYEDASREGP GESAYYLAVNRNKKSLGLSFQHKSGVEILHKLAKECDVLVENYLPGGLKKYGMDYETL REINPKLIYASITGYGQTGPYSNRAGYDVMVEAEMGLMHITGARDGAPVKVGVAVTDL TTGLYTSNAIMAALIARGRTGRGQHIDACLSDCQVATLSNLASSALISGQKDSGRWGT AHPSIVPYRSYKTSDGDILFGGGNDRLFGVLCDRLGFSELKTDARFVTNSDRVQHRAE IDDIIESTTIQKTTQEWLDIFEGSGMPYAAVNDIQGTLNHKHVLARGMVTEVDHPACG PIKMVNTPIKYSEATPGVRTPPPTLGQHTDEILGTVLNYGEADIARLKDEGVVS PEX2_089860 MFTGLVETIGTVTALEPIDTSSSGGGGTSLTISDCEEILTDAHL GDSIAVNGTCLTVTAFDKTWFKVGCAPETLRRTNLGSLQANSHVNLERAVSADTRMGG HFVQGHVDTVAEILSITPDGNSLVFRLQPRDRNILRYIVEKGYVTLDGASLTITKVVD GQDGYWEVMLIAYTQGKVVTAAKKPGDLVNVEIDIVGKYVEKSVQGYFAGTSGGDFAI LEKMVNRIVDERLKK PEX2_089870 MAKQRVKKRTHQKPQNASVVKGSAASMSKTPKSMVIRVGASQVG SSVTQLVKDVRRMMEPDTAVRLKERKSNRLRDYTVMTGPLGVTHLMLFSKSATGNTNM RLAVTPRGPTLHFKVENYSLCKDVERSMKRPKSGGQDHKTPPLLVMNNFTTPGATEDS KVPKRLETLTTTIFQSLFPPINPQSQPLSSIRRVMLLNREPAEKDSDSYILTLRHYAI ATKKTGVSKRIRRLDPKEIRNRDKKKTAVPNLGKLEDAADYLLDPSAAGYTSASETEL DTDAEVEVAESTTRRVLNKREMQRQKAAEKGQDKPAHTPGVEKRAVKLVELGPRLRLR LMKVEDGVCDGKIMWHDFIKKSEKEMRKMDQSWDVRRKEKEQRKKLQKENIERKKQEK AKARGGKEVAEEDEDEEMDDEDWLSDDDFDKDAEGEGEAADDDSDVDSDESMEE PEX2_089880 MPITKKRKVAHAAPAAPVEDSDAVSNASSSSPQPTAKDADVEDS ASDSNPQPTADDSNVEDASSETEPSAPSAPKTFKELGLIDSLCEACDKMGYKAPTPIQ SESIPLALQGRDIIGLAETGSGKTASFVLPILQALMEKPQPFFGLVMAPTRELAYQIS LACESLGATINVRSTTLVGGMDMVPQSIALGKKPHIIVATPGRLLDHLENTKGFSLRN LKFLVMDEADRLLDMDFGPILDKILKVLPRERRTFLFSATLSSKVESLQRASLSNPAR VSISSSKYATVETLQQTYILRPYKHKDIYLVYLLHEFIGQSVIIFMRTVHETQRVAFL LRGLGFGAIPLHGQMSQSARLGALGKFRSKSREILVATDVAARGLDIPSVDCVLNFDL PTDSKTYIHRVGRTARAGKSGTAISFVTQYDVEIWQRIEAAMGKELAEYPAPKDEAMV FAEQVSASQRDAIQAMKHYDEKKGAKGKGKFSFKGKRSRDAMDQEEG PEX2_089890 MASPAVKKAITEAALQYTKPEGKVFEYGTAGFRMKADLLNTVVF AVGLLASLRSKKLSGQWIGVMVTASHNPAEDNGVKLVDPMGEMLEAEWEAYATRLANA PLDKIADVYDELVKEIDVKMTNPARVVFARDTRASGSRLVSVLNAALTATEVEFVDFK YMTTPQLHYVVRCKNTLGTQYEYGEPTEQGYYEKLANSFQKVMRGVKVQGSLTVDCAN GVGGPKLRELMKYLTGIDIKIVNDDVINPDALNFDCGADYVKTKQRAPPSSKAAALDR CASLDGDADRLVYYFQDESNVFRLLDGDRIATLAASFIGDLARNAGIASKLKIGVVQT AYANGASTDYIEKVLKLPIICTNTGVKHLHHAALRFDVGVYFEANGHGTVTFSENALK VIKNTEPQSPAQQHALESLQALTDLINQAVGDALSDALLVEAILAHKGWSPKEWLGTY TDLPSRLVRVEVNDRSIFKAYDAERKLESPPGLQGTIESLQSRYNKGRSFARASGTED AVRVYAEAASRSEADDLATRVANAVSEAGSA PEX2_089900 MNLGVGRVNLYTLFAFVFLASLIGRSNASLGDHLPDFKECVQVC KTENCQNGNSVLPLHHRLLLWTCPAECDYTCQHVITDRRVSRDPPMISPVVQFHGKWP FRRLLGMQEPFSVLFSFFNFAAHWHGMSRIQESIPAWHSLRPYYMMFGYIGLASWSFS MVFHMRDFPLTEKLDYWAAGASVLYGLYLAVVRIFRLDLENTPYRPTLRRLWTAVCVL LYTLHVSYLTFWSWDYTYNMMANVVVGVIQNLMWTGFSIFRYQRHLKSWTAWPGMIVA WIIMAMSLELLDFPPWNGLIDAHSLWHLGTVVPAVWWYSFLIRDTQDDIAAHRLKA PEX2_089910 MDTEADTPQGITSSADRMVGMEHSEVRYFTSYDHHGIHEEMLKD DVRTRSYRDSIYQNRHIFKDKVVLDVGCGTGILSMFAARAGAKHVIGVDMSSIIEKAR QIVHVNGLSDKITLLQGKMEEVNLPFPKVDIIISEWMGYFLLYESMLDTVLYARDTYL NPGGLIFPDKATMYVAGIEDGDYKDDKIGFWDNVYGFDYSPMKEIALNEPLVDTVEMK ALVTDPCPIITFDLNTVTTADLAFKVPYALTAKRPDFIHALIAWFDIEFSACHKPIHF STGPHAKYTHWKQTVFYLRDVLTVEEEEKVTGWVENRPNDKNKRDLDIGITYKFETPD ATRAAEGGCFYRMC PEX2_089920 MSAPGAGHEFPAQEVSWQKRDVLLFANSIGIKADELHFLYELHP NFSVFPTYSLILPFKLTDQEVTDFYARQKAVHIPGVPDLDHRHGVDGQRKLTVLKPLP TTSAGRKFELRNKVIGVYDKGKPGTVIETEQSIVDKESGEVYTKVVSSGFLVGQGGWG GPKGPSTVNYPPPEGKAPDATHVVQSNSETAHLYRLNGDYNPLHATPEPGQKMGFGGV IIHGLFSWNSAAHGVLRELGDSNPANMKEFQARFASPVRPGDKLTTEIWRMDDVQDGY EEIRFVTKNDKGRVVLSNGRCLLKVTGVKSKL PEX2_089930 MDREITLNFGRFFLRENCQCPKCIHPDTMQRISDTFSIPQNVKI DSIEHRDNMAEIKWSDNHTGLYSYDWLRAHSQEMSSIEGTKSAPHPQSAMQRPRQFTP VVDPDQSPRVKYDDVMSDDKSLHIWLSHIWDQGFCFVDDVPINPEATQFLIERIAFIR NTHYGGFWDFTADLTFKDTAYTDEFLGAHTDNTYFTDPARLQLFHLLSHTEGSGGENL LVDGFAAAAQLRLEKPEHYAQLANHRQPWHASGNEDTCIQPSAMAPVFSMHPDLKKMY QIRWNNYDRAPKTNWSASEQTIWYQAARHYNEILQSREMWTKLKPGSALLFDNWRMLH GRSQFTGKRRMCGGYVNNDDYISRLRLLKFGRKEVLDNLGNVGNNPNNPYWFI PEX2_089940 MSTLEDLDDLEQEQRDKKQDQNDGNGKKPEGDGDANMEDVDKKD EEEEMLDEDILNSSTADIVTRRRMLENEMRIMKSEFQRLTHEQSTMREKVKDNQEKIE NNRQLPYLVGNVVELLDLDVEAEAAEEGANIDLDATRVGKSAVIKTSTRQTIFLPLIG LVDHETLKPGDLIGVNKDSYLILDTLPAEYDNRVKAMEVDEKPTEKYTDIGGLDKQIE EIVEAIVWPMKEAERFKKIGIKAPKGALMYGPPGTGKTLLARACAAETNATFLKLAGP QLVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQRTMLE LLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARANILQIHS RKMSVEDSVNWAELARSTDEFGGAQLKAVCVEAGMIALRKGLSKIGHENYVDAIAEVQ AKKKDTNMGIYV PEX2_089950 MDPAKPVDLPERPKEATEPTDPPKKSKSQEKKEAAAAKKAAAKL ARQDQPKQKGEPKAPKAKEAPAPRDPEAMFKVGFLHDVYQEKPLSETVPKIRTRFPPE PNGYLHIGHSKAIAINFGFAKYHGGECILRFDDTNPEGEEERYYNAIREIVTWLGFTP VRETNASDNFDRLYELAEDLIKRDGAYVCHCNKSEIKAQRGEVEGGQRGGERFSCSHR TRPIEESMAEFRAMRDGKYKAGEAALRMKQDITDPNPQMWDLFAWRIMGTEDRQHHLT GGKWKIYPTYDFAHCLCDSIEEISHSLCTTEFELSRVSYDWLCNKLEVYRPNQREYGR LNITGTVLSKRKIIQLVKEGHVRDWDDPRLYTLIALRRRGVPPGAILAFVNDLGVTKN TTNVQVTKFEQIVRQYLETTVPRLMVVLEPLKVIIDDLPEDHLELLDCPFSKDPAFGT HNIPFTKTVYIERSDFRAVDSPDYFRLAPGKTVGLLKAPYPITATSFETDPTTGEVTC VHAKYEKPAEGEAPIKPKSFIHWVGESAAHNSPIRAEVRAFNSLFKSEDPSAHPAGFL ADINPDSEEIFKDAMVETGFRDISRSAPWPKEEGAAEPGENKHSIRFQGMRVAYFAVD RESTDEKLVLNRIVTLKDTQGKN PEX2_089960 MSVVATRTETPVVSCNPIPENHVDYSVHSNASRTSSISSYKTNY SASTAPTTYPPSSPSSSYRQGDSVNSLPKLTEPIQRRLPHEVYEVIIHHLEELHKGQH QTGCTTCFQRDLHALALTCRSWEKAVRGRLIHIVGNDSPAQLKKYRLKRGSRLKLLRR TLRERKLLANLVYELRVPQLDLLFTTTKQGGQWEEYRDLVASVVMVCPNLERLLGLSV PYHHEFDRLTHALSTRKKLKEHTWVLGEAAHTSELSPRGDSCPGSLGPSQMFEFLDYH TSWTSLETLMLYGLNGNGALEPSISLRMFNLLPSLRNLCITSFNKDSFDNSTLMCLPP LESLRLENLPGITDAGLSQYTSRPESLSLKTLVLVEQNIESLLVISKILASLRQLERF KIVQTEKCPTLPDEHMIFQPILASSTLKYLHWDVACPDPGTALTQLDTLPFQKPIKPS NTPNFHLAQSIISAGFPQLEALRAPCDVEPPGALQAACRPILKGQALLRPDRYSLPRS SHGSVNTRPLALPAGNNLTSARIRAQTFIDMAAKDTEAGMPVLIQDYSDAYVPDNARH SQFEEEPEQEMDDYGIWAACERFKHKDNDHRGPKTVYEFRMPTLMGRSGFRDPATGAS IPHFILRPDIPGQEADGGLIGWKQLLASNQSLSYAAGVGVNCFGSGSPSILSPEESMS PASTISRFGWGSLSGRSMMGTSPTTPTTPITPMSSVLGSALPWDKDTCTGTWNHKMGR DWWFHMERDRPGNSELVDINQLF PEX2_089970 MPDCIGVGVATPLLMVVVVGLESVGRNPIAVSNISTCIAIGDSV EGVTVVDHSSADWTGSSGSSSSDEVEAAGVEVAGFEATALAAAILDAAALYAAELDAA ILDTAALDAATLDAAILDAAALDAAALDADILDDAALDAAALDAEILDDAALDAAALD AEILVDAALDAAALDADILDDAELDAAALDADILDDAALDAAALADAIPDDAALDAAA LEAAALEAAILDAAALDAAALDAAILDATALDAAALDAAMLDAAALDAAALDADMLDA AALDAAAALDAAALDAAALDAAALEAAALEAAALDAAALEAAALEAAALDAAILDTAE LYAAALDAAILDAAALDAAELDAAILDTAEL PEX2_089980 MVGKMYAALWGLFGITSAFTTGAKVRRDEIPKFPHDSQTISSCS WWHDNDGSVPPFYNIELHQFPHWSLLLRRSVRSDFQYSRFRSSSFERSGLQRGSIQCS SVQFGGVQYSSIQRGSI PEX2_089990 MTQRPKPTILPSSYTQTTSPETFPTPTHGDVSWHTLISSPQTES TDLCAGIAICPPNTGHLCAHRHTQAELYHILAGEGDVTIDGVTSRVRAGSTVFIPSDA EHGIVNTGTVDLRWFYVFPTGSFGDIVYRFKEDGKDGGEGKGTEKAKL PEX2_090000 MAAQSGPVLHGDDMKKMNSGLEDDTQDQIRSNGSTPTPTGIATP EPDPADKRLPSIMHNYFQVGSFSGDKASLPRLWSCLSKPSEDLQSNAHTQHSSNSSES FVMMEQEDGSDKMEEHPTLHTPPHSLLQHESDEMELGTSPGVSSIFTTLKNYLISPTQ TPPEDPPSRRQTSLPVSSISDDPVLATHFSNPSLPPVSDTFPLSEALLDHEKPHVSIS SENLAKLTENAPDGSRLKNTPPLTPRAMSNEVPTAQEKTATSAQETPQETPQSESKPE DLESSTDEITMKLDEAFPPQSETVPAATKSTSPANGAPTGPINGKLFVKITDGRGLRP SFDPYVVCVFEWNEYISKGARDGEEEKKRRQLESDVEEAAGRPMAIPLKTRSSSHNSA IEGDHMGRTPVTDPHWDHEATFDVMGDQSELDVTVYDRNNQEAFLGHVRLRINLKEDH SRLEGWFPLVARGAGDNQVSGEIHLDMKFEKTDRKQVGPNDFLVLKLIGKGTFGQVYQ VKKKDTQRIYAMKVLSKKVIIQKKEVIHTLGERNILVRTAMTASPFIVGLKFSFQTPT DLYLVTDYMSGGELFWHLQREGRFQEARAKFYIAELILALQHLHDHDIVYRDLKPENI LLDANGHIALCDFGLSKANLSQNDTTNTFCGTTEYLAPEVLLDEQGYTKMVDFWSLGV LVFEMCCGWSPFYAEDTQQMYKNIAFGKVRFPRDALSTEGRNFVKGLLNRNPKHRLGA NGDAKELMAHPFFHDINWDTLCRKEVIPPFKPQLQSETDTSNFDPEFTNAMELNNSLN LRAANLANGLMPASTPLSPGMQANFKGFTFVNESSIDHHLMDESDHMEEDAMHNHHWQ QGHRSGNSVDQRMTGVQKTHDGTEPGIFNVDDTFDM PEX2_090010 MPRKLRAAAQAAAKSIKNVPSIPDGSDEEMVDVLSSQPSSPIDE QEDSPEKDPDVELEDEPETKPELEAESAEVQAPETPATHKPEEELSVATPAEDSILAD TDNTPSYGPGRPSLPPRGKRRIGRPPKNPRPEWDPADGEQPLRVTTPVKRRRGRPAAS GGRWARNRGPSHLTQVPVDKEGNMMDVINDEVSIPPDPIGATKVDENGHLQGGREYRV RTFKILGRGDRLYMLSTEPARCIGFRDSYLFFQKHKMLYKIIIDDDAKRDLIERDLIP HSYKGRAIGVVTARSVFREFGAKIIVGGKKITDDYDETAARERGDVEGELVVPEDRLP GPGETYNRNQYVAWHGASSVYHTNAPAVPLVGGKPVDTKKRRVPVTDDNWMLEHARAA SNFNSKLGEMRRANLGGVYDVHTNIMQHPKIMQPTHARWERVSPAPATAPTELTTNMN SLNLSNGGSPSDHENHTSHETQESHEATTGETSIESPVTTFSPVSAHLHDRYVIQDIV YESPPYSNMGIPGPDGDVHDIGPNGLVSVANPEHPEFMTPEILQLLPPECKEALIDAA AQEVEWKSKWTTETTDGERTQPTKSYAWYP PEX2_090020 MWSWFGGAAAQKRKDAPKNAILQLREQLDMLQKRERHLETQISE QEAMARKNVNTDKNAAKNALRRKKVHEKNLEQTTAQIMQLEQQIYSIEAANINHETLA AMKQAGAAMKQIHGGMKLEDVDKTMEELQDQHALSAEIGSVITSFPIGEQPDEEELDA ELEGLEQEAMDAKMLHTGTVPVGSQLDRLPAAGNTDLKHPAKAQEEDDEEAELAKLRA EMAM PEX2_090030 MNGPRPSTRVFLSDLSSLQADSKIRFLGCKIAPPSVSVDINALL EDLTAEELRVGTWLNVVGYVRESEPVLPPSSFSSTPDDANRPSQRPSTVTPRPVYIEA IMVFLAGAIAIGEYERILCNSQHVERMIQFTH PEX2_090040 MSQKTDSIESISTVNSQTERDAIGKTLTARASHMSLSERVTTIA TNATADPDYEVDWDGDDDPDNPKNWNFKYKSMGILFLSWNTLIVVLYSTSYTSGIAFM AEEFGQSNTIVTLGLTFYLFGLAIGSMFMAPLSEVYGRKPVCVLCLAVFTILIIPCAL AKSVTALIVVRFIAAFFGSVMISTAPGMVADLVDDEHRALAISVWSIGPLNGPVIGPV IGGFVTQYLGWRWMCWIALILSAVALVFAILLKETYAPTLLQKKAARLRKETGDSRWW SRYDQKASLPEILKLNLSRPFVMAVTEPIWQVSYPYIFHNNPQNMKLIPFSIFWNIYI AIIYGILYLCFVAYPIVFRDIRGWQLGMSGLAFLGIGIGVVLTIACEPLIRRLINSHA KDPETGKVYPEAMVSFVCICAAMIPVGELWFAWTCSPASIHWIAPVLAGIPFGAGNTG VFIYASNYLTHSYGMYAASALAGNSVIRSILGGVLPLAGASMYASLGPNWAGTLLGVL EVIIVPIPFVFYKYGHKIRMKSPLIVRMQEEKTKLEGKRARRQIQLQQANRSDEEKVA EVV PEX2_090050 MTSLITTGLAGLGALYMWHVNSAMKVVPEEAQKLSPHRWTVEEI KAAYKKSLETPIDVTKSLPPKQSRRYVIVGGTVSHLLTRGEDPKAIRILDLMSPEQDI LNKGVRWTKTNITDKLAVTTAFEEPWPANVTSLALTVYHTAAIIRPQDRLKSFLPLSS KVNIDGTRNVLNAARAAGATAFIWTSSGSIALHQPTFWIAPWATQPKRVVQVISDSTK LPESHYEFFGNYAVTKSEAELLVRAADNPAENFRTGCIRPTNGVYGTGGQANNVITGL YLRNGGSPTWARPMLQSFVHAENVSIAHLLYEQRLIQQSEPGSQLPNTGGQAFTVSDP NPAIAFDDLYTLLTTLSSTPLSFPEVQPLPLLVVAYFVEMYTFLQYRYLSWFLPRLSG DIGQLQPSLFSIINVHVFADDSRAKLAPEMGGLGYNPPLNTLEGLCRRLVDWNTKAEG EGVEVRGKKIRLGPVKVAEDGVDVAMPRVL PEX2_090060 MPLINESHDSLPYIDAAPTASAQARAQQLINAELSPEHTSTMHP WIPEAPEPKFSQFIQQELSRKAQGAPLTGGIDLSRYEAPEAPTRASDTDTPDLDAWRQ TLQKAYASSSHLSKRHENLSLLEEHGKNAWLIGNSQLEQILGSLEKELAETKEASEQV NKQRKIAQEVSQGELVSLEETWKNRLGAILDVEVASERLRIQRLGYMRQVAQQQSR PEX2_090070 MANFRMGVTFAGVTLAVLVFFQLIAVFKLDSHSQSSLPSFSSNF NLWKSRANAVAADDIFLVGAGKADVTGPVVELALAGYASLDQLGTGLKQRLYSRAFIF ADPNKPDDTFVYLVLDTLAGDTAVRHGVLEGLTALGGNYARYGERNVAMTGTHSHSGP GAWMNYLLPQIPALGFNKQSYQAIVDGTVLSIIRAHENLAPGRLSFGSIDLQDTNTNR SPFSYERNPEQERARYSANVDKSLSLIRFDRESDNRTAAVLTWFPVHGTSMYNNNTLV SGDNKGVAAYLFERSIDGDARFTEDAVIGFSQANVGDTSPNILGAWCEDCSGEMCRYE DSTCGGRNEDCHGRGPFFREKDNGAKSCFEIGRRQYSAAKKLYEEMHTTPIQITGGSV VKSFHVYQDMNGYTFPSPFNSSTLTTCPAALGYSFAAGTTDGPGAFDFTQNATSPSER NPLWQIARALIHQPSETQQQCQSPKDVLLDIGTLAEPYPWAADIVDIQVLRVGQLFLV ISTSEATTMSGRRWKEAIAKGANEHLSVSDPLVVLGAPSNSYAHYVATEEEYGAQRYE GASTLYGPNTLAAYVNLTLTYLPYLGSSSEVAKLPVIQPGPTPPINTNRSLSFITGVV YDEAPIGRHFGNATSNPGSGPYGPGDIVKTTFVGANPRNNLHLESTYAAVERKSDSGA WEVVRNDRDWNLVFTWKRTNEILGYSEVTIQWEIENEYYSVDTTSPLQAGTYRMHYYG DSKNPLGQISPFEGMSGMFTVKTS PEX2_090080 MEPQPETFHLFGALYVPDPERCLLPEDQPLADRAISLMRDFEQV PSANRHPWDMDSAHQRLVNDDIAWWRTKNVERPEEYIVRPSGSLDASLACILFNPTFS VKDPCLRETFDTSNATISQMERAGFNLKNTLFVDQVARRDISQNVEAIYPEDLWQIHE RFLREIWDNMRAVVVICWGSAVRRRLLGTSKKTGWFQNFEVLKLWGRFSGIEVLLELT PNKKSMKRFVLFVKHPSYFFYIQSDKDCARNLRRKQGRPQDLALEVAAKLGQIHIEAR FYELSPKLRVNLTVPHKVTMEREGWKGDAAAQLQCAFPSAVLSQQKSHRIRGPRGRDL KSLDDIASLMNQFIIGEVHVDGRLRESDASIDPTEVEVTDKTQRHRRLQIISSFWGAL KEIIEGSGSMVVSSEIAAENVESSLASIDDMEDVGEWQDLPEEITALVHDQPGLRFNT NPLNSREDLERAYNLLHSFVPGWSDSPKALGIGNLAMSVLFAYGRLISRHRRPHMDEL VVFREAPFDILPLRCSGCNQQVLDDPFPYWSKYNPSIYVSWAVAGGCGNTGCGFLYAS LKPFDDQVRWSAAVVGRVSKEALDKVNARRARKPSTWLLRTEAERGTLPDEIEVKCPT CPQTKLVEAKWTIAVRPTLLIPYLVCGSTKDGAGNGCGNRGYWEPVERTQVTRQPNIS RLWSQFAKNGCNLSDYPRDGGVIFDDKTISFRIAKLKELKVAQQHMEDNRFS PEX2_090090 MKWSLASGLVSLLVTTVTAWPYDETYVGYNLNENKTATDPADYW GTWPGHEGKYNPSPDNWRFPFYSLFLDRFVNGDPTNDNANGTTFEHDVDQRIRHGGDV AGLVDTLDYLQGMGIKGIYIAGTSLLNQPWTSDGYGVLDTTILDMHYGTIQVWRNAIT EIHKRGMYVLFDNTIATMGDLIGFEGHLNTSTPFSEKEYKTLWKTERQYRDFHIGADY NTTCDYPRFWFEDGFPVNETETSALVGCFNSDFDQYGDIEAFGVWPDWKRQLAKFASV QDRLREWHPSVRARLIRHSCMIIQSLDIDGFRYDKGTQSTVDALGDMSAAYRECARAV GKENFFITGEITGGNDFGSIYLGRGRQRNQWPADSAATMKLTNESSAQWFLREAGHEA IDSAAFHYTTYRALTRFLGMDGQLSAGYDAPDDWVEGWDYMLRSNDLINANTGKFDPR HMYGATNQDVFRWPSIANGTHRQLLGAYVTTLMLPGIPLLLWGEEQNFYILDATAENY IYGRQPMSPATAWTSHGCFNLMSSQYYKWPLDAAKSGCKDVATAYDHRDPSHPVRNIM KQMYHLREQFPVLNDGYSLGNLSKRTDYVYYPGSNGTATETGMWSIYRDVNTQVQDLG SDANNQPVWLVYQNLNDTRTYTFDCSSTNPNKTLTSPFASGVTVKNLFYPYDEVKLID GARTLGFNGSKELNGCVESLKMKAYEFRAYVPIARHKTPRPMITKFTPGHDYPIRSTV APNLPEKVEVEIYFSEEMDCDSITEGITFNSSTEIGKTPVLSSSNVKCTNITSMLQTN WTAEIPSVWKWTANLTGVYNGVHKMTLTNASNVGKTDFTYSVDHFLFRIGQIDNPMVF NTANYSSSLLHKKANGDLFIQHHAAGADKYRYSTNWASSFSGWKDYKGGNDTITKLPW SGTKAQEWEGEHVRVEYWSRLTGSSDYVQQGDAEWNYPTTRRFPHAFFNGPYNQYGYD AGLDNKIKFDTKTGVWWYHFTSEWPAVGQLNIWGINPDGKPDQSWVLGDIDNDTILDR SPPSALSATLINITLAPAKGYLSHKLYFDDGTLRFWLDPVGPQSTQIALFVLFWIIPF LTAVGCVYIFMKSFYKVKFNQVGVSEKQNIPLAIWNKIKSTTHRDPSSNPLMGLAKKS GFMQSTSALGGALASGKRRMVLIATMEYDIEDWAIKIKIGGLGVMAQLMGKTLGHQDL IWVVPCVGGVDYPVDQVAEPMTVTILGSPYQVEVQYHVLNNITYVLLDAPVFRQQSKT EPYPPRMDDLDSAVYYSAWNQCVAEAIKRFPIDTYHINDYHGSLAPLYLLPRTIPACL SLHNAEFQGLWPMRTIKEKEEVCSVFNLDEEVARRYVQFGEVFNLLHAGASYLRVHQQ GFGAVGVSKKYGKRSYARYPIFWGLHKVGNLPNPDPSDVGEWTREPTKEADITVDPTY EAGRGELKRQAQEWAGLDQNPDADLLVFVGRWSMQKGVDLIADALPAVIEARPNVQLI CIGPVIDLYGKFAALKLDHMMKVYPGRVFSKPEFTALPPFIFSGAEFALIPSRDEPFG LVAVEFGRKGALGIGARVGGLGQMPGWWYNVESISTSHLLMQFKLAIEAALNSKTSVR AMMRARSAKQRFPVAQWVEDLEILQSTAIAVHNKVKTNNNNPRPGTSSGFGSLSSAMN SMTSLGNGMPSPGMNSPFAHSRESSYSFNQMNNMTPQKKVSYSPDPSMEETEKPKSGL SRSLSLGVRSGPGHAARRKRAEAGMGIPEADENGHTDVEGDDSSDDDAAHRAFADDEY TLTPAQVEAGRRAQAAQRDRSHNLPPSSPTREVSQESLHPRFMVPLESPGSPGTPPNA DSLLLPPQPFAQSNRLSSASVLSLDSVVGNKTDFKLQKVDPFFTDSTGEYYRTFDKKL DILNGSNSESQLCIEEFLIKSEQQWFDRFRNARLGRSKSPTPSIRHSSRNTDTPDNMF YSDDLVSNVNSNEDVLQDDEFLLGKDYVPPTGLKKWMQIKIGDWPVYSIFLALGQIIA ANSYQITLLTGEVGQTAEKLYGIATTYAIASMCWWLVFRYFKSVVCLSTPWFLYGLAF LLIGSAHWQSDSFSRGWIQNVGTGFYAAASASGSIFFASNFGDEGGAPVETWIFRACV VQGIQQVYVIALWYWGSTMTKANADGLLTATTNIANSWKMSAICYPIAAFLCIVGVLL ALGLPNYYRQKPGKVPSFYKSLFRRKIVAWNFVAVILQNFFLSAPYGRNWSFLWTSQH THPWQIVLLCIVFFGFVWCIFLFVVSKWSKKHSWFLPVFACGLGAPRFIQIWWAISGV GYYLPWAGSYTSGALVSRSLWLWLGVLDSIQGLGFGIILLQTLTRVHMCFALVASQVL GSIATICARAFGPNSVGPGPISPDITQGAHALANAWFWVALFCQLLICAGFLLFFRKE QLAKP PEX2_090100 MAEALTSLLQVAHVAIISGGDWPQFEKQIAARLPPHITSSAFGQ EAPLTEKEKCDPDSAKRKVIQADLRKRLPDMSINMGGATSVDITKKGVDKAYGLRRLS ELSKIALEEIMFIGDAIFPGGNDYPAFELGLKTVKVKNPDGTLAAIAGIVACLS PEX2_090110 MRFTPAILMLASAIGAIAAPSAEPMELDARTLEARDEGIDIKIC SGINLGGNCIDIVVYIQNDCHNLNGSPVMDNVKSVSIPNGYRCRFWQSTTCNGGGTGD IQAGGNNDIGTGSLSSVKCYKN PEX2_090120 MMNSDDIRDLGEPIIRLAAAAKRAVRQRIRERWERQWERETTSA PTKRLVQAPNKKTLRLYEGLTKPQCAILIQMRTMRIGLRHFLFKIKAAESDRCPCDEG SQTPKHVLMQCPRYIFPRTKLWDQLYDLGIEMDYDKIISNPQATRYVVKFMHQTGLLR QFQHVGIEDDDDDEPVGVAAMDLGVEDDGY PEX2_090130 MEFETDEQLPPTFEPYVATPLDHSMTPTHLAAFLTFSLDKPFKA IPILEAGVTRLVRYLPFLTGNVTFSTLIPHKENVFEIQPPTKQFLLEHPMLKIKYHEQ PIFSQQSSLVVAQDIVLSEDIIPIPFALAEDYPSPIFRFQANVMPDGIILCFNFHHTA IDGIGIAGIMDALAVCCRDPDAVTEARSKLRPKLPLSYIGNAVILQPVYTPLTAIVSS TTSSNYNTHITPRLDYDDIKLLAELTSSVHTALGSVCDETIRELISAIAASNNWAANP RPNDVIISSLRSFPTYSLDFGPGFGPVRDFDMPERRIPGQAWIMPARYKGQSSPWEVR LALDPGVMGNIEKDRLMTWLGPREVSKV PEX2_090140 MKNWDYGKLAIATAAILGILTLGALIYLLVWYIRRRLRARRLHR QESHENDQFDQSAVSLAEDTSRTLDDFLMKDIQPERSSIMLSRSGSPSIAIVIDDADD VDHCKYPPQPYLAKYSTSGSSSADTHALTHISTQELGPDDIRSDQTQWSSSGRRSSST TPRASISSSAIPISRSSQTWTTTSASTPSEQAQSSISGHHSSTATPRESISSSVIPTA GSSQVWTTTSPGTETFSLLSQSSNRSYRPQSPTGPSASRRSPVYTRRSNVYGASGRPS SSGVLQSASHMFSEADAPSIAYSRNIDSVGSISPTSPVSPVLVDVPAGNDQPQWTTVP PMPFPFSQP PEX2_090150 MANHQVNPAYYFEGHMLRRLYTEIRVGSGRVIPTEFTPPSPDDT PQSLFPHCPKATATDRVHRFIRLNHDKRSAEDEFLIYTGATCLDNGRINGRAGCSFVY NNSTSGFARLPLEFEGPTGQHHTMTVDRAHIRAAIAALRYRDWAADDFSRLIIATDSE YLVEGITILIREWLHSNWMTHAGEPVRNRDLWECLLGEVEIWEERGMSVQFWQVPKEA NTKADRHARRGAFMERHPEFTDISGISDVSV PEX2_090160 MGSFSMSKLSKALPSVCRQSQPRISSLSITRPFSHQSTCSARKD GSDIEKQMLNREPESPSASSSPLSAITQMMQGKRSGVPSSRGTSDYSRMAESLEADMI KNPYADRAPPHHLHVYCHKHNTILTLTRPNGSPLLSIGCGQIGFRKAGRSGFDPAYQL SAHVMNQIQEKGFLMEIERLEVVYRGFGKGRDAFTKVLLGHEGRHIRGLVARVTDSTR LKFGGTRSRHTGPSKTVWMHPLNPFLRAFFRSTVPGQCIPVENHVLLVPTTESLIGSR DRESNLLYSDLVASEEFLGSHVLRIPIHTGPANPKEENSVRENRGKAKQVTTFNGRTV IIKDNSVYSNKGFKSLTQAQLLSDVLYYSPSNESRPWLIYYISRPLIGTYDPAKIVPA AVPGTDTRIVGQTTPDKKYANGDSIGTPPKQEIKSFGELLANYPMIARQMHPGLERLF KEFGKELGKPLPPPPSRSPSASGSERVHKLSRTESWTDESSSIRSWSSRSRGRLPFNS EEYFEDDEDLMRRSLETAVTAAIDLFRLVDKQQLSLLGATTDLTGPLVERLIERYVAE QVHEPLLFPRLCSFRQPEDTELDSRIRQMESIDVSQVGITVEGGREGKRELTRRLGRA VEEFRKINDARCPHDMLNTLLGTVKVISFPGSYDQMDGSASEKGTPSVTINADVLVSL LLVVVIRSQIRHLQARLLYMQHFIYIDDVDNGEMGYALSTFEAVLMYLVTDSAGLRRA SVRNRRLWQATKSGRVSDMKSILEPNEDHESIDDTTPLEPERKSVLFQTDEADEPEDL LLENSYTSHTNGDSYLDEAVVDAPPLSHVFPFQTWDDLSIIQESHPHRPIKKVSMDVR SLSESSAVSFISRTATLGSMASGIEGDNSIETLTKTQDPAGHSIPMMAVEARQAEALK YLLTLEEYYPLEEIIEDTNADGTTLLNAAVQLAHTEIVKILLDFLFAKTELNVVASYL TKSDVHGRTVAHYLFSTPSLLGRIGSIIPWRQRDKHGQTPLFALCRSYDHPEYKSMVQ AGLAAAQWAQGDNKPLQLDDHVDAKGNTLLHIVGDPEITRRILQTSDCDPNATNDKRF TPLMMASKYGRVDQVRILFLDPRVDVYVKEARGLTAVELAKDDEVRNRIDDLILLSHP PSACGDPSGRVTMVVRSYFVEDATVRFILKSGAPFPPTESVASSRPGSTTYTVTTCRR NFSDFENLAKWLAVEHPGSYMPSLSDFRNPFQIHSKPSRSVLHDLQERLDRFLKTLLA HPTFSTHEMLWEFFLVPELQPEMVADRSYRKAVVLTETIADEYPAVSLEGMRDTEQFI THAQEMVRGVHVNTRSLIRRGHALQNATSDLADAVMICSSVLATLRAPTNALPISHIE AFARYATYLSTSRSDSSSLLQYLAALSSIDNTTAAILISLSRPLALMSSLSSTNRHIS RSRSSLLSSSLPRKFNINLPGFEESRQKSVRDLEQKIRDGESESTRLAKEVSWNKDVV VGELAGWTSWRERVGRDAIRAFVKDTLVRERERGKRLERCLHSVRDMNA PEX2_090170 MIPAKMSAARAASLRSQAPSLRASSHRLSRAGSYSTVSAQPFTH SAKSHRAILQSPSHPVSQLVSPFAALPLTRSFHAATSLWQQQQQQKQQEGKQGEDGKS QSQDEESKKEKKEKEEEKVPPPPHGDKSPWQVFRETLQTEFKASKEWEESTKALASSA HQFTENESVRRARAAYEAASTATTSKTSTAFKTTGQAIGKGAAWTWNTSVVKGVRKGV NATGEGLEKATRPVRETEAYKSVKDAIDDGSSSRYGGWVEKEERRKQRQRREEQELKA GKSIRVEERVEDPNAGTNITLHKDSAWKDSWKDFKDSNPMMQKLFTIKENYNESENPL ISTARSISDRVAGFFAENETAMVIKKFREIDPNFQMEPFLREMRDYMLPEVLDAYVKG DIETLKLWLSDAQFHVYAALAKQYTTAGLKSDGRILDIRGVDISHARILDPGDIPVFV VTCRTQEIHVYRKIKTGELAAGTDDKVQLVTYAIGLTRIPDEVNNPETRGWRLIELQK AARDYI PEX2_090180 MAPTESNKRLALAIIDFLGSSLKDGTLTADDAESIEIAQSCIAD TFKVDPTDEAAVKDAVGGQSLAGIYSVYEKLRNKSAPQSTAGAQTQEKPKAGVPTPES DKLKSEGNAFMAKKDYAAAIEQYTKALEIAPANPIYLSNRAAAFSASGQHEKAATDAE IAAAADPKYSKAWSRLGLARFDMGDYHAAKEAYEKGIEAEGNGGSEAMKRGLETSTRK LEETSRNTEPPSEELDTAPGASRGGAGGMPDLSSLASMLGGGAGGGGGGMPDLGSLMS NPMFSSMAQNLMSNPDMLGNLMSNPRLRQMAENFGQGGGMPDMSSLMSDPNIADMARN MMGGGGAGGAGAGAGGQK PEX2_090190 MYWPHGVPRVYAVNGPDIAYTSSDEDREILNTTPTNERNTFDDN AEAREDDGQHESADTRPHESQTNSPPDTGKSEWGDEAIRDICASRSGQIFATMSESSI ALWQTRPTAVVTAISRSQFSLKTYGTNVSLLMHPDSTILVVQTLNGYLLTYSVISDST TQVYQQRFDHSTHPRRQQLARFSAVEEANVVGDITIRFRMAIKIESGIVKALALDQEL VVATVKPPAIQCIRWTAEAGGAQTTSELLSRILGISKKVSIVDMVYDRAMNLLIWITS SGQAYAVQRDSGSHKDPEAAKKLFHGHCFHNPKDDTEKAVKVTVNARFSLLTVSCANG DVLVYTAKDYMGNIPLSQKLHLPASPTTTGALTFMSYSPDGYCLFAGFENGWATWSVF GKPGGNSFSVDSALARANSEDWLNGVSDGCWIGGGSDIILSGLNDRRVWVLETARSAL TGCFSPANLARGLLQTGTEFILYRGHDLPDLMTISGKDSLWHHAQYPPSYLHSQWPIR SCVVSQDGRYVAIAGRRGLAHYSVNSGRWKVFEDSKAENSFAVRGGMCWYGHILIAAV ESDGSYEIRLYSREASLGNNSIMFIEYLPSPVVFIGPSGEDSLLVYTYDNILYHYIIN STQPQITLVPVGQIAFNGIVRAPSRVRSISWVLPEEQMRNGDPSQDVKVASVILLVDG NLVLLQPTVSDAGDLKYDMRIISHDVEYYILMRDQISFNFSSQVDESLPASPSVDMAL EPPHSSLSLRDSLWMFRGQNLLAWNDIQDVLREDLVPAPLNIPLDFYPLSVLLNKGIV LGVESEMMQRRDVTFTVLKFAIRTHLFLPYFLQYGLTNVGTPAALALCRHFSHLSYFA HGLEILLHHVLDDEVDNESRANKSEDPQARAEPLLPIVIAFLQASLPPRDYLEIVVQC TRKTELRSWRTLFTYLPPPKDLFEQALKLDSLKTAVGYLLVLQAFEDEEQGHNGRIEE YVVRLIALASQKGDWELCAELARFLIALDASGEMLRRAISRVGLRSNSQSSVKGTFPG SAPRFGPAGVQGLGLNLPIRSPSWASLSPTSSLSPLPSRQDGDVSDENSYPADEKIDN PEX2_090200 MHLLLITILSPWIMSQVCARKFVCPEKDIIETQCRGPKDCLYHN SPECNTFIHCTVNSDGKTGKPTVHPCPAGLKWNDRSKQCDMPANSTCGEETEDDAVTE SQPPSNGTLDDDFDCIEAEEDFGCEGSTSIGIDCVFVDPTTNKSYIQCIDQVAYTVPC ESGTTYSDTIKVCE PEX2_090210 MMRYTPVKSAPVAPSLAAASHIPLSQEGSTTYDVISEAEPPSRV TNDQQDPTSSPASQFPASFTPDSSQSGSSITCSSPRVEVAEGINEDSVKEAILAGVAG GVGEIYHSPLTDELFAASPAALALVECGLLARIAQMAVNMRALNEDLVAIRARREQLA ASRVITGTSLSAC PEX2_090220 MDVLSIVSQLDTLARAIEYLKDPSNPTFHLQNFIENEFLDSEHT SDWINSLSPRSGEILLKLPRTSPSVVDYAVNVASRALQAWSQTTPQKRSEALLRIASI LQQWKEVFAVWESIDQGKPLLRAQAEVDYAIEHFRYFAGYILHHESAVRLNKGREEST LTYEHRVPVGVFAIIASSNMPLYILTSKIAACLAFGCTGVAKPSELTSMTAFLLSEVL RQAELPSGVMNIIFGDGPNTGSTLVKSPLVRGISFTGGKQTAIQIRKDTATEIDKHLS LEVQGISPTLVFADVNIDDAVSAAVFAAFENSGQLRLAGSQIHVHRSIYNTFLARLTK HVLHHCRLDKELGPVVSQEHYDKVRSYLLQASEENALLEVGKIPREISKEGFWVNATV LSNVSKDTIVGREEIFGPVATVFSFDKEEEVVRLCNENPNVMGAVVLTDDLSRQKRLG EHLDAGLVWGGCWLGRELGAGFTDIRATGMGREGGAHGRDVFTRLRALHLPSY PEX2_090230 MASKYPHFQPYGQKLIRWMENVNEPGCPVQISELNTETLPRPTD WRVNHSRGWLSSEQIASIVGLGLPIGDLIPNDLYPTESPCLQHSIIKTIGGTAALIGT IAPGVLFIYSIKRRPLPSNDPYMSQLAKMAYETHFPLDSLKYVIVNEIQEHATETFVM ERIYPSREGLSYPSAEPQTWDYPLPEFTAIMGTPIGKVVGSFILGAFGQGVKRIAQIV TFQDGLELHKLHVGFHIEDVQI PEX2_090240 MSSSLHWQETSPGTHQRDIDSTERFYVSASHTRSPVVNKGDWYV NAGVKLECSRPNFVADIQKAWIRTRFDFPGLAATIVEDKWSYKTADKAELEGWLKETF HVHLQPSTARQLISEDKLVPASRVTLHVLPNTQELMIQGPHTHLDGFGIMKTLQHIIS YVVQLPLLSDVDQIPVAFGHEAHNLSPPMSLTCQTPPCSAEDRQKWDKLMEDFIDPKH KIYLNTRNETSPPRFSRTQWLVFDATSTKSLQSEAQKHGVSLAAVLQGAISLSTRVHG GGEDLATRHAIQVLYSARKYIDPTIGSGENIVSPLVVGVPLTYTLHDDFHDLVTDANE VLLEGRKDKFGLKCGHLWGSDLPRAFAAPLPKGKRIRAEAQMSYMGNIQSYIQEWTED KAIGGSLAHCVDFWLSLDILSANVVIEIYIFRGRLNLSLAYNETFHSEESMTEYLQLV KGHLDDGLGIQTDASVRRPGREEWM PEX2_090250 MRPLSTAPLLRQASTCTYPATIYEVEGESKELNTKIPQPPKASE SPWIFRNFMWNLWLFTESDFSTFVGPNSAFGIFGALAGPLLLKNADDPLTVLSRVPMV ILFNWSNLLIFDLANQRLPESVEEDRLNKPHRPLPSGRMTPLNVRRLLLGSLPIVFTV NYLLGPWVETSLLFSLTWMYNDLGGGDEDFILRNLIIGFAFGLYNASSLKIAGGANST INEQGVMWTAIISSVIFTTMHSQDMKDQNGDRSRGRRTAPIVLGDIAARGTIAIPIAV WSIVCPWFWQTKFEGYILTVPLGAYIIWRLYTDRTFKGDRASWKLWTLWTALLYMLPT VKQISSL PEX2_090260 MVNSTTFFIALEYFDITLKAFLSLREGWPTLFTLQNVFTILALF LAVWSYMPTFMGVKAPYAGYSSNFVPGAWARFQFTKGARQIVDEGYIKANVMFKLSRT DRDILVLPNKYIDELRNLPGDRLSSMITLVRNNSGKYGGTEILLESGIASQAIQTKIT PQIALLMHPMKEELDHALSIEVPDCKDWTSINVHPFFANLVARVSNRAFVGKNISRDE RWVKTVTDFTSNVAMTTMILRAIPPVFHGLATYFLPSSWTVERTIRDSHTILGPEIAH RRKEEAQNPSYKKPVDLLQGMMDLAKPGSKQGTAQDLAHRQLVMSLAAVHTTAGQAAN TLFDLCAHPEYFDVLREEILTDVVNDLSGWDKTSLSKLKKMDSFFKESQRLNPPSLLG FHRSVEDSKGITLHDGKYLPRGTHICMASHATTSDPGIVSNAASFDPLRYWRMRQESP ENANKHQFAMTSNQFFHFGHGKFSCPGRFFASNELKLLFSTLLLRYDFKFKEGQTRPK CLNIDEFLFADPGVQVLIREREKPIYF PEX2_090270 MVSLQSTRDKPLHDQRRRIWSGAFGDRNLRDYEKRMVQYRALLV KAIDKSENQPIDMAKWFNLYTFDVMGDLAFGASFNMLETSKEHEAIGLLNSGLTPLAY MLPMWFFRFMTAIPGMARDWWRFRSYSTSQMEKRIKMKPNTPDIMSSLLKHSGDQTPT GLDRTLLEGDSQLIVVAGSDTTSATLTHIFRFLVEQPQHLNILRSEVENLPRTELGDY QPPDLSELKHLNGVINESLRLYPPVPSALYRLTPPEGLTIDGTFIPGNTNVYSPQYVL GRNPECYSKPDEFIPERWYSRPDLMQDASGFAPFSAGPYGCIGRPLALLNIRATVARI VVDYDVRLAPGMSLDQFDQRLTEHFTLAPAPLSLCFNKL PEX2_090280 MATGTSRAENEFSPLISHLQGLVDPSDLNSHPISTSSRDSPPVT TEGDVFSFHQCIGWHATPPNRENVESVQQFVANQPVLATPKHFVPENNFQNQSGTNHS ARGRPRLDILNSQCPPKSGMTPAGSTPGMSVSERNLPNQQSHLSRPPSLHPSEGYMQD RTGGASPPNRSTPQRQFSNATYSHRFMDTQGNPNYRTPTYMNLHEAADPPRLRTQSSN GSSRDGTVDSGTTTPLTEPELPWRHLIHTCCEVGHVGVVEELIEAGLDINKRDSAGNT PIHVAADFGHEEVMTYLLSKGCDLNAVNNGGWTAAHLASVWAFLSTTEIAKGNKDFYP HAFWIFHCTTISSEPPTPLALMAVIAVAGGNGNVAPHIIDALISRNRHRIVVLSRKPP PESLTLGLSEHKQVDYHDLDGLCAALKGVNVVLSFILPFGDKDNLAQKTLIDACIKVG VRRFAPSEWAVTSSTSNPFYESRSQVRKYLESVNTPALQLEYTCFQPGLFLDYFTYPM QSTKHLKITQHYTDFETRQAILVDDGEQPVTFTLIDDLAQVVAEAIDYEGVWPPNGGV AGWQTTSAELVRIGESLRGKFIIHRISKADLDAGNFTSPWCPILAHPSIPKDQLELMS RKINLEALKGISRAEWVMTEDWNSLLPAFSFTNPREFLEEWWKGRI PEX2_090290 MTITTPDRQTLLDTATSFSKSYDEWTVEGALRVRTSDCMHQLHP ASMNRPCMSNQDLAAYLASVNRLFQNYRVHVADSETVVDVEKRTVVLHAHGTADTILG PFKNEYIFILKMDETGGKIQRIDEFVDSLAVLGLVPRIKEEWAKNSS PEX2_090300 MASSVVLLLSSSLWSDKLGSSSVSFVTASVTTFLLSLVLYFYRE LSQTFNKRPSHFVARSWLDSWAQPKVNAPLVEVKNNDYREALARGSTQYPTSAYRIKH FPGEIVIIPNQCLDVIKNSTDTKLSFQQGSYDFFLGDHTGITGHEKATASLLRGHLGR LLDKVYTVVDDEAIRAIKTQVGECEEWTELALFPVAVKMIIMVSQRVFVGEPLCRDEQ WIKAITVMTQGAFASVPDLWPAHPLLRPFIAWRHPKLHAVREAKKEAKRIIQPVIQKR LEDSKKPDFTPPDDLIQLVMDTIKGDKGKDVDFQITAQVGTSRAALFTTASTVTHLMY DLTSRPEYIEPLREEVLALGDVPMNRVNVAKLRKMDSFIRECQRFVLFMLVGTIRKVT SPFTMADGTHLPVGVLVGVDTNHNVFKHSTLENPEVFDGFRFERLRNEPNSDSKFQAV GTGNDHLVFGLGTQACPGRFFAIHEAKVVLARFLKYYDFKLSDTTAPNPMGTAAGVLT VVDPTTKFWFKKRAN PEX2_090310 MAATKLTWLITGASSGIGKSLASEALKVGYKVIATTRDVGKAEA AYPDFSTKGGIWIGLDPAQKDAYDQFAKYSQEHNVDVLVNNAGYAFIGGVEDTSEAEV RNQMEVNFYGPLRAVRACLPVMRARGSGHIILISSGAGFIARPGRSTYSASKFGIEAV HESLSHEVRTLGIKVLIVEPGAFRTPFSSRIITPSHLENGFSDGYKGTAVEQMVTGSR SLTSIPDFIRGDPDKAARAVIDATVTGYDYLRLPLGKDCVVALESKIGELQRDLDATR AIATSTDID PEX2_090320 MCSHVRAKSEGLLDGSLVIITSLVVLASLAFLQYRSTPPFPVIN VRKKDWTLSNGRNCFLTNAADLLLEGQRQTDGPFCIITDRKTMTYLPPHYAEYIKNDR RFSFTEYAHRDMMGYLPGFDAFGSLLKSGILIEIVQKRLSPMIDKMSGILSNTMDEAL QSQWSEETEFHEIPLHATVLAMVSRVTGRVFVGAELSNNPDWLRLSVTYTVNAFMASK ELGKYSWPVRWIVQWFNVRAQQVRASLKEARQILTPILAQRAQERAANGGMSTTEDAI EWHQQLVGDRKSDGVVVQVGLALSAIHTTGDLLFKTIGQLAHHQEVIPAVRKEIADAI STHGLNKTGVYHMQLLDSIIKETQRLDAVALAIMNRYATEEVELPNGVTIPKGSQTSV ITDIMRSEKTYENAKEWDPYRFYNIRRSGQEQKGQLVSATAEHFAFGFGKHACPGRFF AAHELKIMLAHILLKYDFEYIGTDRPKVRVVGTDVLADNSPRMQVRRRHDYVIPRATD ETA PEX2_090330 MTVTLPADNGPVPPTTLPPPTRVITTHTPDGKSVFERSFPREIP VQEIEAGASASLTYVTKIPASLTKDADVNNYSALLKDPPGLTISDAAVLRSYDMAPGV ESPLHRTMSIDFLVVLRGEMLLTLDSGETENIRAGEVVVQRGTMHSWKNVSSDWARML AVTIPVNNLVVGGEVMGEHLGGIPGLKDST PEX2_090340 MASDNKSAYVELGHYGSKNSPPASTTELLRKEGEEQARVPDSPQ DEAEYPSIWKLIPVMIGLCCAVFCMALDNTIVATAIPKITAEFNSLDDMGWYGSAYML TTCAVTLIFGKLYSYYSTKWTFMTALTLFELGSLVCGVTPTSTGLIIGRALAGVGAGG LLSGSILVIATLVPLRKRAIFTGGIGAIFGISSVAGPLLGGALTDHATWRWCFYINLP LGAVTAVVIAFFFKDKPPQKQLTSREKLQSLDLIGTAIFLPTIVCLLLALQWGGQKYP WANPRIIVLFVLFGLGLCFWMYVQHVRQDLATVPPRIIKNRNVWGALAYSTCLGGAFF VSVYYLPLWFQAIKGASATKSGVMNLPLILGVTIFTMVSAVLVTVSGYYNPFVLAATV IFSVGNGLLTTLEPSSGPAKWIGYQAMTGIGAGMGMQLPTIVVQAAVQEADVPVATTL VAFTQTLSGAVFISIAQNVFQNRLVANVQKFAPMLDPAAVVKAGATKLRETFPDHIHV VLQAYNNAVTQSFYIAVAMSALSIVGALSLQWISVKKKKLTAAL PEX2_090350 MTIPETKNESVRTFEPFYLTCLDHTVGPIFMNFFISFRCSNIEE CLVTINQGISGLIDRLPFLSGDVIETTTPNGRRNVMQVVPSSILIHEVPMISTKHHPH HVIPNTPRQSKGKVHTTEIFASLDDSYVPPVSLLPQSPGPRPVFRLQVNVLADGLVLA IGFHHRVFDGTGVGLLIEMLAQCCRSPGSLGLTRSSELKDEINLRRLVDDTGILTAGS PCWHKEEGQNSKIETPTAGSKGVLPKLDIYSFQFSAATIAQLKTACNGVLSDILSRQY DDARSMGESKDSRPKFISTNDMFTALVALDMYKARSDQIKDGQTDPNPEMIMVVDLRE KLASLPSTYLGNAVSVVTAIAHSHDGILNTASTKRQHPTIGNTELLEITALALQLRQN LALIDDAHVREDLARLTRKQDWSQMRARLPDTMLSSWRHLKVYHLDFGPALGRVVEFN PRAALLDGLCNIQPERAGDGFGEKAGWEAYVTLQSNVMGSLLRNGLLSTLSQDKVARY IS PEX2_090360 MAEFISLTFPNASTEINPIPNASIDPEYLVRYARNLDDYGYNYT LVPYGSAFYDPFTIGATILAVTKNIKVIIALRPNTLYPTVAAKALATLDQLSRGRVVV HFIAGGSDAEQAKEGDFLSKDERYGRLEDYIKILRRAWESAEPFDWDSKYYTFKQFSN QVRLTGASIPVSVGGSSPEAYRIGGSLADIFGLWGEPLKETKEQIDRIYAEAAKAGRP ESDRPRIWVTFRPIVAETEDLAWAKAHRTLDALNANRANGQSRVPANAPTPQNVGSQR LLDIATRGEVQDRALWYPTVTATNAQGASTALVGSVQTIVDSLVDYVDLGADLISIRG YDNLNDAIDYGRFVLPKVRETLKERENDASK PEX2_090370 MPLVVPGINSSFGNKSEWVNKLMGKTISNTSNETSFAKKDLPDV HRVLKPGDMKTMDHNPNRLNIHVDEDGAVHDVNYG PEX2_090380 MTTFTKLEDHETPLISVHPSRRISKINPNIYAGFTEHMGRCIYG GIYDPGNPLSDEKGFRKDVLEALKGLNIPVVRYPGGNFCATYHWLDGVGPKDQRPARP ELAWLGTETNQFGTDEFMQWCEALGTEPYLCFNFGTGTLDEALGWVEYCNGTGNTYYA NLRRKNGREEPYNVKYWALGNECWGPWQVEQMTKEAYAHKAIQWAKALKLLDPTLILI LCGQDGTASWDYYTLNQCLVPAHSALSTSSVPLIDMHSIHLYTSSSSHLHNVTAPLAA ERAIEITSALIDLARVENKVPPEQLRPTICFDEWNVWDPIRAEGSQGAEENYTLSDAL AVAAWLNVFVRKSKDVSMACIAQTVNVISPLMTTPEGITKQTTWWPLWLFSRYMRGWT VASHVSSGTYEGETTPQWIRSAKDTPWLDVSATVGDDGFVNVAVVNIHDTQDLESRVE GAQGEVAVFTVTASDLAVTNMNGKEEVAIQESTWNGSGSYVFPRHSLTLLRWKAE PEX2_090390 MRLSPAWYQFLVGVFASLGSFLYGYDLGVIAEVLVCQSFKAKFE ANDTQTGLIVSLFTAGACVGAGFAGPSGDYLGRRRTISLGCLIFTLGGGLQTGARTIA YLYSGRFLAGLGVGFLTMMIPLYQAEICHPSIRGRVTALQQFMLGIGALCAGWIGYGT YTGFSPDNNAQWQLPLGLQIGPAVFLGLLISFFPESPRWLIDNNRAEEGLRTLAKLHA HGDENDTWVQAEFAQIQESITFEHENEAKSYVELLTNRPSFRRLFLCCALQASGQMTG VSAIQYYSPTIYGQIGISNEDTLKYQAINSIIALIGQFLCMMYIDRFGRRWTLIGGNL GNMVTFIVATVLLAQFPPTSNNTGAHWGFIIMTWLYNFSFSATCGPLTWIIPAEVFDT RTRAKGVSIATMISYAFNTMIGQVTPIAMTNIGYRYYYLFVVCNFTNALFFWLLLPET KRVPLEEMNQMFTNAPWIVPGSRKEDYMTHDLERKVEAQEVKQNAVHVE PEX2_090400 MSSGFVSSGTNEQPIERDDEWLRAQQELEEERRRKAEIGKQDDG KSLFEVLERNKMAKQEAFEEKSRLKNQFRSLDEDEVDFLDSVLESTRAKEAAVKRETA EQLEAFRRQREEAQKALLQSTSSDATPVQGEEWTTLARKRRHDKHRHSLIPGKKRKAS VAENAAGKDTQNGKDSQKQAGADGSSTKKLDQGTSKSGQPTPATSATASETTNPATKS KVVTDTEKGQEDTARTRNDGPTSMGPRKFATTNIYVSAGGLDNPGQADDVRTVDILK PEX2_090410 MSNQHTQKTYTLNTGAKIPAIGLGTWQSKPNEVRVAVRDALLAG YRHIDTALAYGNEAEVGAGIKDSGVPREEIWLTTKLDNPWHKRVTEGIDSSLKDLGTD YVDLYLVHWPSSTDPDDLSKHLPDWDFIKTWQEVQKLPATGKVRNIGVSNFGIKNLEK LLNDPSCKIVPAVNQIELHPNNPSPKLVAYNTSKGIHSTGYSCLGSTNSPLYKDPTLL KIAEQKGKTPQQVLLVWGIQKGWSVIPKSVSKSRIEGNFDINGWELTADEVNQLDNLK DRFKVCGDSWLPIKVFFGDDE PEX2_090420 MAPSAGHLLLPKIWRAARFAYAKASKAIRSKLPEPTEYYPVRYQ PAYARINPRQPISRAAAIRQARSRHFSTRAAGAYASAIRSGLGADTTARTTSRIVSNI SRLSSRAPFASTLRPNLTGGTLGRTAGGYAAGAGRFGGARYFSHGPAAPAQVIQNVSQ GVRAFFLSGQKVRFDGIDPHTGEKRYKSVSKLQDQAEQKMNANLHSAAGSYIDFQVSP TITALSAVGGLGKQDRSASCDSLNSEGLMDLLSADFARALKDFSAVMNDLKRLSSLGD LPISLHDRSTIRVRFPGCDADTVEQLCCEAGIQRGKVVQDEDFDLRNGADLALLFPFA PSIATSSDTDYYFEKAPKSQAQYPADLDWQGMMTPESCTQSSPGGSRDSGNAISFEDS ELFGANPWRSSTKSYSSINISELGDQPFFPEISSSGQPESNSAYEGVEGIYKFLAECD QAKR PEX2_090430 MRSLIPFVPLLASLSAAAAISSTASPTVTAVASGNPFSGHQLYV NPYYASEVSASALPSMTGAAKTAASAAAKVPSFYWLDTADKVPKMGEFLADIRAQNKA GASPPIAGQFVVYDLPDRDCAALASNGEYSIANGGVANYKAYIDAIRKVLVEYSDIQT ILVVEPDSLANLVTNMGVSKCAGAHDAYLECTNYAVTQLNLANVAMYLDAGHAGWLGW PANLSPAATLYANVYNTANKPASLRGLATNVANYNGWSLTTCPSYTSGDANCDEKKYI NALAPLLRSAGWDAHFITDTGRNGVQPTSQNAWGDWCNVKGTGFGVRPTTETGDALAD AFVWVKPGGESDGTSDSSATRYDSHCGYSDALQPAPEAGAWFQAYFAQLVQNANPSL PEX2_090440 MAEKQNKIPDDIEASGPITYIPSQTGEILKDENLTHDPVFGEVT EDGPNYRNVGWLGTVALMMKTQIGLGVLSIPSVFDTLGMIPGVILLCIVAGIATWTSY MVGIFKIRHREIYGIDEAGGLMFGRMGREVFGIGFSLYWIFVAGSGILGISISLNAIS DHGTCTAAFVGVAAVIGFSLASIRTLGKITWIAWVGLVCILSAVLIVTVSVGIQDRPS SAPQEGPWSSDFKLTNSPTFAQGVAAVSSLIFA PEX2_090450 MDEDPTPHNAQAKSPVPVVAECTTHYLTGIKLWLVVVSTTLVSF LILLDMSIIATAIPHITSEFHSLTDVGWYGSAYLISNCALQPLAGKFYTQLVSKYTFL TFLTVFELGSVLCGAATTSNMLIVGRAIAGMGGSGLVIGTLTILAAVAPKHQQPALIG LTMGLSQLGVVCGPLLGGVFTQHASWRWCFYVNLPIGAVAALIIILIQIPNQNSSPAN GAPMEKAMGNTQSLLQKLDLVGFVIFAGFAVMISLALEWGGSTYVWRSSTIIGLFCGA GLALVVFVLWERRVGDAMAMIPGSIAGTRQVWCSCLFMGFFSGSLFVFAYYLPIYFQA VKNTSPTMSGVYMLPGIFAQVLTTVISGFAIGRTGYYWPWALLSAVLAAIGGGLLSTV LAHTVIVRPIMYQFIAGLGRGCGMQTPLIAIQNTLPSERVALGTSLAVFAQTFGGSLF LNFANLVFNHGLKEGLPKFAPTVNAEAVISAGAASFRSVVSKQDLPEVLSAYSSAIGQ TFYLAVGASVATFAFAFGMGWQKIKTKKDAQVAAEAQAQAQTRGDSHA PEX2_090460 MATNIQLKDPSLLVGQNYIDGKWVEAVSGKRFNVTDPASGKLIG SCPESDTRDALRAIESAAAALPAWRSRTGRNRSRLLRRWYELVMENQEDLATLITWEN GKAKADAAGEVLFAASFLEWFAEEAARIYGDVIPHTQPSFRVSVLKEPIGVCGLITPW NFPAAMITRKLGPALAAGCTVVVKTAGETPFTANALLKLGERAGIPTGVINSVAALEN TPEIGQALCSSNTVRKISFTGSTRVGKLLMQQSSNSLKKLGLELGGNAPFIVFEDADL GLAVDAAIGSKFKSSGQTCVCSNRIFVQESIYPEFIKRLKSAASKFQLGNGFDAKTTH GPLVTPAAAERVAGLVDDAVKRGAKVEIGGKRRSDMGPNFFEPTILTNVSPDMSVVNE EIFGPVAPIFSFKTEDEVVATSNACDVGLASYIFTQDVTRANRVSELLQFGMVAVNTG VISDAASPFGGIKHSGMGREGSKYGIDDYLQTKTVVTGNINVVHKSSL PEX2_090470 MPKTVETVDLSNTAGAYYAPATVAPAGKIIHIAGQPGSTKNGVV PADYESQIHLALLNLRKLIIAAGSSIENIVKLQLFIVDYDAANRKHTRHIQRFLNGHR PAITLVPVPKLAVASWLLEIDAIIALPEPSLPPVLPSANENTDVVIIGAGLAGLSAAH DVLRAGLSCVVLEARDRVGGKTWSSPLNGGGVIDLGAGWINDTNQSKVYALAKRYGAE VIVQNTQGNAVLQDFDGNCSPFVYGDLPSFDKATQAHLAEIRDMCEADCQALDTWRPQ DTSLDSITFEAYLRSRGASEVAIATGMVWTRAMLGQDPQDISALYFLNYCKSGGGLLQ MRSDRKDGGQYLRIRQGTQHFSLGLASSLPENTVRLSSPVHSIIQNADKSVKVQAGGV VYGARKVIITVPSPAMKTISFHPKLPPSKQAWIDSTTYGYYTKAMMEFRSPFWVKAGF CGLAQSFVGPASVVRDSCSPEDKKYVLTCFMSGDPGRAWSALSQKEREQSLLQQLGKL FGVANLDKEFIQLTAYEWVNDEWAGWGCPCTALTPGVLDTLGPDALRESSGNLHFAGT ETAGEWKGYMEGAIRSGERAAAEVVKTLNAGIVSRL PEX2_090480 MSEQKARVLIVGTGGVGTMSAYALEQGGKAEVTAVMRSNYEAVK ANGVNIDSVQYGNGIKFKPTNICKAVPDVVKESLPPFDFILVTTKNIPDVSPTVADII APAVTPGKTVIVLSQNGINIEKPIIPRFPTNPLISSVSFVGATTRSHCDILHDDPDDQ KIGPFSSPEVPAKVAEDAAKRYIDIYNPHGKLDLIYDADVRRCRWRKLLYNGSYNPIS TILRMDTPRMRMSAHVIDDLIRPIMKEILAAARADGVTDFPDELVESVIRGDPVDTAF KPSMCQDIEKGNLFEVENIVGEPLREGEAKGVPMPTLRTVYGILKGLQLQVKESKGLW EPKFTADNPYQ PEX2_090490 MANRGKTHNEEVYCIKDLERLGSSKMQKAYREYYNEGAMDLITL RENESAYDRYMIRPRVLRDLSKLDTSTSIVGCKVKFPFGFSPTAMQTLAHPEGEEGTS KACANNNTLMGLSNYSTKELEKVISHSKGNPYVMQMSLLKNKKAMIQMIKRAEAAGFK ALFLTLDVPYLGRRLNEYRNKFAVPDGMEYPNLFPGVDVTNLEDGDESMAYDSSIEWP QIMPFFRQHTKMEIWGKGIYTSGDAELAIKYGFDGIVISNHGGRQLDSVPSTLDVLRE IVPVAKGKIPIAIDGGIRRGTDIFKALALGADFCLAGRPAIWGLAYNGQEGVELALNL LYDEFKTCMALAGCKNVSEIQKELVSLLQPDGRLLKL PEX2_090500 MTTITTTHSLEEQGNSIPVKADAPIQAAGAQAALATGGYEFPGI PKIDDLYKKRQWQLEHMAGAFRVFARKGYTEGTSGHISVRDPIDPSTFWINPMGKHFG MLKASDMVHIDEEGQVIGGNKTAINAAGFMIHSAIHKARPDIHAACHTHSPAGKAWST FGRPLNIINQDTCNFWGTQAVYKSFGGVVLGADESDRIAEALGDTGRVMVLQNHGLLT TGGTVDEAAYLFTLMERSCEVQIMVESTGIAQNMIGDREAEFTAKVNADPETLYTEFQ PDFEYEIWKSKGELCKGE PEX2_090510 MPAEPIEYALDWEQVDGILHYLDSLESVVNPRSEDVSANIQNFG VFRVQQSIPSGVHDLNVTAPCTTELCQPDDGYDIIFDPESVSIESEPWNDNSEAGAAW SLCNLHGQPLALDFTQQQELAPAVVTVPSGNVSNSPAYARHAVQAQPLEPEELIPRQR TESIPSNKSHHVSSPLTNTLESLVVPSQERLLMRHYSHRVVNLFCVIDNSKSPWKTIH LPRVLQSAGELSFGCTTTRIRDALKNSLLSISAFCLSNDHRSHRREGEAKSWGTIASR YRCDAIGLLKYAVETDLYADKRPKYKEFLATMLSMITINVMSGDTSTCSVHLDGAERL ISHMNTRKATFSRKAQSLHRIYLYLRVIYESTAVRRSKSGMSRFSPSLGSQRTFGPQP VFPKQHLFIEDDESPSSMIAMGADMMTGERNLLEMSAYECIYGIPQSLLILLKESIEV IDEVNHHRMNTEDTSIPESLNQICDDLEQKIMDWPLDERLHRYEASTNGISATIIYHQ TSAFLNALVIYFSQSVRLMSHRYLRQYVQAVLDSIEAIEQLKAETKILAAPLFWPAFM GATEAFEPRHQERFRLWYERVEVYGIEAVRTGIQVVHEVWRQGPTTNRQMHSGWRSVV ERTGDHLMLT PEX2_090520 MKVARLTLRPRIASVCRGPAQRRFNTNSNRRAQNSPEISSPAKQ TFNVKGLTVGVAVVAGLAGYGISTLSTSDTNKSSSTLPILDQERLPAVKYATLEDMQK AIAQIEYELRDTEDIISTDDEDLKMHGFSEWSSVNIDTLPIAVAYPRNTEQVSTIARI CHERRVPIIPYSGGSSLEGHTAAPFGGISMDFVYMNQIIKINEDDMDVVVQPSVQWVD LNAKLKDMGTGLFFPMDPAPSAKFGGMISTNCSGTNAVHYGTMRDWVINLTVVLADGT VIKTRKRPRKSSAGYNLNGLFVGSEGTLGIVTEATLKLAVLPENYSVAVVPFNTVHDA ISAATKVIRRGVPVAALELMDETQMRIVNEGGVTRPRVWQEKPTLFFKFSGSKLMLEE AIQNVKQITEAYGSQGFEFARDEREQTLLWSARKESLYSLLALRKEGEEMWNTDVAVP LSHLADIIVASKQEAAALGLNACVKGHVGDGNFHENITYDGTKPEEYEKAKKAVKNMV QRAIDMEGTCTGEHGIGFGKKEALQKEVGNATISFMKILKGTFDPHWIMNPGKVFDR PEX2_090530 MEYSGKTFLILAVEVAEYQGAALLHLSTGEAKKVCEQENSPNCV KYIGYVQSALDILFLAADILHGAVGTSSTAEDSNLDPGPVRCGLPTDTFSADALHAAL QNDGWIYDLLEQIDVSSLNLEKRDSDPRMTQRLIARNVTLDDQASASDIAFNYFDNGE LNLHFPGGAGTFPTSNAQNSPLHARFDGAGFKVSATTRSRSPLARDQQKAMAHEIAMD WASDACAYPMADYMGLVRTDSHPNFYSRIIPEVRGFGLNYESVDICGPLYEFV PEX2_090540 MEKEDLQTTPIPSLGSSAGSQLDDELPTSPLMLIVDSYPDNLVV KYLMAPFVKHTFLTLLTLLAFLFGSLPVCGHWNSEEVCDRRFHHLAFLIAIGLVSLKG YANYLGRKLKKRGGVTKGSA PEX2_090550 MGANLLPQLVESEGNVTRDFNQSIIPPIAATFCGEEARTSTPSG LEAGGPSLFSRSQLAAPGSTKVIDYQMVMNYESPLLDKPVMIWEIEKLRTIRREEWEN MDR PEX2_090560 MEPEVFRALLHAALVFSFAGALACFYQAAQFGRDHDFIGSVLCV FCGISCIQLFIRALTIYILLRLDPSSINPNWPNGSNGPPGPDSSAGESGRQPHGHSSQ PPRRGPGRGESWASSRYSDSAHFTSSGRYSHAILIMIVLIAIMYFQFVSSRGNEYFCE IDEDYLTDRFNLTGLNTEVSYYQYALDLVTDVFDLDADDDLREQIEKSARHLYGLVHA RYIVTTRGLAKMLEKYKKSDFGKCPRVMCDGHALLPMGESDLPNISTVKLYCPKCEDI YNPKSSRHSSIDGAYFGTSFHSILFQVYPALNPEKSSRRYEPRIYGFKVHAAAALARY QDNQREDLKWRLAEVDINHRFIEDSESDDDAFEYDEDYAETHPESAKNDKTLADATSA RMTAAK PEX2_090570 MATGYIHGGAWRDPTVTSASFNATESILREKGLPIAGFASISYR LSAHPNHPQDPTNTDPKDFQDAKHPDHITDVEAALAYLQNTYEFGARYILVGHSCGAT LAFQAVMGAVSGHREEAFAGPRPSTLTAQPTAIVGVAGIYDLRRLRDTHASISAYQEF IEGAFGANETLWDGVSPAQVTGSRGVEGGWKPGRLAVLAYSKDDGLVDASQIEVMKEA LGNWEKAEAQIPVQEGSNRARRLRVLSISGVHDEAWEKGEQLARAVTFAFEQLQEMGL AP PEX2_090580 MAETPAPLAAVQVEALVVMKIIKHCSQTFPSTATGAIVGMDVDG TLEITNTFPFPVVEVPAESHFDNAAPNPAAAAPRAKANAAYSAEMIRMLREVNTDANS VGWYTSANMGNFVNMNVIENQFYYQSQLNERTVALVHDVSRSAQGSLSLRAFRLSSKF MTAFKENKFTSEDLQKSNLRHPDIFEELPVKLHNSHLITSFIHQLQCPTSSASTELPS SLAALESSPFSKTSTLTPNLDNLSLSIDPFLEKNCDLLLDSIETHNTEVNNYQYYQRV LGREQQKINAWKAKRAQENASRATLNQPLLPEDEWQRLFKLPAEPSRLESMLNTRQVD QYARQVDSFVSSSTGKMFAIKGNLLPNETSN PEX2_090590 MLADVRQLRHIQQAQTGVSHTPKSSRNDTSIRGIVRQSQASQPV HGWNQPFSPHSFSIPTNHLIPHRATYSTASTRSTEPVIHDVFEPTSGTWQYLIADPST STAVIIDPVLDYDPATQAVTTQAADSLLSFIKAKGYKIDKILETHAHADHLSAASYIQ KRLAQDQGHKPPICIGKRIEQVQKLFAETYGVPKEEYKATFDTLFDDDETFTIGNLKG KAIHLPGHTPDHLGYMIGDNLFCGDSLFHVDIGTARCDFPGGDANDLFRSGRKLLSLP DHFKIWTGHDYPPEGRDPVPWVSVQDHKKLNKHLKDGISEEEFVTLRNERDAGLAAPK LLHQSLQINIRAGHLPSPSKFGHRLLHLPLKLTGEACIYRKERSYYLDLLQVQVVQLR TDPGRRLHVVEQLRELSQLTPGYIEASLLIGDTLFHQICCALQPLFLTAIATLVGDTD PVAGFRVADTLEDTVPLEVRDPFKHPATW PEX2_090600 MFQRRTVVAAKRSVAQWRSLATASPVSSARSHKIVVVGGGTAGL SISHQLLNSGKFAQDDIAVIDPATWHNYQPGWTLVGGGLKDKTELRKPLKSLIDPKFK FYNESVGAFAPAENALTLENGDKINYEHLVVVPGISINYGSIKGLPEALADRNSTVST IYSYDTCDKAFRTIENFREGNAIFTQPAGVVKCAGAPQKIMWLALDHWKRAGLYNPTN PADSPVKISFATGLPVMFGVPKYNVVLEQMRKDRGVEGLFQHDLLAIDGKSAIFASPE GEVARPFDLLHVSPKMGAYGFVKNSALANEAGFVDVDDNTTQHKKFPNVWSAGDASSL PTSKTAAAVTSEAPVLVRNLLQTMEGKELDASYDGYTSCPLLTEYGKVLLAEFKYGGV PKETFGDWFGIDQAEPRRSFWYLKKDFFPWVYFNYMIKGNWGGPKGWL PEX2_090610 MYNTHSDIWVAGAFAAVVVDFIVYPFDTLKTRVQSPDYDKVFKN AHTGAVRRNVLFRGLYQGVWSVVLSTIPASGAFFTTYEAVKSIMYNSSTEATTGMTNG KRTLPDGSLNSLRLPFTHSLPTPIMHGIASSTGEMVSCLMLTPAEVLKQNAQMIQGSQ TNQSAMRQVLLRFRRHPWRLWSGYTALVGRNLPSTALQFPLFEYVRSHLIDRRRQRKA ARSNTSRPPSEQSDQLVERAGLTGIAAAFSGTIAATVTTPIDVIKTRVMLSASDASAP PQDRSSGAGACGSEAPSSEVRTKNKPNKSLISVGRNIIRHEGIRGLFKGGLIRAGWTA IALGLYLSLYEGGRFYLENRRKERDRVSGKFPNQAVEGEEVV PEX2_090620 MPNPSKYQELNIPLDTDESWDADDEDSGSERTLNAFDFAPLSIA LGPNHESRRLFRFTVRREEDKCLIASGRSDGWIDVSHFLWTMCATLADAGTDREWTAT FEKWRPQWKKVQAQVKTFGFTQFSSEIPPAPVDLALVQFFGSTLDESIREFEIEQEGP DAVSDGQDIWTLWRHIIETPADQIREFHVTLRGTARLVSSQ PEX2_090630 MPERKQSNRSGKAPSETPNNGFTTERSVGGERRSIRHYDDGRVS QRESPIPGPVRKLTQSKLVSRTNSTSTSTVLKAKAAPKPKEPEYQSPTVAEIEKLAGA VTNLFHPERTTPFDKPPPQPESPQSWSSDSSYGMVTPPFPVASPTPEDSPELMSTDVF PLPATATPDASVEDANPISAQWGQMGGKTRGHSDIHD PEX2_090640 MFKGQSIGAWALAVLATTAQCQQLSYEDSVTALAYGNVATENSS EGTVWLNVTGFAADKLPHIQNDLGIHTLDNLETLTTLAGLTQEAAKQGQWGDIVYLYS AFAMNGHGEYLNASSVEMQESLLDAITDQDSGKVDSTLAELYASTSSSKFLAEAFQGL KSKTSPQSKRWVREVCSSAHLAVKNSCRSLLENVRGNATWKSGSPRSICKYGCCISWS ANATFQIENLTNAANYCINACGTSNVSCEVYGVKLQGTLVDQCLSNRATGCR PEX2_090650 MDNENAILLKELHGDLARKYRKHAAVVETSWQSFSPSQRAKCFK TGAADGAVLKHPLDRSLGDVYKIIPELNLRDLTSGPDFLLDLLKHRTSTSLFQQYCEG SNGGPGDHAMIEESVRTRGLKHTNPFKNCYTMFQGDMYATSFQLMAKEALAVFEPAIR ARVCIPQSIGEFIVQRQTYTLQMLNILIDDILEQGSQTRAQKAPTKKKTTEDEAATAL SKLNIRAPRKKLTLSDLVTSARDHQDTLEEYLVLLSTEPIVLAHAVNIWFFSRPELVP DEKGRRLPVHTDKYISAAVLEAIHSSIQGAAIWKYIASLLELLEKSAEDKACRAILLQ EISNVCQLEFTRAQTLFKRHVQSATGSKWFKRTSNMYDSARNPRVAVKGKPEDLTVSD PQLHYMLRLCQPKLTVSTATTWIKKLSELHETHPREREKLEEREADSLGDLAIIIGFI QDLSSVIPIPSFSNNKGQMFPSKSLELESELNQVKDQLDLLDFVVPIDNLLEPGVARG ALKKLDEFTIEKSGTKMGFLYQDMLADCLSGVQTQCDEAKVKLAQGLKAELPFAPTTT PESTAIRVEQRKQKEKTRPSHSSIYEIVTPAELSHPQEPPSLPTFKIDESTAEIFSRL FSKSQSRGPVAWAAFAEAMAKLGFSISPKYGSVYTFYPPDSMTAKKSFTVHRPHQSKI EGYHILMMARRLRALYGWDEDTFQVE PEX2_090660 MTITESHSRSFELADPVLLEKIDKLFACNVGEYISLPQLVVVGD QSSGKSSVLEGLTKLNFPRDSGLCTRFATQIIFRRDSNLVEREISASIIPSSDATPEE DQKLRGWKASALQSLNSQDFGHTMKEVHEVMNLSSSHGDQRPTFSNSVLQLEIRGPNE NHLSVIDVPGIFKNTTLDRTTKNDIALVRNMVLRYMQNQRSIMLAVVPANVDIATQEI IEMASEIDPEGGRTLRILTKPDLVDKGAEQNVIRLIHDGNLNGQLGWILVRNLGQQQL QAGDVDRDAEERLFHQNTPWNRVPPENYGISALMVRLQELLTSNVRREFPSVRSEVIK RLKDSKSLLQSLGVQRETAEQQRRILLDVVSGFQEITQQALATNYGVNDMFDEDKDLR LATLVSLRNDVFSHNLAHYGHTYTFRSKPEETDDSVDDRQERRNDAADTESQNVMQSR KSWDPTRDTSVEDLGEILHVSEPVEPSIKGGILPWIEGVYSSSRGFEIGTFNHTLLST LMKKQSVKWSILARGYISDIISVVHAFIRKALEVVSKDLQISSSIMSLLMDDLIEKYQ QAISTVDFLLRIEREGTPITFNHYFNDNLEKCRQKRLHSTMAKKSFKDCKHGEVVRLS DLAHQHHMSNVDHTVQDIQDILDSYYKVARKRFVDNVCMQASDHYLVTGPEAPMKLFS PSWVNDLSNERLEEIVGEGRASKRRRLQLQKEIDDLEAGKAVLLR PEX2_090670 MSEPSTVCDFQKERSDFLSWLEDQARLIRHQPKSETITEVKVNI RENAVEYLDRLTQTAIVMACEAKDHICVTAKPPQFYEVEVPKMCSALQLRLPQLASRL AINSKCDMCVHFIIMNILAEPGF PEX2_090680 MEAIEGSYRVCAGKTSIAQDVAYYTRNTDMEEKLLDEVWTTLST KLAGFCRSDNWVHQKRHLVTGLSSHFATRLPEPPSYDQPPPSYDDVIHDLPPEYSALP PLAQRKSTTISLTPQTHQKSHKPSSSLLKDRMLDVRIDFENPTGVREHKKKKPAGGKK AAPPPSPSPPPPPPPAGGADGGDGNSNGDAGAGGDSGGAGGGDGGDGGDDWNAWNVSG KKDKKKKLEEEEEERKAKEEEERKAKEEEERQAKEEEERKAVEASAAKDLSWAEDDGG GGGDDSWAAFTNVGKKKKGKGEIAPPGGFQDISLDNGAPQLDLNFDSPAPKTGGTGFS FGGWGKDWNTGSKLDPLGDPPPNDTSKDNNPWGAPSKKTGDFDFDLGSAPAEPKQEDD WGGGWDVSKDKNGMEPVPEAPAPPPAPAPRVDFTQEAWYLNLSKKEQRKAKKEMEKKY KDEDEAAAKLAAEQEASAKEAAEQEAAKVAAEAEAEAAAVAAAVPEPVVEETDKPPEA DTDWGWGVPVKTKDKKKKKKIDLLADPEPIIDEPPAKATEPVDAFSAWGVSSKEKKKS KGKAEPVPELELIPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPPKVPDEPLYANW REISSKERKKREKLLVRRGLPIPGKDFELPSDQPPEEVPEPVVEPEPEPAPEPEPEPE PEPEPEPEPEPEPVPEPEPEPERPAEEESWGIWGPAKDKKKKKKSKDIEEPPPRAPTP PSQGLTPEPEAFYDNTDDIWAELAPKTSKGAKKTPKAIEAPKEEKPSKGFWSTLTGGS TSKSKSTKKAKEEEPEPIEEEDLLIDVGDKPPAPEPEPEPEPEPEPEPEPEPEPEPEP EPVPEPEPEPPVPEKISKSKTSKLSVAERIKALEQAKKEKAKAEKASAKSKSKENKAE PEPAPEPEPAPAPEPEPSVEEPAPTKSSKSKSKKAEGLDFEERKVSKDSIPGSFPDFG DDPEPEPEPEPEPEPEPEPEPEPKLEPEPEPEPEPEPEPPAPDLSKMTKKELKKYKKA EAEKAAAAAAAAAAAREPTPPPPEPEPEPIVEPEPEPEPAPEPVPEPEPEPEPEPEPP APDLSKMTKKELKKYKKAEAEKAAAAAAAAAREPTPPPPEPEPEPIVEPEPEPEPEPE PEPEAEPDTEPVPEEPEVKGEGAPPIPPPEPAEEPPKPSKKDRARAERNTNTSSWGGF WGAAPPPKKSSKKESKVQEDAEPPKKLKKEPKIQEEVEPPKKLKKEPKIPEEVESPKK KESTRKPRAKEHEPEVERSSASDREKRREREGRSSKNQRGVALANMVLGTPQSKSKST RKPASSSKPISRRPSFDIDDKLTDPAPPDEKPEVSDKAAKLMGMDASKSRRERPRTDR RKSVRDPYAIEDDDLVMVDMEDAEGHSPREGSKGSRRKSKRHSRPRPDDEDDAVLVDP YQAHPTPDVMSGPDDMAFVDAPPRDRRLKRSNTAPPKKQESGGFMGLFDSLRKGTRPD RPERPEMPERRKSRSYRDDDGRYPPEMDRDGARRSRREDRRRGSVRPDTDAEGFVTDA AGVAAGGEMDDEAEARRAARHARRGSRKAPSDSRESEAREAEERRARRKERAREQRAR EEEEEERLREEDRLREEKRARRAAREERRAREEQAAREAEAEAAAEARAAEARAAERR ERRRMREEEMMAARASRRREREQRIPDEVYHDEREVDRRGARSSRAFEEPNTRRRKSK VAPEPIREPLMTGGLNRGGGPKDKISSWVYSQSDEPPEPPQIVPTLIDLPPLAADDGG NAHSLSSDEEARRALRRKARRRAKYEEEMEDARSRHRGSRREGVKSSSGSGDYERDRG MRSHPGSRQDAPPLSSGAKKPSWFRKLTNL PEX2_090690 MSWQSAARGGFLRTLHRGALPPRTGVSDLQNQLSRVCLAASSGP ARPQFQSLSQSSSFATASTPQKASKTPKANGSKKADHKPKKRALSEEEQEKQKIKELR AHIKELKTTALLNRPKLLPTSAFSLGFTEKLREIKGQYPAKEGFLIGVEHAKSLDPEN KERLEAQARANVAANAAAYDAWVKTHTPLQIRDANSARLTLSRIGTKNYPSIRDDRLP KIPNSAYVLFVKDRLDTHVYRGKSGRDTFTAISEEWPSLPQSEKDRYHRLQIEDRQRY EREYEKVYGVPAPKSARYKTPEDYN PEX2_090700 MARHGDTRSPSPVGSTYSSSRRPRREDDRYDRTRRDDGRSYRRS RSPERRYRGRDRPRDRDAYRRRDLPVDRRDEDTYRPARRDRSRERRRDDDHDVRRRSR DRDYRSRRDDSRDRDRRRIDDSADLKPKSRRDDSRDRAPSRRSRSRTREPSKPSTPAP TAQTDEQKKAERLAKLEAWKQKQAAEREKKQKEQASVDPKKILEAIDRKSVVSPGPAA FPGKLKFDPKAIVKSSTTSTAAPAVLGTDVAVPTSVKPPSVTKPIAPSAAPATLSGPL KAKGNVSGFGLGARQISETEKPSASKTLGFGDADEESTRKKLEKLPTAPLDVGGQVDD VVEDDDVDMQVEGTEEHAAAEARAAAERRDTRLQSQNDDVHMEESTDAQADSTPMEVD DDEEIDPLDAFMAELQDSAPPERKIGATFAKKTDHQQPEAMFGDDEDVDVTAVGDEKA EDVLALAAMKKKKREMPDIDHTKIEYEPFRKEFYTEPSNLAEMTEEEVANLRLELDGI KVRGRDVPKPVQKWSQCGLGVQTLDVVHKLGWENLTSIQAQAIPTIMSGRDVIGVAKT GSGKTGAFLVPMFRHIKDQRPLASTDGPISMILSPTRELATQIHKDCKPFLKALGLRA VCAYGGAPIKDQIAELKRGAEIIVCTAGRLIDLLAANQGRVLNLRRITYVVLDEGDRM FDMGFGPQVVKIMASIRPDRQTVLFSATFPKSMEALARKTLNEPVEITVGGKSVVAPE ITQIVEVRNNDQKFFRLLELLGNLYEDDANEDYRTLIFVDRQEAADDLLKQLMYKGYP CMSIHGGKDQIDRDSTIQEFKAGIFPILVATSVAARGLDVKQLKLVVNYDAPNHLEDY VHRAGRTGRAGNTGTAVTFVTEEQDRYALDIAKALRQSGQEVPEPLQKLVDGFNEKVK SGKEKNFSRHGFGGKGLDRLNMERETARLRERKAYKTGDDMDDEEDKLETEQESDDRF NKALSAVRSAAEPAVPAATPSVSMPGVPKGIDLDGKIVVHRTERAPANASKNPLDKVG SAVADIHARLSRAGVMRSGVPIDNRGPDAGAFHATLEINDFPQKARWAVTNRTNVAKI LEASGTSITTKGNFYAAGKEPSPGELPKLYILVEGETEISVTTAIHELRRLLKDATLA ASEGEARAPVGGRYNVL PEX2_090710 MGNICSRSSNQADPFSQPGRVVGTSSGSTAAPRAPLPAKTNWKA TPGRTLGEGTTDASTAGTDEARANAAIAAQKRAEGASANKGKLGAKLAAQKAQTQAQT LDQVSRTERAARDVDGAEATRRWE PEX2_090720 MASQTQQGDFCLECNWEAFHIDGKAAVDPSGNVDQHHWNCSSLE THPPLPHCEVDEACCDVDDCALDCGSICGRFTGCDTSTVCSVTHCEDDNCDDDNCNDH NCDDTHCDPTHCDDNCEVDHCESIDPLCFEDHCCEGPASQDCGFDTLFGLNTPLSLDT GVFPSMIMGNTSVGHTTKAMQHPFPGVIDPFQQETIRSSYQTHATHCEQDVSNHFECH DFQKDWQGMFVAPPAPAQAEVNPAEVFHMLGMCSDFSICQDQHVAGTPQTSLDTFDKP KIDTSDAFNCFNPEHHHVHNHFKNPNDFNLQTLRKGPHRNHHRCRAHHHAHSHPYSPY SRQSRSSISSHLISSPGETPPPLEGDSSSVLTTPDFSPADSKLHICKWATEIHGIKAA CGATFADCGSLQEHLVASHMNTVNGAKGNGYYCCWEGCHRPDDPFSQKSKLQGHFLTH SNYKNFSCSVCGKTFARQATLDRHERSHRGDKPYTCKHCGKSFTDSSELKTHSRTHTG EKPFKCTWPGCTFTTGDSSNMSSHRLTHGERKHKCLFPGCTKSFTRPDQLKRHQRTTH KQEPSSTLPSPSPDHFTMTPFTLV PEX2_090730 MSTLQCIRATRGSPLLRLGGPQLHHLNRPSIQRLYPISRSLHSL SSSSKARISPISRQLQLSLRPSLSNPTARTYADRKGSTGKAEADLLVEELQELYEVAK DEFEIATESTDSSTIYAASDRESARDALNQLSAVYGLYTARPGEVENETDESPSEVEE SGESAIVETQYNPAEIPQGVKDEVRRRVGHRIRELKNAIEALEERAMED PEX2_090740 MNRPEQRDPDSADSYTVAWICALEEEYFCACRMLDEEYGGPEIS EDNDDNTYVYGRIAKHYVVIGCLPAGRYGTNSAARVARDIVRTFPRLRFALMVGIGGG APTTRNDIRLGDVVKIITNQLLTGFMLQTIYMCSDEIVTNAIYTRWWSARNHRILHVY YRNIASGNSVLKDATIRDIYANDPELNILCFEMEAAGLINNILCLIIRGICDYCDSHK NDDWTVLTTVEYLDQKIDLRKLEGAMEAGFESFSDRDEVQCLQGTRTELLQQIMEWAV SPSQKSIFWLNGMAGTGKSTISRTVAKLLKDTDHLGATFFFKRGEGDRGNAKKFFPTL TRQLTLKISELRSSVQKTLHNDPDIASKSLREQFEKLLLQPLLHLNRFGQQPQISVIV IDALDECEHDQDIRNIIRLLPLLQKAKAVRLRIFLTSRPELPISLGFSEIADREYQDL ALHEVSEELTEHDIQLFLQDRFARIKHDRNISQDWPGDNVIKDLVTISVPLFISAATM CRYIEHSKWEPKLRLAELLKDQAKYLSRMDKTYLPILTRLLDDQESDKAEQQQLLQEF QKIVGIIILLAVPLSINTLSLLLKIEADQISNRLDSFRSVLSISKDRDQPIRILHLSF RDFLVRSPTKFHMDEPKNHTKIAQFCLKTMQGYLRKDICNLVSPGTRRADIDPQHIRQ YLPMEIQYSCRYWIYHLERSQVLSSEIEDLYLFLQKHFLHWVEAMSLLGLVSKVVGML DLLHMVVPNDETSDLSNFLHDGKRFILKYCQIADEAPLQIYCAGLVFSPLTAIIRMEF MPDLPSWICQLPQVSEKWSAELQTLEGHSAPVWSVAFSPDSRLLASGSWDNTVRLWDT ATGALQQTFEGHSHYVRSVAFSPDGRLLASGSYDNTVRTWDTATGALQKTIEAGFWWV WSVTFSPDGRLLASGCEDDRVRLWDSATGALQHTLKGHSHSIASVAFSPDNRLLASGS YDSTIRLWDPVTGELQQTLEGHLSSVTSVAFSPDGQLLASGSYDSTIRLWYPATGALQ QHLEGHLYSVQSVAFTPDSRLLASGSDDRTVRIWDISTGALQHILEGHVGSVKSVVFS PNSRRLASGSDDHTVRIWEPAIEAHQHMFTGYSHSIVSVAFSPDGQLLASGSHSTVRL WDTATGSLQQTLKGNLDWVWSIAISLDNRVLVSGSQDKTVRLWDIATGTLQQTIESHP DSVRSVALSPDGRLLASGCEDHRVRLWDSATGELQHILKGHSNSVTSVAFSPDGRLLA SGSWDRSVCLWDIATGSLQQTWDFQVIVTVFEFSHDGSYLHTSLGGIDTQVGCAISTF NSPHANLEISEDHQWIKLNGEEVLWLPPESRPDCFRIKGSKLALGQKSGQVSFIDFCT PEX2_090750 MTSAMLTVLFRVFATCAIPHIHTEVVLNMPFRQALQGIIDKADK AWEEITHGASQPAPSSNNPPPPPIPTSSKPPLAYQEQHSQPQIYWQPNLHPQAPVSAN FYHEQGQHGWGNNEAQNYVDNPQNSFHSNHGDAVIVRALINHGHPDPAQKFTSARLSS HQTLSRPRGCLSARITAPVARGIWPAFWLLPKDPFKWPEDGEVDIMEAWNGDAVNHTC LHWGHFNGEDWNKHRVLETPVPNISSPVGVKYDFIWDEDETTGGGRLVWLIDGIPTMR AEKPPGTRKMSEFRILINIAVGGNVCQGNMPSDGYYDTVVRELAMWDAPPGGWNEFDR AWGNSKDGNTM PEX2_090760 MAEACHPPTTELSKAERFEATHLAAHHGNYHQYYAKFRAPTLLD ECLSNLPSDILRNARVIDLVEQAKAAYPEDKFDVVILLSVTK PEX2_090770 MKFFAHGVAAVAAVMLFLSTSMAQSGTPVAYTDPDTGITFDTWS VSETLSKGGFTFGIALPSDALTTDATEFIGYLLCSSQNATSTGWCGVSLGGTMTDSLL LLAYPYGEKILTSFRYTTGYHMPDVYTGNAELTQISSIINATHYSLIFRCSNCLQWTQ GSASGKAPTSGGLMDLGWAQAFPAPGNPSCPSDITLNYHDNGHNIWAATLKNAPNTSY TDWTAIAKTTVTGSCSITAGATTTTTIPASSGSLLTGATATPK PEX2_090780 MDSELGSNLRRRRPDYDLSQEDATSRLTPVIQPFAGRVGGNQGL VLDRSNPENAELLKKVPDAAPLMTLSEGFDLRGLWDIDLWRFGFIECIGKKSS PEX2_090790 MVIYLLGQTLGGALAGFILQSAYGSKSFTVGGCNIDLQLVPVAD ALLLEFIFCLLLLFLSFGVGLDPRQGQIYGAALSPFLVGMSLGVISWGSAFTRSGYSG ACLNPARCFGVYVATSFPSYHWVHWVGPIVASVGHGIVYFVAPPWDHRST PEX2_090800 MSLPHDSNRSSSSSADLEKHNPQENTSSDINSKETTVDDISAPK PTGPNPADFPDGGLRAWTVVAGSWCCMFASMGWINCIGVFQDYYQRDQLASYSASSVA WISSTETFMMFLGAPFFGKIFDNFGPRYMLFAGTICHVLGLMMTSLASEYYQFFLAQS ILSALGASAIFYGCLNPIGTWFLKKRGLAFGIIAAGSSLAGVVLPIMIDRLIPTAGFA WSMRAVAFLFFGLLIIGNLTIRSRLPPKLTPVAVNQFLVPFKEPTFLFVALGSFFFFW GVFLPTNFIIVQAQHDGMSLNLSGYLLAILNAGSVFGRILPGWLGDRFGRFNVMVITT YVTSIIVLALWIPGHGNIPIIIFSAIFGFTSGTFVSMIPAIVAQVTKDVRTIGVRNGS NFFIISIAALTGNPIAGALVAHDDGGFLYLQIFCGLTMLVGATFFLVARVVQVGWVWK RI PEX2_090810 MRAIVIHEIGGPGVLKLQTVPKPIPTAGQVRIRIKSFGLNRSEV FTRQGHSPGVIFPRILGIEAAGLIDHADPDSGFDTGEVVVTAMGGMGRTFDGGYAEYT VVPASQVRRVNVTAAFGLDRPVPWDILGALPELMQTAWGSLFTSLELIPSDRLLIRGG TTSVGLAAAALARSHGVSVTATTRNQTRVEFLRGLGIEDVILDGGSILTEVQKRAPFS KILELVGVTTLEDSLRCVAPGGTVCMTGIAGNKWTFDQFTPMSSIPTSVKLTTYASTT EAVLKTPIEKIARDLASGKIQLPIKTFPLEEIVEAHRIMEEEGALAKIVMIV PEX2_090820 MSSQWLPRLSFLQSLRQSHFTLPVRPEFLASSAFQFVNPRHHVI ATDNVTQTFPESVVAGLSDEEALALFTRGFFGGFVFGLERSVLRMGGWNLLPARYTGF EGDPHASQIWNISELPRNRLLPVGSTLFGSFKVMDKQIEPESSDQRASYVDYGFGSDE FTFAGCHRFQITRSPRIGAEPLVQFELQHFRCNPQKNEPSVAEYIAWFHYVYAKSLFG NAVQSVLLR PEX2_090830 MGNYAPIETTEEVEIECAANPIMPNAEDTSPIRLEWNSPMNQSA SNSATTPHSPSGNFGTDQDSLRPLTDPLMGIISGINTATFTPNKTPPLYFGESFDNMS FPFDASVQQSSEMGDHFSTDQPYQVHMIDVPTFTPSRSFSDTSVFRMTGPEVSTPTLP NGWLQMHGAITSQVQFVTTQVKSIDFENFHQAWPFLHVPTFAPEKQNNLLTSAMANLS MWMQNANRHHLVPYAINQELTRALMPTITGEALTEKPATDIPLPTLQALVITLIYAIL GNAPASSLNWAAQWTDIAISTLRRLGVLDNQWHPEEHLQSADQQWVQLEEMKRLAYAV LRIDTYLCIILDRPPTMRYQEFGPSLPVSDNMWRAETREDRTNFHWYEPAGRTKSAFS TMVRDGLESRGFMTGYLRMPHLTLEDNHFSLCAFLSELWAVSKEVHEEHHRNYRSPEL NRTTDRVELWKGYLQDWRVHIEETDKLENAFFGECISDCSHFLGLDLTLYHLLCLKLY ANIRLLEHKKCCSGCQEANIDNVISIWAQSPAGRQAVYHAAQLKRIYERESNMYKPND QRLCNVLGPAGLLTSAIILCTYSAKASGGNMPPEGDAVMTPPGDAIELGQSNLVGTPE FENWISQGGSATVDGVFLHPFSVPRFSSWHRDRLEACPLYSSRLVAFLLTLKF PEX2_090840 MGLIPLQFKNPPKYMLACILCSANGILFGMDTGIIGPVTDMKDF KKSFGSQNSTIHGLIVSSILIPAALSSLFAGYVADRLGRPKGICIGVFIFGIGAAIEA GAVALSMFIVGRVVEGLGEGLFLGNLVVLICEISPTSTRGALTTGPQLAITLGLVMGY FISYGTSRMHSTLSWRMPFILLAAFSMVLCGLSLLYLPESPQWLGLHGRYEMAEQAWD KLGVSRAEREKVVLQVRAQEVGSDTERVKDGTMDKLLAIFSKDVLGRTILAVFLMGMQ QMSGIDGVLYYAPQLFQQAGLASSEASFLASGVSALVIFAVTIPGLIYADKWGRRSSI IYGGVVMGILMFLMGSLYAGNAVHKTTGAGRWVVIVCIYLFSALYSVTWGISVKVYSA EIQPQRTRASATTLAHSSNWVCNFLVALTTPVLLEKSSFGAYFLFGGCCVITVVVGVI FMHETKGRTFGEIEEAFKSYHVGAFFKMNMGFISL PEX2_090850 MLSSDSIELQSWEEIYKEECLSFKASLETQAQILRNDPESQGVD RIKDVRKELISLSHQAERIKEAAFEMVEQTPDSVYVRNAMPEWLSTRFGGPYLEQVCI SMEYSLDRLAFELRFDPSMDLLVAGHLEQMTDDIEMDFL PEX2_090860 MATKNSTDYLASDTEPDSKITKASQLPSLLNVENLDSNDVDPED DKVNIKNDLLHSADVGTLDTQGSNLPKLTQVIITNVPRLKHNSKDLDRWALRVRYALG QLRLQHLINSSVPRPAKGQHNFNRWVQWSRTVANWLYLQVDEDIQEQLQRLRKIPSKA DVLFDKIMKVVRENDKTANADIKFLKYDSQTRADLKAVRKYIAAHKTPFHLLGSNLLP VSSLR PEX2_090870 MRYSTISSLLFLAVSPLGVLARPVDPNDLAGQWDPSGQYRKGQW QHGVFVPNNGDDRYSQKGQTNQHGQLEKDGQWGDNNNQNGQYNQNGQQNSQNGWIDGN GQWHSNNQNIQNGQEGWLDANGQFHANDQNGQNNNNQNGWTDANGQWHSTQKRQELYR PEX2_090880 MPGFSFPPGFTIPTPRLQISPFNPTDPTHCAFLVQLWNTDDFIS SCGKTGCTTPEKASSFIENKVLKIYAYYGYGIFLVSRQTDNGLKPVGTISLMQGIPPD PHYLAPDIGFSILPEEGRKGYATEAAQALLEYANKTLGVDAVFGFCSPGNQRSRATLE KLGMEYRGLKSLKVFGEEDSAVYALPGMSEDLTIYNID PEX2_090890 MDLQNGCLRDIDPSATNIKREISRSEASTIYEIQLYCRTYAMKL FHDNGDPGYTKKGRDLNRFRYELNAYQKLHEFGVCDRGFVLAFYGFIDRHGPLAFHPP FQHISNDRFQPRVILLEYLPSAERLNCVNYSEHLFHYAVEGIKEIHDALVHHHDIYPK NMLVVSGKRILWIDFDVATTFSKMGPREKAYCEYETELVKSFGNLLNADQAEGLAPNT KYY PEX2_090900 MARVLEGKLGIVTGGSRGIGEAIARNLAEKGCSLLLNFTSESSR APTEALCSSLATTHNIKCESVQADLSKPAEAVAAIISAAQEHFSTSGKLQIDILINNA GVSQDRNLNDATKGPIEAEHFNWQYAINVLAPLLLTQACAPFLPTDRSGRIVSISSVS SSLGFVGQSIYGGTKAAIEAMTRTWARELADRATVNAVNPGPVVGDMYFATGEQFWKD IQGYQDNTPMSKLDVNNEDTMNRLTDEQKRLIQEKMGGRRPAFTSEVAGVVGMLCTPD GLWCTGSVVCANGGMKMGQ PEX2_090910 MVRFNRHIQVVGCHCAGEACDVITGGVMDPPGCSTMYDKLVHFR DNEDHIRQLLLQEPRGRSAMCLNLVLPPTNPKADAGFLIMESDEYPPMSGGQHNCHIH DTPAGLVTVHADCEDGKVKAVAFDNVPSFVFKLDYQIQVPELPELGTITLDIAWGGMI YAVVDVTRLGIKINHKNSPRLIQIGEAIKIALNKSDYIPIHPENPSIKGVSVLEFTEP MNHETMEATNTVVVSPGRLDRCPCGTGSCARMAILHARGQLAVGERFTHRSIIGSTFE CHIRGTTKVGEYDAIKPTVKGSAWINSFKQYVLDPTDPWPTGFRVGDQWLVTEG PEX2_090920 MANIEILDNATIHDLLINLSREEAIALRKVLEQTFEDVSVGGER QYQPMPSVTNRANGQNTLFRPFTSDSSVGAKIVVEPAPGFDGKKDPLHGIIVLTDGKG NLTAILSSEEVTGYRTSMNAMVPFCWRKHVDNIVIFGGGMQALWHTRLILTLRGLEVQ KITYASPGKDRVDRLIATVTKENQARWNSNASFHFIDTTATDYQRDLQALLKTADAIF CTTPSKKPLFPASYLTQCRIRQPFISAIGSWLPDMVELDQALLHHAISASDGYNSITR ENRGVVLVDDRDFALQNCGELVNSGILARDVVELGEIIALKKGKGSPTQDRVEKTTKF ISESFIVYKSVGVSLTDLTASNAILELAKNKLPQQQSQAHGN PEX2_090930 MSHFSSPNFTVPPGDQSVKIRIIDSTTRIGNLKLGFLMEPPMEG MECMTPLPAWSFLIEHPSGQKILYDLGVPKDLNSFPPIVCQSFKEQGWEIDVKEEVVD TLRKHGVMANEISAIIWSHWHWDHIGDPSRFPSSTDLIVGPGFKDEFLPGYPAKPDSP VRESDFAGRNLREIDFSNSVQVGEFRAIDYFGDSSFYIIDSPGHAVGHLGALARTTTN PDTFIFMGGDLCHHSGEIRPSKHLRIPHDIQPSFTAPVSFPCPGGSVYEQLLVQRTGS VDKPFFRPAIGVDIEQAIDTIEKAQVADAESNIWFVYAHDASLLNCVDLFPLSANGWK EKKWREKTLWAFLEEFETAIKKI PEX2_090940 MTDSTILITAGPYQFLAKLESAAPKTVKLFRSLLPYRQKLIHVR WSGEGMWIPLGEANFNLPFENHTAHPAPGQILLYPGGISETEFLFCYGGVAFASKMGA LAANHFLTITEGSENLHALGKLTLWKGAQDVLFELADEDKIRQFKAARAAKL PEX2_090950 MAANTPTILLLGTCDTKLPELLYTKAQIESTNATVLLMDISRNP TTHKEIAIPQSALINAPLSPTTPIPDLTTLPRAEYITTLTPYATKRVTQLHKSHQIHG ILAIGGSCGTSLAAAVMRDALPVGFPKLIVSTMAAGDVGPYIGETDISMMYSVVDIAG RNRVLEGVLDNAAGGIAGMANAFLKRERGQKEVDVSSGVKIGISMFGVTTPGVTRARE RLEEVLPGCEVYVFHATGSGGRALERLVREGQIDAVLDLTTTEIADEVVGGVLSAGAG RLTAATVRDIPRVVSVGACDMVNFGEVGSVPAAFREAGRLFYEHNATVTLMRTTKKEC VDIGKFIAANLSRESRDKGQLSRTKVILPVGGVSMLDVPGQAFQDPEADEVLFSTLER ELEGSGISIVRDSRDINDPSFAVAVADELVKLIHGE PEX2_090960 MSAPAQKFKVADLSLAAFGRREIELSEVEMPGLMAIREKYGADQ PLKGARIAGCLHMTIQTAVLIETLTHLGAEVTWTSCNIFSTQDHAAAAIAAAGVPVFA WKGETEEEYQWCLDQQLQAFNDGKKLNLILDDGGDLTSLIHTKYPEMLDDCFGVSEET TTGVHHLYKMLKENKLKVPAINVNDCVTKSKFDNLYGCRESLIDGIKRATDVMIAGKI AVVAGYGDVGKGCAQALHSMGARVIVTEVDPINALQAAVQGYEVNTMEAAAPVGQIFV TTTGCRDILVGRHFEAMRNDAIVCNIGHFDIEIDVAWLKANAQSVQNIKPQVDRYNLN GKNIILLAEGRLVNLGCATGHSSFVMSCSFSNQVLAQIALFKAEDEAFGKKYIEFGAG GRKPVGVYVLPKQLDEQVARCHLDHVNAKLTELTPVQADYLSLDVNGPFKPEIYRY PEX2_090970 MAYVAKLNEWVTHRSRTLQGSETRSYVATLEKYILAGLIYFGFA ELDRLREVSKSNALRKPLNDEYVPKLEHNI PEX2_090980 MLSPTVLRLFYLRDYRSIVDQLETKTRRSTTNIGLSRAGPCSEH YFGIKLFDNRFSVSLKFLSVCVC PEX2_090990 MSEMFFLFFNTLLPFLLLSQIGDLSSSSDFPAPVTAHRGLEEMS SCRTGIVSILNNDDNPSFAVRSAPRFSRTHTSPSHYPHHSERLPPPASDPQHTRPQAS SESPATSPRGTRHHPDAVTEVVQPVSPGSSDGSAYDYFTSQRSAYHPYARQDPRREPY QFPSRGPAAPRTPPETRSSTSETASSQTGATRGTGRKNKYPCPYAASHGCSSTFTTSG HAARHGKKHTGEKSVHCPICNKAFTRKDNMKQHIRTHRTHSDEMRSTSEPETDARWAM ARPGSAYASASHQRNISQPVEAVVRPPPGPAPQAP PEX2_091000 MAYYEDRRYREPRDRYTRPASYADPYDDPRGHYRRHERDSYAPR PSNDSIEEVQREYPPGSDYAYERSYASRRPRRPVYENVRRASSVSGYDPHNDAAYRSS GPRRSRHYEDKRSRRPRYDSDSSPSRSPPRNRRRKSFSEQALGALGLGGAAASASRDD RGRGRSQSHRRHRSYSRSSSDSRSRSRHRGGSKRDGGSKRDKSEQRIVQAARAALTAG AVEAFKQRKEPGEWTGRKGKRVLTAAVTAGGTDGLVDKDPSKHGTRHVVESTLAGLAA SHFMGGGSRSRSRGRDGGRSSGGSGLKNLAATGTIAAAGKEIFDRYSKSRSRPRGRSD SRGSDEDERGSHKRSKSVSEYLSKGMAALGLGEEEDRRRDSRDSRKDHHREHREHRDD RERRHRRGHRDDDHSDSDADSDYYNRDSRRGKGSRDVGRYRSLDRRNPPPYAPTSASR GEPGAGSKDRGHGSSSESDSDLGDSSDEKKQRKKLKRDMLLTGGLASVATIHAAHNVY GSMEKRKERMEQLKEGEITPEEARKRRMKANTKDAVSIGLAALGIKGAYSEWKEVMEK RKENTHFQEECVQRAAKRERRRARSHGAPSRRHRWPDEIEYAPSMTESRSDNTPIYRD GNPYGAHEAARISY PEX2_091010 MDPLSITANVLALLETSINITGRLRIAYSSSLLKQHHEELQRTE SVIRVVHSEGFLETEAVTSELNKLKNLSQELLTLLERLDSGKKSIPRQIAYQLANGSQ DEEHLAKIVERMNTVKTNIILHVQVAGVGLTRDGQSIIAANAAKISQLGRTVRELLGD GQGLRITSLMKDQPLREGGTPILDSDDLNQIGVSIRNGGTTRTIIGNLTRPQALQING PVGKDEWKTISHLEIRDNEAGPASSQVNYPVSGIVFASLLFDHAIKYVLLFVLIYLVF PEX2_091020 MRFLCLHGGGTNGEIFEIQIGGLRQILEKSGHRFTFMNGKINAK VEEELEGIVDGPFYNHYTRGSSPGSSVLEAFDHTKRFITEEGPFDAVIGFSQGAALAA SLLIHQHKTQPAEPPLFRAAVFICGAAPWESSGLEHIVPQPDIYPITIPTANIVGKAD ALFPEGVKLFELCEPAKAAFYDHGSKHMVPFDAKNTDEMIRVIKETVAKAIRG PEX2_091030 MDLRDIIATRGFATYSLRHPREALSSFGPTLNEATFGYLGTSFQ PERDIRSLDGKVVLVTGGNAGLGKETILQLAKHRPSRIYMAARTESKAREAIESLQSQ LSSPADIRFLALDLSSFKSIRAAADKFQSDSDRLDILILNAGTMGNPPTTTEEGFEVQ LGTNHIGHFLLTKLLLPTLQKTIELQRVKEEVPDVRVVTVASAAHAVGPSTFEEITST PGLLAASTWTRYGASKSANILFASELARRHPEILSVAVHPGAVDSGLYGHTKSLNSVM HYGIALAGSTFFRSVASGALNSLWAAGTQRENLINGAYYTPIGYRSGGTAPVQNAQLA HRLWDWTETQVSKRK PEX2_091040 MGHIVVTGGSGKAGQFVISELLNAGHKILNLDLMAMEHPDVHTL KTDLADSGQVFNALSGQWTLREPFPEGLPPRPDAVIHLAGYARNMLVPDNETFRSNTQ GTYNIIEAGCKLGIRKIIIASSVTVYGVAFAQGDTDFPSFPIHEDLDVNPTDTYAIAK LCGERTARGFAARFGVDIYILRIGRVIEPDEYNKDIFYSYVHEPAMWKVHGWSYIDVR DLGGMCEAALRTDGLGFQVFNATNDHITNLSPTKDFLKSQFPDIPITREMEEFEAPFS NAKIKKLLGFQEKHPWHKYFSNWEKKQIEIEENARASG PEX2_091050 MARRLQSDFRGKGTPRRKVKKVVRNSGADDKKLQAALKKLNVQP IQGIEEVNMFKEDGNVIHFANPRVHGAVPSNTFALYGNGEEKELTELVPNILNQLGPD SLASLRKLAESYQNMQKQQGDKKDDDEEDDIPDLVEGENFETKE PEX2_091060 MEYHSVPPEERARDQDGNLLPWGYVYKDESRNPRRPPEESGPFG KRRNARYDNARSRTRTGTPAKRENPNVAEFGRLFSQQQQDEEKTHGLPKSSSSSSLDN QRKQTEKVATECILYGYKNKDNEWKVIDKYERISQGMICEDYPRNDPNSANHYTQLLS GGDVVIRNLSADANRKSKRYAGGYHWIKVTYDSTQSADRACFYSPQEIDGHLVFCELY SGHGPAEDAPIPADSAASSRIRNKAPRTLTTSHSTAFLSNSSKDQDRMTLPRSFAMNN LSSVPDMPEDEGHSVDSSTVAGTSEDTITSTATSATATATPSFQQGSFNTTTSSSTWT TGASSNNDRTSVHQRRAPTQAEPNHDNEFMTHIPTVRRTKLRPMLEALPPQPTMTERI LRSIPILSWFTGDIVGEGPLLREDGTFDSEKSGLYWRFWHTFDYFLRTDMCGLKEDS PEX2_091070 MSTKLDAPSIKIAIDRGGTFTDCLGIVDGRDEEIVVKLLSQDPA NYADAPIEGIRRILEQATGKSFPRNQKLTTADFSNVSIRMGTTVATNALLERKGERHA LLITKGFKDALLIGTQSRPKLFALNIQRPDVLYEDVVEIDERVTIEDYQQNPTPDKES LQKSLESDASLKKGVSGEVVRILEPLNEESTRQNLQQLYEKGYRSIAICLVHSYTFQD HELAIEKIAKEMGFTQISLSSQLLPMIKMTSRGASATADAYLTPVIQRYIQGFRSGFK DGLTSADTRCEFMQSDGGLANFEKFTGLRAILSGPAGGVVGYAGTSFDETDRKPVIGF DMGGTSTDVSRYDGKLEHTFENTISGVTVMAPQLDINTVAAGGGSILFWRHGLFAVGP ESASAHPGPACYRKGGPLTVTDANLFLGRLLPAYFPKIFGPNENEALDVEITRKKFTE LAAQINAETGQNKSPEEIALGFIQVANESMAKPIRALTEARGYDTSAHNLACFGGAGG QHACAIASSLSIGTVIIHRFSSILSAYGMALADVVHEAQEPASGVLDQTAMQSVTERI AALKAKVTTALTTDGIDETQIEHEIYLNLRYQGTDNLLMVLEPEHGDFIAEFVKEHER EFSFTFPGRNILVEDIRVRGVGKATSIAPEAPQQELKSVTKKPVGSEKQDDSSSVYFA GVGEVTTPVFFLDNMQPGYVLEGPAMIIDKTQTIVVEPNATATILSRHVILDVQSSKK QTADATVVDPIRLSIFGHRFMSVADQMSRMFQKTSVSTNIKERLDFSCAVFSPDGKLV ANAPNVPVHLGSMEYAVRYQHEKFGGNLKPGDHILTNHPLAGGTHLPDITIVTPVWDG EGKNIIFYVASRGHHAEIGGIAPGSMPSNSKMLYEEGAMTMGFKVVSEGRFDEEIVRK FLYDEPASYPGCSSTRTYNDNVSDLKAAIAANHKGAQLLEGLVIENTLEVVHFYMDAI KRNAEVAVRELLKSIGHKNKGVPLRFSDFMDDGTEIKLEIRIDSDTGSADFDFTGTGR ETFNCLNAPKAIAHSAIIYSLRALIDVDIPLNQGCLAPVNVIIPSGTLLNPSGHAAVC AGNPITSQRITDVVLGAFNACAASQGCCNIISFGMGGVDPKTGIEVPGFGVGETICGG SGAGPSWNGTSGVHVHMTNTRITDAEVYELRYPVILRRFCIRDGSGGVGRFRGGDGVI RELEFRMPLSVSMLSERRVYRPYGLAGGESGQAGLNLYVKKELDGTERTINIGGKMEL VVQPGERILIHTPGGGGWGNTSDDELVTSDSKSPQNSSTFQPRGSVHAFSVAAEAAM PEX2_091080 MADEKQVASPEPHLALDQEKAQAGEILEAQNRTDGKDVAAQFLA RLDPAITVEPVTETEARRVLWKIDLIIIPLIMVTVVLAAIDKVIISNAAIYGMKKDTH LTGDQYSWVGSIFYFGYLAFEYPAALLIQRLPVAKLYVGMVLGWAILLLCTAATQNFA GLATVRFLMGMTEAAVFPISSILTVMWWKTSEQPLRVAFWFNQLSSVFSGVVSYGIGQ TNTALAPWRLLFIVLGAFSLLWAGVLYIFLPDSPVQCWYFSDREKFVCLERVKDNNTG MEDKTIKWYQVRECLLDPKTWLLALFSLAQNIPNGGLVTFSAIIVTGLGYRPLITTVL GIPTGVLATVWQILLGFIAASVPNSRCNIIAIANLVPMICAILMWKLPRENQHGLLAA YYVFYTYWAPYVLSTSLPMANTSGHSKKLTMNAIFFLAYCIGNIIGPQCFRSDDAPSY SRGYEGLLGCLVVAIVAIISYGVLCRWENNRRDKEAQSNVETSEVVAFSDLTDKEKRS FRYTY PEX2_091090 MNKDTRILIVGAGCFGTSTAYHLSQRGYTSIRVLDPYAPPSCEA ASTDISKVIRSDYNEPLYARLGIESIEAWRSWPLFRGLYHVPGWILSAANLSRPFVEG SIETCKRLGVQGLEKLTPDQIRSRFSVVTGKLDGWNINVWNPTAGWAAAGTAIERMAG AAQKNGVKYISGETQGNVQELILDDITGECKGVVTADGTRHEADVVILAAGAWTPSLL DVKGQLTAKGHSVAHIQLTPSETKHYASMPIMDNLELGYFFPPQNDGIFKMAHSQFIT NVQTTKSGITTSVPHTFVQAPADGLPLEIEAQMRRNLRRVLPELADRPFCYTRLCWDA DTADRHFLVTPHPTHKSLFLATGGSAHGFKFLPVVGKYVADLLEGTLDPEIVRQWQWR AGQKSTAKNLAHLDPEMELSDLTGWKGRQIRERSHASKL PEX2_091100 MALQSEGLYHAALAIAANTLKLSDQRYRLPALEHHHRALNHLRG LLNKDNWTENELDEMLGLVLMLCWFDISDSSRPSWVTHLNGFQNLIRARKERPGRSSH SQDLASFFNRYFAFHLVLARTAFRVTPPSSHISPLLPDSILEKSDMIDPYMGLSPALL LMIDQVAELAWAREDGNIKINRKDVHQLKTDLDSLQQKIPTENIDPNMECAAIAEANR LGALLLLHEICSTKAPINRSGIPTVEYEEKNVYVERILTLILEKKVNMMRTAVTPLWP LFLAGCCARREEERVTVLQLFKELEGIRRFGNITPAIEVVEMVWRQRDLSMQDERGLQ KKLNAQRQKDPLQETRFSWEHAMVMLGGWKLSLT PEX2_091110 MGFSTTAEFDQAYQSVRGAFASGTTKNKEWRRRQLKRAWWMIED NKKRICDALYTDLHKHYQEAYIGDCGNIQADILRTLKKLDEWTKDERPEKSDPLNFLG RTTVRKEPLGVTLIIGAWNFPVSLLLQPMVAAIAAGCAVILKPSDVTSATQDVLMEIV PQYMDRDAIRCVSAGPQEMGYILEHRFDHIFYTGSPNIAKIIHAAAAKHLTPVTLELG GQCPAIVAESANLDLAAKHIAVTKFMNAGQVCLNVNHVFVHPRLRERLVADLMRYFDT FLGGKANNPEYYTHIINERNFDRLDSLLQQTSGKIVYGGQRDRQSLYFAPTIVTGVKP GDSLLSEELFGPILPIVDADFDTALSRTREGEHPLAIYAFTTQAAEKARILNETQSGG VTFNDCGLHVAGRDVPFGGVGNSGQGRYHGPHGILTFSHLRTHINAVPSWLEGLLAAR YPPYSVQKAHKMMAPVIPPFDRDGNDTTFAARSKWALGVGVLALSALFVTRRDQIVTF GSKWLK PEX2_091120 MKLSLVLVGLNAVHNAGIVSAVPLDSWSARFSASSHAVEMFNAA IEWNDKYWDDEAGYLITSTSSPGRYDSRHSAWYAPQLLARNGPGDVAKAVRIFDNVIS GQYLDPSKQWYGDYQQAPSEPEPGTLEYPDDGPYSSWDPNIRDFVGCAWIVALNDYGH LLPAATVSKVEESLHIAAKGDLYRVGGIDGDNSYPCYSNPWLMRTILQNWVGARVGDA NLTNSGENFAQEIYDLWSMHHTLSEFNSPTYAGVAMWALALWNQYGTSDSLLKKYGPE MLKYSWNELAQLYNANLKNIAGPWDRSYGYDMKEYASLTGAVIWGVVGREQAPVPQQE LGMFHQDDFAFYPLFALSMPEMVKYLPAKAKANLLKFPGEHMYTSQAYSPPFDTYPRN ITAWVSKDVTIGAETVAETVVGGPSINPSQFNPAVIQWAIGEHKIGCISHWVTESSIH AVAAPRSLNISYTNATSVHGPVSFNFLFSGLTVNNGFNVTGLEGLPGLNVKVSTNAQP NYTITYNTDHSVNEFVFYNITYTMPESFTGVPYVSLQIV PEX2_091130 MPLDNVTSGVTGQLPTDKVQSTTSGVVKKSAPKKLNRTTKDLTD PVIPGQFPADDAPPKGEGVDNNLSFSSIWSSITAWFSTLFPQAFDYLESCIQRLVTWL LPPPRQAAIYEAALKRPAATTLIVCQMICCGVPLLVFLAGVFVFAAVSILLWAILSLL ILGPVLLVTSMMGMSLWGWGWILYGLVKWVDQRFLGGIIARFWLPQTQSESADGEGQP DEEKKDE PEX2_091140 MAKQEGEISIVMAPSNNQSSHAAENVHQEANLEKQPSLSSLQPG VYRADVLRKSWTKQGLIIVFTGLFLCTLAINFADYSTQVYAPYTTSAFKQHSAMSAAR VVMNIARISAYPIIAKLGDVFGRAEMFILSILMSVLGYAIWAACTNITQYIVAGIFDA IGSTGFALTQQIFVADMTSLVNRGIWSTLPDSLTTIPTLYLGTIVAQRILDHSTWRWG WGMWAIILPVASLPLIGTMLFYQRRTPSPVSVSEALGWKTNDAWWKKVYRLFWIELDM PGAILLVAGLSLLLVPLSLTGSNNSGAWTNGSFIAMLVLGVVFIVAFLGWDTWFAKKP FVPYRMIKNRTVAAACLLGALDFFHYSVFSVFFTSYLQVAGNFSPGPATRIDNSLRVA FQVAGIFAAFFMKYTKRSQIWVLIGVPLCVLGMGVLLYLVDMGDGRSGNEASFVAAKS LIGIGRGFYQTAAQVSVQAVVSRQDVSVVTAVFFASMSVGGAIGTSVAGAIWRNTLPK KLQQYLPSELKGQAKSIFGSIVVARKYAMGTEARDAINRSYRESQRLLAIAGLVSLSL MLIVMLFLKNVKLARNSFLIFLTMETLSDTTWDVIIDGTGVSQSLLALALSRSGKKVL HMDSNQYYGGSDAAFSLDEAQEWAEKVNKDTSTSLFKDASIFKLDTSLAQDRSISPEL ASSRAYTLSLSPYLLYARSPLMSALVSSKVFRQLEFMAVGSWWIYAPEQPDSKTGELG AEKVLYRVPGNREDVFAATHISMKSKRTLMRLLRHITKPKEDDTSNEDEDISMPLKDY LASRFSVPEELHNPLLSLSLSQLSQQDTSASYAVPRIQRHLSSIGHLGPGFGAVIAKY GGGAEILQAACRASAVGGGVYALDTQINDCLRRAGSEHSEHPFLLKLANEESVQSRYL FTSSDSFSKESSAPLVEVARSISVVSATFPSLFPVAVEGAPVPATAVLMFPGDTLGNP QSPPVYLQVHSSDTGECPHQQSVIYCSVALPGPEGQSLIESAMDRLIRAEGLPTSVLW SLRYTHIGRLSNGEPQRLTVEEGVPDAYRFPPSSLDLAFEDDTLDLVKEAWKIIVGDE VDDADFMMFDDRNGTSDQ PEX2_091150 MEDQWTFEQAECYVQNMASWLVANKQDEKYLIQVSWPLEWESTE DQPIVNKANAIYLVDGNAMMLSATDIVRRRRMRKPEETSTIIIGISYPLTRSVYSPRR SHDLTPPCEKYDAPKSPDGKPNHQQYGGADAFLHFITNTVHHFVFSSIFPRISVCQTA LFGHSFGALFALHALYTAPGSFDAYLAASPSIWWNNGFLLQEEEQFYSMTESHHHPRV WMAYGSLEQSPIHQKNQTLEEYEKRLAIAKERLMGDNCDQMFVRLLRSGRVRSVVRRK YEDEDHGSVIAGALSGAIFYFLDQGDEE PEX2_091160 MTTEVAFDTSMGSFSVELYNTHAPKTCKNFATLAERGYYNNVIF HRIIPDFMVQTGDPTGTGRGGSSIYGEKFEDEIRGELKHTGAGILSMANSGPNTNGSQ FFITLAPTPWLDGNHTIFGRVKSGMRVIQRMGLVKTGAEDKPVDELKIIRARVVEAGT QE PEX2_091170 MADVSPVAELLGQALYHFDRLRPLLPTYGHLIVSALFPIWIGAH ASLTRPSSAAKPPKKNTDKDKDEYDTDDEEGHGPLQKMEGLEPSDALMFPLTAGLTLG GLYLVIKWLEDPAILNKILSFYFSQMGLFFAFAFLKDVSLMIRSFVFPRYYRQGGQLW KAKHSERLFMTSEDHPAKSQSIRHSPLPGILGRVPLPGMVRGLLWKFRNVSYQRLKVR AHIRGLFDFECLVGLLDIISGISALSAVGYFAFVANPWWLTNFLGFCFCYGTLQFMSP STFWTGTLIMGSLFFYDIYFVFFTPLMVTVATKLDVPIKLLFPRPPTSRDAPGSVPLA MLGLGDIVIPGMMIGLALRFDLFLYYQQKGAQMARPKGPDQATIKPEYQSATGAWGER FWAPSVKPLQPELQPPYHDARHFPKIYFKASIFGYIVGMVTTLLAMQYSNHAQPALLY LVPGVLISLWGTALIRGEIDTMWDFSDAEEVEIEEEEKEDEAEDKSSQNQKSLFMRIF SGDYLRASKDENTTQDKNLKQEKIDDSKSKKKSSEEGGHDAITKKPKKDLDSLDLIFF SVSIPHKSKRETQTTLSTTSTEEEDGEVSVSRDVNGDNEPPLKRRRRSMMNKKSEN PEX2_091180 MASSTTTSNPIPPSLSLPPSQFARLQPHAYLLAHLSPPPASNQP SIRANGRAASQFRVTSANAGSLTHTNGSAVVRIGDTAAVCGVRAEILHTKDIASWSVL QASSEEQSFDKSNDVGASESQDEEDRGHIQDLNLLVPNLSLSTGCAPGFTPGAPPSAL AQSLSHQILSLLHTTSLVRADDLRIWYQPPNLDSEEFRNAGEGMDVDTEQSGGPEREI KAFWVLYIDVMIISMAGNPFDAAWASVLAALRDTKLPKAWWDADNETILCSDDVSDAR KLSLRGLPVASSFCSFEGDAAAGWRAVVIPDADEQKQKKGAQQRWILADPDGYEEGLS QERASVVVDKENGKTVIVKMEKHGGWAVDSDDLRQLVDISAQRWDEMKRILDQC PEX2_091190 MLSTIVSIAKRINVAITASTTAAEAAEMQRDPWSKSAKYGVGFV YFAIVLLVITTFVRCWHKWGDKMRIALYKESRREIFRDDSVPDEYELPSAGTDASNAH FFPSPTAVSPPKRRESILARIVPLNKAIAFMRWIFYRPVPVLRVGKIELVFPSLAVTA IVLVAFIFVALYCFVPQPLYYRSIALGSPPLAIRAGMLAVAMIPWIVALSTKANFITM MTGLGPERLNGLHRWSAYICLFLSLVHMVPFYITPIWEDGALVNYSIGIPPHAYVYGT GIAALVPLLVLCIHSLPIFRNWMYELFVFVHIPVSYIFVAMLFWHTRNYLSSWAYLFT TLAIWVISYIVRLFYLNWTHPLRSSFLIGEESAITLLPQNAIKITIPTKMRWRPGQYV YLRLPRISVIQSHPFTIASLCSDDFPSTYGEKYRDMTLVFRPFHGFTREAFQKALEHG PYKIYSAFVEGPYGGMQREMAAFDDVIFFAGGSGITAIASQLLDLIKKIRDGKAITKS VKVIWALKSPETMEWFQEELRICRDYAPSNIVSCHFFLTGVKQDDQVGRDIVQEKIYG MLQGIDKRNSAYIRDEAAGNPDIEKELRRENEDGVAALPNAYMATHAPNTRQYSQSTM NSHGPSTSTSYGNPNFDFGFGGPQLVPQRPTPAPTSRFAYYQPRGRDNWRTDYFRPNI TQMVNEFSKTFGRRACVFVCGPPSMRVEVAKSVAKLQMQVIMDSSRDEVFLHAENYNI PEX2_091200 MAYQLFAKNQTRPATSSSSLSPPPTNRHPVRPPIHPPPVQPPQS AVANTSGPKRSKEEIFTPYPHDISAGVATPHPHITIEKVNTAHIPSLTRITGLLLPIR YPNSFYTAIITDPVIASLSRVAIYHDHPIATAPVSGASTGTDKVIGGIRCRLERIRQA EDSNKEIEMQGDKCPKNLYIQTLHLLSPYRGSGVAASLLNSLLFASSPDRKGKDSYQV SELVRHYNICSVTAHVHEANEEGLEWYIARGFRVDGDVAEYYRRLKPSGAKIVRLDLN WNEEDETLAPTEINSQTPASPGEAEDEDWEKVEAEDGEEGDHGVQHLNESQVLEKQDT PSRKRKAEDDNHKAQVE PEX2_091210 MADSNSSGGRRPTYPAGTIAKVATDILNETFQNIIHDLVAKVHR EEKVARMRSAVVLARQKAEEDAIMPEEAPSKTSTDTKREIILDTKKLAGMRMETDAAV FNRGKVFLKGNPMKTVKEHVCPDCRLPKLMYPTTGANSCIPPDPDAEYCTNVPMIDLA GHDVHGKLFAVMPNPKKKKGDRDSTIPEIPTTKCPICPRYFVMTRLAQHLERCVYGGR GGGRNKTPTDSGASNGNSPSSSAPKRPYADDDEESPSPTKKKKLNGPKKGSTTKPGPS KLKQSFTVEDNDDDIKSENAD PEX2_091220 MADIRAWAAPRLSQLLPLDPDSLSQVIDYAVSLSREACADHLKN LLGDSPAALEFISSFNSRREPQRPPQNPPPPSRDASRSSGGTKKGKKKAPLHTAGPPR RPDNYGDVGGGYKKADEEDYMSSRKPAAPTLAPSPHGSSASSRIPSPLPASSPKPPPS ASGPTISDMLPNVRSKVSKSTRQGGGAGSSSKGNTALTTNDISDLTAAIAALEVSTNP SLGERRSCTCYGSLHPVFDPAPNCLNCGKIICSLEGLQPCSFCSTPLLSAEEVQGMIR ELRAERGQEKMRVHNEGVHHDGGPRPTPGSEPSSKLDAAKAHRDKLLQFQAQNARRTK VVDETADFETPNVASTLWMTPTQRALALKKQQRIQREIDEKARPEWERKKTVMSLDIK GGKVRRVYHSAPAESTPEPSEPEPVDEGGAEDSPRGQHAFSRNPLLAAGGLMRPVWRA PETKQVEGAEQTERKQTWRRVQDDNDDNEQWILDGGIHGHAT PEX2_091230 MLVESVLESFSLQRVLGGLVGLLAVATLAYTLYNRFIHPLRRIN GPILASITPWVQLYHGLKGDRHLWLHKLHSQYGTHVRVAPNFVSVNSDRGLHDIYGHG KHLQKAKFYNAFPAIKGVYNTHNAIDKTMHGRKRRVLSQAFSDNALKGMEDVMLLHVR QLCAVLAGYDGDFESHDQKGNVKNMGDWFSYLSYDVMGELCFGKSFDMLISETLRYKV GLVDRAANRHYVCGLWMPLDTWGLDQIVIRKLTRDRWNFIMNSRVEANERAKERTQIG RDAKKDFFYYLLNAKDPETGNGLSTPELWGESNVLMIAGSDTTSTTLAATLFYLVRRP DALAKLCAEVRGAFNEVEDIITGSYLGELVYLKACIDEALRLAPAVPGAIPREVMEGG AVVDGVFLPAGTDCGTPTYSIHRQERYYREAGVFMPERWIEDATCTASGVSWQTTKES IETARHAFCPFSIGPRGCIGKSMAFMEMRLTLARLVFLFDMSLADRQGEDAGHLALTD HFTSAKNGPNVATILNAISNVLAQLIDQRNNKAPFTLNTPALLQFLGYGVLIVAPNFY WQRALEARYPGFPTRSELSSAFSIRSLKSIFSPRSWLSLFSRSRQDDSLPSHKEKEKH VRWAPQTQTSGLRSFVMKFFFDQTAASIVNLVLFVVLINLLKGETLAKSWNLVVLDFR PLMSARLKYRPVVSVLMYTVIPVDRRVVFGSACGVIWETLMSKGASDDTKTTSHRSSN KSAPRDHVKLDVAIIFISHLILTVYFGELIVNNVCPGILATEVDNNTSASSKHGTLWS DSSHKP PEX2_091240 MGFLNAFKAKSPKEAEVSGDKTEHNTDHKNVAPNDDTHTQSGGQ TSKPSSEKESALVDEPLLRNLSPQNDSEKELAIRDTPTPVHNDSNRELVPNEPFDKQS TQEEKKENGDTETPEDEEEYPNAWKLTLISIALCLCVFCVALDNTIIATAIPKITDQF NSLDDVGWYGSSYLLTTCAVSLMFGKLYTFYSIKWIYLIALCIFEVGSLVCAVTPNSV GLICGRAIAGLGAAGLFSGSILIISKSVPLVKRPMYTGLVGAMFGIANVAGPLMGGAF TDHLTWRWCFYINLPLGGVTFLFVLFFFQNPKAILKKNTLKEQIKELDLIGSLFFLPA IISLLLAMQWGGTRYAWGSGRIIGLFVVFGVLGLMFIGVEIWAGDRATVPPRLIKNRN IWGSAWYALCIGAAFFVLTFYLPIWFQSIKGATALSSGIMNLPTILSVVVVSILSGGL VTVFGYYTPFMIASSMIMTIGAGLLTTLETDSNHSKWIGYQALFGIGLGLGMQQPMIV AQTALKPADIPSGTAIVMFAQTLGGAIFVSVGQNVFQNQLVKNLAQYAPDEDAAKLIS AGATMLRTVVSGDALHRVLIAWNAAVMQTFYVSVAMGALSLVGPIFVEWLSVKGKKIE VTPV PEX2_091250 MDKLFAPAPEPATPLGRYRVLSSTAGVRVSPLQLGAMSIGDAWN EAMGSMNKEQSFALLDAFVAAGGNFIDTANNYQNEQSEAWLGEWMAARNNRDRIVLAT KFTTDYRSHAVGKGNAPNACGNHKRSMILSVRDSLRKLQTDFIDVLYLHWWDHTTSIE EIMDSLHMLVEQGKVMYLGISDSPAWVVAAANTYARAHGKTPFSIYQGRWNVMRRDFE RDILPMARHFGMALAPWDVLGSGHFQTAKQIEERKKAGEGLRNMMGAGQTEEEARISE ALATVAVEQGIESVTTIALAYVLSKAPNVFPLVGGRKIEHLHDNIKALSIRLTDKQIE FLEAATTFDIGFPGNFIGVDPSLNGGQQGFLTGMAGRIDYVQASRAIGYEPGK PEX2_091260 MSSSLLDQATRIARDFDYPAEKVQRGVAEYIKQSNEGLTKEGTT LSQIPTFVTAVPNGTEKGLYLAVDLGGTNFRVCSVLLHGDTTFSLTQSKILIPRELMA SGTSKDLFSFLARQIEAFLRIHHNEHFEAHQLRRREDNNEEDLFDLGFTFSFPVRQCG INRGTLIRWTKGFNIPDAVGHDVCALLQSAIDELNLPVRVAALVNDTVGTLMARSYTS PGKTGTFLGAIFGTGTNGAYVEKTKNITKLQHMKDAHFDDSTSEMIINAEWGSFDNHM SVLPTTVFDDELDADSNNPGVQMFEKRVSGMFLGEILRRALLSLHRNTDLGLFKANKN SKVVLPEGSMLFRQWGLDTSMLSSVEADSSKDLVDVKTALKDHLEIENPSFEECQAVK IIVHAIGKRAARLSAVPLAAILVHTNKLETDDIIDIGVDGSLVEFYPNFEGHMREALR EVPEVGVAGDKKIRIGISKDGSGVGAALIALVANKDNDLEVPREK PEX2_091270 MAIVTLEHIAIAELIIYIPTALVTILVVLRHGFHKQLGWIYLCI FSAIRVGGAVMETLSTRNANNSTYKEWAIIIQSVGLSPLLLSTLGLLKRVFDETSQHM PSSPESKRNTILQGLSSSGVGGKLIGIYSQRATAISRRSKLIQLLHLPALIALILSIS GGTNQTSSTVSNHTSGKTQTCVAIIIFLIIYIATCILWIITTRDISVMVSSQKRIFLC VLLALPFIAVRILYSLICDFGNNPQFSLISGDPEIQLVMATFEEFVVVLIYTILGVIT PKSVSNAVTDAREQETYHMTGDAEHGRYHQNTDRLTYEESMYAHAAAQAVHNQHVRR PEX2_091280 MSTKTERERLGTYGVVPWLCLFLSSTLELYVRTTCFSKSKFPLY ITSREV PEX2_091290 MGAGNSKPEASAGSQHVFSSNSPVQFSSNLVDALQSTSETDSTR AKALELEIQHRVAQELQRLREREQQTLSEIEKRISESKDTTSLPVTAATAPLAPSTSG YPAGSLNLDAPRIPFAGRHHDPVPTVAAPQETAAAAQPVKRDISRSSVAAEIEQLRSK LDGRRKLVELDDGVAKARSDVTSCLQLNDRRPLNCWEEVDAFKREVARMEAAFVDRIV G PEX2_091300 MASHTSAKRKTFTPPGPHGPAGASRKRAKTFDARTLAVQSADAA LSATGELDVAAYSAARAFEIQALEEGMQRSKNALTTRAFQKVPRSLRRRTASHNVKRV PKRLRARAKREMAEDNTPTVTARRRKPSQMMRIRLDTARRLQAINSKTKARRAAAKLK RDQENQTSLEKEGSHAFDIAPRVPKIKKNKLSRPIPAEPKYRKRQKCKTWLPTHLYHA KRAHMATTKDPIWRFAVPLSPTEKSYRPTHRARGARGAVAWDMSYMSTIQLEGTEPAI QAVLTAVGVNGDNVWGTKGKKWRAGTRSLQAWTFEKDHPQRPTAPVTLIWCAKQEDVE MVDADEPQPKSSKKQHRKLWIRVHPSAFLQLWADILGVSKSQNPPVMVEDLRFEIGSI EITGPGSTEALLATLKPILGPDGNVPSAQSPEAVWPSLLGVSNPSSLPHNALLSFAVS DPRLHFPPRTLHSPDNESHMNDLAILLSTWHPDKTQGPSQLFERSTRLTAARQLPSQK AINRRRTLAGPGEFPPPQPSDPQIPIMILANKPQARAKRSNAPGSWTVLLPWKCVVPV WYSIMFYPLSSGGNPLLGGLKQQQQLAFEAGEAWFPGDFPGTRAGWEWGQRETEKSRR DWERRPKGRRPEFDNISLGDGRPKGEIGHGWACDWERLIRGPRQDDATTKTADGSSDK KPTESKEAEKNESSTPIIPPLDIHNIRLPPADTYQNLSKLDKTSVDVSTLATVYLSLI TRGTPTPRARIYRLPTDPALRQKWLDLGSAMIGKPGQPKLSKGGNTEPESEEARRLLA KALISYADGEPDPEPLDVPTEDDLIGFVTTGNYNLSEGKGTGIGSIQLSKVLAPNVKL SERRMCIIRASGEKSGRLGMWEFV PEX2_091310 MADTILQPSRPVEEKGVTQHHETSDSSQHSHQNMTGLGSEEAMH ESEKDIPMTFRRFMGFAAMAFLWTGSQIPVYLFGGIPPYIYGDIGGADRWVWFVLANL LGLAGVCPFVGSLSDLIGRRPVAIIGASLIVIGMIICSTVHTMNNFIAGMAIAGAGAG INELTALAATSEMAPTRKRGLYVSILIFTIAPFCPSVLWAQLIAYHTHWRYVGAFCAA WSAFGLLITVLFYFPPPRVNSVGLSRKEIIGRVDFVGGLLSITGLIVFLAGLQWGGYM YPWSSAHVLAPLIVGFLLLVAFAFWEIYGAKYPIFPSRLKQEPRILALTLVITFISGA NFFSVLMFWPTESFNVYGHDPVDVGVRSLPIGFGIMAGACIVLALLSILRGHNKELLI VSSVMMTAGCGAMAIGGTENMYQLWGILVLAGLGIGGIVVPASIITTIICPDDLIATI SALTLSIRVVGGGIGYTIYYNVFISKFVPAATYYIGGVMMKELNITDVKLIGEAIELT GASLLGELRTIPGIAGNETAYQMVVGAGQLAYAEGYKWVYYVSIAFGGVSIVAACFLG DIQKYMTDHVAVVM PEX2_091320 MAAIPIIVKHAGKRHELELDPTSNGETLKYQLFSLTGVEPDRQK VLVKGGQLKDDTPLSSLNAKPGQMFMMMGTPSGGQGSADLGRPKETVKFLEDMTEAEV ARQEGATPAGLQNLGNTCYLNSSLQTLRSVPELQEELLRYRPSGGAGQSNLSDLSSFG IGGLGGSRDLAASLRDLFKQMSETQEGIPPLMFLNALRTVFPQFAQRDRNGQGYSQQD AEEAFSQILNQLRTKLTITEGEGESATTTSFVDKYLAGQFESVTECEDPAAKELGEQP SQSSDVFYKLDCHIGKETNHLQDGILAGLEEEIEKNSPLLDRNSVYKKRSRIARLPKY LTVHFVRFYWKRETQKKAKIMRKVTFPAELDVVEFCTEDLRKQLVPIRDKVREIRKEE LEVERSQKRQRIAEERAERQLKETNLGKSVEPMQKKKATEENKSKVNDKDGDSQMEET FKTDAEYEAEKAESIRVAKKELQELINQRGAGDSGTNQSGLYELRAVITHQGASADSG HYTAYVKKQERDEPQTGSKRREADNKWWWFNDDTVTEVEAQKIETLSGGGESHSALIC LYRAIELPKSDILQSASRQSSIQTRSFWRPAIRNVSTAAQPNASREPSRWTRRLIYAG IFGTLGVGAGKWLDNKIAVPPVPGTLEDQLELQEIQRVFDIGLPIVQELRSNPDYVEK HVYENFTDEHKTHRLTSGPLAGSRGLGLQKVFWNDKEKKLVNVVFLGPGLEGWPTMVH GGALGTVIDENLGRAAIRHFPARTGVTANLELNYRAPVHSDNFYTLHTTLDQERSTDT KAYAKCEVRDMAGRLCVEATGLFVVPKTLKLSKVGEYF PEX2_091330 MSQSLRPYLQAVRSSLTAALTISNFASQTSERHNCPEVEANSSP EILLNPLHISRNENEKVKIEPSYNSVRISIRIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPVEGYDISFLITNFHTEKMLTHKLIDFVIEFMEEVDKEISEMKLFLNARARFV AESFLTPFD PEX2_091340 MTEKAGHMPKLDEWPAIISHLVADHDILSPKEEAHPEPHTVTDS NSCKNSPSPIPSGCASLNNPSSDSTLGAQYPAQASAPESAPVSTFPIHSPLPLAPSSF ISSHHQSSSSSSTIRPAKHPKLAPGHSSESFEFVPQTYPPLGFQSQFYQYPFETVPQV YHNPVDFARQLSPSPASSSEPDSLPSNTTNMGWPFDLDGLPDYGAFDRFESYSIEDLL QQASTPFGSIAETRPPSRKGVLVISNIPYTVTRQEVASFLGRSANLLPSSQGCPIHII MERSTGKTMDCYVEFPTNKDAEDTVNRISHAYDAGSTPRMGNRHVDIEMSTPAKLLKA VFPRAKCISWENGEPVQLVNKDSWSTGFDGFLTDEELFCLTRHAEQPHRSAFASKVPQ RCYESFITTIWKFPWHATHLYTVHHRNALFKTLGTLIRTLVERMQKSNTVGLDIRLLS ELVHAGISCPAFNPRMKYCFAWWSKDQRGIESLDHDWCLYFPFDTMTYVPGQSSAAIQ FYSYVMSHGSVLRTENDGLLNKHTHPEIERIFGRFWFEWDDGVARNKVFKDAIIYEAS VLRKFIITGFQQLHRRKSSISTIGTAPGSSPTYSITSVDSQRTISVSHSASVNPSPAS QESSTVSVTSNTTSSLREHGNDWNVPGIPRASSQRLDADQYLPDTPTHRPRASAPPYR PPHQRPADQTKSRNQSVSWRMPQTQEAPATGSTQSHAEMRPMYRAPHPTAQNVRSSSD PFGPVPSIAPASHRSRSDATRLSNTDRRAFDELRAAGRSTRSAKK PEX2_091350 MPKQSSRPDLTSPEAPTASKRRASARLSAAEPGVKRVKSNVDLS LRQAKSTTSKSKYFEPEDSDEPDSDSDASAGESSGSVYEEAKEESTGDEAEPEELSDT GDDAKKLSAKGKQRQSTSSGNDIIKGKELWREGVRAGLEPGQEVIIAKPKARDAGKTP YQDETLHPNTRLFLIDLAGNNDRQWLKAHDPDYRAAKKDFETFVDSLTPKIAEVDATV PELPVKDLVFRIHRDVRFSKNPLPYKVGYPPLWVLFAVPVACVYVADTHFSAAWSRTG KKGPYAAYYVHFQPGSCFVGCGLWNPESEPLALLREDIDENSAGLKEVLRAPEMRREF LKGASDDDDAVVDAFTHHNKESALKTKPKGYEADNKNIKLLRLRSFTIGKPISDDELT GDDAQEKIAVLVGVMEPFVTYLNSVVMPDQ PEX2_091360 MSKSLAPRTIPRNRLASSIQKKQGEIHSLCLPNGARIELGRSSI KKIRNFLKPKSERITEQRKIKKVV PEX2_091370 MSGNPNDHDPNRQGDPNRQDPRDSRDPRDPRATQWNNPDDQASY PYPPTSYPPPPDQDRAYQYPPPAQYAPSQYPPPPQYAPSQYPPAQYQDPRYPHPQAMN AIHGAQDPYRLPPPPGPYRADAYGPQAGQGPYQAAAPRQRTAIACRYCRRRKIRCSGF ETSADGRCSNCVRFNQDCMFTPVSSQTQAFVPAHTAYPHLRPGQNGPGPGGRGGPPVL YGAHGQPLPPQQQPQGPETTLPPPQGMYYQNGRPPMDGAPLPLASTMPDPRHRRGSNS GFEYPDPTNLAPVTPAGSAPGYQTHTTPSPYAYPPPPAHDRRTSPPSAYNYDARPSSS PHGSPFPPMQPHQAPMTPHQGSVPPVQAQQGSAPIKSSGTPQPGGVTPPPTSTPGGSQ RGGLNVRDMLNPGDSQGRSSTDSDMLNALNRRGPQ PEX2_091380 MSHTNYAMDQMMSDVNDSQRRRQPLRDVSSRMNHPKSPNVETPR LRIHESEQLKSPDRMSFLMTPTPQRNKENDRLSVVTDLQPDSARNSIMSAASILSTKG KRKTHVGPWQLGRTLGKGATGRVRLAKHAVTGNTAAIKIVSKKSAAMVQSESIAAMDR NMGNFPTNSATRQMPCGIEREVVIMKLIEHPNVISLYDVWENRGELYLVLEHVQGGEL FDYVSNNGPLPEEEAVRLFRQIIAALGYCHRFNICHRDLKPENILLDSNHNVKLADFG MAALQPAGHWLNTSCGSPHYAAPEIIYGRKYRGDKADMWSCGIILYALLTGYLPFDGG DLGSTLRLVKKGDYMIPPELSDEAADLIQRILQKRPEDRISMQSIWLHPLLTKYEKLH NAMVDHYVGPPPPLSVKDCGQALSCQQDIDLDILRNLQTLWHDVKAEDLMQRLLCIEP THERMFYNALAKFRDEQLENYQGQPLEYSASDYHHISRPGGRVRRGRSQTGQGSSKRR AQFPSVKEFPRRLSSFKEPMSSGTTASYDPYRSPNHDSTASKAQYTHITVHRESPEQS QAILSSPRVQPPPSIAEEQEPEESDGPDASPFTVLQKRKHGPSSMKSFVSSKVPHSSS RAPGLSTHSMSYRRNVSFHHARNRSHGSTSAKPKKRKIAPQNQQNEIKRSPSTCSLQI LADGLCLPDMPSSPPLPAQPTVVRANGPKVKSLGQVRKVRETDYTWKEDTRKVSHELS QICEEAFNGSSVTTIRTTSGGSGYETPGTPVSTASLDQVKPTSTIPVAHTSKESSRPY NIKELTETRQKLIEHSRGRKDNVPAYLSGVITHLDRLIEEDQAKNGTQREVEVASYQD PFIISPNETFLPVINENCASPVREPSEASPRRQQKPRTSLATSHGGDAKATIRMVPHS SLPSMQEVKPLTIRKKNHSKLASSDVHEFAMGDPAGSDRNFSIGSRHAAQPSGLAPIE EVPLSPKKTTARAPEGKKWSWFKHRSQGSDNPPALPPKDSHLIIPSGGTIVHNPITLE PASPSKGENTERVPKRKTSMDRFGGGFFKKLLTKKSTQNEEESPANNENEIQTTPTKD NRYSSLMCKGLADTDSSVEADDAPNPFHQKRRSIANHNWFARVFQIKPATQVIALSTS KVKGRKELYKLLREWRDFGMEDVYLDKTNSIVHGRVSEANFLHLREVEFTAEFYTVLE HGHQANLSLVRFKQERGAASSFNKVVDAVQSTLMRRGMVVEDPSRAENMMRVLDSVPN HN PEX2_091390 MYCLTPCRHVCLVLLCELTYQRPGEAEPSKLLCHYSHLPPSPFP GLAPTRTSFLVSFFFFSLSFIQNYSRP PEX2_091400 MADLKPPFTEETAQKKVKAAQDMWNTKDPARVAQAYSPTCIWRV RDSFFTGTEAIVAFLQGKWKRERSYRLRKELFAFRDDRIAVQFWYEFQDAEDGMRWKR CYGLEDWTFDETGKMRKRMMSGNDVLIGPEGNGEGRWFGDEVNVNDVFISEKHW PEX2_091410 MQSADQPTQSENELALPREQTQDEIEIEESGDIDALQRYRWKRR DLFNGRTIELLQIEQDLRDIVQQEQWLVQKPEATGSWPLYRNDVQAELQALDKKYWLL ERQWWQVRNSFVEGPLIRGFSLWRSHPLWYMHRVLFEDCMRRGGCCSRRCGCCVDRKL NETRRLGAGHCTMACGCCHQSRSFELTRGEKKKIVKDFHIVNDNTFYRQRINRVSIWG LSLDSHDSPFDLIVMPPGYESDVSKEQTGKGKTDQADEPFVLIDEEDDEEVVP PEX2_091420 MGISPSDSIIVVGAGAFGLSVALHLSLRGYTNVSVFAKDDYIPF GNLTNVVYRAAQDAGVRFFLGQQVDQIAYVSRLTGRKTAGIRTRNAGFYPSSLVIIEA GARDSVSSETGQLHAPDPLAIPLSSYTSINSDSFAEYVPETSSSVILLSGNLIQPSQM SVVGPLVVDLLEGASGQTAVQVQKGKTSPQPLSKL PEX2_091430 MDIQNGTLCILGCGNLGIAILDGLVNAPAEKSKELPFARYIACV RSESSEKRLSDRFAESLDKITISRGNNVQAVQTADVIILGADPADIETVLTQPGLHEA LTDKLIISIAAGWTRQKLEATVYGSATTADNTSGRAWVVRTLPNIAAQVSQSLTAIET SEPALPERYLEITTSIFEQIGKAVHVDPRLMNATTAVGGSTPAFFAVICDAMIDAAVA VGMPRDLAHTMIFQSMQGTATMLQSGIHPALLKDQGTSPEGCTIGGLMVMEEAGVRGH VGRALREAVTLARLMETTPHVNDTRH PEX2_091440 MMAPKSLQTGLLILLLAKLKLAWGMTLVPRQVTCDYEAAASSGD TCTSFAAEWGLTEETFASLNPSAACPSLVAGQNYCMVGTISAASTTSSSSSTTSSSTT SSSTTSSSTTSSSTTTSSFTTTTASETTSTAANGVTTPMPVQSGMIDSCSKFDLIKSG DTCASIASTYNIDLSSFYSWNPAVGSSCAYLDVGYYVCVDAVPSPVQSGITESCSKFD LVESGDTCASIVSTYNIPLSSFYAWNPAVGSSCAYLDLGYYVCVGTDSSTVATSTTSA GNGITTPTPDEPGMVSDCTKFWLVGSDDTCTSIASSEDITVADIEKWNPKVGSTCTDV WLGDYICVGV PEX2_091450 MSYIANPGIFEVDLVFPRNTTYTPQALMPIVWALQNPSMAPPLA SSITWNLWEGNNHSSPGSVGGGLIELLEEITSSEQLISKFFNTIAYPDGHWTLTWTLE IFNCTQYTGQSHSLKKTGSTVFTISKSGQEPDLVAATAADQCSAMEAYAFNVTSFGDA CGHLGQTPNTSPCAVNMSSSAASSLYASATAAACAPNASLNANVTCPTSTSKSSADNP ASRSHMATVPVLLMLLVTVTNLIYLR PEX2_091460 MYHNFDQLASCQESLFYSFSFLDPVDDAHTGHHIYACTSFGPDW GNLPANTTNLLLQSSDAPEPVNGTYQIGYWPAATGSSVLSSLVTLTNQLRQYLVRGLG SIDRPTILFARYGSTSVGLYIGQALDNRGIGENVLSSLSDSIASANASLAASVAMQFC EPGQTSHHVFGLIATGNGTFDSVQAALSSWSKAKCLTFPVVQNITGTLSLVKPLFNAS YYATTVHPTRPPVSHATSTSSNATSVRGRALAPRTTCSTVQVVSGNCYDSLASECGIT LAKFLQYNKVTDDDCSTLVIGEHFCCSAGQLPDFSPKPQSDGTCTTYTIKANDNCETI AASYSLTVDELENYNNDTWAWEGCNPLYVNNIICLSEGNAPMPASLANAECGPQVPGT LTPARGTNISTLNECPLNACCDVWGQCGTTSDFCINTGTGAPGTAKNGTNGCISNCGT AIVQSDKPATYRKVGFYEGFNLQRPCLYQDVSQIDLSAYTHIYFAFGALSSSYEVQIP NGTATGTTYEFDLFKQIVGTTRILSIGGWAFSTDPSTYMIFRDGVTSANRLTMATNIA DFIKDHDLDGVNIDWEYPGASDIPGIPAASTDDGTNYLAFLAVLKNLLPDKEITIAAP ASYWYLKGFPIKDMAELVDYVIYMTYDLHGQWDSHNQWSQEGCPTGACLRSDVNITET EGALSMITKAGVPSNQVVVGVTSYGRSFAMAEAGCYGPDCTYLGSADDSQATPGKCTQ SAGYIANAEILAILANSSRVNENYIDIDSNTNILVYDDTQWVGWMSEGIKNSRKSVYQ GLSMGGWTDWATDLQKFNDAPFTSTSWTKFTSDVILDVDPYVEGNRTGNWTSLTCSDA AVQDALYMPCSQRWSELDASNAWSDAINVWTTIDEPKLGNTEPGFTLSIMNTFHAGES MNCGSIAPNGACSTTETCAWFEGFGDSGESGPAAMLIYNSFTVINELTDKLVDQAYSQ LWYAINGIAATYIDNQLSDFEDTFAPVPPAKSDEWLDILIDLLGLGLTAVAAPFFDGV FGALPALEALGEAGAQVAQDVTYSAIAYGVSIATSTLPSAAPGDWTAESQDSFSATMG SVLYGWSNATANQLYTLFNGSETSITLLTTLISDGKLIEGSGGAPSVGYQVSDSTSSD VEAFIGKAFFGYSIPTLWTISGSAAFVIDSGYPCSAQNPLTDYMTASTQESTYACYND NLYYLVYPDGTEDGCSDQHEEKCVKKYFTAPPGLDTLSSTTWGGITLSELIEGSVNTY IANGNANGGPVADPMDALTLKDLSNQNITTPGYIRLPVCTAQVAWASWTNPAQSNSSA SGYPCNPLQGVTKCSGYTYEDETTSASPSVSDCKTLMKNIAGTSGEWTTGIDGQRAIA KYGTCKFGVQNVGVTGDVTYNTGSQDIVNIVTEAISKYEWEGHVGAKGYMKCSGDAGS QKVEWGLY PEX2_091470 MEKPSPITEPAVQEPLRAPAEEQHQEREEAPTQSNSDHPTPKTT WRFWAVFPALCVTTFLSALDTSILSTALPTIALDVNAGELYMWITNSYILSSTVVLPL FGQTANIFGRRWMLIISVVIFALGSGMAGGAKNTGLLIAGRTIQGIGGGGINTLVDIV ICDLVPLRQRGKYVALMAAVWAVGTVIGPVLGGAFAQYVSWRWVFYINLPLCAASLLL LVLFLRVTHPPRSGTVWHQLKRVDLVGNSILTAAVISILLALTWAGTTYAWSSWRVLL PLILGLGGLFLFYRHQVSRFCAEPSIPLQLFSSGTATCALWIAFLQSILLYWVGYFLP VYFQAIRSSTATESGLYVLPITAAIAPFGIITGVIIAMTGKYRVFHFLGYIFLTIASG LFSLLDDHSPARDWAGFQILFGAGSGMIFSSTLPPIQASLPESDVATATSTWAFMRSF GCIWGIVVPTTIFNARVQELLYRVSDVNLRAKLANGGAYAMASEGLMRTLHNTPNLMA EVLSVYQDSLRWVWWISIPFGGIGLLLCLPIKQLELTKDLHTDFGLKDTETSRGG PEX2_091480 MVVLDKNHQGLPGSNLEDKGLDDSGSSSRAEDYYNDRKQTPPAN DNAPLAEPEAPPRDITGWRWYLTLASILASTFLYALDATVVADLQSVIVQDLGGVTKL SWLSVAFLLSATATNLVWGRIYGHFNTKWLYIFHVTLFEVGSAICGAAPSMNVMILGR AIAGVGGSGLYVGCMTLIAMTTTISERPIYISCTGLSWGLGIVLGPVIGGAFSESSVG WRWAFYINLFIGAVCAPFYLFLIPNKDPRPGASIKERSIELDYPGIVLQCGALTALIL AINLGGVTYLWNSGRIIAMFVVSGVLFIALGIQQVRTIGVSLSRRIIPVQFFRSKTVL ILFAASASGGACAFVPIYMIPLFFQFTRNDGPLDAGVRLLPFVVVMVVFVFTNGNLMA RLGYYMPWYLLGGLLIVLGSALMYTVDQETSQSRVYGYTVPLGVGVGMFMQASFSVAQ AVVSPENIAPAIGFITLAQFVGITMALAIANAVLLNGCLDKIEAILPNVPSADIQAAI LGAQSDLVKNLSPELKTRLLDAIVKAIGNTYILTIAGGALVAVLSLLLRREKLFGVPS GVHAA PEX2_091490 MTQYPEALLFTYTDAYAATRPPSLVELNKTRVPYLEGVLEEALR LHATSVARQAVWDTEVFAPSLSVDEKLRNKHTKANDRDESRNLSAFHPER PEX2_091500 MSSSLTDVFGYSKDSQTNTIALLRRAEQWDGEDQNFNRRALPNQ DDSEIQRCLDKIPDGPILDFLLKYFVREVNWMDQLVHIPWILDEYERWRTVKKPSSLF EIEFTVLVLRICSYASEYLPSPSCTIERIRDMSLADIRHSCDEAADSLTEICLRLNSK GSLLRVQHLAFVGLKAKCEGRMKDFRDALRSAIQVAQRIGADKDVPLVVLDMGKLEEE TRRRIFCNLYIWDSYLSRQLDRIPIIPSGIEPENMPRMRLGSDVDDGHGLEEFTERIL QVRLANFWRSMCPTLGSNYDVIVAEERYEKLCSKFLTMLPSAFALQPNKQWDARLPML AKQREILFISIFESLCYNFRPAILLDASHLPKYKQVLLSSQQKALAVSALYVLQGVSR LHSLIGGSQTRYTGIIQPTFESAVLLVSLCMNQSFPGDVESHSSRITKVDPLQAGMAN LTRAECIKAIQDALSLLQMLAEVSNMADVGAQSLAKLVHKVSRQPTGASEMKNPSHPE DGDFPQAGEISTEIHLPEYSDSDAAVSLADLVSVAAFDVDMNMNWDALASNI PEX2_091510 MATKGFNAGDAFPQDVTFSYIPWSEEAGEITSCGIPINYYASKE WADKKVILFALPGAFTPVCSANHVPEYIQKLPELRAKGVDQVAVLAYNDAYVMSAWGK ANGVTGDDILFLSDPEAKFSKSIGWADEEGRTYRYVIVIDHGKVIYAAKEAAKNSLDL SRAESVLKQL PEX2_091520 MSSSMEPRPLTSAMESPTFEEDSSFHVEQPVGSMSISPCGRDVV LASKEGLHVIDLDSPYSPPRYLPHHTPWEVADVQWSPFAARDQWVVSTSNQKALVWNL AMKTWQNSIEIVLHAHSRAITDINFSAFHPDILATCSVDSFVHCWDLRTPSRPAVSFS DWFTGATQVKWNRQDPHIIASSHDRFLRIWDDRMGAYPIKSIEAHDTKIYGVDWNRVR RGALVTCSLDRTIKFWDYTTDSDVPEKQIHTPFPVWRARNTPFGWGMLAMPQRGNNDL HLYSRQAEEGASPEDDLPLVHSFPGHKGQVKEFLWRPRGGVADGIDHREYQLVTWGTD RELRLHKVNPEILARVGYEKGKSFISTRGVTRLGAVYRSFRDVNSDLDLDDVDVASSV GHQNQNTAAGMNAITVPHARGWTKGGHVDRVGMQPRSNLRADTNPIAWMRGVKISGWD IETLGDEISQVGEKFTKVAFDSVDVRQRKISISLNGPWGADGASLFLKVDIKFPMSYP KTAIPTFAFQKTAAVTDEWAAKTTAELRTIAETYMSRNRGCLEGAVRYLLGESSLEDS IAWILGETGDTLKSPINGELEAESSDEDEVGMTQSQELGMSSELLRPVNANVMVPVAK ACGALWANDGRLICFFPPKPKKDKSAELFENMGFKEMTRWSRGDKVFEGFGRLNTSSP GPRGMGTITSTDDGTSDDSDDSYSDTSSSSGSSDVLSGLPTPFPAPRTWRSVGSYGIH RPRSTDNSQRSTGGGATVKSEAPQNIVSIHNLSDMLPVKRELASQYRICGKGTEVCAH NAAVALDAGCYELAQIWGLIKLVLHVRKSPGTLPESGLLQKRTHKKGSGIGGLGRDGL YRDLKGSIIRWGDHPLGSHWLVPALFKHFERIGDVQMVAMLSCVLLEANQEGFLENQE AKKTPGKQDFSLDFTPVPSVIPTKLPVATPASSVLKEVQAMPAPQTSARSSSEIWRLD STPPYSTGTTPPFMSRPRGIAADRKNPSHNVSMAASPDQQSQARSGSGFGSVLASSLS RSFTFGPSSASPPLTRMDKKKPTPQNSPSVAAGQVSQTQSDTESDHAPEPTPPPARFK VTYKNQSAFESDGPAQDSFLERDDEELLYRSYRVAYANLLSIWDLPVQQSEVVKIGAV ADRVDDLTSSHYWNSTGSDETVLRDPQQDVTPKALDFQRHCASCGHALQLSIFNKEPL VPNTPSKRHRRKPSGRRCPNCKPRQPLPTRLPCVICREVVDGMLIPCLSCGHVTCFDC HRRWFLRPADTFDSPFDHPDQNNFLPSCPTGCGCQCSEHIAVDVPMPLREPVSPGPKE YAPITQHSLVQAKHSHRRQSEPLALAPSRKEAPSPKGVGQLEHDLDVWQDSSPFASLA RGLGGGLSRGLQTKDRKKNKSVTVASKLRNS PEX2_091530 MALPKRIIKETERLMAEPVPGINAVPHDDNLRYFDVSIHGPAQS PYEGGIFRLELFLPDDYPMTPPKIRFLTKIYHPNIDRLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLANDVAQRWKDDEPAAIQTAKEWTRTHAMT PEX2_091540 MRTYDDAFSGQKIYPGKGKLFVRGDSKIFRFQRGKSESLFLQRK NPRRIAWTVLFRRQHRKGISEEVAKKRTRRVVKNQRGIVGASLDVIKERRSQRPEARE AARKQAIKDAKEKKAASASAKKAEKAKVAASKGGAQRIQSKQGAKGSAPKVAAKSR PEX2_091550 MNPTSSRAAVRSMATLTHAARATPAARTALSPFATRSLSSNTRQ FTQFPRLQSLNTFSNKRAFGTTVRMSVDARTESDAFGEIQVPGDKYWGAQTQRSLGNF DINQPQDRMPAPVVKAFGILKGAAAEVNMKYGLDPKIGEAIKQAAAEVAEGKLLDHFP LVVWQTGSGTQSNMNSNEVISNRAIEILGGTMGSKKPVHPNDHVNMSASSNDSFPTVM HIAAVVELEQSLLPSLKGLRDALQVKVDKFEHIIKIGRTHLQDATPLTLGQEFSGYVA QLDRNIERVQATLPHLRFLAQGGTAVGTGLNTFKGFDEAVAAEITKLTGTEFKTAPNK FEVLAAHDSIIEASGSLNTLAASLFKIAQDVRYLGSGPRCGLGELSLPENEPGSSIMP GKVNPTQCESLTMVCAQVMGNHVAATIGGMNGQFELNVFKPVMIANLLHSVRILSDGM NSFRSHLVEGLEANEERINSLLNESLMLVTCLNPVIGYDMASKVAKNAHKKNLTLKES AMELKALSSEEFDKPPISLATPAPDKQPALNTQSLQHSSSPALTIKMTAHALPSTTIP DATKTIMSSTITPVDAAVEPLTDGPLFPSTLISPEVISLLPTDYTIRPMRRSDYNRGY LDVLRVLTTVGDITEEAWNQRYDWITSRNDEYYMLVVCDGADRVVGTGSLIVERKFIH SLGMVGHIEDIAVEKNQQGKKLGLRIIQALDFVAAQVGCYKSILDCSEVNEGFYVKCG FKRAGLEMAHYY PEX2_091560 MASQTVAGNSALRKFQVPTVVQPLHNSIPLELLPRYDPIYVAYY NAFNAGRLHTHEIPIEEFRRNPARYTISYGRASGPDIFRITEQKCPVDGGEITIRIFE PAPLLDDQDQPKRRAAYVNFHGGGWVFGGLTYDHDFCKRVVHGLDGDLVAFDVDYRLA PEHKYPIPVNDCWTAFNWIRSQKATEFNLDPDRFAVGGASAGGQLSAVISHLCRNENI PLRLQVLTVPVTDLHRVFTPEGEFDRECCPYESYREMEFAPALPAARMAYFHRQFLGV PRPADSDEDWKISPILAPNFQNLAPALVSTAELDPLRDEGEAYAAKLQAAGVSVEMDR IAGAPHLVAGLDGILEGGQRYNEKVITTMKRQLQGMRVHPVNK PEX2_091570 MSKIDTRLDVSPVPPDEIFALNGAYAVDPHPQKVSLGVGVYRTD DGKPWPLPVVQKAEKELVVDDDLFRHEYTAIEGDVPFLGIARNLMFGFEGKQGEEEAK ARIGTVQTVAGTGANHLGALFLARHMKPGTVWLSNPSWANHQTIWELADVPRKTYPYY HAATRSFDFEGMMSSLESEAQEGDVVLLHACAHNPTGLDPNKEQWVAIADLCERKKLF PFFDSAYQGFASGSVEEDAWAVRYFFNNKPDMEMCVAQSFSKNFGLYGQRVGAFHYCT NRASTAIRDIVVNNLCHLIRGEFSMGPRVGCSIVKKILTSEELTADWHQDLQVMSSRI KAMREALYNELVRLQTPGTWEHIIQQNGMFSYTGLSPKQVYALKDKYHIYLLKSGRAS ISGLSQKNVAYVAQAIDDVIRNEN PEX2_091580 MLVSLTVGKVDAGVAVLLTQDNRLIEFPSVLLPNNISSGSIVDI TVSRNHAAEAASASAFQSLQKRILNTYGVKAPSPPILRLRNATQTSLVLEWDPIDLAT ASLKSLSLYRNGSKAGSIPRPLETRSTKISGLAIHSEYSFHLVLRTTAGTYQSEKLTC RTHKMTDLSGITVTTGVLDPQQKEALGAALDRIGGKMIDSVRIDTTHFVCTEGRGAQW EKAVEMNIPVVVPEWVDACETEGTIAGVRGYYLNADPKARQLGVIHGSSHQRTTSTLS TATSANQGRLSAQPQRSPAPEHIPEEPPLTPFPGGETSSQLQAQTQTQEVRSQDEEND LPPPPPPPKDEAEDLNEPAQNGEAKAAPVPEAKNEEPEAEAAEESNEETEKEQTLPQN RPEDESESTNLGGAKGKGKESEGDFNEVPL PEX2_091590 MATKFGTSTNSLVLRYWPKCNTPPVAARYLNSPTHPIRPKIVDL CGHRERNTLWWRVSVANIQQSKRVVRSWCARRVRIAIEQALRQQGLDKLGKPLVSESP SQSKKLAGTMEIYVQPPCIAQDFETVQKDAHHLISLLLNRESPRK PEX2_091600 MPKNKGKGGKNRRRGKNENDNEKRELVFKEEGQEYAQVVKMLGN GRLEALCFDGEKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVIMKYTADEARS LKAYGELPEHAKINETDTYGGEGLDDNVEFDEDRESEDEKEIDVDEL PEX2_091610 MHLKTVLTPVALAAVVSAAGSIRDVEPCAQISKLVEDANQDQTN AIVPHDLAHQCLLSMPFDSDRAVDFLVQVRKILEFQSTIDILKDPPSGYTMPSTDIMG GIDTIMGKAKSNSYTSQFEMDLEINHLIKTAHDGHLAFQLCSQSIFTYQIDMPLVSIS TDGLALPQVYALDDAKLQKVDPDGVSPLVSINGTDVATYLESYANDQNLQDRDAQYNR VFPAPARSVTNTPTSVNGIWASIGDWTDGAQLSLKFGNGTEKTIQKTATPSEKFFSYK NGTRLYEIECLPRDLSTASSSPSGAEEASSEIVGFPSTTWRNSANSIAGYYSKLSGLE DTAIIFLPTFSSSASEVAKISVEFLQNSTESGKKNVLIDLSSNPGGYMSIGIDLSRIF FPDAAPYTATRFRAHDAAKYLTKAYSRDNSTDTSNVFAYRQMVQPDQRTDFSSWEDLY GPHEILGSSTSSLLANFNYTSTSSENFPINGYGPVPLNPSKSLFSADDIAIITDGDCV STCAFFVKLMKRQGVRTITFGGRPQKAPMQGVGGVKGGQSLGINYINGYIEQANGLIR DSANSRSPLLTSAEWKAFNESSPSTTASLQWSGNLNLRNEYDPEDGQTPLQFVYEAAE CRLFYTLDNYLERETVWQAAAKAMFGSGQCVEGSTKGKGSLGS PEX2_091620 MPPERSNVPVKLSLPLQYQQEIFTELRAEDELVILARGLGLLHL ITNLLHFYDAAGNNLVLVVGADERENEWIGEALAEHYATSKSPLARGLKVINTEKATV PMRERIYAEGGILSVTSRILVVDLLSKLLDPEKVTGMVILHADKVLATSLEAFIVRVY RQSNKLGFLKAFSDSPEPFTTGFAPLANMLRNLFLRKASLWPRFHVSVAESLEGNRKA EVIELEVPMSDKMREIQNAVLECVEISITELRKSNNGLDMEEWTLDSALHKNFDMIIR RQLDPIWHRVSFRTKQIVSDLTVLRAVLHALLSYDAVSFVKYLDTIVSTHAPPPGSNR HNYSPWLFLDAAHVLFQTAKSRVYEGKLNNELTRLSSSTTFSSELNPALEELPKWSVL SEILAEIEHDAYLHPARMDQSNSTILIMCSDQRICRQLREYIGTMYSKIAQGSESKED DESSEDKPSAELMMRRRLRDYLNWKRSFSNVNKNLSQSGEDDRSGTPSESSAARSAHQ GRPPPNKRRRVRGGGAVTSAAGRVPNSSVQTEVELPGQVVSLLSEIEPTEVEEMQKEE VIVDELEDMEDFYELYDMNDLVMIHPYDGDMDEHILEEARPRYIIMYEPDPAFIRRVE VYRSSHSGRNVKVYFMYYGGSVEEQRYLSAVRREKDSFTKLIKEKGNMAVTLTHDKKD EDPQEQFLRTVNTRIAGGGRLAATASPPRVVVDVREFRSALPSLLHGNNMIVIPCQLT VGDYILTPDICVERKSIRDLISSLKNGRLYNQAETMIQYYKSPLLLIEFDQNKSFTFD AFASVPTGPTFMTDYGFSSSGTATSTTSSSLANPSNPKSAQHLLVLLTLAFPRLKIVW SSSPYQTAEIFAELKKNAQEPDPLRAVQLGLDIDITNSSGSANPMAAAGIEHRTFNLL PQDMLRAVPGVTPQALERLIIETDNISDIANMDVEQLDPLVGKESARKIVAFFQKSVF D PEX2_091630 MPVIPESSDFPSVLQKGGNEAEKKPGQQPQKAKATDFLSKGPQI PDNMPPKASKEELEARAKELNKSSK PEX2_091640 MPSILSDTDKETVKRNVPKPANKILAVAVARLYVAYPDGQKWTY TGIQGAVVLCNDLVGRTFWLKIVDVSPAGRGVIWDQEIYDNFAYNQDRTFFHTFELET CPAGLSFVDEKEAKTFIKKVHEREKHASKETTKTPFASTRGQGPAPVTNGKIGRSLFG SLLHRSSAAPSAPHPTAPAPSIQVAPPPPTLSPSVPPAKPDLPFDTSDPSWKGLLDEL KGMGITEDQIAENSEFIKAWIDQKQAAAAEAAPAEDQNKPKAAPPPPPSAPPAPKITS ISPQDTGSSTASRRGPPPPPPSRKTRSEAPEEPVSLPPREPSPPARRFNAPPPFADAG KFAEPAGPIPPRRPRAISNVNAGPGPPPPPRPPKTPMDDSQHNQSSQSRFGVPPPFSG DRKVSAPPAPPSRSPAPGGPPPPPPRTASPAAPQLPPKVPPMTTTTGPPTPPARGPVS PPLPPPPPRPVLVAPSSPVVAPPPPPRGPVPPPPAPPSVPTYSPSVAPPPPPPPPPPS SGAPGALPPPPPPGRGGPSMPPPPPPPAPVSGAPGGPPPPPPPPGAPGGGAPPPPPPP GGAAPPLPKPAGGHTDLLASIRASGGHGGGGLRKVKETEKKDRSKAMVPGGANESSAT GPNQGGAPQGGLAGALQDALNKRKQRVSGSDDEKDNDDDCFEFRFKKKHRVSSGMKPD TPSLGSLPVEILCSIFRLLDPIGLISISQTSSKFRTVVQPQRIHILERLLELECREEV GGVTPIFRSKDNHIDPDFTSKEWHSVRWACSICLHMLPHTAFDNHYILRLQYRKPLPG SPAATPYTSWEPTIDGKLRKQYHLHKQQSNYRDEDRKIRRRYDLASKCNTLRPDRPMR NRAERLASFQDSGMITFQGFSLDQYCSITQEEEQVLLDHEARLIERERCGFKRHLRKC NECRFRRGELLSIVQRGTDKVPIMPSRLLPFPSALDRSFPGFSVIMKNVRPTANAPVQ CVYRNNVFDSLWTMYMVRCPGCSRWQELRAFRLGAGFQHWTPTTDSISVFKNWDETEI TGKFIDELSCNYCFSKGKGREKLREVLVKWLDCCLDNERGRLGYLLLGGWERLLRRYW RAELLTDMIEVRNVVLDAQFVAAKVQKDHDYLKISLDDILTLKLGFREWVTVWENLDP KNRIYFEHNSWDELWFNNYEAIEAHLIWVIQCKEEIMEKEKGDALVDWALSREGTAFT PEX2_091650 MLSPRLSAPSASLLRFLRSQSGVSAAPSACAYPKRLVGRDKGFS TGPSPKLSSWTRLDGPHGSETLDTALCSTQYGKSNPTRAIVSPPLVPTQLKFSRHAST KSRPFLRRLFGLKRNKASDYKNQGPTNPGLVDEGTEGMFNIGRSLSAKASNELRIRCT EFDINGDVTLVNGEFRKQELIAKYGLLPRDLRKIDSSTLPHILVRPRAILINLLHLRV LIKADRVLVFDAYGSTDSYMQSLFIYDLEGKLRQKQSQGAAQPSQSLPYEFRALEAVL ISVTSGLEEEFNGVRDPVVRVLRALEEDIDRDKLRHLLIYSKKLGTFEQKARLVRDAI DDLLEADDDLAAMYLSERSVGKEREEDDHQEVEMLLESYHKVCDEIVQASGNLVTNIR NTEEVVKAILDANRNSLMLMDLKFSIGTLGLATGTLFSALYGMNLKNFIEESDFGFGG VSVVCFALTGLVCVYGLSKLRKLQRVRMWGESGVGCDTFAPLSPKRSALGGNRNNWRA DSIEPVWGSLPGEGRPERMRRLKENAAAAAAQAASRNLKSSPASSQAAMQASAAASKN ARAENGNGDGKETACPEGHIKPDA PEX2_091660 MERRLTSRNVSLDWVERGDQDGDSVSAHLIQNTYARLKLQYAEK LRQNWVEQLESPNQALEQLSLAACLIELWRSMYGALPETEQEKSSKNLVPFPGFVDLA CGNGILVYILLMEGYKGLGFDACRRKSWETFPVEIQECLEERIFIPRPFVDVLDLQGI GVEIHTGDFPDNTFIISDHADELTVWTPIMAALSSPSSPLPFFVVPCCSRSLAGSSYR YRPPKESGPVQRSANGNPSTRKLDDAIEQNLQPASGDLRALRAIKIEEKTESGFLNSM IGSLAAKTTSIAEEIGFDVEKTWLRTPGAINMALIGGRQRVTREWLKNSGSRDSPTKR DQGDTVLKNIMEVVERECSKDGGIQVAANLWVDRTKSLHRGQGTVHQAK PEX2_091670 MKLIQQPILALTLLLPATIAGLPASRPPTEELQAHRPNWTFDLF HKKHCIGNTVTYAGQGSSGCRSNLVDGGAEAFINVNIDPSCKVKLFRDKKCSRHAMVE EIKTQTTTKCKPISRKKTAQSFEVSCQP PEX2_091680 MAPRCFIIRHGETEWSLNGRHTGLTDLPLTANGEKRIQATGKAL VGDDRLVVPKKLAHVFVSPRARAQRTLELLELGCRGRMPWSEQGKPEHNEAIRTEAEV EVTEAVREWDYGDYEGLTSKQIREQRAERGEGPWNIWTDGCPGGESPEDVIRRLDALI DDIKNKYQRPCFENPDEPKGDVLVVAHGHILRAFAMRWVGKSLTETSLILEAGGIGTL SYEHHNIDEPAIILGGQFVVE PEX2_091690 MPPPPIHRRQESNTTYSRPSTLPGSSNYNTTGTHTFNTNPNTTY TPIYSSNASTPSMSAAKSRQYAHLHSQLAQLNANLADTENLLRMTAVQAGDMRFLGGY SGALFMGSAKILGEEGVKGNADKDKKATSEEGGIKREESDED PEX2_091700 MAPPPRLRILSVGGNAISAFLSWRLQATTSCDVTLVWKSGFDSV SQYGVSFKSKAFGNERFKPRHVVRAPEEASSRENAYDYVILCVKALPDVYDLASVIES VVTPQHTCILVNTTNTLGIEAHLEQRYPTNVILSLVSNVEISQTGPSEFEHLSSSEIF VGATNKSSSIPTSVQNDMAAALAMTLNSGQVDCKVSDNIRQEQFDRMIGPIAFHPASV VFDTPNHTQLLEKTGVRSLVMGIIDEMMELASAQGCSFPSDFRDKTVQKMIALDSPTT MYSDFQGRRPMEVETFLGSPIKLAIESKVHIPRIETMYAMLHHINIANQKPRQDSPPA SVMGQPPPRLSSAPPQQRPMMNGGPQPMRGSRTPSGMGMPPQQRRGPPPGMMPRGPGG PMPMPPANRVQRDPSLEGLEEFSHLVLYDEQGEPSIPQQNGNGHPEPAPGPASELTLR ERELALRQRELQLREQEMSMRRGPPGPGRRGPAPSRPATAFDEEDEDYFDPMNNMAIP HIDPDSVDMMSMTSRRGRKVPPNHSQFRKNPEFGVNVPPSGGGRPSSSAFGRYFGRKR ASDRVMQEIPGLHDSLMDNPMMSYSSNRYGAVDRNQMHADSRANSLTASHMGDYPPRP FPQSRRNSQTPVIPFGGPPGPQGPPGPGGPRMGRPPMAREHSLGPPSGPHNGQPSPPG NARTPVPRYPPGQGNAAGPQQVEQHYGVSNAFPAKGPPKNQNLTGSASASAGSGDSGA SANIDSEPSSHSSQVSLGGQGVAAPVR PEX2_091710 MSHEEDLIDYSDEELQTTTAPATTAAPAATNGDADKQGDLTVTG GRPDKKGSYVGIHSTGFRDFLLKGELLRAITDCGFEHPSEVQQVCIPTSILNVDVLCQ AKSGLGKTAVFVLTTLHQLEPVPGECQILVMCHTRELAYQIKNEYARFSKYLPDVKTA VFYGGTPIQKDVEILSNKETHPNIVVATPGRLNALVRDKKLSLRNVKAFVLDECDKML DQIDMRRDVQEIFRATPADKQVMMFSATLSQEIRPICKKFMRNPLEVYVDDDTKLTLH GLQQYYIKLDEKEKNRKLNELLDNLEFNQVIIFVKSTQRANELDKLLRECNFPSIAVH SGVSQEERIKRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDLPADADSYLHRVG RAGRFGTKGLSISFVSNEDDEKVLKEIEKRFEVALPEYPEAGVDSTTYMA PEX2_091720 MAKAKGKKEVKNSKNSQSHIRARLDYLHQAAAYFQDKSTLDQGQ NAKVQNNGHTSVASHVDSGDNDHTVNTQQQVRSMNQKKTLEPLRNLSRVYVSHLRAVA MKTQIRLPVTLKRSVCKRCDTILIPGVTCSHETRNTSRGGKKPWADVLVIRCLSCGTE KRFHQTEKLGKKLAERRKGKDSALLHDVNVSEVRTSEVSQGETVELCEENVPMLDAAD LSVT PEX2_091730 MSVSASIPDTSLGLTSSEIQILRQQQQIALQGGHASNGVARGRG TGRTSNSSSRATSAASSQGRLLLDPMSLRALSHQLDGLQAQISHRIEYLEDQMQRSIQ NTYDRAGNVIRNADAEIARTREILASIDELDNELAKIAHIRDIVKSFRGRIENLDHRI DQSSRRRR PEX2_091740 MAKDKERSINPAAAQRKQDKQKELKKGKAEALARRNEKLARRNP DRIQRQINSFKEAEESGQKLRPRDKELLEALEKDLRGVLKAREALGDKAPRFDHCGRG GHQGDGARRGGGDGVLGKRRRGSDETRMPRDSDSSDTDDDVRRIPMPRDTPPPIPRQH QRRRDGNVPSEQNVERSGPHPLPSRPTAIPAARTVYEAKPQIRDLRQEATKKFVPAAV RMKQQSIKGQGKLLEPEEMDKLEQAGYNAGPAPEAQAGDSPQEDWTLTLEEEERRFKQ QSKSVQIEEVEDEEAWA PEX2_091750 MAIALAEADKYEILDKIGCGSFGIIRKVKRKSDGFILCRKEINY IKMSQKEREQLTAEFNILSSLRHPNIVAYYHREHLKASQDLYLYMEYCGGGDLGMVIK NLKKANKYAEEEFVWRILSQLVTALFRCHYGSDPAEVGSNILGPAPKPSGLKGKQGQV TILHRDLKPENIFLGSDNTVKLGDFGLSKQMQSHDFASTYVGTPFYMSPEICAAEKYT LRSDIWAVGCIMYELCQKEPPFNARTHIQLVQKIREGKFAPLPDYYSPELKNVIGSCL RVNPDHRPDTSALIHLPIIRLMRKEKEVVDLGKTLRRREEVAVHKVREMEQNLAKREA EKQHIKAEIENTVRREWEVKARLEIDRQVQSELDRLRKRFESEVQERVAIEVKKYKHN TSNSNITRDDVFRTSTHGSGSSRSSNQGWRSSRSSANMTDDSDNTPSSSADISQLSLG SPPSNARKQPKKETRTPFCRSKTVVDSPVDVQMAEPSPISIASLSLSPRRTSAQGGAR NIFAEAERNKAKWEPTLAYSDDEDDTPDLPSPTRPKVKPDPLKAPRRPLLRQNTAALM QKLSTQPSLFPSSGTRLPQSTSASASQSEERSASESRARSPHRRLTKIPSSANLAADA GTSPTRKSASKQQPPPAKNGGGGEEMFKAVMQRNMGGRTLVELAQARAGGRPMDEVKR SASDSRAGGPIMSVKCSERDAPAIWNPELDEMPSPFLARGKKVIRNLR PEX2_091760 MDEPTVLRKDQLEISLVNEKKLIKEGTIKDDNPLDLSEPFRELC SACRQGDLKVCQEKITEGVNVNARDHYDYTPLILASLCGHYEVVQLLLESGALCERDT FQGERCLYNALNDRIRNLLLEYDFSKSTDPLQPLAAHITSLLTRESPLTTDIVVTASD ESLHLHKFILAARSPYFYGKLAVVPDAATWNLPNTIPPEAFGAAIKYLYFGEAPRDLR SGPGTGFTESETFAGLDRISKYLEIPSLLDSILDSGDRRRARQRRTDDLSKGRDQMEQ WFQDNILGNKLEVETSKVNEVKWGRSNAIFADVLLRADELPEEEEEADIDTLEVSNGT SSSISIGPISTANEKSLEAPKSIIFPCHRAMLLRSEFFQAMFTSTFREAYLNENLTII DVNCSPEVLEIILTFLYTERADFPLEIAVDVLFAADMLFIEKLKTKAAVVISTLGSAG ISQTEAARTRGTAEEDDIDIYSIVRAAWLTRVQRLEEFAARYLAYRLEAHIDSPEFAE LIQESASRIKARQETDSIEMLDDIRFYLGERFRLRFDEAGLDEMMEEKEPLEDLDEDE QPEDVTNLTEGVKTLDISKEGIHSQGQQPQVHAPVIRTLDGAVVEDEFSEDAMNYEIL LEKLDDLLERLNLEA PEX2_091770 MASPSVPRRRPHSEDEEGVDPSFTPPAPSSNHKRPRLNPAESDD GSEFEDESEGGGERESDGESAGSETPEAVSQAPRAIAHDGLGPGGYKPGAIVRIKVTN FVTYTSAVFYPGPKLNMVIGPNGTGKSTLVCAICLGLGWGPQQLGRAKDLGEFVKHGA REAMIEIELCGPPKVGQNPVIQRTIKRDGNKSSFTLNGAPASKNDVMKLAQSFAIQVD NLCQFLPQDKVAEFAALTPVELLHSTQRAAAGPEMTQWHEALKTLRNEQKNLEGQNKD DKELLENMENRQEMQRADVERMRQRAVIKRKIEILERCRPIVEYKEHHNAVEALKVTK AEVEREYNRIRAENEPILRAVNAKEAYIARLNGVKDVRKDSVNEASRVAAERGQKIDE FESRIKDLNGQIEAEKKSGQRHKSEAASAQQAINRLRRQQEEEAVEFDPEFYNEALRE KRLEKRELEIKAREIQDRKQPIQEQQQQVQRNIQQAERQLSNLDSASGQQELKLQKAS YDTLKAYRWLLENQNKFEKEVFGPPIVTCSITDPKFADAVESLFQKTDFTSFTVQTRN DFRTLQRAINQTLGLHDISIRTCSLSLDTMRAPMPKDQLTQLGFDGWARDFLVGPDPV IAMLCSEKNLHSTPIGLREISNEVFARLEEGSMSSWVSGKRSYQVTRRREYGPGATST RVREIKPAQVWTEQPVDVSLKRGHQENITLWNEQLQDIKEKLESERAALLKIGEEHKQ AEREMNDIEKEKSVKQTAHTQYRAIPEKISQQEAKFQNITSMFEGVRERVREIRNQQD EFAIQKAEVAVEYADAVELFRQAYEELMKVEVLFLEATSDLQTLRHRNIDRTKLLEAK RREAQEATVKLRESKVKARAVLQRAQNISRELHDQPDAQALLEELDDHDMDRLEADID SEKARLELTHGGSSHMIKEFEDREKSIEKLRSKLADFLDKLTELGNAIADIRKDWEPR LEALIEKISDAFSDSFRRIGCAGQVTLGKVEGEAGPNGEPGPSEFGQWSIVIHVQFRE GAGLSVLDSHRQSGGERAVSTIFYLMALQSLSASPFRVVDEINQGMDPRNERMVHGRL VDIACASDETEETDENGNPIGGGGGGQYFLITPKLLENLSYKPGMRVLCIYSGEHMPE DYAKINFKKAIEGMRALAPSTIAGPSEVIQSNGQVDVYA PEX2_091780 MAGIYDRVPKSEPSPAISGLAARMPVSHGLPVAHPIPGSSLDHL TMDMKNLVKKIQDLSHLGIEDSKIMLPKICVVGDQSTGKSSLIEGISEIKVPRSEGTC TRCPLEINLSESNDNWKCVIHLSRRYIFDPSKDPSKRMKMPKKSEPLGPWTALGGQDD EHFVTLENKREVEGAIRCAQLAILNPSTDSHDFVPGSNDMAQTYQVKFSPNAVRLDIS GSGLPNLSFYDLPGVISQAEHDNERYLVNLVENLVKDYVSQEHCIVLLTLPMTGDATN SSAARLVRDIKGAKERTLGVLTKPDLRSPDEAFDQWLEILDGSKFHLGHGYYVIRNNN DPLVSHAQARKEEDEYFGSSLWRDLSAFEGRFGTRQLQTALSDLLMRQILGSLPSIIA QIDEKSKAIDEELRTLPNPPTEDVQRILWAKTSDLERKIHELFDGTPSIEHSSSQRKP LQEQWNKIVMDLQTALAKTRPILDVAAQKDNEDLAEVVDSDCEMKIVGANTSTPKKRK SPASVASPSEPATPRRTMYSTSHFEGSKSARMNLQYLTELKIRSNTVGVPNQLDPRAI ENLNKRSVDHWGKLMEIFLKATHSLVHGMLIEALEDEFAQYHQTGLYQELSRILKEFM SKLRHAHLQIAKDYCKSEREIPFTMAQGQHQVLMQQASSSLRARRFNARASCWLKIRG HDMSDERISDKIKKIQPEQLGPDRYSQEIEMMASSLAYYDIASSRFLDVLCQSTHMKL FRTCRASLVNTLRDDLEIFGDNGRARCLDLMTEDPERQHRRAQLLKEREKFSKAQEWL DSVRDSDVEMEDSDPTPLADIKEDW PEX2_091790 MTNPTIVFSLGAWVIPAVFDATRSHLEALGFPSECPAHPSIGAE PPTKTLSDDAASLRGVLTKLADEGRDLVVVAHSYGGVVASSSLEGLGKTTRAAEGKTG GVVKVVYLAAFALDKGQSLLGMLGGNYLPWMKVEGDYVLADGAGDIGWQDISLDKQEK WNSLALHTSRAVFSGESTFEPWSEIPCAYIVCEQDRALPPPFQELFASKMGGPENTYR LPSSHSPFLSMPDRLAEVLQQIVKA PEX2_091800 MASSNVKESTQPKQRITTIVLAVLAIFFLILRLVARRMKHIHLG VDDWTLVVGLIFVLIIAGVNLACIQYGMGKHTVTIPIDKQSTVSKLVYTFEPLYITTA GIIKFAVLLMYYRIFPVRFIKIGGLILGGITLAWVIGMDLLAIFQCWPISKAFNPTLP GHCIVLKGALIGNGVPNFVVDIFILAMPAKLIWGLQASLWQRVSIICVFLTGSFVVFA SIYRFSLIFIFDINDVAWTLADAQTWCVVETAAGVISACLPTMTPIVKYFTKGVVSTA RSLSKSNLTQSETGVLSRVDTGPADLIVADNNVTDIAGDVSRRSNGNYGLADMSPNNR GSLHLKGKGWTMINAEVDSDST PEX2_091810 MASSQPEHPSEDEDHLEDETVSQYGPDDDDEEVDTRGCFPDSED RVEEESEVEEDEGDDDEDGDDEDGDDDDNELTIPRPAIDGPFDPTNSPSSLDEPEDVC LERCDRRVGNTTEFLKMKTDETDWDETISAETWVYRGFKRPIGRSSAEKISQIFKEVI PASTRAILGRSTLTNEDIMALPSVDDSLGCPGVYVIFLTQPDDKELQVLDEELIESNT DQQVEVPSGLYTGSSIKSVSGRCVDHRAKFKQIARTKLRNDRVLPNGDIAMYLYCYAK KYGLVPSYRQIAIFPSQLEEIEVPHADTRWLVRLLEQVVIHLLDTYGSSQTRKSRRLY GYTNEMYLESLAQCEIPLNIFHPLNHAMPLKQKCGWTVEETRPRSPGKQTFKPDVFEL WEFESHCLEDVPNIHGSFGIKASDLEALEIRCEDCHVLYAKRWCTLGKKSLDRTQDSN LTWDRIICGNCYQKRNMNLARKARGKAKKKGKGKGKGKGKKKGKRKAKTGPSAKLVFE NKYHDERKEGNETWQEQKGRKVKQDRPFKETKLLVAYLIRVHGRDFRADKIIDEKVAN DSSNDSLGRGLSTNLSSTELSFMDLSIPFLFFFAILVLSLVLFLFKLLFRLPFRLPFL LWRRYRAYS PEX2_091820 MRENHNTQASTKAVILVGGPSRGTRFRPLSLDVPKPLFEVAGHP IIHHCLKAVAKVPDVREVMLVGYYDESVFRDFIKDASKEYPQLRILYLREYTALGTAG GLYHFRDAILKGKPERLLVLNADVCCSFPLGEMMRLFEEKDAEAVILGTRVSNDTATN FGCIVSDSHTKRVLHYVEKPESHISNLINCGVYLFATECIFPAIRSAIKRRTTRPRFL SYPSSDNLESSFVATGDDEDAEKSEVLRLEQDILSDLADSNRFFVHETKDFWRQIKSA GSAVPANALYLQKAFQAESPELTAPSATIVPPVYIHPTASVDPTAKLGPNVSIGPRVV VGAGARIKDSIVLEDSEIRHDACVMHSIIGWSSRVGAWARVEGTPIPVTSHSTSIVKQ GVKVQSITILGKDCGVGDEVRVQNCVCLPYKELKRDVSNEVIM PEX2_091830 MRSPSVKPSAYPPLPFHLIRFLIFLSSIVVGVILAVFTYHLHAD GYKLPYSFLVLFVSSGLSLLNLLLTSVIHCSCGLSTKLSISLNILLTILWALSLGLLS WSLSSTITTSCTTTYWGNSTGIAVCRSYKALFTFNIIGLVSYIAAVWLDVIVRRRQTR LGTYDPMGSHPGLDDSGAFDVKMDDRLSESTPALHDYDNVPPAMSGAHNQGYGQGHGQ ENSQAHAQGPPVYEQNLEHAHTGEAQNYYDTAPGMSHRGAPRVRFSPHDQDGQQRPAE NTGYDPAMYR PEX2_091840 MTSLLTNTVPWHEGEEKLHQWLRVPHGSNPTSPFLSPRAASLVQ QCPILALGTLDSQGRPWSTIWGGTAGFATPVSESLIGLQTQVDSKYDPVVQALLTGNQ TEAYKGKMVSGLAVDLENRRRVKLYGRMVTGSLSDGDAGQAQLVVHIEESLGNCPKYM NKKHIVPAKPDSKLISDSPQLSATAVELLSRADTIFISSSHGATTMDTNNRGGPPGFM RVQSNNASGAVIVYPEYSGNRLYQTLGNLETTPLAGFVVPDFDTGNVLYFTGSTEILA GKDAAAVLPRSNLAVRVTIAAAIFVENGLGFRGEAGDPSPYNPSVRYLVNEKQVPGTQ KVNDPESMATLIKKEDITPSIQRFRFRISGRKAISWSAGQYVTLSFEDELNMGYSHMR DDDPTSLNDDFVRTFTVSSYPGRNMPADQFEIMVRRHGPVTKYLSQVNERAGLEVPLK GFGGSFNVSSGKSIVPYVAGGIGITPLLAQLPELDISQLRLFWSISFNDLGLVHDVFQ RWPQLPLSTTLFITNVELDGADRQMWDGIQSSGVQIIRRRMQAGDLDLALADVWYLCA GVALKGMILNWLVGKTVVYEDFNY PEX2_091850 MSTYEIEHNTTDPSTATNARRCRPDLSTFFSTLSQITPAPDHRP HAVPVPGDVSAAFFSLAEALDMMRREADSAPHPDAQEGTDNADSDTGRDLLTTMIQSL LAQADTPPREVEGVDEEFCDTLERVPKASLKPADTCPICNNPFMEDAYPLVVQLPCHP THRFDLECVRPWLRLRGTCPLDRIDFAKQLRDKVEAKKKLVEEDEEEEWDDSDFTREV SHITRVFSPPIHPCATTSTYSLTSQVTISTFRYPNHLLLEIKILTSEYPSPLHRTTTM SSPMETLALVFFFLILGLTIMIIMILVKEWTEYQAMQFWPKLRSTRTLDLESGLYLIE EIKELTWRVEQMETDIGRKFQLAGYLSEYHDQPPSP PEX2_091860 MADPKPLCTPAELMLKVILAGTLSHYEVRGMIDDKRLEHMLAAG KQILYKTHQESDVRHNLGMSPDIWQGLTNVLTKAIPVLESQSFAWKSPAASYEHSSAN LIAYNYFSLVKDIERLNDLCTIARNLLATTKKAQNLAAETGFDQRILALIDTCVRVTA RGFDGEQNARNEERWQKVVNLYKRLLITCLQYLHNFIMHNEHRKMVLWLDLFGYHSTA DTNIIKPKEPLDDASSREGVAPIVQVGERVINPPIRALYDQTAEDLLLETIAKFPREP ATIKEEAAMLLLANIKDHMERILGRDLSAIQEMGRDPDQVKDIRAALTAILGAKVDGW SDLQDRARELPAVLPEEDHEESEDHEDQEHDDHREHDEEGEEHEVTKKKTILTIDRSL TAGFPRLCWADLPEINDFGAVDGPVTDEDTSMPRSAQSAAETLQEAKDELMARLQEPS HIDGDEEHDYDHGDAHTVGDDDSHSLDHMADGSVDGDGEDDVDDDGVDGEADDEEEED DEYRGRPGDQQRGLLTDIPLVLGPAEIEALPMIVQAGIVDSFGLKGGERNGSRNMQAL RCHILLTQETGRNLLRELLIFIAAWDLPDDELYFKMMVQIMEAVLKNGLMSHAYSDFG QPKDIISPAQAVVIKILTHIFRAKYSPASVTGSAQPNIPRNPSSLNRVDILTVRYIFT IFRGNIIPETCALIYLQGQIRAQRALSEDFPLNLWDMERVYEGVYQFLEFFAVLTENN DWKNLLVKWEIVYDLVTLIKELEASIPKGQLNQLSFGSVPPPPPPRSDTANDASGANP PAPVAVERPYDPSDPDPVETGAGSVDSRPESPPITEDPSEFEWRNLKKLVVLVLSSLV WKCPDVQEQIRRYGGVEAILCCTAFDAHNPYIKEHAVMCLKFLLEGNRENQRLVEELE AREVVNNDGGVLERSGYEAMINQAGKLAIRSKARAEEIL PEX2_091870 MGALRTVGLVILAISAFTFIALFGRLPAFRKTPVAWLHRALWVY LPNGIAVVDNRLFGGRVVRSWNRSGSYVLKENHPLVLIFFTSLLVVGEGIFVPAAWPR LSSIHRLWVPAAISLPYFLLYKCVMTKSFITAENHEEEMRRYPYDRVLFHPGHECSTC KFLKPARSKHCSFCQACVSRHDHHCVWLMNCVGANNCVYFISLLVSLSVMLIYGSYLG YSILSEILKQVVPPEMQEAMQSWTTWINTWGIVVAAYPKIGAVFLLMLMTAPLAISFL AYHTYLIWAGVTTNESAKWSDWKDDVEDGFVFKTKRSLIFDRPLPMNLYDQLWPVHTD QILVTDEDPPTEGCLLASDSNCIAHRPESDQPPDPRWKRLNTMRDVDNIYDMGFWYNL RDVVGLSVRRSKEISNI PEX2_091880 MATSTNNSSRAETPTMNLFQGARFWLSLTVPQRLRFKELIKQYG GTVVLMEKDADVKLVDHTRKDLPRDTFSYQYVERSINKGRLEDLEAHRAGPSAPRPMG AFNIPTKSTRAFFTLKEDQIVFDWIEHVGKEPGAPVQGNKIYQDLSELYPSHPWQSWR SRYMKHLRGKGRPGGGTPLSYGELIQSAPPQGERPKTLPARASPKDSTASSSSRVPRL APPAPVQTNTLSSPKRKRDSVPEPPNQRRRDVSPMKRRAIEDPATAGPSSRHPRPDSR GSQSGSPNVAATPPFTARLERGYPAASSSDTGALQGLGIPDLFPFPNASTETPRRVTR ETFQQPENVTEPPAPPAPPRPLGDNAFAQSGRFKKQPPNPRPPVKNVFEDPVDPIFLE LPFLPSSPASEVSDDHTSDAPDVDTWIDQRLARGAKESHVFDALRCTSMVPEMADKVL KYLTAGKGIPDDMPGVWTAEDDSCLQAGEYSRVQRALTKHGAEAYKDRWEYFSMARQT GLIE PEX2_091890 MPPPVEDMSDEESGDIPFRKESETNGKDDQDPPEDEGDEEEDEE EEEEGLYIVEDIIKHDWLDDGTLKLWVKWKGYERVQDHTWEDEEGLMYVDMLIELRNL LRAFIDEHHRDGASEVVTAYYKRIGGRPKKDEEKPAAAKPGRKRKSMGEPKLAKDSPA PAASEVKRQRRKSAPKETNKQASPSSEENGIQWLPKGKNWDKDVKEVDTIVREGDAGL MAWLEFNNGHKAKLSVQACYEKCPLKMLKFYESHLVFKDN PEX2_091900 MSQASILKDEQGRPFIVVRDQGKKKRQHGTEAVKSHIVAAKTVA SIVKSSLGPRGLDKILISADGDITVTNDGATILGQMEITNNVAKLLVELSQSQDEEIG DGTTGVVVLAAAMLEQASDLIDKGIHPIRIADGYDQACEIAIAELDKISDEITFSQDD TTNLLKVAKTSLGSKIVSKSHDQFAQIAVDAVLSVADFERKDVDFELIKVDGKVGGSL EDSLLVRGVIVDKDFSHPQMPDEVRDAKLAILTCPFEPPKPKTKHKLDITSVEEFKKL QDYEKEKFTEMIQQLKESGANLVICQWGFDDEANHLLLQNNLPAVRWVGGPEIELIAI ATNGRIVPRFEDLTPDKLGTAGIVREMSFGTTREKMLVIEECANTRAVTIFVRGSNKM IIDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSIAVEDAAVKSPGIEQYAMRAF ADALDAVPLALSENSGLSPIETLASIKSRQVKEKNTRLGVDCMMTGTNDMREHFAIDP LIGKRQQLLLATQLCRMVLKINNVIISGDDQSEF PEX2_091910 MHQLHRAWLYIAHTRLQDALHPTADQFKVPHDLQRVVLQDVFIG ISRNGRQPSSQETDVSSSITVGEYAGHDVPCLDEF PEX2_091920 MYERSQRHSEENDRRGYASPASGWITESRNPHPIFVSASLTGPT RLADTASFRSGRFPGYPLLKVTCIIHR PEX2_091930 MPLTTPVLTVDADNIHKVDTANAQSLHGMWMVFSKCADYMDQGR RLENLSWRLWTRETFCVEPEKSNRTSALPLLRSEAGDLPELSASVESAASDQAERIEA HIKRPKFSDYRPAVVREDSLASLGRGKEKHITSLDLERMVLNIKEKKQLEPRSHPVEP PAPVVDITPRPSTPTPTPPSVSTARHVPHFSVRPAPYPHESTESCSTTAPEGNESDTA QVNASDTSVSSSGILPTRPELIKSTSIVRGFSPSHISSSFRSQPRLSIDPSPSRSTTQ LRPSPLKKKGGMFTLGGSSGDDDESSFEERMVPQAAQENATGGGLKPKINNPDSTKKT ASFSNQVSSHIIPNSKDISRSDEDAIETDDEVSESAIEDDEDSDWEDSITEGGESSVD DQGGMFQRVDSRPNLVSRRSMLTMMMHQPARMQGNAFRSSPALQRSRLTSPSGPSIPQ SPPDKEEESLVMRGPDVPRSKPIVMNTNGAQSMAHSPRTTRRNMLATELTESLRRHLL WERQQKSATANAFLKRRHTAHDMKNLQEYPGPKGPHKGVGPNQASAEADSNAANFDLA KNGSWTNYTTDYGPWEYHVKGW PEX2_091940 MENKSFDNAGPTPQVQELPSSLTTDELSAVRSHGVGSTDETSAA RQSSTRRQKCRFFKSKKGCRIGAACPYLHDASAIEAKEPSSGQQTPAQSSGNEIQSSA QAIVTGVEKLNIGKDQSKQSSAAAPVQPQRPVSKLEQTDPREFQINQLRRRYRPQEVN DSQGTTLTFGLVPSDPDFPFELERLQCTLHVPSSYPKGRPTLAVTNSEMEAAYQANVV RGFNDIVDFTYRTNGRGTLLGWLNSLDKKLESLLTTLERGPTLKFFANLGDGSTTKEP IKAPEKTISQPSSSQGHVKTPSAAAKPAPQARIVTPKHTAEAKAAAEKRRATETKQLE ARLGRLPMYQKLQDGRTYVIPIQPTKKDRLPRTLQALKTVKLIVPQLYPLEHSSIKLQ GVEGPEVKATEVGFTQWVEQTSQLSLVSQVNYLASNIHKFAETPLPKEEEPTEDVPPT VEEDEEEFVEEPTKAPARSLTQENEDRPHLVVIPRPPEWSVPNPTDGAEGTSDESSYE EDEYSDEEEDEEGGAPVPASVDNNAPGRGVALSFPFLELYGVELLELTSLNITIKCER CKVAVDVKNVPQITDEKGQMPKMESCRKCANNMSVAFRRQLMHSHANRAGYLDLGGCT IGDMLLSDFIPTCSECSTPHPAPGIPAVRGESAMGICRQCHRKMVFKIPEVKFLLVGS AAITSRGALPLKRKPQEVLGIVAGQELPRRGRCQHYAKSQRWFRFSCCSKVFPCDKCH DAETDHPNEHANRMICGYCSREQIYRPENCGICKAVLIGKAGSGFWEGGKGTRNKTLM SRKDPRKFKRVGGNPPTSSSSKRK PEX2_091950 MAPSAISDSAPPPVANEASVASYRGYDHVHWYVGNAKQAASYYI TRMGFKRIAYKGLETGSRHICSHVIRNNDITFILTSPLRSLDQIDRFNPEEQAELREI HDHLEKHGDAVKDVAFEVDNVDDVFSAAVKNGAKAVSNPKVLEDKSGHLKTATIQTYG ETTHTLIERSQYRGTFIPGYRAESGNDDPIVQFLPGVHLKHIDHCVGNQDWNEMDKIC EYYEKALGFHRFWSVDDNQICTEFSALSSVVMASPNEIVKMPINEPAKGKKQSQIEEY VDFYNGAGVQHIALHTDDIIRDITNLKARGVEFIKVPETYYTDMQARLKESGLVLQES FETIRSLDILIDFDEGGYLLQLFTKHMMDRPTVFIEIIQRHNFNGFGAGNFKSLFEAI EREQELRGNLV PEX2_091960 MTSITAARPSLTSNDSAVLQALFDAESSPSSGVTVNLSLPSWPS SLNITETDLTSLKQRETDIIRKLQSHKSTSIETVQSALDAFDTLLAQHPKYPPAYTNR AQTLRLLVDLIYSAEAGSDQSTDPEIADAALFAPKTSQLCSRIFSDLGQAITLATPAS PADAVSTTQGRLLADAHTHRGYLLLKAARVKKAGSGDEATGPERLRGLSADQLEEMAS RDFFFGGRYGNKVAQQLSVQTNPYAKMCGAIVKEAMRKELEG PEX2_091970 MPVTKFNHPDPYKYQTGFDSYHETEAVEGALPVGHNSPQKAPYG LYTEKLSGTAFTAPRHENKQTWVYRILPAAAHENFTAEDADSYHTSMTTETHKLHHIP NQLRWNPFDLDEKVDWVHGLHLIAGSGDPTQKQGLGILMYAAGKDMGKEAFYSADGDF LIVPQHGVLDIQTELGRIILRPNEICVIPRGVRYRVTLPDGPVRGYICEIYQGHYQLP ELGPIGSNCLANARDFQAPVAAFDDEEESEYKLYSKFNNTLFSARQNHTPFDVVAWHG NYYPFKYDLGRFNVIGSISFDHPDPSIFTVLTAPTDHVGTAVADFVIFPPRWLVAEGT FRPPWYHRNTMSEFMGLISGDYDAKIGGGFRPAGASLHNVMSAHGPDSGAFEGASNAE LKPQKVGDGSMAFMFESAHMVGVSEWGLKTCQKVQPEYNEHSWKPLKRHFVNPNKAA PEX2_091980 MASWLQIPKNSPFSLANIPFGIISTQSSSKAAAIAIGDHALNLS AFASSGGFSQLPVIEQHLAVFSQPTLNDFAALGRPVHRQVREYLQNVFRADTKFPQVL KDNASLQKSALLPLSQITNHIPMQIGDYTDFYAGMNHAYNIGVLFRGPDNALQPNYKH LPVAYHGRASSVVTSGTPLHRPQGQILANPAADPKVPTFSPCKKLDIELELACFIGKP NDLGKPVPIDEAEDHIFGLVLMNDWSARDIQAWEYIPLGPFNAKNFGTTITPWVVLID ALEPFRAAGLEPANRESLLPYLREKRTEGAYEIPLDVEVTNPGGQPTIISNSNARNLL YSFPQMVAHHTITGCNLRTGDLLGSGTISGKEAKTQGSFLEQTNGKTPLKLADGSERF FLEDGDTVTLRGMAGTEGNYVGFGDCVGTILPAVSLQ PEX2_091990 MTDTVTLYTYFRSSCSARLRIALHLKQIPFTSVYVNLLKGEQSS PAHLAINPSGTVPALIIQRGSKAPVTITQSLAALEYLAEEFSEQGPALLPPISDSETR AVVRTLADIISCDIQPVTNLRILKRVGPLGVDRAEWSKGLMEDGFRAYEAVVKKSAGK FSVGDSITIADLCLIPAAWGAQRFGVDLGQFPVTNEIVKNLEMEDAVKKGHWRSQDDT PEEFRIKE PEX2_092000 MDGVRSSRPDKPYQRTYKACIPCRQRKAKCDLGTGPDGLPIGPP CARCRRELRECVFPEKRAWERSRKRARSPESYETDVSPRHSSQLTTASPDTSVLAQNR TQNSHHAVEVASSKPENNFHQEQNFQSRWQYHQDQAGSHRGSLDHHSAGPSRTDQSPS HTYENNKHRSNSTLASTMMRTVVSSGNDALNILFEAATAQEENSPDTSSEPLAGPPSA GPSTQDRTPGNYDSGFESVARVIRPVKLSDASQDTLNVWEACRFVKMGWFTAREAVTF IDLFYKNMSCLSPILTDFYANHRYHYWLITREPVLCCTILMISSRYHVLPGAGGESRN FFIHHRLWSHSQKLTMRLIFGQEKSTKSKVRSLGTVEALLLMSEWHPRALHFPPETDG WDDDLIQPAPRNHENNDPSSEPGSRGVEDIIEPARRSDQMSWMLLGCALSLAHELGIF ETDGSTSPADEPEWRDQMAIRWQRVQRLLYVYINQLAWRIGCMSPIPQSLNHAILGGR KPQGLSLPGSTWLTFMDSWIELTKLAKSVTDMFFPSAAFARQQLHSGRYIGLLEHFRP LLNQWKDKYLQPQVLDKAFYNDLFIEYHFVRVYTHSVGMQAVVERAVAENDPNNFDGV RPMTIDPTDYEYIQEVIDGCGQILQKVTHLAEVGALRFSPVRIVLRITSASIFLMKAL SLGTRQAKLQESIEILEKSIKALKSNALDDVHLSTRYAALLEMHVSRLRRNLLASSKV VKSSQDAPQRSSMGPPPWPDNGDRANLTRAPALQDPPPELGYIPSLNDIGADNWLSLP FDPSMAPFDMSHGCQFPIFNSTHGTSTPPTTPPSNPISAQRIPSSTALDAAVHAVSLL EDDPLFNCGRGSVFTTAGTIEMEASVMVTSINPPHATQPGAIKRGAGVMGVRNVRHPI RLARESLLRAGVDANGSPVDDGGSMHSQLVGPYVETLAREWGMEFCSDDWFFTQKRWD EHVRGLKGEEEPIFLSQGTVGCVCLDQWGNLAVATSTGGLTNKLPGRIGDTPTLGAGF WAEAWDETVSGGGEVVPGDSSVGGLLHDARNWLGDCMPSFLRDQALSSYLSLSGDGSS RQLDLGSEKACHSYQPSAQYSHRRAVAVSGTGNGDSFLRVAAARTVAAMLRFSSPGRF PSGLADAVTAVSGPGGELQRSAGSRWTKTGEGEGGIIGIEAEFALVNRGSDVKLNRGK VVFDFNCGGMWRAWVEEDATGNEVERIMVFREQYQ PEX2_092010 METPDRRKRGIRDIQVISPGPYSATYSAPYLAPNFVARRLLDPS QVGLSHVERQYLDLFKTHTSVKCTGFMADEFWQRLVHQVAEEEPAVRHAAIALSSMHW QFMQGADSSKLKSLSKAKPSVNKIPSFTLAQCTKALVSLRQRLTKVDTYTTISAHREA VLVSCIMLVSLSLFQGDVKAVTSHLRSGYSVLMEWQKVNFDGNPSGLVLTRTFSDLQL HRITFSQARRDMDAEADDLPLWQAITGCRPVYGHSEISESGFSIVLGAAITSNYPQGL ELRVGYSLRRLNTALADLLYQNKTEKTVGERLMSWKSEFHAFISANRDTLSLQDRGPV ILMELWTISSDIILSCLKSPLDEMAHDSSLPEFQRMNELAALYVSLAEQASMFSTKAM LLQILHFTGSKCRDWHTRRETLRLVRHFPRREGFWTSDHLATLLEYVIKHESAGLTPS DVIPRAARIDLMHMSPLDQSKFNVWYHQPCTPEEVANGADVSGKWTTVVLSA PEX2_092020 MMALMRGILTLFLIFAFCLNLIGASPAADPAFHLWHQRRAIAHP PRPRSLNTSTSDLLQAQKLVEAAVAQQSEYNAWRVANPKRNTYESRHSNATRSSTQHK RSNQPVAPTLNPRLRAAAALLAERHAAQQLRNGTLHKSYSKFTNLPKPLTLAKRDSVS SSSNYWPAQVDHGLPPMGWDSSYPVYRDVTDPKFGAKGDGVTDDTDAINAAISYGGSC QENCESSSTKGTFIYFPPGTYLISSPLNASYYSQLVGNANDLPIIKTSPSFIGLGAIQ SDVYIPNDNGDEWYIEQSNFYRQVRNFIIDIEETTTANAAGLHWQIAQATSLTNVYIS ASSTAGTSQMGIYTENGSGGFMSGCTITGGAYGIYGGNQQYTVRDFQIAGQTNASIGL IWDWGWTWSGLHLSSSPIGISLINPQDTSGQPSGSTYILDSMFDETPIAIQASFEQST ILESSIITLDNVGVNAVDTMVAFTDGTSLDLPNGDVDFVVIGNLQEQSSKSFGSYEVN VQDPPPPLLDAAQDQVIYRDTYFYKDRPQYETLSLSSIVSVKDHGAAGDGVTDDTAAI VASLSLATTDNLIYFPPGSYIVTSTIVIPAHARITGQVWSQLVASGDYFADMTSPKVM IQVGNPGDVGTVEISDMLFTSIGELPGLVMMEWNVQAEIQGSVGIWDSHFRVGGAYGS KLQLAECPTSNSIASGCVAASLILHITPESNGYFENMWLWVADHDIDDPANTQITVGV ARGMLVESTSGPTWMYGTASEHSILYQYNFVNATNTLAGMIQTESPYYQFTDATESPG PFNASVGLFTNDPTFPDVTCTASPELCNFAWGVIMNENTNLTIAGAGLYSWYDNYLET CVDTQNCQQRMVLDQGENQGLYIWNLITIGAVEMISNTDDNNIILAANNTQAVGHPYW SALAAYLDDYAQPIFSCDDDSTDPACLASWKCDLTQQYATIDELNGALGSYPDQCMPY YAMGTLYTTLNASLANYTDANQNYNKYFKYYQEYVRDMVPDAIKAFMAESTPSQPGGG AGNKYFDCTCEGYGPTSTQQCPFTYTQLMGASAFTMTYTLKDSNGFYSELESTYGINR TWVTFGNDGGPVRQIGHCIPGECSSGTDYRYVNIPKAVKSNKINVTNPKDIITAAMPS IGTLRNNLLSRELDINFGAWGGGMQDLLDTFSMPVFMLQQAIASMASVKAIGEQQAKK DKIKLVLEILGIAFAFIPFLDDFAPEVEVLDGAFETIAATGNVALGIQSIVSDPTSAP MVLLSLFGGGGLRDEDDFAKAAAARRAVTEDDLESIGKDFKAEQDKFDGLTEPKCRV PEX2_092030 MMQSVLRLLIFTFGVLPPVFGQLETVGLVTSGVTPTSSVSLTVV STPFLSTSSTFSASSSLTTTSPSVTTSGDPLVVTSVFTQPPGCAGGMTEIAAWSTELW QNIVNPVPTMTLSSCYPSQFYYSAVATSILPPYKQLVCPQDWETYNVTDTYIVCCPSD YGVYLPNFQNSTRPGLGAVCTSSIWADVLMDITSYDSAGSVTVIPTIAGDDGALVFAT GFDGTRATAVISSTSTVSSTSTVSSTSMSPSTSMSSSSVSSSPTGSTVSETSLHQTTS VSSTTSILTSATTTLTAITQLPSCGQTCFNNMLAKYDSLGCTTSDPSCLCRNINFYYG IRDCANAACGTVVASTVLAFESGYCTSAIAAETTYPVTSTTTTVSSTNASTPTSATAS PTAISDLPTCGQTCFNNMLAQYSSLGCSTPDAACLCENINFYYGIRDCSNGACGTEVA TTVLAFESAYCASATAAAATSK PEX2_092040 MKVFNLLLLWCLGLVTHVLANNEAAPYELLHYYYVYKLEWDTGI DKTIAPGCATEYGHMCYFDEFAKYLMDDNWRDAYRPSAADHTKTPGMAAVSKLSSNIP RSARYKLNLLLPHINADAKSFPLVFEAVLHAANNAIAQDNVNKDDLEQAVEMAQEIKE ARTPAVFEIQEAALKARVGEEAFEFVQVTASGFKWPETLAAIDSAIEDGDLTAEKGAT MKESIRLFSLTYEHDILAGEVSDHNHLNIVKSLATSITSLTRGIEERFPESGGVSSSG PSSECESEFSYSSTSSSSDSD PEX2_092050 MDDDPPSPVDDDSPSPTDDGDRLTNQPTIIAFDNSGDGPLRVPG FGAIPLDYELPSEARFAHGNKEWRQAPAVTARELTMTTVMNRVTDLPNWHVDVFNGVV VSRWRQDSTASIEMNPLLSDRAWVWCVRELRDKAVEYRQKRHIRVLDTGSCFCKSDHV PALGTGELADEFQRAVLPVLRTLMKSSLLDWRSKSTLSIVDPNLFPLVYGQSLVLADG GRVEIENVLGAYKRATTLAPTHIDKRTDSADVQSQIGRWQKLLLWVRADYKTAPHYRW STNYQALPCEVEFVGDAESTKVRLASYINNLHPMHQDLYRSIETLVGRVIPLWNDCLV QGQRGWSDILNQGQLGPVPLRIITYGVEWENELPEWLLAFRVPTKARKEMYRRAREAL LSSAEDNTDEGRKRHRKAQEKLECFPDVEGNEDKELPPPDSNLWQRAKEYLELPEDGS TTPVPAREDWQQHIWYEIEHKVKRLLRFRHPEPGTAFSYEEWKTGRHNERAVVDLVRE RKDWGKIPYKPVTPPHKPYTIRLQDTFRSQGLQIIVNMENIELAPGTQDYKGTEWHME GQLNEHVVAVAVFAYDIDNITEAQIAFRQNTKLHESFYRYREDKEKGQMWHPRLKPAH RYGKHGSDSNELAKILGYDGWDLDTDNHAVRTWQNIGAISVSEGRLIAFPNLVEHRAE PFSLADPSRPGHYRSITLYLVDPHYRVCSTRNVPPQQHHWWSQAVGEDLRTAGLPQEM IDEIMKNTGNWPMGLPEARRHRHAFLKEHRWNNLVRINRMEYPYFNC PEX2_092060 MGHISDSSTILYFGLAAGIYLKPEYAIFDSRIVTGAVIFGIITV SRIVYCLVLYPDYFTPLKHIYSPANRSWLRGNSPSFLLETPYPQLRELALNKPNQDII RYYMVANLERLVVTGPKALGELLVTKVYDFEKPELVRQSLRRITGDGILLAEGEEHKI QRKNLLPAFAYRHIKNLYPVFWDKSTEMVKMIEKDLESRKANGDNDNTVQISNWATRA TLDIIGVAGMDHDFDSLRDPDNTLNQAYRKVTSPPPPMMKFLFVVSMLFGNPTWIHSL PTKRNKDIKQSGELIRNVARQMIRQKKAKMEDPKAETGIDIISVALSSGTFDEENLVD QSMTFLGAGHETTASALQWAVYALCKNPNVQTRLRDEIRGNLPSLDDPNPISAAAVDS LPYLNAVCNEVLRFHPSVPVTIRRAARDTTLAGMHIPKDTLLTLSPQVTNRLEELWGP DANEFNPERFMGPGKANTGGAVNNYAFLTFLHGPRACIGQGFAKAELACLLAATVGRF HIELKFPDAKLEIREGATVSPKDGVLALLTPLEGW PEX2_092070 MTDLALNAEGETFALHVAAGAGDAKKVLQILQIHPSCGNLMDWL GRTAIHYAVENKRMSAADLEGIVKQLGLDVINSGDNFGRTPLHWAADKGYKDTMQLLL DHGADVDAQDFSKQTPLSRSAWRGWQECVSLLLHRGARSDIPDQNGQVPLHLAVVNGS TAVVRHLCNKQSLTSIDLDGQTGLHLAAKLSHTGAAKVITKSLIKTNANGNHIFRTLE QADGWQNDFTDATRAIDALVWAALNGIESMTIRLIRRGVDVGSYSDSCRMSTMQAAAS AGRTAIVELLLNNKADVNAQPAKSKGYTALQSAAVAGHTEIVKLLLNNKAEVNDQPAG FNGYTALQGAAGAGHTEIVKLLLNNQAEVNAQTSISGYTALQGAAGAGHTEIVELLLN NKADINPQPGQKEGYEALWRAEKSGHSDIVELLTKAKAQISQL PEX2_092080 MAAKLLIFGIFTPALPVVANESSATDHLGWQGSPNRRGTWDIIL SCGTTIFACTWSIQHLNVPAPHDGTGKKLLRSCQWMIITILFPEFIMAHAFFELLMAI DATKLIEENSKMAVTYPWLIRRLFLRGHAQKNDSEHCKDYKGPEWTVTHSYFANMGGL SFKHIDETADQKCIQLPLTAFQYAKHPDLYASPEIHEDDIKDKGKQDYFAKAIAIVQI CWLIFSLITRKIRGLPFSQFETLTLGLAVCGIAVYITYWHKPQGIGVPIKLTKREGSK VPRFSRTYDSFWDVLSNSRKKNGSQQVHRIKNDNIPLAKSDKWHSTIIALAVLSAAFG CIHIIAWNFEFPSDIEKLLWRVATVMSIVVPGLGLITILLAQFTAQDGDPQEFMRNCL SVLREFSWFYHDTDATSKAMITLENIYNNPSSEDDNVQCLYKVILSDDKNSPLGPEML KFVKKETPFEKRNSIQLRDKFESQFGLLVELMDEEGPKSLIDSAKTNVFPRRTLIPRW VNFRPSYMPPT PEX2_092090 MVSLPDVQSSNAQIASTLPAGLVAVFVGATNGIGEAALKEFARS TRSPRAYFVGRSQEAAARITAECRQLNPEGEFEFIKADVSLIKNVDAVCREIQSKEKT INILFLSCGTIRSGEDTSEGLHIMSATGYYARTRFIINLLPNLKQATSLRRVVSVLAG GHDGPIDVSDFQGKKMSMLKIRGHLVSMTDLALETLAKQAPEVTFINDYPGAVKTGIG RESNTFLAWIMNIVLMIIGPLVYIPIRESGERHLFFATSAKYPPRALLNATAEASSGV PLSEGVEVASGTDGKVGSGVYSIHWSGEHAGPKVVKLLAGLREQGMAQKVWQHTVGEF DRITGPADV PEX2_092100 MLHHNQPRRTFTLTAFNSTNTDDDDDDDDARFLYEPLEGVERFE NYRPGGYHPIQIGDHFHSRYGVADKLGHGSYSTTWLARDEQSNKYVAMKVCTANSNPK EVDIISTLSLPRSSLVNDLGKTMISSILDRFTIHGPNGSHACYVTAAARVSLSGAKDG SWYRLFQLDVARSLAAQLVLVVDYVHAQGIVHGDVHLGNILLKAPPNFDQLSFEQLHE KYGTPELQSVVRLDGNLNGNSLPPGVPSHGVTPMWLGEASEKITLAEARILLIDFGEA FSPSNELKYESRTPLVIRPPEARFEPNKPLSCSSDIWTLACTIWSIIAQRPLFEGFFA TENDMTCEHVDTLGVLPPEWWSKWDARYDKSTEDGTPINREYFRSWDDRFEDSVEQPR RKKGIPSFDKKERDAISDMLRQMLSFRPEDRPTTKQILESEWMVEWALPEYSKIQNNV PEX2_092110 MLCGGDREKGDVAMEEKWDYVNLDDFKSESCLTPFSYFFLWVFL FISIAVYGVDTFTAINLLAFSRWSGRVEPAIPFNISRWIFAACIIASFVILVYRWIHA IGAIRSGSITRSFLDPLAVRIQSIRFGQRGRGYRRFLVFAELTKDRKAAEYVALYAYF SFQSWMITIFADGPRQVINAITLYSVMQMDLIPGGANAAHDDGSSSVAQFFNNVKILA EENTLQAVVLFGMLFTLIVWVLSVLRLISAIALYLIFLFHHIPAEDGTLSRYCLRKVG QRLKRIVHRKNNKALAKGLKLQNRAPTKPMLDTDSNPTLPSLAGDKVPAVPSLSRSTT QTTLPPYSQSTSTAPAQNPTLPNLDFDAKPKLARTGTSSSAMSESASLTGNAAGMGYT PLDGQNPTLPTLPPVPPLPATVPSRMATPHSRAAPAPYGNGDRNTPGPGYRNLTDNSD SQPYQSHTPAPDYSSADMHASDDYDDFNRDHAPAHYDPYGPPRGTYGGEDEYGAGYET PDGRRGAPRAQQDYYPQDPYSTRSYTPASTGGTPAPYRTNTPANTGGTPAPYQYNNPA STDGTPAPYRNYTPASTGGTPAPYQYNNPASTDGTPAPYRNYTPASTGGTPAPYQYNN PASTDGTPAPYRTYTPANTGGTPAPYQYNNPAGTGETPAPYRTNAPASYQPTTPPQAA AYGQPPPRTYTPANSATPAPQNGGYAAFNPSMAHNTPQPRSQGPPDPSSYTRANTASP SVMHRAPPGHTFNRANTHQY PEX2_092120 MSPAADTSEPPSQRKMAEHGVENGTNGSLKVEKQSVVHSTEDKH SRIDTPVAQSSGERDERPTNGDDGANGQREHDPTTERHLANGGHKMAGLEHEQGLGIL VEHSPNNGDNLGQLSVVDVYDGPKVKAALAELSNDLSHQLSEDKDKVLKLSPEKIQAL TSSPESIPYRPVGSETNAGRRVASDNVHVDDPLARREIPEFVLQSLSEKPSILDVLPK LRPTKEVSLGDASAPASPALPRNTRNPSLRNRPHPARTVSTPGSTRRQLPLAPGNDRL TQTWASRSKQDRPALDRELRSHLLASPQIIESPMPSPLPSSIPLPPVSLPTYLQLELA SGRPSPLYIHRDAANDFPYESSAVKIERLMNFIMLAPMLEQVLCFGSLACLDAWLYSF TIMPLRFIKAVYILGESWVMNLGAEIRFIWKFVLSGIGRVWRRRNHYSKEDERGRRES ESDATPHLPQGSSLGPDIAPRKKHRSSESRKYHHRRKKSMPSALLPDDKADILTGLLM IATCCVLMYFDASRMYHWIRGQAAIKLYVIYNVLEVSDRLLAAIGQDVLECLFSREAL ERRPDGRSKIIRPFWLFLVALVYTVSHALSLFYQVMTLNVAVNSYSNALITLLLSNQF VEIKSTVFRKFEKENLFQLTCADVVERFQLWLMLTIIASRNIVETGAFNFVGNLGLGS SFPGQSSTITNSTPLSTPPRTASSILPQAFTLFPSSILSSFNSVNSFIPTLAQVLGPF LVVLGSEMLVDWLKHAYINKFNNNRPAIYGRFLDVLAKDYYTNAFGEQNLTRRIGLPV IPLSCLFFRVSVQTYQMFLAALIPQHPSSTAMGATSLTSIHNSYAPSPVPSAPPLTFA TLLPASAAHVSALFRTLLENAIPSPAQSVHIFTGILLLTGFIVLLILKLLLGMALLAF ARSRYRKMKSRESERKQSSNNQSGTETGAPRTRDFYVEGSQRAGGWGVVEVGDEKRKL IYADDPDGLRRLKEKEEKDKSKDGEFNVDHVQRYEMIAKKIW PEX2_092130 MSQACRLFHTIYNPQLYSIFANSCEPNILRLVQTGNSDALQKLV SAGYRLFDFLETPEFWWKERGCYVGYKLESRSPMMIAAGNGHVEILQIFIDNLPSIIT RSTVRSSHLLSHAALCGQLDAVKFLISQGGSLDHIDMRMTLTILQSAIMGGHLPMVKY LVEESKCKDQDSAFDLLHSATAAGHLEIVKYLIKRGADFTHPPSGYVSEYGHPSALET AIKMGYEDIVLFFLDNTPPELMEAMSTIGWESMLKTCHRDVFPPPWPSPWRNPHITQT ILARIDLETRLAATTPLEQSNLLAVAAETGDMSLTQRLIGKGCRPILSQMRHTPVSRA VNNGHAEIIETFLRCPGYLVSEQDVSSAAKKGETAILLSLLHKVGKKDFKRLGKVALN AVCYSDKFILAMQSTFEILTDQDVEALISMVTSSTGPQASCAGHVEATKFLVEKGDIQ PFDKIPTYFDILKRAWEEPSSYLEHAAAVCPVAQFQAALAQWNFELDPDNSYCKAALV AATLNKKVETIQLFADKGFDVTSTYMHRGELSPLLHLVVKTLRDENGRVIDVDGEHAQ LRQSYQPNGHPWLDHILPCASVQFLMERGADINQLDSYGRTALFLTTKLRTLVLTKEL LGLGANPLLKNPGTVSPLELAISQGQIKYVKAFLEAIRARSFTCDDFVSLIPDVLPVQ TLPNRSFGGRFSASSSGRLSQTRMLARDGHMEATKTFPLPCRDIDRRDSASSEHTITD EDTTDEEIADEEIRGGEITDEEITDEEITDEEIADEEIADEETTDEEITDEETTDEEL LREVLQTSEDNFISIWSATEDDDCIGDLRWVRFFIAKAMTQHHWRMMYPVPA PEX2_092140 MRSIIPFFFVPACLAVPYAEYIYAPSSRILIPPAVVGVNGSVTT PAALTNASDMRPAVFNGPSSVTFDFQKNVAGIVSIDIASASSDAFIGVTFSESSLYIS EQACDATADAGFDSPLWFSIAGGPSTYTAAEKHNRGGFRYMTLVSNTTAAVSVNRVTV NFTAAPTQNLRAYTGYFHSNDDLLNRIWYAGAYTNQLSTINPAMGNALPWYHIINSTQ TIALPETVPWWTNYTISNGSSVLTDGAKRDRLIWPGDMSISLEAIGVSTKTAVYHMLV RPFADTISFTYHCHSLNGLALYYLYSGDLDWLSRHWNQFKRGVDYALSSVDATGLANI TASSDWLRFGMGGHNIEANSLLHYVLNQGLNLAAVLNDTSVQHVWASKARNIKAAANL HLWDNSTGLFRDNETTTLYPQDGNVWVVKSNLTQSISQIRRISQSLRNRWGKYGAPAP EAGRTISPFISGFELQAHYIAGSPNSALDLLRLEWGFMMDDPRMTNSTFIEGYSSDGS LQYEPYTNDLRVSHAHGWSTGPTSVLMNYAAGLRLKSAAGATWSIAPQPGNLTSVDAG FVTKLGTFAIDFEVRDGTYQHLRFSTPIGTTGDVVFSGENGTLISANGVRVALGAGGV ASRLPGGNWTFVPRS PEX2_092150 MASLPTTYDGPVRIAVIGGTGLRELPGFTQVASLDITTPWGVPS SPITILHHECKHNNKVVAIAFLSRHGLHHQIAPHEVPARANIAALRSIGVRSIIAFSA VGSLQEEIKPRDFVIPDQVIDRTKGVRPWTFFEGGIVAHVPFGDPFDEGIAKVVRECG HSLEGDGVVLHDRGTLICMEGPQFSTRAESKMYRSWGGSVINMSVLPEGKLAREAEIA YQMICMSTDYDCWHETTADVTVEMVMGNMKSNADNARRFITAVLDSLASDEHSELVQA KHLAGGIKFGVSTPQANWKPEAKEKLDWLFPGYW PEX2_092160 MPLPIKSIGVVGAGNMGSMMTLRFAELGLEVSVWDIEKKNVDEV VHYARDDKSITGRVEGFYDINKFAKSLEGKSDRKLFMFSITHGEPADEVLRMLKPDLK EGDIILDGGNENYRNTERRQKECAAIGVAWIGMGVSGGYQSARRGPSLSPGGDAKAIE RVMPFLESYAAHDPKSGTPCVKRMGPGGSGHYIKMVHNGIEGGMLSVLAETWQYMHEG LAMEHGRIGDIFAKWNESGELRGNYLINIGADMLRTKRTPKGDRKGEGASRDDGYVLD DVLDKVVQDDDNTEGTPLWCLMESAARHVSCPTLAAAHYMRISSGNRIERARAAKKLE MPMPKPIEGTRDHAVIIENLRQAVYCSFLASFCQGLELISRASIDEGWNVNLGDCLQI WRAGCIIKSEHIADILQPPLAAHNEVTNTKFVDAVAHELRQNFHSLKQVVMEGTMFDQ YIPALSATLEYLKYEGGLALPTKFMEAQLDYFGAHNYNKPGIPGEDPGPVYKGPHHYE WLPA PEX2_092170 MSIPHASLQKIPLSYASCSIGCSPNDTLPRRLEAISEAGFRAIE LSFPDILDYGAQITGKPIAPDDYPAIMPVAVEIRKLCEEHRLKIMMLQPFANFEGWVK GSVDREEAFARATGWMEIMHAVGTDMLQVGATDTPEHLIKKERDVIIADLRLLSEILA KRNFRLAYENWCWSTHAPGWKEVWEIVKAVDRPNCGLCLDTFQTAGSEWGDPTTESGL IESVSQSELQKRFSASMDELARSVPGDKIYLLQISDAYKVSPPLEDKTIGGLRARGRW SHDYRPMPYDGGYLPIEDVARAVLKTGFRGWFSMEIFDSGPQGTGKKYEMGSFAAKAM DSMQRFLKNCATD PEX2_092180 MMSSILRTRAPISRLACRIPSSSTRSVGTYATFKVPQIDNEPNK HYIPGTPDRKGLEEALANYKQKAPLNVPLVVAGKELKGYETFTQSNPATHAPVATYSN ASKADVQSAIDSALAARKSWADTPFSERASIFLKAADLIATKYRYDIMALTMHGQGKN AWQAEIDSAAELCDFFRFGVKYAEELYAQQPAHNATGVWNRLEYRPLEGFVYAISPFN FTAIGGNLAGAPALMGNVVIWKPSPSAIASNWLVHQILLEAGLPKDVIQFVPGDAAEV TSTVLESRDFAALHFTGSTDVFRMLYGKISQGVADGKYRSYPRIVGETGGKNFHLVHK SADVRNAAVQTVRGAFEFQGQKCSATSRAYIASSIAEDFAQQVVAEVQKINVGEPSEF TNFCGPVIHEASFNKLSGVIEEAKNDPELELLVGGTYDSSKGWYIQPTVYRTSNPDHP LLSRELFGPILVIHSYNDATEADFTKICDKIDSTSTYALTGSIFAQDRAAVQVADEAL RNAAGNFYINCKSTGAVVGQQPFGGARASGTNDKAGSANLMSRFVSLRSIKEEYVPTY TVAYPSNAN PEX2_092190 MKDDSPKSLEAGDRPESPYDDPKAMNGEITRVHNSNTQRGLSSR HVQFLALGGCIGTGLFVGSGAALSTVGPAPLLMGYIVMSIIVYFVMNMLGEMTTYLPI QGVSTPYLITRFTEPSLGFAIGYNYWYSFAMLLASEVTACGLVIEYWDSPVNVGVWIA IVLLLVLLLNIIAVSWYGEAEFWFASLKIIAILGLIILGIVLFFGGGPNHDRLGFRYW KTPGAFVEPYLVPSISTGRFLAFWTSMIKCGFSFIFSPELITAAAGEAESPRRNIPKA SKRFIYRLFAFYVLGSLVIGVTVAYNDKNLLQGVASGGSGAGASPFVVGIQNAGITGL NHVINAAILTSACSSGNSWLFAGSRTLYSLAGEGQAPAIFLRCNKNGVPYNAVLATWA IGLLSFLNLSNSGSTVFYWFTNITTIGGFLAWVIVAVAYLRFRSALDFHGLLKSRPFI TPFQPYGAYFVMAFVSLLAITNGYTVFWPGQFTASDFLVSYIVFVIFFVLYFGHKFYY KTPWIVKVSELDIFSGKDEIDRLEEEEIEPQPRNWLERVWWWIA PEX2_092200 MKAAPAVRVPLKAAAQTTRLVSGTSNSRSSVASVSASNMWQSTT KAPPPLPRKALTAPLAKLPLSSVLRSLLVLSVSSSSLLLKPCIYTLSLLAHPRNAFWD VSKNPLLNMLVKHTIYKQFNAGENKLEVQHSINQIKALGCRGVLLGYAREVLVDENSN AAYDVKAALAEIQIWMEGTLQTVDMAQPGDFVALKFTGMGTDALRLLQSQTMPTENMD NSILKVCDLAISRGVRLLVDAEEQAVQPGIEAWIMKYQKYCNSQTPGRAIFYGTYQAY LRSTPATLARHLETARAEGYTLGVKLVRGAYMKTEPRHVVWAEKEETDECYDQVVESL LTRKYNSMLKAPSKDTPTELPPVNVIIATHNRESVRKAHALRMQQATRGEDYGVDLSY AQLQGMADEVSCELLQGFESAEDSVGATPMDAPNVFKLLTWGSVQECMGFLLRRAVEN TEAVGRTKDSQIAMIAELKRRIGNAFSSSK PEX2_092210 MHILSEPNVTKIFRGLTQDQCHSFITVLSNALISITQESKPSTP SSTKKIHQPLRTVFTTSDDNTCIFMPVSDTASTGIKVVTASPSGIQGVINIFSPEGRL QGLLAAAEVTAFRTALATMTLFVRCTTLRKHNVLVLGSGRQAEWHARLALLLYPEQVR RVTFVNRGAKRLAEMERDVVSELWGIYPGVVFDTLAKEGVDDYEQRLGEELAAADVIF SCTPATVPNFGYAALQANPKQRFISLIGSYKPNMHEIDTETLLSGGGKVYVDSKTACL EEAGELITAGLGEEQLIEMGDLLGAEGIVEGAVDVPAGCNVVYKCVGMGLMDLVVGKK VLDVGVEMGLGTHVDGF PEX2_092220 MTYLEWFSEEWATVYNEGSPTFPYMTPARALQSVQKGIYKVPKY TAFELISMTPGWHIAQLKSIFASASMRRITIDGMSGTYPDLAPRNSSPITHIEVHGIS RSGFKELITHCVNLKSFTYFYYGEIFSHFSMKSYIDAISSKKHSLETLCISQAPVNCP IIDSEAFNITFNGFSALKNLELPMHTFLQFVDVNYPLDHITPDRVSACFLMPLARNLP PSLERLSSQLGAIEKHMFPHLGNIRIPGDNIEHLFIKCVEQESPVHYPDLFVPTFYDD RLLDACREMGVVLRVKNDPINRG PEX2_092230 MDDSTPTPTQQKRPRVAEENRKRAVRACDGCRRVKEKCEGGVPC RRCLRYRRQCNFTHIDPNEKTRSTSVSLLDRAAALSRNDIAEAERVRLMERLLSHYVP NITFDIQSLRQAAEDLKSKHRDSESDAISTREDPNELEDLAIDEEDFTIKAMPDNTTQ YSGEFSYLNFTMKIRKKIDEWMKTAAPEATSEVEPFEERWRSTQLQSASPQVSSSITC LPPRYVADFLVQIFFKYAQTNNFYIEEDWLIEKLGVCYTDPESLSFDDAGAVCCILMV LAVGTQFAHMESSTPVNCLPSGSTANQDHHFSEDEVGLTFYQFASRLLPDIIATASVR SVQACLLIGTYLLPLDTSGLCYTYFGLALKLAIQNGMHRRYHGEGLSPRMIEVRNRVF WTAFTIEKRISILHGRPSSLSDPDVDGPLPVDFPGLMPVNQISNHTNMVTLITLTLKL GEVSNEITSLRKYRKEQQQDCLERLLSHRKYLVDWWSTLPEEITCRDLNPAGPLFRSN VHLKLDYCLTRIFIGRPFLFSNIKGIYQISSQGPPYKGSAAVSGLSKNRATLVTDCVE AALEIIDLCRLLRDETGLARASFTEFSSCRAALLVILAQGLTKRTERLGAALEQGISL IKIMSMGVGSARSAVSVIEALERAIRRLEAWSETQPDMSSGGAVESAYDRFKNWEMLW KAGPISPSTLAWQQQEAYASSEQNVPQSVPSQASTMAGPSTLLHGMTLTPPSAAMIGV GGAVPTPAAPDQSIPEGEVPPPDTFSISQQSLSQMPHFGFDHFVSNFPQELGEFTAIP CFEPDSQGQSNGLPGAEMKAPGSRSDPPHWLNFMTDS PEX2_092240 MPKRKLEEVSGPARSSDTRKMSIHGTRLTQMFENGVLMIMRCLK TSRGFERQKLSRREKTAKAQKDDKALARLKEEIETLKGLDYHVTSERYLFKQLIRTKR IAETRTFGEFQAVKKVSQDGPKSTAEANILARLFKSTPVQKEMPGIMAGIRKLLRVDE APASKATKEDVKKDAPAKKPRKETSGSESESETATTKPRRGEQVSRPANDMDISGSDE SGDEDLSQFNSRLGPGSDSEADSESGDEEDLAADDISDSISRSPSPSFSAADSPPAKK VKGTKGSKEPLKSTTFLPSLMNGGYWSGSEEATDEEDSGKKPVRKNRMGQQARRALWE KKFGATANHVKQEQLAAKYGGRDNGWDTKRGATDGTRGGRGGRGGRGRGGFGGGSARP QRDGPAGPHSGQHSGGKPKGGPPKDEGPLHPSWEAKRKAKEQTAATFSGKKVTFD PEX2_092250 MASARSLMRLGSGRSVASATRSMAARTFSSASLQCAPKASTAPG PEPENMRQAQRPPQGPLRAPVVNPTDKYQPMADSLHAYGQYVMSCLPKYIQQFTVWKD ELTVYTAPAGVVPVMSFLKNHTAAEFTQISDITGVDFPTRDQRFEVVYNLLSVRHNSR IRVKTYADEATPVPSVTGLFEGALWYEREVYDMFGVFFSGHPDLRRIMTDYGFDGHPL RKDFPLTGYTELRYDEEKKRIVIEPLELTQAFRNFESGSTAWEPVGAGQDRTPDSFKL PTPKPEAKEEEKK PEX2_092260 MSSISLIDSVIDDDDEFCPLCIEEFDLSDKNFKPCPCGYQNEEG RCPNCRRGYDESTIQYKIPDVEEFKADLALKHRKAAAAKKKEAEKREIEASSRKNLAG VRVVQKNLVYVIGLNPTIRDENQLLQTLRGREYFGQYGEIEKIVVSKAKPGGNPNQGI GVYVTFSRKVDAAMCINAVDGSGNGDRVLRAQYGTTKYCSSFLRNEQCNNRNCTFLHE TGEDSDSYSRQDLSSMNTISTQRPNLPPTPSHVRSAQPIAHPMRRQPSKDDSISSRTG IPDGPALPSTASWANKDAAIHRARRTSLTGSQASINSPRPASVNVATPVATPVATPVE EPKRAEKPSPISQEAPPPPSQVDPQSPAPQPRPRRVTQPPKLPSPFDGLLKDINSPDF KFSFSTAELTEEEVKLIKDYPSFIDPYGGVKRRAMREKVEQERLSREHELLQSVAAEE DSREAGSLQLGGEPEEVNPPRSRQTRESHGAIQPPSQHDTADNSTVGSPVSATSHQFQ GLNLAGRSLTPLQQQQLMLLKSAGNQQAGLADPLSSAALDQAAQVRQGLVQNQMAQFN ALQAAQSRQSSRFSFANEAGSKNMVNARMLGQMQSSSPNPLSAPSPQHGLAASGFYAS GVQGPPPGLKTAGTPPISGGGMFAQGHGFTSGLSGNGGKQDPTPELMRELLRGRTGTN VGGLQGQEAAKREFMFPFLQQHNTPPPMTPVNGLLSSFYGPQTGVMPDSGGPQKQKKK GKKHRHANTSSGGGGVVDLADPSILQARMHQVGANATAGQALYGSQGQVNEDFPPLGD QSKDRRPVDSFGFLKSQLPSESAARAGTPTLPPGLPLPHAHPSSSIFQEHSSSKPSSP APILPPGLTPSISRLNSPSQSRIDSPSRLLSPELTVGGPLTSSRVKDASQISFGSPVQ KSASKARTQRKSEFNIGADFKDSPTKAANQSQPSSATSKGTPLDFGSPLASSIRTEQV PPPSSVSAIGSRPDTPQTFASRLSDSPAPRQPRILRVVETPKPETPPIVTVPSVPASV VGGTKSRSRRQSIESTSIPDTPADMGWEADYYPSTSASRANSPPASSRIGSAPVRSMT KSQVKKERKQKAKEAEAKKVEVAPVNEEPVQAPIMGRKRKTKKAPAATVSTADAPAPS RPEKASPVKPASASPVKAEPKVDTIPKKVKVKETKPVREPTPPPVEELPVQKEAPVEP WRSNNTVGQLVKDSEALGRAIKDLFVERTKPLHELLAEMHKSGELNLNKSSLFNPSNL SQRTDMKCTAEDYDYLQCPNELTEEDRKTLLRGEPVRIGDDFLKTRCLITPRGCVLRH LEPEEEERYLELEKNMAGISDPFMIGDDASNPSGGLEALFANPEKFNICWVDDMPTRL GATSPSSSLEAAESVIPPNVLSAMEADSTRNHDWAVANSAEFLNTTPAAVRSFAAVTA QHMLGNPGMVGPNPTLDDVAGLTNEELKDLSGRSQKDLELTRKEMDSLDKKFAALLRR NKKLQQQALNFAAGSEV PEX2_092270 MMFQLLSIIATVLTLASTVISTGLLVPLYAWPGIDAWNTIYDSI AAYPSIPFYLIINPSTGPGDTEYPEEVFITAITKLNSYPNTKLLGYTHTKQGTRASSE VEKEIATYAKWATYTGKNIRLSGIFFDEAPNGEDPSKLAYFQNLSMKSKSSSLNTVIF NPGVKLVADADKWFAAADFIVEYENTYANWVARSPDENFSTPDHYEQSAVILNQTPED ADVSDVVRLAKDMGLGAIYLASDDNYMSLTSVPKVAVAVAQSRIARRRGYSRY PEX2_092280 MASPGINARVRDLETDREWRISVAVFRYQQNGHYAVLLLKRATG TATLGWWNLPTGPVLHTDATIRDAVKRIVLDKTGLGLQGYHIIQEVDTLTWGSEEKVV VKLNFVIHDTSADIVAIHRNEFFEYEWVEEEQIDSLTIPVSMQEVIRDGQPVTTARII PARRWSSSISQPPGADSVRFPGAVNSKFTSEMAFLKASDLPAIPTYRVMDSDGYQVDK TRPAPDVTNEEVLSWYKNMLSVSVMDVVMFEAQRQGRLSFYMVSAGEEGITVGSAAAL TPDDVVFAQYREAGVFQQRGFTLKNFMSQLFANCNDTGRGRNMPVHYGQNYPRMHTIS SPLATQIPQAAGAAYALKLQDLQNPNRDPRIVACYFGEGAASEGDFHAALNIAATRSC PVVFICRNNGYAISTPTLEQYRGDGIASRGVGYGIDTIRVDGNDIFAVNEAMKEARRL ALSEGGRPVLIEAMSYRVSHHSTSDDSFAYRARVEVEDWKRRDNPIIRLRKWLENQGI WSEEQEKQTRDEMRKAVLKEFGEAEQEKKPSLREAFADVYEEITEEQREQMAELKRIL ETYPDEYDLRPYKDGINGLD PEX2_092290 MHETDQIREILNTDIRTPIPQALVTRITSLPPFIPIPGVSNFRD LSHNGNKLRPGFVYRSGNLSDILGPGKSIIAAELGITTIFDLRNEGERQKAPAPSITG VDTVWLPYGARPATLNLRDFAGPDKGAAGFVKMYFGVLEAAAPCFTQIFKHIRDNPDD PFIVHCSAGKDRTGVFAALILLLINRPHHDIIYDYILTRVGLESARENLMQASAVNLG TDGVDVSQLSPEAVGMLELCGVRATSMADFLVSFEKSYRNGVEGYLIDRLGFTQTDVL TMRKNLT PEX2_092300 MYFWNQLVLGVFPSLLPTTLAQNVLDLSGDGWTVSSKALNISVP GRVPSQAHLDLLAANVIDEPLSSSKSSWLVFNGLDTFTTIEFCGQFIGNTDNQFRQYT FEISNALKHCNGSPTVSLEFGSAIKIANAIAADPNSQQWPSGVQGIFEFPNRWYIRKE QSDFGWDWGPAFSPAGPWQNIYLVQSKGEEDVYVLNTDIDIYRKGQINHLAPNQSQPW VVNASIDYLGSLPTRPSMSIEIKDLESGKVLKSGSLDNVVVSGKTITGTTIVDADAPS LWYPTGLGKQSLYNVTVTIQNKDQVLASITKRTGFRTIFLNRANITEGQLAQGIAPGA NWHFEVNGHEFYAKGSNLIPPDAFWPRVTETKMRRLFDAVVAGNQNMLRVWASGAYLP DFMYDLADERGVLLWSEFQFSDALYPTDQPFLDNVAAEVVYNVRRVNHHPSLALWAGG NEIESLMLPTAKKHDPASYPRLVGDYEHLFISLILPLVYENSRSISYMPSSTNNGFLE VDLSAPVPMAERYDNGTEEKEHYYGDTDYYNYNSNEAFDFTGYPVGRFANEFGYHSMP SLQTWQQALDDKDLHFNSSTILSRNHHYPVNGPETHNYTQSSMGMAEMTLAVERYYPI PNKQDSVANFSAWCHATQLFQADMYKSEIQFYRRGSGMPERQLGSLYWQLEDIWQAPT WAGIEYDGRWKVLHYVARDIFQPVIVSPFWNYSTGDLDIYVTSDLWETVRGTVNLTWT DLSGKPIPGNAGTPLTKQFSVGALNTTSIYETNIAKLSLPDTKDAILVIDLTAQGRLP NTESKTLTTFTHRNQFTPVFPKDLALKDPGLKLSHDAHTGTFSVEARNAVSLYTWLDY PAGVVGYFEDNSFSLLPGEKRTLRFVVQKDETKGKWVDGVTVRSLWDQTTKT PEX2_092310 MFNVHRITCLRVFLLALALGPVNATPIAHSDLTGREHGGPAVWP TPQQLILTGGKVSLKADVTLVTNAFNDSATVSMVKEVVAAAGGNVVLASKPSGKGTQI FVGTEAEAGAAVAAAKALAGNSANGLDADGYVFACGRYEQKPSIVLNGVDTRGTFYAA QTLRQLLDGGHIPGIKVRDWPLMSIRGSIEGFYGVPWSHQARLDQFAFYGKHKMNTYV YTPKDDPLLRAKWRTLYSGDELTQLKELVETANTNHVDFTYALSPGLDVCYSSDDDFD ATMAKFNQLRDFGVSSFYIALDDIPLEFHCDADKEKWPETNNDEWIADAQAFYLNRVQ TEYIEPNDLENLETVPTNYAGSAASPYKTEFGTKLNMKIRVQWTGEGVFSDDITVDSV VTADASYVTDNLFLWDNFPVNDGNRDRLFLNPLTKRAAELYKHLLGFTSNPMSQAYAS MAALANYGDYTWNGPAYDATKSMDASLWELSGSDKTVHNALIAFVDLNQNWPYQSPEV NAPSLTKDIAAFWAARKAGTGHGTKALKDRLALIITISDVLPKMATKAFATDVAPWAT VARQWANACQHLICMLEALDRKDQSKADAEFKSAKKWVEKTKAKTVDDRNTEGEDLPN SIIPITGDGAFDTFLSNATAIYNDK PEX2_092320 MTQYGEIPLEPVIKKRVMNMILHNKTSPSELSEVNVILGETFAS AVHQFCKDYNVDIKSIDVLGSHGQTIWLLSMPEAGETRSALTMAEGTFLASRTGITSV TDFRVSDQAAGRQGAPLIAFFDALVLHHPTKLRACQNIGGIANVCFVLPDSHGGVDAC YDFDTGPGNVFIDAVVRHYTNGKREYDQDGEMGARGTVDQVLVDDFLTHPYFALEPPK TTGREVFRDTLAHEFIVKAEAKGLKPDDIVATITRVTAQAIVDHYRRYAPKDLEIAEI FMCGGGAYNPNIAAFIQESYPNTRIMMLDEAGIPGGAKEAITFAWQGMEAVVGRSIPV PTRVETRQEYVLGKVSPGKNYRNVLRQGMLFGAGRDHLPPVKEMINYIDGKAFDNKW PEX2_092330 MGYTTLWKRLSPRQLNVAIQIFSLISIFFEGYDQGVMGGVNSAP KYVTEVGIGKPDGTVTDTTHQGGIVSIYYLGAIFGCFAGGWLADRVGRINGLLAGSTF ALIGGALQAAAQDSNFMLCARVITGIGTGALTGITPVLVSETASANHRGGFLGYVFIA KISVAYWISFGLAFVDNGYSDVRWRFLLAFQCFPALILVAFIKMLPDSPRYLASVGRS DEARDLLNRIRKDRASQDDIEREYLEIIVTAEGSKRSSPIEFGKILFGKGGKPGMNLG RRAWLCVWLQIMASWTGITAVTAYSPTLLAQAGYSDIKQNGLAGGINTIGIIGTIISA QIIDRFGRRVCLMGGAAVLFAVNLIAGAVYEGSLHNPENASQYAPGAVTMLFLFNLGY AATWGTVAFLIPTEIFPSDLRAQGNGFGITGWAIGVGMTTLVNPIMFNVMTSRTYFLF AGLNLLWIPIVYLFYPETRNRSLESIDALFSTTSPFYWKMEQAYKLHGDVLAEHGVSR GEVLSDGKTELTTSPSKVGTV PEX2_092340 MSQKPRKLQRVSKAYFDVPCTFDRPAKRRGVKAGTRASMRETSL IGTPAPDNILPVPPAAQATGRMSNSSASRSSYYTESAHRPSLTGDPWSTFNTGGVATE GYDDDGALRNSWNAFAIASDRQIRNLVQVYFEIVYPIFPLFHKQSFIERVHNQEHLRN PGLFATTMAVCALVSGRARDGALFTNRWHRDELVDPPSEAFYAAAKDSIPRDLAAARG INYMRACAILAIVSIQNGQIKNMQKYSGMYHTLTSMEGLHDEKLWPKNLTAIETEERR RLFWSIYTLDIYSTIVWGGVIRYREAHSLVRYPSEVDDEFITPHGYGLPPVSPESAVS PDDVTVVSRQPVAWLRGWNFTTDLYRILEYVVDGNRRRFSSANGTSQVWSLFTPASMS EPAVMERVLSMYAALPSQFKETPPTTGDMSKDLFGFQSANIQATLQLLRMVLLSAEEL GVDRKCDVAGELLSVFSKVPVEYLNAISSPLLHHLGGIGYILGSVMEGSLSEASYQRV RTLLLEMADLLGRLETGLQRTAGASQRLRSQVDRIDGYMRTSRLHNLAAQHQRPQHSS IPIDTKREIPIQPTAYIPPSGPVPTVGPPTAMGEQMMQFQLPPELLSDWPWPLDGAHT EGFLPPAFE PEX2_092350 MEKKVSTDVSIPEDTSAGDVLPVQQTKRGLSSRHVQLMAIGGSI GTGLFVGIGSHLRDAGPLSVFLGYLIWGCLFILPVNLCVGEMAAYLPIRGSIFELAAR FIDPAFGFAMGWVYFYGGVMLVCTEYSAVSTIMQYWNTSVNPAVWVAMALVVCTMLNL VSVKWYGESEFVLASTKILLLIGLVLLTFITMVGGNPKHDAYGFRNWTDGVMFEYYTD GNTGRFLGLFSVMVYAAFSVAGPDLPALAAGEIENPRVTIPRVAKMTFWRIVGFYVVG VFAVAIICSPRDPRLMSAIADGAAGSAASPWVIGIENLGIKGLPDLINFLILLSGWSC GNAYLYSSSRTLYSLARDGQAPKFLLKCTSSGIPIYCVLTVSALSCITFLVASTSSVE VFFWFVDLTTIAFVLTYTGMVCVFIGWYRAMKAQGIDRKSFVPWVAPFQPYGAILAIV IGSLTAIFNGFSVFKPFSVQGFITSYFGLGFWIIMFAFWKVYHRTSFVKVAEADLHSG KAEIDEECKIWEEGGWAERRKEELAQMHWVRRVWEKMW PEX2_092360 MSTMSTRSAWRALHYSAKRRAFQPTTPYRCFSCATRTQEQKPEN DRMTHFGFTNVPESEKETRVGAVFSSVAASYDRMNDLMSLGIHRLWKDHFVRSLNPGS CLPAMTADQRGWNILDIAGGTGDIAFRMLDHASNVNHDMETRVTISDINADMLAEGRK RSLDTPYYNTPRLNFVEANAEHMPHIPDASVDLYTVVFGIRNFTDKQAALNEAYRVLK PGGVFACMEFSKVDNPVLDGIYKRWNFSAIPLIGQVVAGDRDSYQYLVESIERFPSQE EFRGMIQKAGFMIPGQGFENLTGGIAAIHKGIKPIVKA PEX2_092370 MLMFEETTPRPLSWPLTPPNSSDPPAPKDVSSVVTAIHVISTER AALAHLEHIYQTDARAQHDLARAVDQIARSVREGGKLVVCGVGKSGKVGRKIEATMNS LGVYSAFLHPTEALHGDLGLVRPNDTVLLISFSGRSPELLSLLPHLPATVPVIALTSH THPASCPLLSLHGPSGMGILLPAPIHEDEESSFGVRAPTSSTTVALSLGDALAIATAR KLHTATGKSPAEVFRGFHPGGAIGAAAAAAETPLTTPSSGMSTGTFDSPASSVSLPWD EITNTPLIPPFNLQSPPEQRLISRDMLVPLDQIPTASASSSQSPGDVRLLDILLTAIQ NPNAKSWVFLSPSEIIPPRRIRALLSPSGDMDMRVSEIMAKDPGTPFVVPQSKWLLVP ESTPLAELRRTVSESRNRSDPVSVIAVVKDKASPSNFIGVMEAEDLLDG PEX2_092380 MAIEMVGGQSDDVHQTPIDITPAPMEDYTFPEYRLKRTMSDPEK TPLLLVACGSFSPITYLHLRMFEMANDHVRFGTDFELIGGYLSPVSDAYRKAGLASAE HRVAMCQLAVDQTSDWLMVDTWEPIQKAYQPTAVVLDHFDHEINVVRGGVDTGNGTRK PVRIALLAGADLIHTMSTPGVWSEKDLDHILGKYGSFIVERSGTDIDEALASLQPWKD NIHVIQQLIQNDVSSTKIRLFLRREMSVRYLIPVPVIHYIEQHHLYEDDGTAEKGKEK QEGR PEX2_092390 MSSRITRSSARLATDPPPAESGTPLQTAGSTSSRKRKASSRHDR QADSPIQPTPPSPQRKTKRPRTAASQTAPSTGPVAAAPRRGARSRPAMSQPGPSSRPS EESSKSTTSPQPSRRKSSRNVTDSFCFVIDRPATTQSPPPRRSKKRSTKPNPDVVMRD AEEEIEQEEQQEEHQGSQTGESNDGTNPSAFGDDDMDPFHSSLFGGRGPMGLQSTLRA LTGMMSGMSSRLRDILSNLRAKDDPSLQLIALQELSDLLLVSNEDNLSGQFSPDPYVK ELVALMQPNQFGEENPEIMLLACRSLANLMEALRGSVANVVYGGAVPILCQKLLDIQF IDLAEQALSTLAKISVDFPASIVREGGLTACLTYLDFFPTSTQRTAVSTAANCCRNLP HDSFPVVRDVMPTLLNVLSSNDPKVVEQGCLCISRIVESFKHRPENLEELIEPAMLKA VLRLLLPGTTNLIGPHIHTQFLRVLAIVSKTSPRLSNELLKMDVVDTLYQILTGVSPP QDVDNTAVKMDSVLVMQALIHRPREQVFETLNVICELLPGVPSRDASKTDNLLSSYFD SHMSIGLRSPKTKEAVEERRSLLVNCKTELKRFAMILFPTLTDAYSSTVNLHVRQKVL IAQLKMLHILEPSLIQDALHTVPYASFLAAILSQKDHPSLVSLSLRCAELLFQRLEHV YQHQFHREGVISAIIKLSEGSLSGDQENIDSSDPTNINTTTDPSRGAGETGAGGDDED EDDEADDFDDDDGEDDDHDDMSDSEDDFMAGPRSLQKMDNALNDVVIRDAQAFLEVYE ASHGETVRAEALRILTALKSLAFEIKSRYSRGGEGGLPLFKQLASYFDGDALESITSS ELLNSGIIDVLLSVLGNFQAPSIRDARAEFLRSFMGVSISDKAQSQSTATTPFSVLIR KLQDLLSRTEHFEVLTVSHNSLENTRSNAAYMLSKQLRLKLVADEDSEVPRPYRNIMV SIHAIATFKALDDFLHPRIAVAEKPRPSRTRDSILSQIANASRLREQLASGSGMTLPS HAGGPRGTVTDPADGAYDPPTGHPHDQSNRIQEDEDEDHDDEPLECADERQLTDEEED GGEEDEDEELNAIVDDLDEDMENDNISDPSAVNMEVASSGKVTARKEDGTRVGTPSQT AASKPSSSTPTAPPSRMRNSSLASAGRPFSSYAAAMASVPQDWHLEFFVDGKPVTNET TIYRGVHHDREDLDESSAKNVWSAVHTITFKRVPGPPPPEPSTLTSTIQASPEGDTLE IPASLDKNPTTSSIIRLLRVLHEMNATIDDILTDNKDTATITSEPLAQFINTKLTAKI NRQLEEPLIVASDCLPSWSEDLARLFSFLFPFETRHLFLQSTAFGYSRAMMRWQNSQS GEDSRRDLRRDDRPFLGRLQRQKVRISRSRILDSALKVMELYGSSPSILEVEYFEEVG TGLGPTLEFYSTVSREFSKKKLKIWRDTDESSNAEYAFGKRGLFPAPMSDEQAAQDIG KKQLNIFKVLGKFVARSMLDSRIIDISFNPAFFRIADTLSSVAPSLGTVKLVDHDLAK SLLMLKEFVNAKNAIEADRSLSPALKSEAVQNITVHGANVDDLGLDFTLPGYPAIALI PGGADVQLTIENVDTYIERVIDMTLGSGVQRQVDAFRAGFSQVFPFSSLCAFTPSELV MLFGQAEEDWSIETLMDSIKADHGFNMDSRSVRNLLQTMSELDNQQRRDFLQFVTGSP KLPIGGFKSLTPIFTVVCRPSEHPYTPDDYLPSVMTCVNYLKLPDYSDLDVLKKRLSV AIKEGQGAFHLS PEX2_092400 MDRRAESKQLAEQSEVIRLIKADTVPWYKKPNLRFLYLCLIPAA LGVEMTTGYDGSVLNGLQAVATWQTYFNSPKGALLGVVNASYNLGGLITLPIVPYVND KFGRKHSITFGSTILIIGVTLQSASQNIGMFLASRLILGAGIPFAVSGASQLLAELTY PRERAVITGLFNTSWFIGSIMAASVTLGTHTMGNDWGWRIPSILQAAPAALQLIFIWF VPESPRWLLSKDRSEEAFDILVKYHGEGDRNSALVNAEFIEISAQLKLEIENSKSRWV ELVQSPGNRKRTLIAICVGIFTQWSGNGLVSYYLAKVLATVGVTDKKTQNVINLSLSC WMWICATGSAFLTSFLPRRIQYLTAFVGMTIVFSLWTGVSAGYAQHGTHSEAIAVVAL IFLYNAMYSVMQPLTYTYVTEIFPFVHRAKGIAILQIFTRGSTAFNSFVNPIGMDDLG WKFYLVYVVWLVVETTIIFFLYPETKGPTLEEISQIFDGPKLGGDEKIGDDEKQEVSH IDIKAEIKAG PEX2_092410 MTWPQRPIPIEPVIAAGGKKPNVAGQACTFDILPGRRGPKGRPR RRNIVSIQDDTGRQHHDPLETSLSSSSYVSPIVHTAETSPQPPAAIPSQYPRHPTTLE RYRLLAGAINHASSSLTSLEAVARECTDLFFGYIVHSNMSVHESSFRHSLNQAVGTSP AGVSLTDHAFTLITAVCAKVCFFMPSDLFPIGGCLAETFLEASRSCLASFTDADFENP CADSITIRYLHSNCLHTCARPMVSWNVFGEAVRLVQRMHLHDEDSYASLPAIEANKRR NAFWQIYLGDKSLAVLRSMPITICDYSFEEVITTTYPLNDQNELTVGSNASIRLWQYA ADLLLRMRLIKKEQTVDPNLPDRPLTPANVIALGELYIRFATCIDDLPPHLLPNSETF ADNHGDTTRKRFTAQIADLQVTYHCLKMHLTQKLEEIGYFSCTGECRDMLVLKKTEIA GDMIRLLQSIPFWSLKVNGEPCVRERASPGLMYNREDGSHFTSKFRPKRFASSARACS L PEX2_092420 MSEEVKMWAQAEQTTFDPLMGSGFAVRNLKLPADFIWGAATAAY QVEGAASQDGMGKSIWDTYSHSVPSRTCGANADVTCDHYNRIDEDVKLMKSLGIETYR FSIAWSRVIPLGGRDDPVNEAGIAFYNRLIDTLLAHGITPSVTLYHWDVPQALYDRYR AFISTTEFRADFKRYAGLCFARFGDRVKSWVTFNEPYIISIFGHLNGSLAPGHCAEAG TDTMIEPWRVGHTIILSHASVVQMYADEFQPSQNGKISIVLNGHFYEPHDATKQADID AAQIRLEFYIGWFGDPIFLGRDYPSSMKEYLGTRLPSFTSDDLELLKSSCLNNAFYGM NHYSSKFARQLTAPAPDDDWTRNIEESSVNIKGEEIGPASSMPWLRVAPGGFRKMLNW VWERYHLPILVTENGCPCPGETDLEVAVDDQFRQMYFGLYLDSISQAIYEDGVKVEGY YAWSLMDNFEWSSGYGPRYGIVHVDFDTLKRTPKGSAYYLQETFQKRRKDQV PEX2_092430 MIMMPGSFPNDPTFPNRYYIGGCSHCLTFETCTGPPPEPEPIEV VELPLPPVSSNSAPGSCSSIINPHGTGCIAQIGGGSDTKTIGILAQGDFLPDGKHIIT MVTFVGAPEAPEAASIYTGEQIILVKTDNSTFLNGDAWKCLTCGIPAANAVNITDLLD YPQAFSDGKRILAGDNIIDCGEYKLTSPECTPDATFMYPIHWETSADGEGKGGALREL RLHPDSVHLGFSSFYSNNGSMGQYAYFSRLQFNPSPTKGLPLVPRYDLVNVTRLVDAN GVQPLSVDGDEIHVNFDAITVGELRGFSGRGHEAIYLGTPWESSNIDVFAVHLQTGKI RRLTNHPEYVDPIHVSPDDEWFVIEDTRGSGRQMFLAGMRGLPPLTDLVSTSVTTATR NNGARRFFQPFLLDRDGDRGDYFGQKLNGPGRGIPGSGDVNDPEWNAMAEPRWSPNMT EIVYWQAQTISPQCGGNNPLPCYPSTAPGGRTYRLMLAKLTSRTPKIISPAEEASDVI PWGEPYVPGSIPRSSEGPPAGEYTLKGEVSGHADVSLVNGTGTGSASIGTVAVIYHDY SDDGINFLNGWENVTSISLSPTLNHVDWYSDLVRTGKDGYLATKKTSPDGFHLELDVM TNIFNANGTLTTTVDGEIYEQPLNNA PEX2_092440 MSTISQSARRLFRSQNLSAFKTYSPAAPIARLHQQGPTRSAVVH NQALPSQTESPPFLSQTQSQSPPVAQSPHRESITLETLVSQIPLVQTLRETHSTYKET RPHLAIPAPIRQHHFVGGSLAGPGKLAFAPYMWLSTDKIEAQTENADRASSVVSVFHI GQDLCGHPGFVHGGLLTVLFDEVFARCASAVLPSGLGMTANLNVDFRKPALPDRMYVL RTKTVKVEGRKSWVEGRMTYLPLTLPLPADSTGIVSDPSLLREDSERAVMVAEAKALF IEPKFADSMVKIYSN PEX2_092450 MSDATDFSSSRGASAPETTTNPFSSGVVNASQTVNNQFGCGVVN VTQTIISPSGSGVVNATQTVNNQFGSGVVNATQTITNSFGSGAGNIPQTATNPFGSGG NSTTQTATNPFRSGVGNVPQTVTNPFHPGWANPPQPYNPFASGRSNRPSTINNHFGSG GGIPSQTTSNPFRPAPADDDAPRTPNGGLTNGHSHGRGGDSDEEDPYGLWQSLDAALD SILAEREEEDAAEFSDGDEDAYLDEA PEX2_092460 MDPQIEELLGLEAVRTRAHIVLKLAEEGRLNHFNYHPERMEDAT DYVLKLIQRDFGPDKYHLIPPHGRWQHFEVGGVPRIATLLDQWDEEKCDTTEKTRRLI DLFFVSVLLDAGAGDFWKFNESQSGLTLNRSEGIAVAALHMFLNGDFAGKDSSVKHTA NGDALRNINVDILSRGLQADDGNPMIGVAARADILRKLGESLVNLKDIFGPSGRPGNL VDYLIAKSNESGKLDYRDLWNVLQRLLIPIWPSDRTHVNGQPIGDAWPLQALLQQPGS ESKPYSNIQPFHKLTQWLGYSLMVPFSRLLSVSWSNTELGTGLPEYRNGGMLVDMGVL ELKPESLQRGLSLSGGSLPSFGAGDDEIVEWRAMTVALLDVLHTKILARLDGVQLSLP QVLEAGSWKAGRELAAAKRPETKCSPILNFGDGTLF PEX2_092470 MGQKRARDPKAQVAEANKRKKAVKSDAATNDDYGTLGVEDLNWK EVAMPDRMEDAEGFFGLEEIEGVDIIKQSDGGVHFKAKSGKPTKSIIKPPSEDDGEEW GGFSDEDSSKKSTTTEKAPAATEVKEVKEDKKSKKDKKKEQNKAKKEEQKSKEQKPKE QKSKESKPAQNQNIKAGLSFAALDDVEEDDGVDVSAWDGLNLSPETFTALSKMKFSSP SAIQKASIPAILDGHDVVGKASTGSGKTLAFGIPIIEHYLDKRGKHGEQSDESEKNKS PIALILSPTRELAHQLGKHIGELIANSPDTNARIALVTGGMSIQKQQRQLATADIVVG TPGRVWEILSTGTGLIRKMQKIQFLVVDEADRLLSEGHFKEVEDILNALDKHQAGDIA DAEQEEEEEEAPSHRQTLVFSATFHKDLQQKLAGKSRWSSGDMLDNKASMEYLLKKLN FREEKPKFIDVNPESQMAIGLKEGIVECPAMEKDLYLYSVLLYYPKNRTIVFTNSISA VRRITQLLQALQLPVFALHSNMAQKARLRSIERFSSPTANPSSILVATDVAARGLDIK GINCVIHYHVPRTADAYVHRSGRTARAGESGKSILICAPDEVVGVARLAGKIHAKKAK KADDPEGPSKKVPLESLDIDRRVVSRLRPRMALAKRITDSTIAKEKVNTEDNWLRAAA DDLGVEYDSDEFDQSKGRGRGRGGGRERRDKEASELSKSEMAGLRAELKQHLSHRVNI GVSEKYLTAGRIDIDALLRGEGNDAFLGHLDPLTF PEX2_092480 MGKSSKDKRDAYYRLAKEQNWRARSAFKLIQIDERFDLFEHENP DNVTRVVDLCAAPGSWSQVLSRVLIKGESFGRRAWLEKKRTEQQGLEGAETTTVDEDK MDCDEPSSSSELKPRKNVKIVSIDLQPMAPLEGITTLKADITHPSTIPLLLRALDPEA YEQPSTSSDATSQVTEAIRQPHPVDLVISDGAPDVTGLHDLDIYIQSQLLYAALNLAM GVLRPGGKFVAKIFRGRDVDILYAQLRTVFERVSVAKPRSSRASSLEAFVVCEGFIPP VSDSGVVGMAALKNPLFGGAVAPVAVSEDGNVGVEVREEIDQDTESRNTLSQPATVSN PTPNYSTEVRHLQTTTSQDRPQPQKLSNKFAVENRWIPSFIACGDLSAWDSDATYTLP PDYVNLDPIQPPTAPPYRRALELRKEKGGAYGKTKYGLK PEX2_092490 MGSSVPALSALNGPTYVTAQTLIQQVAYLLSDKIFSYSPETFDL DAALKEWTSKGETNANGESPSVKALETRQGAGNMALGYLFSQDFDLKKRHIPQGIVAS SATLPYMRAALEQLSLLYSVASPVAAHVAAVDYAGEDGLVSDYASALSLAEDLGLGLV SSGSAHESQHMALFTTLLSSVLPSIHIYDGVRVGRDTTRIIDVLDKDGLTRTYETVRK SLDESRNRHLDAQGKVLDLLKTLNGELGTDYGAFEYHGHSEPTSVLIAFGTVEAALTA QIARSLAKDGVRVGVVNVRVYRPFIEEEFLRVLPQSTKTVAVLGQVASEQAVQEEGIH SALYEDVLASLTFATGREHNPGCVEIKYPRSQRWDLISTAAAFQRVYDQPILTVDGET NASLQLLDPASVQEYTFWDVDTSVTEAAAQTLSQALGADSASNVTLSQTHDNLVQGGA IRVDIRKSAKIVDAPYAVTAAEVSYVGNISLLNDVDVLASVKDNAKVIVNAPGVKDED LEKKLPATFKQAVAQRGISVFVVDSSAIEDSSLSALVLQASFVRVALPAQEALATKKL SSITGNAEALDNVTKDLEKVLRQIEVPESWKEPEGVSEAVQLPKDIIVNSFVSFDKNE SEPPTLLKDWETAARGLAFKEAYGTRDALRPDLAHKTFTVHVKENRRLTPPTYDRNIF HIEFDIGETGLKYDIGEALGIHAENDPEDIKKFIEFYGLDADAIVEVPSREDPAVLEN RTVYQALVQNVDIFGRPPKRFYEALAEFASDEKEKANLLILGGPDGATEFKRRAEVDT VTFADILLEYPSAHPDFHEIVRIIGPLKRREYSIASCQKVTPTSVALMIVAVNWVDPN GRDRFGLATRFLSRLQVGSPITVSVKSSVMKLPPKSTQPLIMAGLGTGLAPFRAFVQH RALEKAQGKEIGAVLLYMGSRHQREEYCYGEEWEAYQEAGVITLLGAAFSRDQPEKIY IQDRMRQTLPEIIQAYIREEGAFYLCGPTWPVPDVTAVLEEAIATEAKNNGKKVDTRK EIEKLKDEERYVLEVY PEX2_092500 MMRSVIPLVVSLASLVAAIEITSPAANTTYAAGSIVNVEWTSVD TDPTNFSLYLWNFVSWPPSYAPLAIDVPTSDLSYSVQIPCDTTPDYGYQISGINGTNL YIIYAQGDKFTVRNSENSTACVNTPSPATSTCPNAAASTVYVTVSSTLSSRPFHHSSH GHHPSHVHHSSHVHPSPSPSTASTLNSRYTKPGIVPKTIGWCSDYSHPVTLDKVPTPT STLSAPNYTIGSDASPVITAAVGQVTDSPGILTLTITNTATVLACPNVHTL PEX2_092510 MASNPPNPIPFSEPPYLRGLPSPYITPAHRRFQQACREFATENL IQHALEWEREGTVPEHVFHTFCKHNMLLPNMPAPLPVDWLKRLGINEILGVKIEDWDY IYTGIYCDEMARSGLSGPAGSLNAGFAFGIAPIYKFGSAELQERFLPDLLTGKKRGCI AITEPEAGSDVANITTTAVKSADGQHYILNGSKKWITNGIWSDYATMAVRTGGPGAAG LSVLVVPLKGHPGVSMRRLKVSGQITGGTTYIELDDVKVPVSNIIGKEGDGMRIIMTN FNHERLVIAVGVTRQARVALSAAFSYCLKREAFGKTLMDQPVVRHRLAKAGAELESMW AWVEQILYQLAHLSKEEGDRQLGGLTALAKAKSAMVLNECAQTAVLLFGGSGFTKTGQ GELVEAILRDVPGARIPGGSEDVLLDLSVRQLVKLYQAEEKKLSQNAKI PEX2_092520 MRHLLSWEVDVNRELWCYEDEKDQTLTWEPTSALHLAVEDDNLP MADLLLEHGADANAIFPERMPTSVVWLTQRTLTPLDVAVGRGNESMTLLHLQNGAKIR DEITLRRAIDHGGLLAAVKAGHHKPRDYDFIKLSEVAPNYNFRAVVEVLHLYGANLNE DSLLHDAVEHYGSLDNGVIERLLTLGADVNAKESEGDSVLSRAFENEYYDFQRCKAFV QLLTAHGAKAKPGELANALENAMLSEEFDWFELILDHVADVNSLLYTEGNSLHLVIAN EFDHHASQEKFLKSLLNRGADVLLRYHESKTPLEHAISFSWNQNLAKLLLDAGAKAQC QGKEGARLLTNLIADGVNAWSNPDTNDLRDYLDGFPALDLHEFSGIDATIQLLIGCLS RLRR PEX2_092530 MSSKTENPQFDIPAFCKAGVVVDEGPDFRVEVQMVPVPEPGPDD ILIRLNFTGLCSSDIHMMKGDLGVPPMSTFGVRSPGHEGAGIVVKAGANVKNFKLGDR AGIKPITDTCGSCAMCWDDKETYCKTAIHTGLMTSGTYQHYIVSPARYASPIPDGVPD EVAAPIMCSASTMYRSLVESNLHAGSWVVFPGGGGGVGIQGVQLAKAMGMRPIVVDTG DSKRELSLRMGAEAFIDFKETEDPVKSVIETADGVGVHGVFVTAPAAYKNAVSYVGQR IGAVVMCIGMPPAGSTVLGADPCEFIFKNLSIKGSLVGTRSDTAAALDFAKRGMLQQV SEIYPINRLPEGVEKLRKGQVAGRIVVNFNWEE PEX2_092540 MEMNHDERALHQIEEELGTTIYPGTEIMADVGTHHFVKSSAESS RVLVPQPSQDPHDPLNWSPFWKMSVMAITTATSFSQGLGPLALAPMFPQLMESFNSDL AGVVQFIGICILVLGFSNFFWIPLQTCYGRRPVLIFSTLICLISNIWRAVATSYGSYI GACVLNGFGAGPAETSQPEITADIIFLHERGAYNTLYFTAYFGSMIVGPILAGSMAEH IGWRSFFWLNVGLLGLVLILQIVLLPETKWHRAHPNEAQVAPKTDQKTNELDPERLVE VLQHENIEVEVASDQDPYLHRGAPSKQQFKLWQVDGVTFKSVLNSFWIPWKMLTFPIV MFSAFVVSWTSSCFLTLNLTQSQAFAQPPYNFDSQTIGFFNFAILIGAFIGLATNGPF SDWISMRATRKNNGVREPEMRLPAMAIYVVIMIIGNFVVAFGYEYKWDWRIIVIIGYT AAGIQVAVLPAIASTYAVDSYKPVAGSIFVSITVNKNLWGYGFSNFITKWVDGSGFIK PIMMNMCLCTMYTNMVNVALIGGTGMVGSHILTSLISNPAVTRVDTISRRTPPAASGK PPAKLTNFVSSDTATWASQLSSLSPTPSIFFSAFATTKAAAGGFDNQYKIEHGLNVEL AKAAHEAGTKVYVLISAAGANKDSNIAYTRMKGEIEEDIKKLGFERMVILRPGLIAGT REESRPLEAGIRFIANWAGKLHSGLKDGWAQEADAIGKAAVNAGLKALEGDVPEGSEK VWILAGSDIIKYSKESSS PEX2_092550 MWKNIISAGLKATRATRGQVSKATTQSLATPTATRTPFSTSPLP RIEKGQDPLDRETLNPERSETAKSGTDGEVAKHPSAFDPKNTAPESELAATEEESRQE GKTESPLNMSPANRDASAWRHEAEDGPDRNRDRAASSSRGAPKKGRGIHVKEDGTHVS YRD PEX2_092560 MQFLVDLETFWGPFALFISMKRPHTNVAVPAYYQLLDVLYDVAE RKKEFADFDKDIASTVSGVSRSI PEX2_092570 MRVLRAATPMLRNASLRTSPLMRAPARRFFNSETAPVIFTAHAK VTGARSGHIEGDDLSLDLALPKVFGGKSTPGKTNPEELFAAGYGACFQSAMNGSAAML KIRMPSKPEDSVVETAVHLVGNVAKMDMGIRVDMKVKVKGLTREEVEKVVAKAKETCP YSRATQGNVFTTVEIVDA PEX2_092580 MCFYNQKRYACGDWSWTSFAHRCNYEYRTGETCGMRLVNMTENE KTNCRLCEKIETKHRRRSAEVERLDRWKREGATLVASMDRSHKLIMDLEKEIRSLQRE RDDRRSTLLR PEX2_092590 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILAKDGIV LAAERKVTSKLLEQDTSAEKLYTINDNMICAVAGMNADANILINYARQNAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDHLRQFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCDLKEACAMAVKVLSKTMDSTKLSSEKIEFATVGKT KDGKIYHHLWTADEIDSLLREQGLAKVDDEPEAGDIK PEX2_092600 MDRQGLALGQRNLVPHKAPAAAEDSEVEEAIMIVDGENPKIDQK RSILFLTAPRGYYFWKFPEQVLKGVVEFDRLEREKKERKERGEPEMPAKKESPTPQDD RIEPQPTPQEAERADYDSDYEEVEVTDSEGEEGQPSKRARAESEDVKDQPLEFNEEDM AYQLAAMGEDYGLDPGEYGEVGEEDWEEGAEGLPLTEADAEALFRDLLDDFRINPFTT WENIIEEGRIIEDTRYTAPSNMKTRREIFSNWSRDRIQYVKEQKAKQEKTDPRISYLA FLQEHATPKLYWPEFKRKYRKEPEMKDSQLGDKEREKFYRDHISRLKQPESTRKSDLS ALLKSVPLDLLHQSSNLEHLPTMIITDIRYIGLTPEVRNPLIEAYISTLPPAPEVQMT AEELEEVDRKRVEREKRERALADREKRVEDEKRRQRGDLLRGKHLLREGEAEIEQAMR VNKEGLMSYMDIDQAADEAQKPSQ PEX2_092610 MADVRSLLRSELASRKGTSQPNTTGNRVTKKRKVDSGDGAMRKK IRAAELDTFQSISGATSTEQTTEDEGSEQVEDDIAGPEPPLENEQEIVEPTADLEEPT AQPPQDPSAPIDEEAWAAFQREIAEQSEEPHAPAAVTAEATISAAPVTAAEIAAQQER AKASTVRTREAEMEGEREDAARLLEEEFDEMDQLEERVRRLKQKREELRKVRAEEQTQ DEPMESSAVEQVESESEDDDDEDWDDWRFK PEX2_092620 MAGKLSYTITTHIVIRSPESGRATPSLGCGPSTRTRLTARLDEP RRSGRATKGQHKNLELPDDPAKKGKSKSPKEKSAKLSAEPTPGPSEAGGDEEEIIRCI CGEYEEEEDVERDMICCDQCSAWQHNDCMGLTFMKGQEPDQYYCEQCRPENHTTLLAK INAGEKPWEEVAEKRRQEAEEKKSKRKKGKKGGRKGRPSESRTDASTPARTAPSTTPG PSGSPAPAVSVVSVSVEPEKNGHAVDSRRSSTNKRKLEETVEAENVNTSISLLHHIQN ANSLIYQGPQVKQQRVSPQSTPAAITEATAPQIKTDTSPEAPAIGALEELMPARKTVA THLIKLFVDQIAAALKAGSFALRANQSVEDMAQQLALSIEDAMYESICGRSGEPNESY KAQLRSIMFNVKKNASLRDRLIIGSLSPKLLSQMTSAEMASEELQQKDAEIKREADRH HTIVQEQGPRIRRTHKGEELIEDEHHGANESVFSRGPRRIAGDDGSPTNKSPTSPIGL RQAKTETDGYVRGLSPEGAHHDHVFPEVAPAIYEPLPSGRVQADAEIDQLLRDDEPYS PPYSPKDFDDDGTIWRGKVTMPPIGEFSSSAKHVGGADLSGRIPWSQLAPSTLVVDGR IDIRRATDYLCGLQFSKSTDVSVIAISSPDQPTDRAGFDKMFDYFHSRGRYGVIGKHP LSAVKDTYIVPMEIGTTTMPEFIELLENISLEAPITQRMLLTIFVVKTGDSNPSSVQP PSHQASQEPPVSASPTVTAATPQQPQFSAGIAPTPPSHFGGFNPNPAAYGQQAPVQQA HVTPQHQPALTGLPAAVQVLGPQVDAPAIQQLLKTAPNVDMAQLSVVRDILARQPAAA AHYDTLMQALFETQANGHVPQ PEX2_092630 MAAEQRKLLEQLMGADQLIGTGAQGRNSQLSITDNKVCRSYIVG TCPHDLFTNTKQDLGPCPKVHSEGLKTEYDTAPSSEKAKWGFEFDYLRDMQKYIDDCD RRIDTAQRRLEKTPDEIRQTNDLLKQIADLSNTINSGLQEVSILGETGSVALALSEMH KVRTSKHQKESLERDLKNLQDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKM HMGYSDMRKNCKKLSIELKGRAPPVRHHEEDDNPYTSGGRPGAGRGPRYGGGGGGGGG GGGYRRRGGGGRW PEX2_092640 MLIQKNYTFDSLRDDGTLKAGDHDHGTAVKKEALALSFGRGLMR REMKSMLLTQNMSWEARDADLLRAFAKWITLTQSLSGLFCASLNFVDSTRTTRPVVSF EPAGDHSAADQLHLLHGTLPGEVVCTENLTPFLKLLPCKGKAGISSLFDGHKLFDAAW QSMSVDIQPVCSADGECVVQIEQTVDMVLDIDRSKRSRSNPIPRPVPNEQLVCDTSKP YNSDDTCYPLENTNNKAWSLTEVFGRSLNGVCPLTDFDGSSDQSVCLRVPHERGVFIS EEANEIKKDDGFTRCFKLAPSVSFDLSIPEQPVNTEVPLDEPVLHAERTIVGHGQERG GMRIIFGNPSNTSAVDFIYFESLPWFLRPYIHTLHATITGQDGVLREVPASDIVKETY YRPAIDRERGTQLELALSVPAASTVTLTYDFEKAILRYTEYPPDANRGFNVAPAVIRV LDKAHNAPIYIRSTSLLLQLPTPDFSMPYNVIILTSTVIALAFGTIFNILVRRVVSLD EAVALGTQTLKGRILGKVVAIRSRFRGKDTKVE PEX2_092650 MATTQTTVVVEEKKGRLSIPDKLLNGLDPEWVELWEKHGSSMVR ADELSLEEYRKSPATYSFTYPTCPGPSVFHVEDIEIPVTQPAGKIMIRVYTPQGPGPF PVHLNFHGGGWVLGNLNSEAAWCRHMCNKAQIKVIDVDYRLAPEFPYPTSIYDSWDAV KWTIANASSINVDPSSVSIGGLSAGGQMTAVMAHFARDEGIDLKLQLIIVPATDMRYC LRTQELNDTTCPYESVLLYHDAPWGPLGREQWFLKYWLGDDDATQERILTKEWICTPV LAPSFKNLAKAHIITAEFDLERDEGEYYGQLMQRAGNEVTMKRYPGVPHAFGHFNHPE RGLSQSFVYIEDTSRLLRQVHFGKSVK PEX2_092660 MDSVSVDNRRDYAEDELDKVDQSPTGIHAGLRRIPDKFPRVALL ILVVELGERFTYFGLSGPMQNYINNPYDPGSGLPGALGRGQATASALGNFFKFWAYAS TIIGAIVADQYVGKFKAITISLGIYMVGLTVLVATATPAGLKSDAGFGGLVAAMVIIG LGTGGIKANVTPMCAEQYQNAEPVLKTLKSGERVVVDPELTVQRLFMWFYWVVNVGAL SPLITVNVEAKHSFWLAYLIPLIAIILSAIVFLSGQKRYVKVPPQGSAIIDACKVLNI VRQEKHFEDAKPSALEASGRLSKYPFASSPRYTDQYVTDVRRGFRSCRMFIFFPFYFV CWVQIWNNLISQAGEMALHGTPNDLLQNLDPIALCIFIPLLDLGVYPVLRKFKINFSP VRRIFAGFLLVSISMIYSSVLQHYIYTSPAKSIHVWIQAPAYIFVAFSEAFVIVTGLE LAFTHAPKNLRSFISALFWLMIGIAAAICIGLSPVSQDPYILWMYASLAIVGFVAGCL FYICFRNSDSLDPIDSGLVEGVPVEGSGQHVTHVADHGKHSEV PEX2_092670 MKNESVVIIGAGIIGLNVALVLAEQGYASQTTIIAEHLPGDTSI NYTSPWAGANFSALSASDKNALRWDQLGYKHLLKLAAQDGMKAFVRETPSTEYWDEMP SRTKLNSMASYLKDVADMENPQYKEMPQKDLPEGVACGVKFTTVTLNAPMHIRYLLQR LKQQYGVRVTRKKVSDVTSGFLSKDTKIVFNCTGNAARTLKGVEDPKCYPTRGQILLA KATHINQNVMRHGKDYETYIIPRPHSKGNVILGGYMQKGVSLPDTLGDETESILSRTK AMLPELESPDIEILAAFAGLRPSREGGARVASEVVQVDDSERKGLVVHNYGAGGTGFQ AGYGMAVDAVGCALGELEKLQVIKSSL PEX2_092680 MSSDSSPNRQTTAVAGGSSAKPTPANNVGGGEGWGDRLWLGGKE EGLGHAAATNPRSTMGDFLALQDQKTRGQNKFIQRENLVDSNVKPINAEGDPTCATED HSFMTRKAGDPDTYPGWGTFKNFFGIIQSVLEDNIGYPSL PEX2_092690 MPSTEKIYGAQPHLPPASGNLAAENEHEHEHEHEQPKKRINGGL DAWLNVLAGFCVFVNSWGLLTTYGAFQEYYQTELLPNETPSTISWVGSIQATLIPMVG LATGPLVDVGYLRPLIISGSFLTVFGMMMTSLATSYYQVLLAQGFCVGMGGGISYIPA LVVISTSFTTKRPIAIGCASIGSSVGAVIFPVMFRQLQPRIGFPWAVRCIGFISLFLA IISCLILCRQPGQRAHARSLIDWRAFREPSFMMFSLSLTCVMLAYYVPIFYIASYART VVHTTTDFSFYMVAIVNGTSVIGRVVPYLLVAYIKPIGILIFSVTASAIAMFTWIAAT DMAGFIVWACYWGALSGVLVTAPTSIVAHPVFCQDSSFLGTRLGMMWGISSLGALAGT PIAGALVNLDTADFLHAQVFAGCMMVGAVLLQVWPTFKVVRYDLEHPRKK PEX2_092700 MMGPNGTTIVIGEDITRTVELRYSRSTYNVHNSVFDTLVDDTLP PPEGWLSRATINWATYRMLCHLAVTLPLDYVPVRAGMATNIRSAVIARLNDPSTSTQV LVTTFNCGATGLKMHSQYSRVILIDSALSHNSLFQTIGMGLLAFALRFLA PEX2_092710 MADPEMDQFAQTRGADDLFDDEIIPISTEQHQAQTEVATPEPES EVQEVPVPEKPTSEQPIPRGETPQRGRGGERGRGRRGRGKGGRSGRESEQKRSESSPR KKTPVNAPAADSREAGASKSKPEKSEKPVEAKEPKEQTAPAEEGNSEDLSSNGAEAQR VPAVRGDRSATGGLRKPKLTEEELSKRIAAAKENAVKKAAAHARAEADQASFMEREQE AAKKRREELAHRRVMDNEREKNRQRKLKAQTGREWDSQKREEDYDPRGGGSQFRRGMH GGVSGAVRRDFEDTRTVDAADHSGGNRGRGRGGRGGRDRGSPRTPQGDRPRKGLADSI FATESPAAPGLDDKSAFPALPEAPKKTETKKTEAKKPETKKPETKKPETKKPETKPAP TPEPKVKTETKVEPETETSPAVSKSQTAMDKLDSTFSPITGTWADQFEDE PEX2_092720 MGSLALASQDALASRDAFQSKCINFGDQIDIPNVKVNFAEFVQG GTNLSLTDNPPSCGRSSQVVSVDLCRVAMAVSTSERSEITLEAWFPREYKGRFLSTGN GGISGCIQYYDLAYTAQLGFATVGANNGHNGTSGKPFYHQPEVIKDYAYRSVHTGVVV GKELTKQFYDEGFKKSYYLGCSTGGRQGWKSVQKYPNDFDGVVAGAPAINLINLFSWS ARFYTLTGSPTSGTFLSTAEWEIVHAEIIRQCDTIDGAEDGIIEDPDLCRPVLETLTC DPNASNKTSCLTSAQVNTAQQVLSPLYGINGTLLYPRMQPGSEILAAPIMYSGTPFPY SEDWYRYVVYNNPSWSGANFTVKDAAVALAQNPYNIQTWEGDISAFKKTGGKILHYHG LQDQLISSEDSKMYYSHVSNTMQLPPSKLDEFYRFFQISGMGHCGGGDGAYGIGQGIS TYDGTNPEDNVLMAMVQWVEQGKAPETVRGAKFSNGPGSKVEYKRKHCRWPRRNVFKG PGNYTDENAWQCV PEX2_092730 MNEHILFVVFPMIGLALIPLAAPWIQRFSTQFRIWIIVRIIEDT AKDIKVSMEELRIREKEMIELKKQADAELILRDTPTSEQAKVTQDNKERFRVRLLVIQ QKFAALRRDFYSIHVTLGDQTDRLQAFEEELHQYRDE PEX2_092740 MSTDETVREPASEQTPLLRDGPDANDNTPLPQEPSTKELIWILG SIWLGVFLAALDTTIVATLSAPISSSFNSFSLLSWLATSYLISNAACQPLSGRLTDIY SRRWGLVFSNVFFALGNLICGLARAQWVIILGRVVAGVGGGGLTAISTFVTSDLIPLR KRGIWQGIGNICYGAGMGLGGVFGGWINDTLGWRWAFLLQVPFLVISCILVAIKVDIP VKESDTARIKRVDFLGAITLVLTLVTLLLGLNTGGNQVPWTHPLVLTSLVSSAIFLGL FIYVEAKVASEPVIPVRLLLDRTVAAACLTNWFGTMAVFGLLFYLPVYFQVQGLSATA AGARLIPQAIGTSIGSLGSGLIMRASGHYAFLNYVAMAVQVLSAGLICTLNLYTPVGL PFLYFFLAGASYGSMLTITLVALISSVDHQHHAVVTSASYAFRSTGSTIGITVASAVF QNTLKLGLWSRLGEREDAKELIGRLRDSLDEIWKMPAELTSGVLDAYMDSLRAVFVTL LGLAVLGALTSLAMREHKLHNNLARR PEX2_092750 MAVGFRPNISPIKKGNTITKGSWLSDMEVDGGCKETVIGNKAVQ NATSSQPRRRRLLLSTDSAFADTVLPSLVQNPYIELRLITDEPSALLTGTNKIPHYMD TVDSQTFDKKTGARKWLKAKTAELCEWADMLLVAPIDAGALGAMLAGLTNTLTLALLR GWVSKKPVILIPGMTVSEWDHPLSTRQLDEISRFWPWIRTVKPVLWKSNGPEDLTILP WDGLEELHQTLETTLKVPPWEATLSTRAIPHGTTVPVKTASKSTSGTATGSTPQTKHT GNADFLPLEIWLNVFEDQLRDWETAKAVGIPTHLPVPQEWQSHLPKMSTTASLEYTIL RGSFAAIKKRIDALPRWKPLSDLACHLIVKFSRTDILSYLTENHLDLLWTTSRLTNIP YRASAIYGNPNVLTWWRDAPALPNKEYMADAMDGASRAGFVDVLDWWLHSGLPLRYSE RALEAASAEGRVPVLDWWKTASTNAPVSSPLPLKVGKSVLLAAQSGRTASLAWWDASG IPYSHAENVARIASTHGHVHVLEFWYKLKGPKMIFDNQVLVGPTKNGHDHILQWWKSC GMRVEFKTCDIEEALEDADPSSGAEGRVRRWWERNGLNLGVGTSEWMRSKVL PEX2_092760 MLARKVLRRVLRGSNPSAISTCTRQPSPSPYNNIPSNRINGLHS ITTKRPSVAQNIRLNIQSRSLITAAIPSFAVPPLMFIGLLLGLWTWKCFWIIIMQNKL LYLSWLPPFTRSEVISDYKAECRPVQWEEKQIRSLDGTKLAICEGQIPVPRKEHESVS NTAALQNKHLAKPIPKRKNSVVICYFQGNGGSTPMRLPILSHVLRAIAETSRSTSSPM SGAEVSQYTIAALSYRGYWTSSGRATQSGIELDAQAFLNWVSETYASPETDLEIVIWG HSLGAAVASSAVSTYIPRQHEGRISSDTNNSKPLAPISRIILEAPTSSIKDMLISLYP QKWLPYRYLWPFSWNTWDIKAKMKQMATWRDQPRSRIPNPEATPSIPRSLPPIFLLSA EKDEVIPRYVPEQLEKHAKSLGLEIERKDVRGAMHIEAPLKLDGRKAMVQFILNGTSV PRT PEX2_092770 MPVTEIALLRFKAQNPSSSTKTSLLEAQKKQTGYSGHQVTYLSQ IEDPSSFYLLGGWDSVEKHTGEGQWLSSEVNQNLLAQLQSSLDVSWMFHLDVDPSTSK IPLDAPVLAITRCFVEASKKDEFDAVFKAAVSHLEAYTAPFSVCGAWRIDKEGEDEEF VLFSGWNKVQDHVAFGESGASKEFGKIKALMKDAEGKHVHVEKWE PEX2_092780 MFQSSKPYSAVTVQIEVLTSEQYEVEDSSGIVDLVEVVRIQASG PMEASRALRKKLKYGNIHRQLRALTILDFLVQNAGERFLRDFADEALLERLRIAATDS VSDPLVKQKCKQIFGQWATTYKDTPGMAKVTALYKQVPKRKQPATQAKAKVLREGSNS NEPPMGHAVSVSGGSGPSTLLTSPKEKSKPKKVKKDKKLSISLKRFDMEKERPEILQA LAASSVASTNLLNALKLVNRETHRVSEDAECSNRFDTCKELRHTILRYIQYIETEEFL GGLIHANEELVDALMAYEVLDKSVDYDSDSEDDILEGNWKQRHGLGLHDTDVNDGLAG LNINPAKPPRPNRPDSLPLASSSQHTRQIFESESESEEEDDDDNPFGDRNAIKTPAIE KPGLSWKEV PEX2_092790 MKLSSTHRTDPSPRSYLLRVLSRFVVCSTLILVVSVALKSRARL SLLLPHLSSNQPTIMSYQQERYIAELAVQRACLLTQKVFFEKAKGTVSKDDKSPVTIG DFGAQALIISAIRKNFPNDEIVAEEEASTLREDKDLSAEIWRLVKDIKLDDAESDNIL GGPLTSEQSMLDIIDNGNSAGGPKGRIWALDPIDGTKGFLRGGQYAVCLGLMVDGDVK VGAIGTPNLPVDDAAPIDASTGAQQSATAGNGVLFSAILGEGATSRPLASGTLAASKP ISMRPVAKISDAVFCEGVEAAHSAQGDNAAVAQLLGITAPSVRLDSQAKYCSIARGAG DVYLRLPVKKDYQEKIWDHAAGDLIVREAGGQVTDIYGNRLDFSKGRTLAVNKGVVAA PKAHQDQVIAAVKTVLKL PEX2_092800 MSCSIPQRCARQLLREPFQRGSLPIFLAPAFSPRTQCFSTTSPA QSRVGGAALSIPPEVSFKLIDLPATLTRTRGKDIPKFMAEIKGPKGEMTLSIPSFLTI TPDETGQKASLSVLDQTVPHQRAMWGTIRALLQNHILGVSEGHVCVLSMVGVGYRATI EDKASTVQAAFPGQKFVSLKVGFSHPIELGIPQGVVASTPQPTRILLEGVDKRIVTQF AAEIREWRRPEPYKGKGIFVNGETIKLKAKKIK PEX2_092810 MASPSCNTHCDHSQVADGYDWTMTNLNYSQFVPLVHQSSTSMLA EPSIASVNPIPCTYHIQYPTASVASSFVAPSTSPSAGCDLYRFNQNAIHQSPIANDRA AMAPGGPVTGSLGPRHDVACTNLPGPASSIPKAPRAKASGDSYAKGKIAYVRKQGRQQ KQEKAQQSFQCHWKDCTYRNPFSGKPALLRHVDTQHIAPRSFDCPSCDMSFNRRDNMI EHRGRVHWERA PEX2_092820 MRAINGFQALSYIFSTLAQLSRASASQYNIPILAPKPPDIDAIS FLSSRAPDQDGIFNEAVKILNSMKSSPSCNLLAATKLVNSCQTFNDGKDGAQTDSPET LDLLRSVYAARLALCEIDGTGTPTPPSCLPVTVSPPPQKNRFGFVSRHRGSDPVSDEL PKELLEQCLKTLESRPQWWTSYSNNRQNALVICHASRMETEKEELIDLHRSIAKSSLK LNNGLQEALQNATAQSAQQHSFIQAVQSLQEKIVTDMEATDSVFKRTFGKFLREIEAG IWSLQNSISVALSNVRTGTGVLEKDIRNVSTQVEALQQALRNAHQDTMARSHETLLAQ ETNAVAQRDLASSLHLSLESLLDSDMDRVYRGMQRFDAAMEWLTSRMNMILEQETRMT ERLQNMEIFIQQSESKASELQKAQNQQTEALSAQSRAQEAIQSDVQVSQALLAKTSVA AANLQSVIDDAAFKFKHVPGFGIGGSSAWSLCAVLLIVIAAQNLRVAIALFFLILGHS AALTIFKFL PEX2_092830 MPGVPPDALNQVKKGFKRFFSLRKKAAKKTAEQKTEPAPAATTA TAAPVAAAATSETPAEKPTAPAATPDSAPDAAKVPETKDASTAEPVTSSEMTATAGPV ETHYEPEAAAAAPAPAPAEAPVAEPEAPAAAPAPAVQSEAPVAKAEESAVKPEEPVAK AEAPVAEEPAAKVEESAAQPEATAAAPEEAAAKTDAPAK PEX2_092840 MFDLPNAKRVRRDEMRSPASSRSPSPAPNDAAAQDAYARLGKLL NLDQLDTPQETTSQDNDPSQPAEDEDEEQEFEFRLFSAPAKSTEDTTKQSGKDTKEKN TEAATTQKLRIRLHSPTPGSGAGTEGRFVKASRGWDYYFSTPSLQGTRSGEITTEDEI RIAEKKKQFEDMAVSGQHMLTWANSLVWPGCHLPWRVIHLKRHQTKLPRPANSLPVYV VEGAPVSKSPLTRKKPGKKRRVQLRKRVAAAQAAKENEAEKRTRKNRDRKLKRRQKAR EQKAAAAGVSVEDIAMADGDDHSSGGEE PEX2_092850 MFSAHYLGLMRNAWDACRRAHLSNISFSKPESARLLDEDPYQAG YGYGALNHAHQASQPDSEYVRREREALESICQRTSDSVIDIWSIQPQPHLRPQATLHT PISASPVSSQRTDTTTLVTSTHRKSPPSRPTSGASGTVPKHWGEVVVNPNKKRSRTDI KSDAKASHDVFGVLNVT PEX2_092860 MSSPKRRIETDSMITVSSGPLTAMDLYANSGNLVQEFYVRFKGP EETPFTGGLWKIHVELPDQYPYKSPSIGFVNRIFHPNIDELSGSVCLDVINQTWSPMY DMLNIFEVFLPQLLRYPNPSDPLNGEAAALMMREPKAYEAKVKEYVAKYASKEAVDEA GEDTESEDELSSAGSYESDGEEPAGTMDDV PEX2_092870 MTSLPTLPVKHNDFVKYVNSNPEKPMADLVQPYNEYDAVLRKRF AQEPSHPSIQDNHVNIVPLYDQTGSTEISIRARDLVLETPEQTEKYLLPLSAKDRKPH GSMAVVSSLNEFQNNFALFTEGSLSDIDWSNVVAAGSAVVTSLLPVPEKYRNSKRGLR KYYHEEFAPASDVDLFLYGLNEEQALEKIMHIEDKIKNTILYETSTIRTKNTITIVSQ YPNRHVQIVLRIYRSVAEILTGFDVDVSCAAYDGHQVYTSPRAIAAYITQVNQIDLTR RSPSYENRLSKYSHRGFEVFWPALDRSKIDPTIFERSFTRTEGLARLLVLEKLPRSQD RDNYLQKRREERGRPPLNLYLQRRHGKMLHGNLKDDWEDEVPEWQEQDQVSDYHTFTI PYGRRFNARSIEKLLYTKDLLLNAQWNQPKDRKVYLHRHPAFFGEAEHVIGDCCGFCP KPVTEEEIKVADEEAKIYISNQITFIKDDPGRQEIGSFNPITETDWTEMAYVGRTERL CQAIVANDVDAVKAFLADEGTNPDRRDYTGRTPLQLACMCSTPEVVQCLVDGGARMIP RMADGKTALHLAAARGHVEIIRILLTKSNENEEEESKKQDALKNNNKSEATPSKEEDE DIDMVDQDDAMSNTSASYVKVEGEEKEDLTKYDTLDENDLEPDVYDINVVAWDSRTAP LHLAILHGHIEAVRELVTSFGADVLMPIKIMNDHSRTPQAAILNLVLVHALPFEKAKE MSQTLLDLGASPAQADLRQKTPLYYLAHSDKLDILDIYMQHDEPAVKRAINHLAAQGS FWTPEFSSALMAALTARSAPAAAKLLDTGAHPEIDLGELVKAITASRGAATYYGDIEE DAKSSLKQPILLAVENELPLVAIDLLHRGVNPNSEFKERYQQKGQTVLDVMRQTLQTL REFVAERKDQCYDHSIATPLDPNDETYLSEFQSGSYKMFIAKDLLRNVRKTNREAEEQ AKKAEAQPAEPPGLVEKKVFIAELIRDYEKLEFILLQKDAKTWDELHPPLIAPVTYPV QVSTEQTKLTATPWKVNFNFNVPAITDNARDGYLQLFEAAWNGDIDTIKTLTLGMWGS LNEQAPLEIAVTDKQGLSALTISIMRGHFAVVKAILQILQVQYKAKEPRGRKRFEIDI DECSDDCGDSDEENEDLNIVSDIVDDTFTHENIGEVTSQVESNITPLAAFEARLNAYM FLDEYQQDGWNKRENPVNVYGLLKYAVYTNNISLLEFLLKTGLDLASTNPSGKSEFSV KSDEFQLAISLGRTDCLAKMIQSTGAGLPLTKLSDDCGVEEKKEPQYYPGLSIRGTKR ADWANAGREQPMRAQTQRPPLLIAARRGNLASTEFFLGTAPARYYLEYLNANKDDERV KRLTKSKLGLEGTLLTWLQARNNLVLHCAIMSEPNDESVRLVQYLVDHHPECMEVRST EGLTPLAWAMSLHKVRFARILVEAGANQAVRDKEARNLLHLILVSDGGRVCKNSYRFT KLLDLLDKQLLPTMLIERAGDGSRTPFARWLHAQPHFTPRDLAIPRPPNSPQTDDEII ISMTNLILDLANSTDQKHLEVFDEAGNTPVHDAVKNGFPQVLGLLLDRRADMLNRENA TGTTPLEMAVDAWVNKSTSAPPDSPSWTSHNHPEWQKAVHRAPHFFIPGCRVKYDQEK VMLRVCQERAQQRPAKRRLVSLFEANEVAKRLAAAGRTTGRGRNVGSEDNDESAGSKD DPEGWGSMNSHW PEX2_092880 MGRTLTYPKKVSNTANRYKHRATYDLGPIHSIINDSQVLHVSFN PGPDDPFPAILPMIGQMGSFEFPSASIDEPLECYLHGYVSSRIMNLARSCSDGEGLPI CVATSKIDGLILSLTPNSHSYNYRSAILHGYATLVTDEEEKLWAMKLITNSVLADRWD HSRVPPDRAEMQSTVILKVKIVDGSGKIRDGGVSDERKDSGNEQVTSSVWTGVVPVWE TFGTPIPSGDSKVAEVPEYINSYIASKNSQNKALAEGAVKVQLPAEEQH PEX2_092890 MAACRSLVRQCASFMRSSRPLSHPLRQLPRCNPLPRSYATSVAA AELKFGQPLHETHPHILSAGELTPGITALEYAQRRSKLANKLPKGAIAVLAASEVKYR AQGIFNEYRQDSNFFYLTGFNEPNALAIIGNDGSGDNHIFHLYVREKDPKAELWDGAR SGTQAAVDVFNADETGNIERIGDILPSIVQGATEVYSDIPTSDGSRSSLNRYLYGPTV ASEKLKKAIDHRRVKPLKHLLNEMRVFKSENEVVQMRRLGQASGRAFTEAMRQDFTRE KDLYSFLEYQFKVNGCDTNAFVPVVAGGQNALAIHYTRNDDVLKDGDLVLVDGGGEWG GYISDITRTWPVNGKFSDPQRDLYNAVLKVHRNCLALCRETSNLSLDKLHGIAENGLK DELKSLGFDLSGNALNVLFPHHLGHYVGLDVHDCPGYSRGYDLKAGQCITIEPGIYVP NDERWPAHFRGIGIRIEDSVCVGDEHPIVLTPEAVKEVEDIEALRN PEX2_092900 MATVFVPPSPRNSMAMATRRPLANVPNATNSPHRAGLLPVKRAR SNQMEIPYGQPPPKKQVMESIEYETRSTTCQNTNTDSKLFARRSNNGNPSAFEKKLVA AREKERQPLVKHVKAEKAPADTMDSIRQWQRHYRKAFPQFVFYFDSIPEDVRRRFSRQ VIALGAREEKFFSRLVTHVVTSRAIPPESATPAEPESTADASNGDSTVQTVNPSLLER NGESHLHASLKTETRRDQGTMDILQRARQMGMKIWALEKLQRMITTINDSDIGAQYEQ AARNKAAGGHAVNGRGENDLSRVLRQELLNGPSDRDPLASMEMIMFKGPFVYIHDMNE KTKPVMVREYSRVARRQDGSWPQFRSAPLGKCPFIDEPPSRKEYDRHRLRQLHKEKKP ASHRADGTHDAKPKAAVPVQAPESVTTTEIAHSQPLAKQEERVSPPIQNEIPKPSFDE THERKSSESFIPPHFPRTGPFYAGREPAASGVQPSNMTSAIRSQMISSTAAAPGAKAG LSKEVHGLKRKVLERGTGGFAAGAMAAPQRRGDGFTTVPMKTNINPPGKPNIINEDEA KQSEVAGSKRQRDDKDEQKKPERRRDPKPGYCENCRDKFDDFEEHTLTRKHRRFAANS TNWAELDALLSEIRRPLKPEYAYDQTIDSDIIP PEX2_092910 MAAPGSALRRTALASSSLLRTRVLPVTRTQATYTLLGSRCPTLS SHAPTPHFLSQTRHSSYSAPSTGGNGKARKKVTIQTLQNMHKKGEPITMLTAHDFPSA HVADVSGMDMVLVGDSLGMVALGLENTTEVVMEEMILHCRSVARAAKAAFIIGDLPMG SYEVCAEQAIESSLRLIKEGRVHGVKIEGGQELAPTIKRITQAGVPVVGHVGLTPQRQ NALGGFRVQGKTAVSAMKLLHDALAMQEAGAFMLVLEAMPSEVASIITQKLRIPTIGI GAGNGCSGQVLVQVDMTGNFQPGRFVPKFVKQYADVWDEAARGISLYRDEVKSRAFPS EEYTYPIAKDELAGFQKTVDEVFQES PEX2_092920 MNPSSPTRSTQSSPTQHPQSSTLSHRPSPRQPQKPGTPPNNSAN APIPDDDHGADLPMNMSASVMLTNLPRDAHQALADVESIDSGKVTVRFQPLPSAPILK NRVFKVSASQKFETVVKFLRKKLDCKETDSVFCYVNSVFAPGLDEGMGGLWRCFKTDD QLIVAYSMTPAFG PEX2_092930 MAPVYHGQWSFDRDTFYVTSSCGHIHPRATYSELDDIFSAPLGD TRNRADHEDHWYEAQLLHFGLPPTKSKATAKMRLMDAFQDETLDIPPEILQIEAILAR SWIKQDLEARILGPSMQPPINPAIARAMATNTTGFGTTQGPAGHEEGKVFGAGVAGGT GMQGNVRSQQNKMQQPYAHTKKRKHSNGDPSTRPMKTARCRGETGGADLQVQIQVNPN GEDFYQRSTDIANSVGSGRGHPALDRELQQIAYQTMPLSQQTTSEMGTQIPPPGLMDT DGANDYCGYGYKPAMLKVGWSFSDRDAVAKV PEX2_092940 MTPLNPGDESDGKKLAPVKKNPVQNDYLEDLNSSPNPHPRPLTP PLPEDKDSKPQVLSTACRWRLSLSKRPEQQSTFQQTQSPLFECLPTEVRLLIWEHYLC SRMLHIIRPNQRKWRGSHKKIVGVLCSEPRNICPCSHHCWGLIARRPAGNCITPKNYG SYYHENSEWRLDPRRTDFVPLLQTCRRVYFEAIDMIFQKNTFLFNHTDTIIDFSNTLL PQRMNLIRTLQLGFPDPGGPSWNRCCQVLATKLLGLKTLTIHLYPHVTNRLDYWLMPL HQIQQPTVFEVLLIKPWYLDPQWEKSTGLVDAPFEFSIVDVQRRSFLKTMDQTMDETM DETMDDNSHF PEX2_092950 MASSAKRIVPAADLQSFSEYLGGCKRIVALLGAGISASSGLPTF RGAGGLWRSHDATSLATPEAFDETPDLVWQFYSYRRHMALQADPNKAHYALAELSRRN EDFITLSQNVDGLSQRANHPSKQLHLLHGTLFNVKCTNFYCNYSEENYTDPIVPALEI PKQSSGLNPSATDKTGEEASKAIVEALGSTSNEVDISDASNPLATVNKKELPQCPRCK DGLLRPGVVWFGEPLPEKTLNAVDKWIAAGPIDLCLVIGTSARIWPAAGYVHEARSQG ARVAVCNMDPKDTPGELHDGDWFFQGDAGVIVPEILKSVIGEI PEX2_092960 MKSTNTVPQKRKKLDSDDEDEIVKDGSLTSAADNFFEALSEAGI THCFVNLGSDHPAMLEAMIKAKQENKTNFPNIITCPSELVGLSAALGYAQATGVPQCV IVHVDCGTLAMGQSIHNASVSRVPVLCFAGLSPFTQNGELLGSRTEFIHWLQDVPDQA AIVRQYCRYTGEIKTGRNIKQMVSRALQFATSDPKGPAYLMAAREVLEERVGKEDVDG DILSSIAPSALPEQEVELIAKSLMGAKRPLVITSYLGRNLKAPVLLAELCDKLPITVV EMVGSDVSLRSDHEAYRGVTVTTHPEVLEADVILILDCDVPWIPTAGKPQKGTKVFHL DVDPLKQQMPLYSINATRKLKVGCGIALEQINAFIDKQNIDRAEYTLGFEERSKNYKT WRATLQTLESPSDDGVVSVPYLASRLRDSLPQDTIYVLEAVTNAGHLIHHLNLTKPGS LIASGAGGLGWGGGAALGVKLGKPGSFICAIVGDGVYLFSQMESVYWIARRYDIPFLL IVLNNGGWNAPKVSALLVHKDGLSSKSNRRDLNISFDPSPDYPGIAAAAGKAWGKTVT TQSELDPAIKEATEVVQGGKCAVIEVSVPSMWKEN PEX2_092970 MARESNVPLEPLTPGGKPNTQAHPAHVQEPEFGKPSPIGPPQHR SNHLFNIPKPNQSRAEHHRPAPRPQPQHQPQSRQQGQPSATPHAYRPPGTSGAPVATP SVKRSEPWDPFKPVAPSAYNNPRGGFHHGAVSIKRPENVTWTTPRAPRPIYQSKPVPS KMGGASKNIQKFIDLTRDDTDPVPRASAHATSSFGAMDMNGYVDTAMANENIKALLEG AFEDEEDKAKAKPKGKKKKGGKKSKKTSQKKQKDEVVVDKKKEQAIDDLDDLAAQLQG VTVNDPKDNDAKPEDVSSVKAEQDDEAVEEEEEEEEEEEEDSDDEDDGVVEGLKVTLL PHQIEGVRWMCDKETGRKTSKGIFPKGGILADDMGLGKTVQAIALLLKNRKSDHENSD NTEAEGKTTKLPPNCIPSTLVIAPLALIKQWEAEIKDKVEPSHKLSVCLYHGTTRAKT STTLDKYDVVITTYGTLTSEFNSSASDKAKKSGVFAVHWYRIILDEAHTIKNRNAKAT QSAYALDAQYRWCLTGTPLQNNLDELQSLIKFLRVKPYDDLAAWRDQISRPLNNGCGG LAIQRLQVYLKAFMKRRTKDVLRLNDNAKPGEEGPDGKPKKSSNGFHITKREVIKVTP EFMPGELNFYKRLEQRTENSLEKMMGGAKVDYAGALVLLLRLRQACNHPDLVKGDLAK DKDILLQNGSTNSQSTQSKPDDLDSIADLFGAMSVVAKKCDVCQTDLSPTEIKDGGSR CGECEADLNINVMGTDKKKKKKSKKSAKQLDVPDSPSARKSEAQIARSRKNRRVIIDS DDEDEDEGDWVVPDDQRKMLNLGKAGGSDDENAEGGGEWLVSDDETDDEIESPSRRKS KPIVLSDSEDESDSEADDIYNDEGENGELPSTKIRHLMRILNREAPDFKFIVFSVFTS MLDKIEPFLRSANIGFARYDGGMTNNHREASLEKLRNHSGTRVLLCSLRAGALGLNLT AASRVVILEPFWNPFVEEQAIDRVHRLNQTVDVKIYKMVIKDTVEERIIALQDRKREL ANATIEGKTGAGKLTIRDMMALFGRDAESRFTNNQSTLDFNQPTRLLNTGDETEPVHS SQESTQSLPRSRGSGVQPNRPRNEDSIYGRRW PEX2_092980 MPSPVVKLPYLKLGVNLTRGHWFRIYGRRNASHEATKVPPLIDL EQPQADDEE PEX2_092990 MSSPSDLKDNGDWTVIYDDHNDDDQNGKTEEKPCRRRQSLARTL PIPKTMCIMSDNKTEISTVAELLFPKLKLPQLGTDSAERVFPVRSVVSFDSTPSSALQ TPSLDKPETPISPFSDTWSAGFTNEQAGTQQKSGSGSEIPSVFKGQSHTSHNIDSSAQ LKNIVQNGSEILDFHKTTKGIYYSHEDEEDIFIQSFGALVVMTESDGNFEVQIASENS KEIIGHSPDTLFELKTFGEILPFSQHSNFLAHAQFVLSDDYSVRDSGPEVFLLSVLSS DGYTKGTWCTMHTSTVYKNYVICELQPEGRGTKDHPDSESFKSQTTTSDSRNLDMTSG AFFGDESPPREGLDGRTSKFPDSSELLNTIPRVLQRLANAQTLEALIQHTITTLQSLI EFDRTTTYHFDSDRNGIVVDDAVDTSSGLTSYEGIHFPESTFPEALKKLYTRNTVCSS CSGSQGTSKLVYRASTNKRPLDMSNTYLSAAPALSTSRTENPVKACLSIQINVFGKLW GLISCQSYDSSQRLHPLIQKACWFVAEAVSSNIERLSYTLPFQFRESDISLDKVSTPQ AIKTPPGDLLSLFGADYAAASIMGESKILGKPIDSQEVLALFEYMKAKENDTVFWSGD IATDFQDLNYSPGFHYLSGLLYVPLSVDGHDFIIFFRADLESHNLASDSERSSRQLEW SSAEFGKASVLSLLYRTFTDIWREKETTLQNNQLMRLLLANSAHEFRTPLNAIINYLE IVLDGSLDQETRDHISRSHSASKSLVYIINDLLDLTNAENGQRLIKDEAFDLSETLTE ATDIFWEEARQKDVDLQVVQHAALPPVLGDQRRVRQVITNLISNAIQHTSTGAVTIES CVVPEPMEPDHICVEVAIHDTGSGMSQETVETLFCELEQVSNKGYMQNPKTYEQISSS QVFETESVLGLGLALVARIVRNMNGQLSLKSEEGKGSCFKIRLAFPLPDEDSSQKQNP CATSNEPPQEDERKQDTKQDTTSSHCVTGQKEDGIPCECGQSPEFESGEYIVNVDVSL NPGESRNLSISGQQLKQSNQPGKPTTSLPKKDPEQLPKVSKPTIKETLSVSPTTKSLT DQPQTTQPSVPPTMDWNLHVLVAEDDPVNSTIVQKRLEKFGHTVHMTSNGKECAYAYK ANPTHFNAVLMDLQMPIVDGLGATQMIREYEQQELANDTTPAPRIPIFAVSASLLEEN RKMYMDSGFDGWVMKPIDFHRVDRLLGGVRLQWVREEVVYRPGVWEAGGWFEA PEX2_093000 MPSQSQRDRPERRDRDRDRDRERTREQERSRRRDRDREYTRGRY EDVDEGATSSPGNARTAKYRFRGESGYESNTHNERDDYDEERERRRERRRRQREEEED EAAGLVYGESGEGRRRERERGSSRVKDSPAASPTKRRDRERRYRREESASASPARDRR TRDVDVDIEAEARRRRRRERERERERERERERERELDAAAAAAPARKHRSTESSSSAA HLLSVDAMARLAAEHEDADRVERSREEDDSRRERRRQRKRAALDAAAGAALGAGVAEG RSRHKAGARVVSGAYLEEGHSSDARVRRRGGGGPAVEDHWKDEDSWEGSLESRGGPPA WKFWSNWSRKKRILIGFLLVLLLLLAIIIPVAIVVSKKKGSDPKPSSSDSSGGSSTTS NLGSISRDSIPSYAKGTFLDPFTWYETEGFNLTFTNATVGGLSVMGINSTWDDSAQVN DNVPPLNKAFPYGTQPIRGVNIGGWLSIEPFIVPSLFSKWPSSASIIDEYTLTKKLGT SAAATIEQHYAEFITESDIKEIKEAGLDHVRIPYSYWAVTTYDDDPYVPKIAWRYLLR AIEWCRKYGIRVKLDLHGLPGSQNGWNHSGRQGSIDWLTGTDGALNRKRSLEIHDQLS QFFAQDRYKNVVTIYGLANEPLMLSLPVEKVLNWTQEAAELVRKNGITATLVLHDGFL NLAKWDDMFKTHPDNMYLDTHQYTTFNTGEIVLNHTAKVNIICNNWQPMIKEINTTSS GWGPTICGEWSQADTDCAQYVNNVGRGTRWEGTYDTSSTTAYCPTAAEGTCSCADANE DPSQYSTTYKKFLQTYAEAQMSAFETAMGWFYWTWRTESAAQWSYRTAWKNGYMPSKA YSPSFKCGDTVPDFTDTAENF PEX2_093010 MGNTQGKPVSCNDAVNLNHFRLLRVVGKGAFGKVRIVERKDTGL TFALKYIRKEEVVRSESVRNIIRERRMLEHLNHPFLCNLRYSFQDMEYIYIVVDLMNG GDLRFHISRKCFTEDAVRFWMAELGCALRYIHSQGIIHRDLKPDNVLLDSDGHVHLAD FNVASDYRPGKPLTSKSGTLAYLAPEVYEGSGYTFEVDWWSLGVTFYECIYNKRPFEG RSQETLSENIKKAQPKYYVTNPAVSVACLRALGSLIQKDRSQRIGAIGFETYTSHMFF ADIDFDALERKQIPPVFRPSSDKTNFDATYDLEELLLEEAPLEARARRQKPRAELRDD ATAKEIRDDELHRLIETMFEPFDYTLTSYQGNAAEAIAAVINPEECLPIGTTTPANPT VHARQFSQPDPKNTSPIQADGSHYRAPANENMTTVSETLDPNDPATSQPPPSPSSRVS PSPPPAPSFHRPLPPNNRHRGATRQMSKSGGVQMVLNEAGSWSELAHNSTPADGMEGG DDKGKQANGMLSFFSRKKGRDRSPKPTEPGVLGKEGARQIIS PEX2_093020 MESSEIPRPLLQRPESSGIDVIDFSFGKPASHKPSYSRNLTKQT TMPHPAIDNARSSRESMVEEAGRKHQLTRPDTPLNARSVGKEGIFRAAIPARQPVFSQ PPSRLSTVAESNKDNGSRSTPGDLGKQSFGQEQAVTNTPRETISPVERVVDPSQERVV DIEKTGEGNSALPEAPPVQHPHKERQEIPENPCSRVPLKAIHNQLQTSKRRHTLEKEA SSKQQAPLFGGKNGVQLSEDDLFELIITRMRQREESEQAAAVIQRQVTDENKALKEEN FNLQDRLKKCQGQLAKTSSESRSQRAQIDKWKAKLGTFKGVLNELGREYGAVRGQAKE LKEATMSLDREKSEIQHILDEIRLKVSDSAETMQDQRERLSISEGTVASLREALDHSE KRGDLIKAQLSNEQKRIATLETYIQNESQSQSRYLALVRNDQRKMLEKLDSAYELFTT SCFKSQDNILSKLSPGLENCLASIQGLKEQCSAETMNVQDFTNSVHEATSRFNSLAAQ VANDVDRSTEMSKNVFQALQEALHAIEGNLGPYSSIFKQLANSESCYGNLQQQLQSVE PMLGSLDSSIKAVGITETDLVRGLETFGQKLSEARIPAGNPVLEMEISNKFAENTQLQ LKLQEISIEAESLRKQLANKSSENQHLQHALTETVTNEQASRSQNARLEIEKTALRGE LELLEQRIREELGAANTKLQGQMKGKFEEQIQDLETEKARLEREFNNLQVQLATVQSS LAETEKTAEDERLEKASLHHESQNRIEELKISCSKYITEVKANEVETNLLKSSEASLL AEKEKLLEQLERARGKTTELAASLGQETESVMLKDRAMQEAEKRAEVLELEMAQKNEE LAATKESIAMLKSRSSALEKVGEEADAEIISLLRRAQEAETWQATIREGFAKVIEVHP DEPFEQTWQKLEDIIQSSLAQPSITGNASCTKPYGTGDIEVTEGSNIALEPTEGNRDK LLETNGSNKEALKTAGNMQTDGPLPPRTSSPPKALKYGDCVDSLPKFPASHSHIVPFS SLHDRLSRENSLSLFNDPAELEMLFMSTPDLQGALPDDATKKAQEHQRLPAEPMEIGR DPNKPNPVLGIQPPSADGSKSGRSQSALERVDSSLVDKSAKNEQSNTKRKVVSFEGTR VITQTEIGKARRMSDATDNSSGRDSDSKELKRTQQRTYSRLRQSVAQSETSIETTTDM QPAVGKSQPGSIDKTDNSSSANPRPPKRPRNAADGPERRLSPKGLASGSSRGATSAQA STVRGRGMRRTRGDRYNQRFSQDVG PEX2_093030 MMTYSRSIPFALLALFGTTTLAQTVDGSKYNKPDGGPPGSYFAA SSTIPVAALQSAAAKASVAVPSGTYPINGDKGAKRITIHSDWADFDEGAAYVFVADMD VDCDGLDYKCKGNPDGQDETNFGALSAYEVPFYVVPDKFARNFGKQLPGNNVGAIICD GKMFYGIFGDTDADSPEVIGEASWLMARTCFPDADLNGNSGHGTPDVTYIVFAGANAV LPSSALNKNYVTNFTTLRALGDKLVTALAKNLKLSGGSGSGSGSGSTTTTAASSDPTG TCEWEGHCEGASCSNGNDCSGQLVCKSGKCAPV PEX2_093040 MAPTKSADKIFPITAFISDLPTNLISQFLPSSSENGPGGGRLVI VGDVHGMRKSLESLLHKIGFDKFKGDHLILVGDLVNKGPDSPGVVDLAMKLGASAVRG NHENAVLNAAAEINATRDGLVHSRALTGSPAVPKNLEADLPEDDVRGSEIPDKSGSAT ITGTPTSHSTALVLSTRQIDWLAALPLILRVQLPHVLKSSFGDNLIVAHAGLVPGISL EDQDPHTIMHMRSLVRKSGDEDGFTPAEIPGEESWIVEWDRWQDRQASKTTVVFGHDA KRRLQLGRYAIGLDSACLYGHQLSAVVIAASNGEIMHHVVQVECADTPIAPTVSVKDG DKAVVV PEX2_093050 MDSYVGASVSETLPKLMFGFDLRMPWDLFHNSFATQDLSSCQDA IEYLKYAAMQMKGYYDRRHQPKYFAVGDKFLLRIGRDYNISINNTISHKLYQQYVGPF TVIERVGHLPYRLQFPPRWKIYPVISVQNLEPALSPEPFDAPTTI PEX2_093060 MSVETSPPKRRIYLNGFDMLTVGHLSFGQWKHPSDQSATKRRDL SYWTNLAQLLEKGDFNALFLADTYGLYDTYKGTAEPAIRNGAQYPMGDPSIPISAMAS VTKNLGFAITTSTSYEAPYVVAKRFSTLDHLTGGRFGWNIVTSWKESAAKAVGLPLVD HDKRYEIADEYLTSLYKLWEGSWADDALQENAETGVYADPSRIKYIHHHGEHFQFDGP HILDPSPQRTPFLFQAGTSPAGVAFGAKHAEGIFVSAPSPHILAPRIKAIREEAAKHG RDPKSVKVFAILTPIVGRTDEEAQAKYREALQYASEEAGLAFFSGGTGIDLSRIDLDT PITASDVHVDARVHSTINTLSYQSPDVPEWTPRNIGKHISIGGAGPVPVGSASTVADF LEEWVRVADLDGFNIGYVQTPGTFEDVVELLVPELRRRGVYAPEGESGTMRERVYGSG QRRLREDHVGRKYGYEVYDGQ PEX2_093070 MMNYPQTSGWQVLATKGKILVSCVLCLFFLAIGMDVRAELGAGH NQNKISKRDTTSTSTAATIWQPAAGVKWQIQLINAVEDTTVDADIWDIDLFDNTAETI TTLQKKGHKVICYFSAGTYEDWRSDISKFDSADFGSNLDEWPGERWLNIKSSSVRAIM SSRLDLAQQKGCDGVDPDNIDAYGNENGLGLTEADSIDFVTFLASESHSRGMSLGLKN GGDIIGSVIDKMQWSVNEQCAEYNECDVYAAFTAVNKPVFHIEYSGDTIESDSSDASD SATSTTTESKATSTATATVTAIATSTSATSTAATATATAATATATTKTDSTDSTDSTT DADEDDEDDEDEDEDEDEDEDDEEEDESSTDSTKEQKHRYGHGHHKLRARAVLSSTLK TSACNAANAEKFSTVIKNMNLDAWVEYC PEX2_093080 MPHTGPMDFQALILCGPGGSLNTFTSRPEEYPKCLIQVANRPMV FYAIDFCRRSGITDITLITPPQSFPPLRAALDQNPHLTSFYSPAVSLVAPKDLEMTMG TAQLLRLPEVQRCITTNFLLLPCDIICEIPGQSIVEAWIGNQWVLEDNNASNGVHHAS PPTTSYFDRQLEARSGGLAVYYQTDNREESIQDEATDFIAIAPLEQNEAPVVPGPEGP LIPRFSLSKLLMSMPMDTIKEKMKQDKGLLIRHSLVKNCPRIRMLTTFRDAHVYVFPY WVKDLVHHQKKLESISEDLVGTWAKSAWQKGLGDKLGLTKDFNEDTTPAHEREFTPES SHTGAFVDKVIDIRDMSTTRARSNSELLLDQFYQSTELPQMLAYVHRGSTPFIRRVDN TGILLSTSLLLAKLPSIEEVGRKAASPFAHAHKVAYPEGVASPSNVTKKDCLLGENVI VATGAVIKESVIGANCQIDGAARIIRCVLMEGVVVETRAQLTGCVIGRRAQIGRESVL KGCEVQDANVIPKETNARDEKFMVSEELCV PEX2_093090 MVSEKHDELEVITSSIPSTPPNEINHNVSQNEYHAKLEKRVMQK IDFWLVGFYSFVYIFRVIDSSNYSNAAIINLEAGTGIKKELNFSPSQWAWTQSIFSYS YLFFEPTNTILLKRVTPSRWMFVLILSWGICACAAGAAQNFPGMMCVRFAIGLAEAGF YPSVLYHMAFWYKPSELLWRIALFYSLGQVSGALSGLLAYAISFMDGAGGLSGWRWLF IIEGLPAIVLSVVALFGLPDYPETARMLTEEERTFLKGRLSSSAPSGKDKNWSWGDLK ALLSSPTFYTFTVYWIGHGIGGFGVNYALPTVIYELGFTTTALSQLMNIPPYVACFFF LNILGYLLHKGWIRPWTTAVAISNSIVKYFALIVSTACAGSAYPVIWPERIRALEGTV AVGMGIGLTNAMAQFSGIAGPHIYDTVFGPTYRVSYVICLCFLCAAISGILASWWLVW RKDKKNELETGIEEHA PEX2_093100 MAPSAIEEQVPIEKTTFKPWSRPAPTNEELDWAPLVEIDLSRFD QPGGKEELAKQLYDAVTRVGFWVVVGHGLDDERVLRQFSIGNAFFKENLEEKRSFECN FAEGEYFGYRENSRWIGETGVKDNIEMLNIPKAIPEWDDVPKHRIVRQYYDEIASFHR DLFDKVIRKLFVLMSIILELPEDHLVQAHAYDKRSDDHLRYMIYNTRTQEEWDKAQAY TKGGHTDFGSLTLLFSQHVAGLQIRTSDGEWKYVKPVEGGITCNIADTLSFLTNGFLK STIHRVVTPPRDQIDIPRLGLLYFCRPGDDTVMRTVPSPLLDRLGLLTEEDKNQSKPA PTGTEYVRARVRDVHHKTVIDRRENTSFEFKGLKVPNYYK PEX2_093110 MPAPIEITDPLAVVRDLYDDEKLVVEDFERHASHCRHCSHAVQT YKDGLPLCELGNTRARTLRNYLYSQTGKHFSTVDFESGKSTRVKVPRDAFATRELLAA IEQGMRIREKAVVVQKPVQPVESAPSTSYDRTYPVPARKVSSRQTRPRSMSPETYQLI ERAPRLSRSPTSIMYCSPGGSPSRPSSSRGSLYGVDRQERVERQYETPRRYVEGSPKH R PEX2_093120 MRSIILGTSISLLYAVSVAAVPHSSRVKTSSAQPSATPAFTIKN LGVTVDTTSSPWKDAQCTTNITDATLDPTARWDAANADDALNDALSAWSTSGAASGLG FPEFISNYFTGPDNWNCGDIGNTPCSTVMTCNQADYPAGYLIMNSFSSIHQLHQQTYD ALGDALNTMQNDIGSFASIFAPQAKDDSEIVKYIIDAVILVATIGSSFAWNIAFKGLA MAASKYFSMGKDVTNAALISFASAIGKDSMKSAKDALSTQNSVSAALGVYFSAWTGLE SGYMTSLFGGASDNTSIDALKTLASNGSMLLLSSKVNLSGLTAQAEKILYGQLIPAAW AISPETTYPRILRKAGACSTSIDADVSLYMSESTLVGGYVCYNNDAFYVVSINTSPGM QPFEALPGGNHQTLNGDNWGGVILEDIVQSSYQAYQLNGNANGYEMPALSKIIDGSGT EGDVVFENGIRTPGFFTLPICDDIPTAADTVSAGGAKGKYWPCDAPAGYNAAGTNVHV NNGCINVDGESLCKSQTKYTNIADQSTGDITATIYAQFNGEDKTDYKVTPGCKLQATW PRSYGDVYFGANNCLYDSTGTNINGQCCTEATTDSILNPYYGY PEX2_093130 MIGNWRAETCRDPIAGNRFEGFADEVEDKSNELADVINNYRPDL KPFEDVYRHLHTNPELSGQEEQTAKIASDHLQTIGFEVHTKIGGHGVAGVLRNGTGPT ILLRADMDALPVEEQTGLPYASTRHVKDETGADKPVMHACGHDSHVATLMAAATLLQC ARDHWSGTLICIFQPAEENLDGAQAMLDDGLYDKIPKPELVLAQHVMRARAGTVNLRA GPLLTAADAFNVRVFGRGGHGSAPHTTIDPIVVGAAIVMRLQTIVSREVTPGKLAVVT CGSIHAGQSPNIIPAYLDLQLNVRTYDAEVRKRVCASIHRIIEGECLAAGVEQKPEIK LTYSTPSTINDGKTIDALRETFQPYFGDQLVEMEPPTASEDFSLLATAVGAPYVMWMF GGTDPKTWDDAVAKGTVDELPSNHSPFYAPVIQPTLRTGVDAMALGALTFLKKK PEX2_093140 MASAQPTEMKAWLYSSTTNGLEKNLNFDASARAPPTVHGENVLV QVLSASLNPADYKVPEMGLVARKLVIGMPASPGMDFCGRVVVAGDDATEFLPGQLVYG CLSKPGQFGSLGEYLVTSASLLAPVPEGVEVDHAACIGIAGQTAYQSLAGYVSAGDKV FINGGSGGCGIFAIQIAKEMGCHVTTTCSTKNVEFVRGLGADEVIDYSVEEDLVATLR SRGVVFDHIVDHIGYPAPMYRESHHFLAAGKTFVQVGASGVGTFVDRLIWPSFLGGGQ RKYVVFFFKNTREDLVKVGEWVQKGAVKIQLDTAYEFEDAVKAFEKLRSVRARGKIVV HVAKP PEX2_093150 MQFPSFPFLGTFSMLPTEIRLMIWGHLFSSLRIQPLKDSLRKDN PLSILCTNRYLYNEISSHLFDNSVQHILLDPEYKEEEWMVIQLRFRTLDIVWTLRNKA AVERHFHNFPHSKTTIKVHINSPNPTDPGQVVWLWQKSNVLVDLLIPLSQPIIDLTTN GPWRSQHPPTHWRNVKRFYEMGGLRESIESSKYRPDYDIAMLPFIRLELWIKDPATTI PAMSDKEFDVLYRRMVSLFDQAGIEIRLGKLLSITQDTAVSQIETAIVDTNLFLETSL DELPGATASFLRRERFKDWFEDGKSWKSLYETQLRDQLSTYPWVIINSDPWLYRSNQR YIVLILLHHAMYALKSNLYDGLGIHDESIIYMRWNSELWLELFPQGIPQLSDIQTWLA RFWSEKYQFRKFHVYVDWLGQQRAEEIGLEECKCSLIHRLTLWGH PEX2_093160 MFKNFKEKHGGFFESKQPNASESDRGQDLSSILDRSQRADLTVL VSEVAESMRVQILELYEHTNPKDDSPNRSSPRPSPEVDHDEDRPPLPQRPQGKETSRN NGSPQQSSNVKPLSPQAKLTALSAFEDWRDSVLLRVGAVVNKDDDNQAKKEGQGELQD AAKSDDLPLGEDKDRLAKLQEIYHRVETPLVELPKATRLLILHSLLLLMLSLEHYSAY SRVLMLNVTSSLNIDINVLNQDEVSVARGLLQTALALSADQDTKEQPKKKDDMRKWKV GIASVAGAALIGLTGGLAAPLVAAGLGTVMGGLGLGATAAAGLLGTLAGSSVVVGGLF GAYGGRMTGRMMEKYAREVDDFAFIPIRGERRRNGKDKESANDESADKKSAEQDHRLR VTIGVTGWVMEESNFVIPWRVIGADSEVFGLRWEMEPLMNLGNAISALVTSAAWSVAG REVLARTIFHTIMSAVMLPLGLLKVAGIVDNPFSVAKARADKAGEVLADALINKAQGE RPVTLMGYSLGSRLIFSCLQSLERRNAYGLVESVIMMGSPTPSDTEDWRRIRSVVSGR VVNVFSENDSVLAFLYRTSSLQLGVAGLQPVEGVPGVENLNVSEMISGHLRYQFLLGR ILTLVGLQDLDPSEIEREEAALADEDKRQEKERLENEREAGVKDRESSAARDTLNSQE GFGEDARLQKKVEAQTQENMATHRIEMIDMDDNDYSAPLKEDPSKHSTP PEX2_093170 MWSWCVVAKRGAIDESIDRRARGGGVTGIRLSSPLTCDFSDFDY FYTILLRLNCVCISLDCVSYVYLPTEKVLILGYPTMTSLRTATRLSKSLRPCSSRLNT TFSRFYSSEKPDSADIEFILGAPNWSVRSLLPNPASKPPPSVTPKQLHHLLRISALPQ PANQEEEQSMLDTLESQIHFVKEIQLVDTTGVAPLARIRDESPAAIEEETIGIERLRE ALANEKVSGRRGKIQRVPGEKNDRPDGTAWDGNALGSATKTKGKYFVVEIGN PEX2_093180 MTQDPRVLLQKADKALSGASGGFSWFGGRAEKYESAADLYTQAA NAFRVQKMSEIPRGLANKEAGQAFEKAASIQTQSLNEPDDAANNLQEAFKVYRKTDPE DAARVLSSAIQHYVLRGNLRRAATQQQYLAELYEVELGDMKKALEAYEKAADWFEGDN AEALANKHYLKVADLAALESDYYKAITNYERISRSSINNHLMKWSVKDYLLKAGICHL ATKDLVETNRALESYRELDPSFGSTREHQLLVDLTQAVEGGDQEGFADKLFQFDQLSK LDKWKTTLLLRIKNNIEEAEEDFS PEX2_093190 MAPAVHHHRSTTKVSHKPYKSKHASKSALKDQAKGKIEGDERGG RKTPHQQLKTKLDRRNTARQRQALKHQERSQATSIFAGQNGAPRHVAIVPLSADVDAA AAIASINESVDVLTDVSPDGPTRVRIERFRQSVQYVPAKYDLMSALDVCRMADFVILV MSSEVEVDEEGEMLLRSIQGQGISNVLTVVQGLDKIEPPKKRPQVAASLKSFINHFFP SIEKVMSLDSRQESSNAIRSICTATPKGIRWRDDRSWMFVENVQWPESNLEVVDDVVI TGVVRGRGLKADRIVHLPGWGDFQIDSITAAPLTTTKPKRDDAMAVDANDTTQILDTP TEDQDDMAAIAPEEIEMVDDDMVSMAETEKKGVLLDDYHYFSDDDSHIPPVPKKLPKG TSNYQSAWYLEDVSDSGSDMEDDDEPMEMDTAGAPEDGVFPDHQDAMTEGGGTEYPQS EMFLDPSPEDEAQELADYRASRKTEAEEDLEFPDEIELHPNALARERLARFRGLKNLK LSHWETSEDRPYEPEDWRRLLQFADVKGLKNRIIREALAGGVNPGTRVDIRLRAVPSI LRNTKPFSLFSLLRHEHKQTVVNVSMTLNSSVEKPLKAKEQLIVQCGARRMVVNPIFS SADNTPNNVHKYDRYLHPGRSAIASWIGPMTWGSVPILVFKQKQAEQEDGDDEMETAD AKEEPIALDQLELIGTGTVVAPDQKRVVAKRAILTGHPYKIHKKVVTIRYMFFNAEDI QWFKALQLWTRRGRSGYFKESLGTHGYFKATFDAKINPQDSVGVSLYKRVFPRKAKAL DAIAA PEX2_093200 MVDPASHLAVAKGDTEAKMRLAAAEQTYGRGKGANVKSARDKKL RANLKRQEFKHKEAILQAKDAEILLEHTEGFLEPEGELERTYKVRQDEIRDSVGIETA KKGFELKLPDMGPYRMDYSRNGRDLLLAGRKGHVATMDWREGKLGCELQLNETVRDAR WLHNNQFFAVAQKKHTYIYDHQGTEIHCLSKHLEPLFLEFLPYHFLLASAQMSGHLKY TDTSTGQTVAELPTRLGKPTALAQNPWNAILHVGHQNGAVTLWSPNSQTPLVKALVHQ GPVRSLAMDRTGHYMVSTGQDQKMNVWDIRMYREVHSYSCYQPGASVSISDRGLTAVG WGTQMSVWRGLFDAAQADQGKVQSPYMAWGGDGQRIENVRWCPYEDILGVGHDQGFAS VLVPGAGEPNFDSLEANPYENVRQRQEHEVHSLLTKLQPDMISLDPNIIGKLDTINDK KYQEQRNPDHKPEDHMEKIKNRGRGRNSALRKYLRKKGGKNVIDEKRVKAETLRKEYA ARTKDKLKQERLDLGPALSRFAK PEX2_093210 MSHSHSHDAGIDHSHDDPFNGHGHAHDILDGPGSYVNREMPLIE GRDWKDRAFTIGIGGPVGSGKTALMLALSRALRDEYNIAAVTNDIFTREDAEFLTRHK ALAPSRIRAIETGGCPHAAVREDISANLLALQKLQKQFTTDLLLIESGGDNLAANYSR ELADFIIYVIDVAGGDKVPRKGGPGITGSDLLVVNKIDLAEAVGADLAVMERDAAKMR EGGPTVFAVVKHGKGMDHIINLIISAWKGSGAYDVSLQRWKDGAVRGSGSVDE PEX2_093220 MMMTQPFPAHQGMPQHGFPPGHPMAAQHPNGHPGAGMVQQMHPG VSAPGGPQASPAGPMMGGMAPGAGTAGPGGPNAHALSHLNPAQAHMLQTSQFQQNFAN NPHLIHQHQQQQQQQLMRQRMMLQHQQQQQHQQQQQQQQHQQQHQQQQQQQQQQQQGL PVTMPNGTQGLNAAQIAAMQANPGMRPVNMMHIQQQMPHGQPPTLQQQQQFFAIQQAQ QQQAQQAQQAQQHAQQQANNGQSGQHTPQRTSAQPPNMHEQSATPQSQHGGPGGGTPQ PSQTSQPPSTQPPQSQGPPQGQPTPNPPSQQLPQSQQPGQQGQPGVQPQPPQGAQGQQ PGPQNQQMTAQEVQLKAQQHQNALMMQQQQQQQQQRKNNAILTIHAYAEHLGNFQPRN ETQDLLYWQSFVDRFYSPVGVLRQGVWNNTIGSKQFEIGTPALARYYLTQFTSGISQI QMVVEGARERESHNGGHYVEAPKCSFIYWFKNECQLFTNGTLRAHFDMHNKLEMLDVN VVSHNEFIPRSLLLAMEADSQKQSPKVSKNSKRAQPKQAPSLLPDSNVTANGVPTPVM GFMEVAETISAMQMLFQFSQANPQLSPPDALRNLVNTLQSQTPNPGFVSTPMPMNPGM NPAMNPGMNPAMNQVMNPAMNQGMNPGMSPGMSPGMNPGISPSMNPAMNPAMNPGMNP GMNPAMHPGMSPGMNPAMNPAMHPGMNPGMNPGMNPGMNPGMQPMQNVRGHSMGAPSQ FASPAMAHLGLPGQQGSPHLTGSAHASPAQSNLAGPPGMQPPMQPSPAGVNNSPNVGG NKRRRASTVKMESEDGGGVEANGAPQQGSAKVKASPRVPKRQKGAAA PEX2_093230 MQQVRLSVARPCRFVARRRYSAPLSARRFHLSRTWLASPSQEPH DTESSAPGNVVEDPSLKTEKIPDNGTPATSPSAESAKSITKTYGSAVRRAMRNRKSSQ QTSPSTATVPAWFYEHNKLSHSSEDHPTQSLHQVQITKSGSQQEAEEVQSEIQASGGN SDPSACSEPPTAAGNRYAVAEESWEELRASAKAGLRLPAAKYAKEPSVKKSHLALHYP GSDGIPFLDAVVKKLAHELGTDLVTLNAQDIAQLFSEQDLADVGVTSPIRSLGYDVYR QSVTTPWSELEDPEGDGEDDVTEIAGGPRGMRGDISTPRFITIESSKEAGDIPLPNWL GLKTLLGGSINGQTDPDPGAGASRSGQRAETRWIQLVNELLGSPSQSAQSVPIETTEN VETKASNVLPTRDIIMHIQDYRDIQNTREGSKFITLLHKVIQDRRAAGSKILLIGTTS QEIHHSPSQDGAGLLQNVLDDQFSKTLFITPAMSSKDAEKVFAEDRKKRTMDINIRHM QSMLRFRLHEQALPVKDNILSDRAWPLEPSTIKESGIEDRYWSYNQVHWISTLALGCA EANEPLGFEHIRRGIELMDKSDRVTNEWLKEKSPKQKDSETGQTRDQLIASLRKTCNT HEKKLLNGVVDAKSIRTTFNDVHVPPETIDALKTLTSLSLIRPEAFTYGVLATDKIPG LLLYGPPGTGKTLLAKAVARESGATVLEVSGSEVYDMYVGEGEKNVKAIFTLAKKLSP CVVFIDEADAIFCSRTGASSRTSHRELINQFLREWDGMNDLSAFIMVATNRPFDLDDA VLRRLPRRLLVDLPTEEDREAVLKIHLKEEQLEPSVDLAELARRTPLYSGSDLKNLSV AAALACVREENDAAAKHTGDEPYSYPKRRILTRAHFERGMEEISASISEDMSSLSAIR KFDEQYGDRKGRRQKSPGWGFIPGASGDASADSARVRT PEX2_093240 MPNPSGISITEECISAFNQLRSGPEGTRPKFIIYKISDDYKSIV VEETSTEKDWDFFRGKLWDAADKDGNPVPRYAVYDMEYEVGSEGKQQKLAIPLDLKVS IDADCMEDLEWAFVVKEVSEELGMGK PEX2_093250 MMATGSRDTSVREAKVFVKEVVRNDWDFDAGVPSPSSADGTLCH NREVCEWRVREFDTPTSELEPQSSDSEQEYDPSAVLKLAPGAAGIERRRKRRRQMDDE MAWNEGLRLWMARRDAWCGAKTRRQIRAEDQKRALAGAQTDTTDQSDGVELGENGATS SGSSQFAMPLARGSEGVGASDLAVRTETSLSIADREKSEALQERVDMTSGQQDDLEEG LSTAPDEGAKRKASSDTNITEPDQKAAANAPTQLTSFPAVEDQTEEEKTEEELDEPLC PVAPPFISNDNPVRATINTAIYPSIYTKVVAQGMTPTVPVNLAHLTKAMVQGWKADGQ WPPKPAVTSIVLADDASVPKKNTDRSAEEAPQGRRKNSITNAVKKVFHFGSHPFHRRG SQDTGHGAGGPTA PEX2_093260 MIWGSLLLALAATAVALPQPDKNVKCPSGEELVRLIRHEHEGPS FCRELIHSTCATVTHTKKVHPWPTTVKVTHTITDYPPKVTTTSTKQVTSTTIRAVTST ATQYATSTITVPVTITSHTAVTVTDVKTAHLTKVDYETTIRSFTSTATSTIGQTITDN VAVTIIEVLTETETTTKTNTITGYLTTFVTEYITDIATAFASNTFTDLSTATVTNYHT ETITLSFTDTATETTTDAIFSTATQEVDVTATEVQLATVVQTDMETATNVLLTTMIDY TTATQTTFVTDGVTVTTDVVVTAPAKRGEVAPEGHAFHTPPPLRTIPERDLITACSAL HLDKCTSTVLTTKTDAQPTVTRTLTTHSKARDPQTVKVTKTITNYVTHFTTNIVRTLL TKAVTRTSTASIVATSTITDASTTTATDIATVTVTDDYTTTVIDVVTAVFTTDLISLI TFDLTAYETAEITQDVTVDVTSTATTDLITTQGTTKTLDFTISQTTTATADITATETI STTKTETTTATVAITEVATITSTSTISATVTLPTTIHTAATATVDALSTVITTSTVDV TVTQTVLTTTTTTLTSTATPATPTCGVNLIQNPGFSSTTISPWTLTTTGTGRYAIVAG YDTSNAVDLYTVSAGASSSKITQTFDTVVGNTYNFSFYYKALGGNTASIMMCTYGGGA FAINIGSIVRNVWREASVNYVATSSSLTLTCGLTTPTVSSIYLSDFSFIFMC PEX2_093270 MTDHTGSSFTSRSHHDTYPAIDPTKQDLSSKYIFITGASKGIGR ETALSYVRAGCAGIAVGARTNLTELATSISEAASTSGKPAPQIVVVPLDVTEEKSVAA AAATVNEAFPRLDILINNAGYLEQRAKIADSDPEEWWRTWNVNVRGPYLVTRAFLPQM LTKGGEKIVVNLSSIAAHLISPGGSAYQTSKLALQRWTEFLHTDHGPDGILTFAVHPG GVLTDMGKRLPVETQAVLTETPRLCADTLVFLTERRREWLAARYISVTWDMEEFLGRE EEIVQGDKLKVRMVV PEX2_093280 MSVEWQKEPDFLSVEDDEALVNLYYANFHAAHPILVPRNLYALQ AYPGYLRLVVHFVGSQFSSTVSSDTLLGQVTEQFSKAVAEDTPATKFHLVQAKLLFSI AIHARNEIQECTAVLAQAVSLALEIGMHKKSFPIVHGMRSALEEESMRRTWWELYVTD GFMAALQRKPSFHCHTAESDVLLPCDESSYLEGSFTNEPLSLAQFDARIYSEEEIIFS SFSYRIGAIRLLARVLAIAWTHDLNEDHVKIIDSALAAWPHHLDSAKADMCNSEDEML FQGHMFIQFCIMYLHFPRSDLVATIPGASKVIRQQQLLPVYSRNMHGVKALVASKQLI ELATLQVPVQKHTPFFICGIVFAVLVQLSACCLSGAYGTPDQFHDRISLIIGILKTLS PSWAQAKITLRKVKRMAAETLLRDDSHRPAAVQLMSPNDSRIDFVAHMYDLPIDDLPW IDLFHWGDTNERTL PEX2_093290 MHDTPNKCKTSSLDASADVSQKLQVAVVGGGIAGLSVAISLLQH PGVNVQIYERTKVFREIGASISLGPNGLRTLEKLGVENALDGSVCSRQISDYPMIYRH WRTGEVMARDIHKTVKTKKHFTARFHRAHLHQALLEHVPSQIVHMGKKTISILADREE GVTLTFEDHTTAKADICIGADGIHSNVRKIFVPDHTLRRTGWTAMRSVFDASLVKDIN FPEDASHWIGPDRSFFHSNIGKGMFTVVGGLHTDPSDPSDKTEWDDEYNLDTFRELYK DWHPAVRSLIKATPYTRLYPNMAGRPLDTWTFSQRVTLAGDAAHTHGGAFAAGGSLAI DDAYALFLALNHVWPAAVAKSRKPTIEQLAEVFRLYEATRKPHIDKILGAVHLQVIGQ KPKTENLEGGNTETDEMLEERVRNRPDPSWISEHDVESAFQMALGAADLGLIKIPSKL A PEX2_093300 MASEKQTPTVTTQDASNVALQEENPHLKTITANKADETLKIVEE YGGEIGAPTPQESKKLRRKLYFRLVLLLIVIDLMLFIDKATLGQAVLLGIMDDTNLTN ATYNNLNTIFYTGYIVGQIPGQFLIQRFPLGKYVSISIFLWAVIVFCHAAATSYAGLI PLRFLLGFVESALVPAMETTMGMFFTPHELHHVQPIFWISCVGSSVPAGLLAYALLFS KSSVSPWKFFMVATGGLTLLLSVLSWFCYPDNPGKAKFLTNKEKVQVIQRVHEATRSS IEQKTFKKHHFYEALADPITWLFTLAAFCLNLANNLAFQMSILLLSLGIGNLGSTLIT VASGGFAVSVAVVASLLLRFFPGYSAWWATLWVLPAIAGSIGMVALAWDKTLPLLTCL LLGVTTWGMTYIIAFVWASSSSAGYTKKLTRNALFMMGYGISNIISPQLWKSEGPRYY GTWIAQTIVSFVLTPLLLLTIRFILLRRNKERRAWIEEQAALGNYGEGYVEEIDADGN MVKTKVDVSVLDLSDLENKFFIYPL PEX2_093310 MSTLPARDDLKIRSSLPYWNSSLPVESRVDDLLSRMTLEEKAGV MFHTMLAMSTGGTIATDVPKLNRDSAEKLIRGKAMNHFNLLGSINDARTMSQWHNEIQ LLARETRLGIPITLSSDPRNHFTNNIGTGFQAGKFSQWPEALGLAALRSSALVEKFAD IIRREYLAVGLRLALHPQVDLATEPRWSRISGGFGEDADLSSELVVAYIRGLQGPGPL GLSSVSTMTKHFPGGGPQLDGEDPHFPYGREQIYPGDNWEYHLRPFRAAIEAGTAQIM PYYGMPIGTKYEEVGFAFNQQIITDLLRNELGFKGIICTDWGLVTDAVIRGQNLPARA WGVEHLTEIERVQRLIEAGCDQLGGESCPELVVKLVRDGILPESRIDVSVRRLLTDKF LLGLFENPFVDVEAASTIVGCSEFYDAGQDAQRRSFTLLKNTNDLLPLTPGLKRKVYI EGISPDLVRARGLIVVEAFAEADFALLRLRAPAKPRSGGFEALFRSGPIEFDDKEKSR QSSIFRTVPLTIVDIHLDRPAVIIEISNAANALLVNYGASDEAFLDVIFGVAQPEGRL PFDLPSSEEAVRSSRSDMPYDTKDPIFRFGDGLQYKPSSTNDST PEX2_093320 MSEQHANAQAEFRIAIAGAGIGGLTAAIALARLLPSNVSVTIFE QATELKAVGASIGIGPNGLRSLYKLGVDPALIEEFAFRQPSGRPFVYLHWLTGEVLKE TAHQTVKDPRDAMARFHRADLQKLLLESLPEKVTLKLSKRVKAVRIQPPQEGGGVLLA FEDETTFEADLLVGADGIHSAVRKVFVPGHSLQWTGDILFRSTFDKSLVEKIEGLPDD AVFHCGPNENFLFTSRLGKTQYTVVGQTQSDPDDPENPYRTAEWNTEGDVTKLRDLYK GWHPTVENILKATPTTRLYPNHLGTPLKSMVFESHVALIGDAGHTHGGAFASGGSLAM NDAHALALALAHVWPTEKDAKPDRQQVARALALFDGTRRPHVNKLIELVQIGLAARQA KLRDVRKVEETDAELRERISGLSDIAWLAEHDVEAAFRDFTQTKVAKL PEX2_093330 MTTAQSIGIDAFALNCASIDSYTPTQLALAYEAAQQVNFKVFIS FDFAYWTNGDTANITEYMKQYAGHPAQMQYKGGAVVSTFVGDSFNWDAVKQGTPHPIY ALPNLQDPAEATTGPAKSADGAFSWLAWPTDGGNSIIPGPMTTVWDDRFVHFLAWKTY MAPVSPWFSTHFNTKNWVFVCENLPTLRWEQMLSLQPDLVEIISWNDYGESHYIGPYS AHHSDDGSSQWAADMPHNGWRNLFKPYIAAYKSGAKAPTVEVDEVVYWYRPTPKGVVC TGDNLSAPMGAGMLSDSIFVATMLMSPATLTVQSGNKAPVSIDVPAGIVTSNVTMGVG SQSFKVTRNGQTILSGQGVKNDMAPPYRRVAVIGAGPSGLAAVRALEQEGLFDYIRVF ERKSTVGGLWAYEPEPDSFQVNTQNEVAKVPSQLGPRTPCLTPATPEPQGLRGAAYET LDTNAGARTMAFTHTPLPMANSVASIRKFGRDNPSRPRHVVLRYLEELFVPFLHLLVL DTTVEKAEKTEDGQWKLTLRRRNVEHGASNPSKDYWWEERFDALVVASGHFTVPNIPD IEGLVETSTEFPEKFEHSKSWRTQNSYVNKKIVIVGGGISAADLVEDLHQIVKGPLYV SRRGDVGFLEDAWCLPNVISKTTISRISGASGGTVEFQDGTIITGVDKIIFATGYKLS YPFLPFEAVTPQNRLAGFYQHIFRIGDPSLAVIGQVRAAISFRIYEYQAVAVSRFFAG RSKDLPIQTEQEDWEERRLQYKGPTELFHEIKPDFVDYYGWLREFAGHPAGKPTEYLL PEFEENWIQSDIEILLARQQYWAAIRAKHRALDYAAKASI PEX2_093340 MESWRQRGYVPDSDEEDGFDSLDTKKGNVEYSPALENLEYIDNP SSSPKEGTKSVTREQQEHIRAENGSITLSDTEEVARRHTNRASPQLTNAAHDETTPKQ RRGRRTYGLRSSATKSTNLRSSELRNTNASIKNTDSIYDFPMSSQEHDRPQSKPSSRE STPKPLKTAQPSQSQVIAEPHASKENTQDETSSTRSSSPDELMSILQPPRKKKPVAQY VQPIEAPPLPPPPPPPPPQEASDDDSPLSSVPSSIGSPPANDSTELHPDGLMEAEPER PADREADLRQTVLVELDANRDDVLPHLDIPEEVLRELPHAAQRTFRKRNAIQLHPYYL EQVKFAQQLQARGVKPFGRPVQQRQQVTDESQGQDSYDPNAPASSPPQEEYLPPIRHE RHRGLQSAAQGREHNDSEHSRPSQAPLAKRQKTSHFGTPKERRNLQKPSKPRGIRDKD TATGNPNGNSIYELSSSPPHAGRLSSTSRTPRASEGGFRFPRGWSPPGEVAESGAQET EEAGQAQSTGLGTDDDQEVQSISSNSTSDQEETKSEAEEREIRRYQRMTRGALPASHA RLDQKKIADREKASQLDRHASSQRPDGKGVARRLIRKGDRSRQPATQQPRGLFDLGDS DSDDEDNRNVATENASVTNDSSQRLTGALGLEEPLALEEGDISEDNRIDYMLGTVPRN SRGPRHKTNSLKRPKSKQSLFNGERQPKRARQTRMTDASYGTRRTKKPSAVQRPRIGI LDAPDVATKPRTEHSRLLRIATRRPRLRKDGGRQSPTQKFVKLASREDTMDANESLRD WRRGAIRQAKISPPRSRAPQHRHWETNLSILSARAKSNSKIGRIPNQLLDAEAVTDVS NTNTAEPEHAQAPPESSAVSVPSNQAPVAESSSRRKPEQHGNTWVIPRNVALTSLKRN TIRPAATSLAGPGRSQKMVPAMFNQSLSLLNRHYKNQRTSQPYKPSLTLDRYVSDSRS LSTGGNSSPMNPSVAAAAAAASAEAQTRTPTQKAPVSRRRLKKHPPNRINLDADEFRQ NPDPAIIVSDDSESPTIPDVTPAGPSSFGIGGLFNWQRFYPVDFGVPSLRDNTFFHES TFIGSGEFSRSLRIAERDFDGDAAPFSIQLRDETFQWSRWNDTVSSEMGQVFDVIIDN VERGAVTSPETGITAALGVASRLYRLLIKYITGNLVFIDPVDRTGFVTRAMGLVSQVR DPLAAFLASDEYNKSGLVKIACFNMIFSNQIYQVASHRLVSPALATEALDLVKTSTKD VAGLIASKVGSSELKTLFKENNESERRESGIRDEYPSAEAYVATKHLLRSSDNYKGCF EDLQLDTFNNGIIRNERDVGSLEAGWRGIFTVLPLNEIDLLGIARPGYQLTAANDNWK LVKRLLAPALDHFESNSKAQPFSYNSYCRTLFLRCHRLINSWGWRECKPILDTLFDFF AKNTLHNLKLEEARGSPSFLDELDSNPSLDARVGEPCFHTFLKIVASGLRFLAKRYDK KKIRNFAWRLLPNHGRVYPKEQPLQHEDLDALRNHHDLLSTLYWAVPDGCRPRLETIR NLVHPATSHREACSINLRSWSRLVRFKLSTDEEVSGLDPFGDWYGYFVTELQQQHSYA RKEIEAQNTGDNRVSQQLVERTISQNQRQIETLLSNALSGLRIAVQLAPKLEHAHRLV LRTPFESILTLFNPKLPRVNVVVSEALQVLVAYTQKDVPVTSTSDAPAAVNTDEDSQE FGDWDAIQAVWDQQSSLSEGIVHVQQAFHPIVSRLVSNCFGEDHCPEDAILLNVVECW TSIAQVLIRHGLRDWDNYLSEFGDDSWTRLRQTVQTRKFAPLFLAACIEKDPQIVSDC RIQVMSMWMSSLVERSSMLKFQHRLTEALLNGSPTDPLLANLPFFKDKKIGRYTITLE EIGSRRLSLLSSLSSNMREQLQGMELSGNRDFSVTKQEYSEVLQRVMTSMKDNYQELG NGTAQATQGEYVEFVQRVIGFLQQHTSDIKPIDPFFTDPASFPLPSTDPRYIVAKLKR YESKLSSSKEIQTLTGFIQSISERAAIDGQQGYLVDQLHTSMKDTYEAGYYDKPTLRA VLLQCVFPAYLEATLSNRAAWILSRPIIQTITLKFKNLLCNIDTLDAACVSSVIDTID VVCRASSQALGVVSLRRHLLQSPITLLMLAAFLDMISSALPVVDYIDRTTGAGQGILT HLEWIRDFSKAVSKCLQSTDPNAELSSSAHITFPVVPSPSDSRKTELFRTANNLTSND LQVYLRKWSHHQGKYYFTRPGHESQEITIEPEIAVQMASQSEAQKAFDNAARGFVDRA KALELLT PEX2_093350 MASSKLREATSAGRSAGRRSVNVFREDPIVSGPRSSRNRKKIVE VATSDEEEIDDQEEDEVDDEDAPGDEDDDEDADADADADGDIDMDDIQPQAPTRRGKI TPPSRAKPPKSVEAKEMEMEEDEEEEEDDEEPISDTDEDAEAEGEDQDEIAVPDINVD DLDELDEEDEIDEDMDSDALAMQSGKTTKRQRGNLGNDFLQLPMEPQVKKHLTAEERQ MRRAEMARRRKNLSEKRNEEEKMDTINRLLKKQAPKRRGRAAAAEAADGTPGQEGAEA EKADPTMARWISGSNGCRIAVPEEWLGTPAGRVFGAPSVPTRKMVEEI PEX2_093360 MPDDTSNPSSIPSWQRANKMAEESPSPTSDDAPATTASTSRQAL LDQASKFLEDESIRDAPTERKVSFLESKGLSSDDIEQVLGISRNAETSSSSTAAEDKT QEEAPSTSPTQSEATPSPPTTSSPPSIAMPQSHLAPASAPTPAPAPAPRDVPPIITYP EFLFESSKPPPLVTMRNLLYTLYGAAGLGASLYGASEYLVKPMLANLTSARHELAATA ETNLHKLNEKLEKTVSVIPAELTARKNKPYSDEEDDDASSITSDPTELFHRDVATQTS PEPTSVISATGPVNSADSAALSPSTAVNNHVSRVESITSKLREIVNSEKDASTLDDSM RSRLTELHHYCDGLIYSGPTYSTGTTYGAWNSNTSGSNDSSSMRKAEDEAIAGFRADI RGVKGALLSARNFPASRGGRLGGLPVGGR PEX2_093370 MVRLREIPRTATFAWSPGAASPLIATGTRAGAVDADFSNKTCLE LWDLGLDREDASEELQPLAKLDTDSGFNDVAWTTSEDNKRGVIAGGLENGSLELWDAD KLLAGSSDALISRTTKHSGAIKTLQFNPKHPNLLATGGAKGELFIWDLNNIENPFRLG NNAARTDDIDCLDWNRKVPHILVTGSSSGFVTVWDVKTKKESLTLNNKARKAVSAVAW DPERPTKLITSSPLDSDPVLYVWDLRNSHAPERTLTGHESGVLSLSWCEHDPDLLLSS GKDNRNICWNPQTGQAYGEFPVVTNWTFQTRWNPHNPNFFATASFDGKICVQTLQNTK TDNAQAIADDNQALDGEDFFNKAQTQPQVSKFSLPKAPRWLERPCGATFGFGGRVVSF GLTEKGSRTSSIKITSFEVDESVGNATESFETALKEGDISTLCESRASSASNEAEKAD WKVMQALISENPRKGLVEYLGFQDQVDEAADTLAQLGLDKKGDEGTNGAPAKPAGVKK HKRLQSMFDANPEGDSFLSELAASKGAQTNNPFQIFNGSESQAEQKITRALLLGEFEK ALDVALKEDKMSDAFMIAICGGPKCIEKAQEYYFSKQAGGPNYMRLLASIVGKNLWDV VHNADLSNWKEVMAALCTFADEKEFPDLCDALGDRLEEQTQNSDDKSARKDASFCFLA GSKLEKVVAIWVEELRENEQKGIESNTDNSSFSIHVRALQGLIEKVTIFRQVTKFQDT ERNKDSDWRLSVLYDKYVEYADVVATHGRLQIAQKYLDLVPEKHPEAEVARNRIKLAT REAPQKAQPAAAAKSAFKPLPQQPNLYQPAKPYNHAATPPPAATPNIYAPPAAAVPQQ ANPYGPQTTAPPAQPANPYSAYGQTAGYQPTQGMRPTSYTPPSAFGGQQPTGAGVPPP PRASSNQSPANTITTYTTATGLPAWNDLPEGFAKAPTPRRATPAAASAPIASPFPNQS PNLTQGPPPVGAPRAPSVPPPPKVGSMPPPRMMSPLSGGQAGSTIPGPSPPPPANPYA SLPLSPPLTQGSTMAPPASIPRGASPYNAPPSMPPPTNRYAPSPGAQAANPQLQTRGP VAPPPQAAASPYAPQAVSQPPAANPYGPATPIATQPPPMAQGIPPPPQGSRPPTAQSQ RPTPAAPKYPPGDRSHIPANAQPVFEILSADMQRVKTRAPAAFKAQVDDAERRLNILF DHLNNEDLLKPNTVEDMANLARALQERDYNTALNIHVQIMTNRTDECGNWMVGVKRLI SMSRATP PEX2_093380 MSETTRLKSTVYVGGLDQGVTAHTLAEAFVPFGEVVDISLPKPD QPNSAEVHRGFGYVEFDLPQDAKEAIDNMDGSEIYGRTIKVAAAKPQKDANEGLGSKT AIWEQEGYLAKHAVSEEDREAAEEAQAASSRPQDPMQGLEQLDVAGPKPE PEX2_093390 MYPTRMLRMQPTRAFAFPTPKEQQSAHTISQRLRQLKRVPPELL PIGFVLAVAIGAAVYSCSKKLMTDKTLRLYRNSPESREH PEX2_093400 MGAIPEVDPDEVLETKPFKFVTAGYDARFPQQNQTKHCWQNYVD YYKCTTAKGEDFRPCKQFYHSFRSLCPKAWTDRWDGQREAGNFPVHLDK PEX2_093410 MLRQITYSTTRFGIYEELKSRVASPTSDPAATPSLVTLIGIASA SGFIGGIAGNPADVMNVRMQHDAALPPAQRRNYRNAIHGLVQMTRTEGFSSLFRGVWP NSTRAILMTASQLASYDTFKRMCIEKAGMADNLGTHFTASFMAGFVATTVCSPVDVIK TRIMTASHAEGGGQSIVGLLRDICRKEGLGWTFRGWVPSFIRLGPHTIATFLFLEEHK KLYRKLKGI PEX2_093420 MRLFATGRALRASSGRWSIGSIRPQTTTPRSLRLTPIFSRNREW SSTTARRSEQKATTASKTPQQQSTLKLEGETYPTDQWTNTPNTILSHIGRRLYLDENH PLAITRKLIESQFASPSYGNYSEKNPVVSTRHNFDVLGFPADHPGRSRTDTYYVNEKT VLRTHTSAHQQAYFQQIARNEHTRPEEVGYTVIADVYRRDAIDRSHYPVFHQMEGAML WKRPAKNPLSASAETAARIMADVEKIPRHDVVVEDPNPTIHAQRNPLQDEHHSAEEVE AVAAHLKRSLERMVVKIFTEASKAAAASSGGAEQEPLKVRWVEAYFPFTSPSWELEVF WQDEWLEILGCGVIKQDLLINSDVPDRIGWAFGLGIERIAMLLFNIPDIRLFWSQDER FLSQFRAGEIARFEPFSKHPACYKDVAFWLPPAAVTGGNSAAGGGVPFHENDVMEIVR GIGGDLVEDVTLIDEFTHPKTSRKSMCYRINYRSLERTLTNEESNELHLKVREKLVGE LGVELR PEX2_093430 MFQRTILRQAQAARSILTTSTPSTASLALRRTTQQARLPAIRPF APRSTRLYSTETKEATEAETAENAEAEDPVKKELEQKTKEAIEFKDKWLRSVAESRNL VERNKRDMDAARKFAIQGFAKDLLDSIDNFDRALLAVPADKLTAAKTEENKDLLDLVA GLNMTQHILLNTLQKHGLERFDPGEKVDGKAQKFDANLHEATFMAPAAGLEDGDVMHV QSKGFRLNGRVLRAAKVGVVKNA PEX2_093440 MASMPQRPVRPPPPCPSWVYSNNSDTHVAKDRCWFGQDYIPFKS HVTDIAGGSVEVIGMGTVNLTTMVSPAQTHPNPHGSLRLKNVLHAPAMLCNIIGSPVS DDYTVIYGPNSSDMSGFIVRNANGCIVAYFKPKGEGPGLYQVQLGQPPLGHEFGISPL CPNGLYMIHAFWSQRERHRFEILKASGLTRASGVEPLTLAERNWFGKQRMSEQAVTLA YGLNPDRREHREEGRAIMRILKSQTENEPKI PEX2_093450 MAVETHKAGFVAETQANEESDNEFNTAPKLPDQFADESFKLFSK IQVTEPTPEEAKQIRKKCLWRILPFLCIGYHLMYVDKQTLGSSAILGIMKDAHLNSNQ YNWLSSIFYFGYLLAEWPQNWALQRFPVGKWLAGNLIIWGGITLLHIPCNSFATLFVV RFFLGVAEASIVPAFLLSMSMFFTYGEQAVMMPVMWSIGNASPITSGLLSYGVLWIDT GSFSPWKWFMVITGVLTVIFGIFVYLFFPDSPIHAKFLTPEERAKAILRIRENHSGIE QKVFKRYQFIEAIQDPKTWLFFLHAWSQEMANGVTNQYSLIIKSFGFTVLQTTLLGTV SGAVSFVSLITAAITLYHTKNCRAWLSLIAYIPGALSSILLLSLPWSNRWGLIAGIWI RSTTGIPYAVVMIWAANASAGHTKKTTVIALYHIGYGLGNIISPQLFRPEWKPRYRPT WIILLVVAAILPSIIIIVLRIYLSRENKRRDKLAEVNQVASNGVVETVDSDGGKVARV VDNSQLDLTDRENLNFQRHNRLLHPPDLNMDIQERQPLLNSSTHPNILSHSSQQEPLN LSSDDFPAPYVDTLPGTPYRKSINWSSAYILVVSQVIGSGIFATPGSIVRSAGSIGLT LLVWLVGTILSACGLAVFMEFGCMLPRSGGQKVYLEYTYPRPRFLASSLITAQVVLLG FTASNCIIFSKYTYFALGIEPTEIQHKVLAVGLLTAITIVHGCFLKTGIFVQNVLGWV KIFLIAAMSLTGVWVVFLGLYGDTDNISPVSGVASSWSWESMWEGSNWSWSLLSSALF KVYYSYAGLSNVNNVLSEVHDPVGIVKTVCPTALVTAGGLYFLANLSYFLVIPLEEIK NSGELVGALLFERLFGAHVGRIFFPLAIAISAAGNVMVVTFGLARVNQEIARQGFLPW QKVLSSSRPFGTPLGGLVVHYIPSMLVLALPPKGDVYNFILDLEGYPGQIFSLAITVG LLIVRYREPHLLRPFKAWLPAVWLRVAVCLVLLVAPFIPPANWRELDVFYATYPLVGI AIVLSGVLYWYVWTVLLPRWGGYQLEEEKEILADGTSIIKLVHSYDK PEX2_093460 MAEKSEPIRVLIAGAGIAGLATAISLARISTLPNLDIQLYEQAP ELLEIGASIALSPNGMRTLEKLGVHNALTDEVGFRGPSGIPQIFRHWKTDQVVSTDTH SNVSDPRHHTTRFHRGHVHAALLEHVPKESIHLGKKIARAEADEAGVSLYFEDGSSAQ GDILIGADGIRSSVRQSFIPDYKLRFSGKVFMRATFDASLVEGKIPDLPADSIHWWGP KDNFFASRLGKNQYTTVGAYDDPRSAEEVERSIAWDQLGNVEFLRQRYKDWNPTVKAL AELTPSTNLYPNFAGDALPTWVFRSRVTLIGDAAHAHGGAFAAGGSLALDDSLALGLA FKEVFSSKNATFSARNINKAFGLYSQTRQPHTARLLDIVHSQINKKATIFGTPEEEDA ALVARLTGRPNTEWLSEHDVEAAFNAVVKQEGAQRQARLAELETKASGDIQLQGSKL PEX2_093470 MATSASPPCSLASSPKFTGSSHTSRPSLSLDIANMPALSQPTPP SNTLLITDLHDLLVFQPPALEEIRNQITAVAPLNSFSPLPSMRRIVCSFHNESDATAV RKLLDGKRLLNRDVHPRIYFGEPTAILDGGRPKLLEAPQVSKMFFISPPPSPPHGWVV RNEDPPNKEVHATDLAHALSMLKTDQTQPETSTVDPATPVSISSDKRTPSWPLAGSQQ RSRSSTIIYHPEDHGDSPNLPAVMVEDMTMDIEDEDVDMDAMSPIEMSVNQMPPKTSR PPIELME PEX2_093480 MDYEMDLEPTGPQVTVREAEPYRVDFRLTAVDLAFANSLRRTIL AEVPTLALDLIEIESNTSVLPDEFLAHRLGMIPLNSYNCDQDLDYTRDCDCEDHCVRC SVTLSLHARCGSGIMSVYARDLIVVGERINEKIGDPVTTDPENKGPLICKLRKGQEIK MTCLAKKGTAKEHAKWAPTAAVGFEYDPNNNLRHVDYWYEQDAAKEWPISENAAWEPA ANPDQPFDYDAEPNCFYFDVESIGNLEPDMIIQQGIVSLQRKLATTVSVLLGEGEDGH AGGAEDAEMMGANDPDAYEPPEGIDGNMTAYGNGAASAWGASAQTPYGATPYGQSSYG F PEX2_093490 MEARVGRKNQRYGSKGERLVAGVVPISADKTKVLMIQSAGPGGW VLPKGGWELDEKTADQAACREAWEEAGVICIVIRDLGLIPDMRPSGLLTAQAPKASYQ FFEVTVEREETEWPEMHKRKRQWVSYTQAATALANRPELLEALNRSSLKR PEX2_093500 MVFKRRSKKWEVIEEWRKEEKWEWRGTAAQAGKRVKVRFSFFVF LSFFLPFYYYFSFLLCIFTLIVFLAEYIVTFYLLKTYGISWYRDGGNSADLTRNYKIV PVA PEX2_093510 MQQVDAERARELSLFRPLGASYYTNSTSVLPEHYEELINGGWRR SGNLYYKQNLLRSCCPHYTLRLEASAYRPRRDQRKAINKWNKFILGPEYMRKAARLCP QTREEKKHRKCNFDLLSAVHQSEYDHIKRPIDPTTKLPIEPAHRFEITIEGDSVSQAK FELFLKYQTAIHKEDVSHWKPKDFQRFLCAGIKRTPNPLTATNASQKKLGSWHQCYRL DGELIAVAVLDLVPSGVSSVYVFYDPAYEQWEIGKLSAMREIAFSIENQYLYYYMGYY IHSCQKMRYKANFRPQYILDPESCDWDPLEGEMTEKLNSRSYVSLSRDRRSSPEPLVS ESDVNLEEVSLFDIGMPGVLTVPQVEALDLDHWLLFFNDNFVHMKDLVNWETMSMTAP MSIKGIVAELAAVLGPKTVRNSAVDLLG PEX2_093520 MDGNRRYAKENGISIASGYLAGAKALVKIMDTCFDCGVNVISLY AFSLENFNRPKEQVDVLMKLLEGSVGEMGENDPLVKKHNLRIRVLGRLELLEDNVLRA ISKTMNATKNNRGKVVNICVAYTARDEIASAIRETVADSAFPAKITAKSLTENMFMGF PPLDLLVLPPRY PEX2_093530 MLDELDNVFDDHPSLDASLEDFENNTNPHRSPMFALPSQHSGFR SEDSDGEDGEIEDPAQERWSPPGFHQPEYVQGSGWYRHQPYLRKDNPTTDRLQLKPTI GLSVSPSQSREPSPQYEDALEGHPKSRQVDRATPGDISVAANVPLPAGADTPLHGRSP SPPPAPSTGRTPRHSPEDDGVGFGAENLSNLRAEVQHREPIAALFGYLRSKFERMTSS KSNTTLSIIILLISVAFMRALVLPGLPQSIPDLVKLSGFARSFEPLIYYSENGVQQIG TLQETGVAVWDLSESVRGTNMTSAPIIVRQLDELSDSLKSLSLELTRFFANVDSDIDS ILIVMDWAKRELETLSAQPPSTLPTIVFDNMHNMLSRIGALERSAQVSDNGVSATSTT TTPLGHLVMAVFGPTSAQRTRTTLTRTFTEFLSVLEESINSELTHSTALFALFESIDR QFLNLQRTVVRESDAQERAEGEMLSSLWTRVLGPDAAAVRKYEKNKKLLANVRSRTVA NKHLLVDHRGRLLTLKVNLETLRRKLVSPLVRRNDSVSFVGSVDGSGGSGNGNSGSAG RMLGPVEAVIDGQIRGLEGTYDYLRTVREKQKAKLMELVYGAGRKPSRAMIDGLEGRD DDE PEX2_093540 MTTFDVFCTLTIIDMREAERAEAALIEEAKKEANRIANLNLPPG DAAKGAKLFQTRCAQCHTTEAGGPHKVGPNLHGLFGRKTGSSEGYAYTDANKQAGVEW NETTLFSYLENPKKFIPGTKMAFGGLKKTKERNDLITWLKDNTA PEX2_093550 MPTPIPGFLLPRGPPSALTLRALQRQSTQRSFTSTSAALKKASK SNTNANSKSSASSKPRVLAKPDRFRPPSHPQRLVTPSPKNAPPGQPFEYGPRTTEKER VQQSKTQYPGMFPPEGTVMFKFLTSKWIHIWIAMSVLISCAGFTFTTNFKATSPFAHL LPSWSSLLTSPVGTISQVLSVWRMHVEHNSMRVREQRHRRVEDAEKRKQYRVAHGLEE AEPEKKKEGGNEVDLQSPVAAVEGAEGKEFVDWEGNKKPVKKWLGIW PEX2_093560 MSNQRQASSASQVPHLSPAELSYLYTSLSLPKNPIRPDGRSPTQ FRPLSAETTILPGANGSARIGFADGTQAIVGVKAEVEKTVLAADTLDSRSLAQHGDAL NREGEEGSAAVSGQGEWVQMSIEIPGFRDDDALPVFLSEMMRESLVGSVAGGNGDAEK EMAGGLKGRLVINKRWHWRLYIDVLLLSQPLAYPLPLLSLTTHLALLSTKLPKLKSTG EEDPFFDDDWAAAEYLYPRSNTSKSSLSAAPSYPVRPPVTLLVISVGDNVIFDPNREE IAVADAVLAISVTRSSDSETLKLLSIRSIDPPSRLTQPGIPNSENVNMLGATAAPGEE TGGQEEVEGVWRPRRGGVKRSVIARMVKTVLGKGGVGEEVLEGLEGVEVQ PEX2_093570 MTVTYDTPTRGSHTSMATLEDRFEVIKEIGDGSFGSVAVARVRT AGAHIARRGTMVAIKTMKKTFDSLGPCLDLREVIFLRTLPIHPHLVPALDIFLDPLSH KLHICMEYMDGNLYQLMKARDHKYFEGKHVKSILYQILSGLDHIHAHHFFHRDIKPEN ILVSTSAPNDSAFSRYSNLVTPPSTPPVYTVKIADFGLARETHSKQPYTTYVSTRWYR APEVLLRAGEYSAPVDMWAMGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGNWYS KSGNKIGGGEWREGSRLAHKLGFTFPKMAPHAMESVLQPPMWPAAFSEFVTWCLMWDP KNRPTSTQALNHEYFADAVDPVRPKSSTSSRLLGRKQSEKSFRSPNLTPGDSPTLSSK PSWFRRSLIVRSDSPVPSAEDSPARPPVVTQVTVPEIQPVKPRPANTKRATWANGAPM PILPSIRPVSPLSNSVTAQANATVAHSESSKSSDTSASSKIGRQLSLNSHGNHYSDQN RQEAERMLNGSGTNTPTTKESFFSHLRKRARRLSGRNQTAPADDVEANAGCIPWSNRS SVALDGPTSEPKQGSDLTELDKALQCVKYSLDSSTLSNVPVHLNNSADGHTKRQSMPQ ATGNTPAPISSRTRRAMQMSTHPVHRYETPEEEDELLDEVLHSASKAARRLALTQSMA ADSHSGIRRQSDNSRTLQNPYPTPSPTAKDSATFGQQSTPSKLNIHKIDPTATNRHWP TPPYEEAELHNKKSDYFARGSNYI PEX2_093580 MGPRPVYLGTKRKENPSYATASPPRLKSTCLSIPRTFPSGREPS LLILFHMAGILPPVCDWPHPVGRSVRKETATYLSSPEPRCIRRSGGGKQNEAQNVRST NINQKLRSQHELLRRRGTETGMVR PEX2_093590 MFDLGYSSIRDQQVAKCGPCTNAQACEELCHRCGHYRAIDQFAR NQRNRENPLCQPCMNYQMSLDPVDQPLAITDKVVVEDTGDDEEEELDSESAGRSEPVA LSGSMERPESVNLSGSLGRLESLEQGLERALVLDDDSTGSEDNGNRGGFARVKAHRAH QEPVSAPEPAPGWAPGTRKVNDGTPWKGGRFL PEX2_093600 MNPDPNEHGYSPTPPYPSYHEPAYLNMPQPQVPRTSSPASNPTP EPYSYPEPQRSSPNMNTGHIDNAVSSAFHSSGSAGYLSPEVLSQITATVIQQLKTTGL DNLQGSGAPQPRSQSQQPPWQTDGSLRPHAESPPAIPLQRSSSIPPPSSASDNVHTGN FQPYVSPVYTSDNRLSPKPTPDPLANRRGSISSQSSDRSHHSHHKAERPKPPDRDATV MEMTTLERIWGKLFEDGKATKRLGQFLRGIAVHLIEDYPPGNTIVIPPHKLQKFYRDT HDPNDPYPWQDIFDDRTSSISRLFREIKVEHHLIQADVEKRPDIPGLTPKGFETWATL MILANPGREYERLQKAVLNMPINNPDDKKERFPKELPRRLFPEIGDIEIREDIENRMM VHCGVDLPYITPEERNQSAARPTRSSTTTASPTERTHSYERGRPRPTASIPKPAQQPQ PRPASAVTDDDEEEDEPIPSVPIERERKPYSANPGIGKVYEESGQSRSHASSFSTSRP SDSTHKGPASGPNPAHRMSDSYDRDPHYSRSGSGHSADKRFSYEPRSSSQSVNHRGGG GSGGGDYRHSEGDLHGRDHAARYAGLSAHDLSYSESPTANGPEDDARKYHRSSRGNDE DYYRGSGQGGGSGSSYDKYDKYYR PEX2_093610 MATVNNENLVFYPAFCFKASPTHFTWVKMGAADVHRLRKSSDFV GQNIFFYNNHPIQFVSLVGVIVARTDIPRRTILTLDDSSGATIDIAVLKKTSPKPSIT SQATPTTSQGDPTTSQEKTEWSSFSLTAPAATSLTQETHLTFKDHDEIDISALQPGTL VRVKGTLSTFRSQMQLHLERFWLVRDTNAEMQFLDTRLRFLIEVLSVPWVLTDEEVED LHGDAERCDERVLEDKRRAERIARKRIEREERHAKAIARRYEKEENERERELKKIRED GERVMRRFGFGDAE PEX2_093620 MTTNTSPHIPYIKQCLSLAEQSPPRPTNFRVGAVLLSRKDNDPT FTDDRILSTGYTMELAGNTHAEQCCFANYAAVHKVPDDKVGEVLPDEPGRKLIMYVTM EPCGKRLSGNAPCVQRITQTAEGGREGIHKVYFGVKEPGTFVGESEGCRMLTQAGIEW EHVGGLEREILTVAFAGHENAQQEVRAALGEKETNVDDVSAEERKRQEAMPRNPMKRM MEGDKHVYL PEX2_093630 MAKNAKRENHASDEEMPSVEEDLYKILGVASDATPEAIKTAYKK SALRNHPDKVSEEARTDANAKFQQIALAYGVLSDERRRNVYDRTGSTDEAFGEDGDFN WMDFYREQLSAMLDSRAISDFQKKYQNSDEERKDLLAAYETHEGNMDAIYDTVMLSNV LDDDERFRGIIDQAITDGEVEDFERYSKEPEKKKQQRVKKAQKEAREAEKLGKEIEDK KKKKSGAAAKSSKAAANEDDLLAIITKRQQDRGAGFLARLEEKYAQPGKKRGVDDEPS EEAFAAVGARKGSKPKSKRAKA PEX2_093640 MASAPSSPERHTAEREAFLRRSSDQAVDTVRSTTGSIDDDAKSD VTSTSLEQPYAKKRFLQARDRSQSRKGVAAIMSGRLFPRSFSRGRDRDSSRGSSQRGS SLDSRSASSPERGRPSSSSTHSVHVETLTPAPISSGKGKSLNMHDTFNKSDEALAKPH GSLPDYIKPAAVEEEGERLAKDVFDRDKNMIESSEDEANDTSSDEDSTLDGVRGRKKK KDSDITSITPSDFAKSKGDDSKQSEEQKASEPPSGRQEKKPRKSALKAVVHPQTSFDI PTPRVQSVTGTPYGSEDEAEIGDIHRAQKLSISMSAIDNGVHNRSIRTIVRGNFSSPQ DQGDGSRRRRRKYLIATDLSEESVYALEWTIGTVLRDGDTIFAIYAMHEDSTTASAVQ VGEGAKAMKDATAVVGTQTKEANQNYGSRTILGRLGTGTASRTHSTDSRASPIAEAER VRAVETVSQTCVKLLRKTVLQVRIAVEVIHCKNPKSMITEAIDELEPTLVIVGARGQS ALKGVLLGSFSNYLLSSSSVPVMVARRKLKRHTWKDKLKGTTNDVHDRHEENFSIIFA AMGVNMETARFFKRDFEEYGSMERVTLFLNLANDPTIERIITPRLALTNEFV PEX2_093650 MEAIKQTFAKCKAQKRAALVAYITAGYPTVEEAVDILLGLENGG ADIIELGIPFTDPIADGPTIQTANTKALQNGVTLTTVLELVRTARSRGLQAPLMLMGY FNPVLKYGEERMLRDCKEAGVNGFIMVDLPPEEAVRFRDLCSSAGLSYVPLIAPATSD ARMRLLCKIADSFIYVVSRMGVTGATGSLSANIPELLSRVHEYSGNVPAALGFGVSTR EHFLSVQDQAEGVVIGSQIITCVGKAPAGQAAKAAEEYLSSITGRKRERDGTGAFTRE INVLEAIEKAQPSGQVQATKVITDADTPAGPGLADQIEALNVTKDASSQPSRFGEFGG QYVPESLMDCLAELERGFDVANNDPKFWEEFRSYYPYMGRPSSLHMAQRLTDHVGGAN IWLKREDLNHTGSHKINNALGQILIARRLGKTRIIAETGAGQHGVATATVCAKFGMAC TVFMGAEDVRRQGLNVFRMKLLGASVVAVEAGSRTLRDAVNEALRAWVVELDTTHYII GSAIGPHPFPTIVRTFQSVIGNETKEQMQAQIGKLPDAVIACVGGGSNAVGMFYPFSN DPSVKLIGVEAAGDGVDTDRHSATLSGGSHGVLHGVRTYVLQNEHGQISDTHSISAGL DYPGVGPELSNWKDSNRATFIAADDSQALAGFRALAQHEGIIPALESSHAVWGVMQIA KTMEKGQNIVLNLSGRGDKDVQQVADELPRLGPKIGWDLRF PEX2_093660 MPACFLFESSLNLCPIFHVRNLPADLSLLVPSQFDPDFQTPLIL GESLDLSDSEESQFVGAFSDGLWAKSEPILSMSAFQKPVAGAIIDYGSTRSLQDAASY SNYGQSPYVTTPMVPSPMADQASQISDCVPYLPNEYASSYEESQSPMLGTRHRQLPEI VTYSPQRGSEGTRVMVQIQCPYDLHASSYAALYVVFGSKKCESLPHFLGFQGSAFQYG LSADVPAFLSTGSPSFAVPLSVLMETQDDCPATSLQVGVYTYEQVSHPSPSADSRKRK FSYSDVPAAPAKRHNGSVIPKVEHHEGYHSRSVSASYSPYLQPLPAMAGFVAPYHAAS SPQTGSGQYSSVSATPQPALRVPSPITPAWSPSFVSVSNDARNTGLALTHGIPQHKGP TQRGTSNPTLIRTSTIQTHSMPHNPAFNPYAIYPTKAILKLNGDLDTMTQGWSKEERT SQRRLVQFTRSQTGSTIQGEFKAVTPEDRAPSSICISCILWEDKNECYVTSVDTIYLL ESLVAVRFTVEEKNRIRRNLEGFRPLTVSKAKVDSEEFFKVIMGFPAPKPRNIEKDVK VFPWKVLSHALKKIIGKYSASYSSTAGALPTPMTTAYTSHASHGTGSDSGTEPHTANS PQSISDAGAGNTYTSMPVQTYSQADHPASHLSSAPDLRSMIAVTQPYTSVGGYSYPAM CHPQNAHGLAAPAPRSSWEMHPLGPSTPHTGAPGNGGCYTYLDPVYNLHDTAHGGH PEX2_093670 MSKAIYISPIDGKPGKPGQVYYPLSLRTIPKPSPQGGELLVKLT AAALNHRDLFLRQHLYPGVTFDVPLLADGVGTVVGTGPNVPSPEKWQGKRVILTPGAG WKDSPDGPEEATGYRIMGGTKVYDKGTLQEYLTIEYSEVEEAPEHLSDAEAAALPVTG LTGWRALITKAGERNSKDGAAVLVTGIGGGVALMVLQFAVARGAHVFVTSSSQEKIQK AIELGAAGGVSYKEDGWEKKLLGMLPAGKRNFDAIIDGAGGDSIEKSVKLLKAGGVLS VYGMTVSPKMPFTMSAVLKNIDVRGSTMGSRKEFKEMIEFVNAKKMHPVVSRVLQTEL DNLSAIDELFEDMKKGTQFGKLVIEFGRSGNSERILEDSWDEGSFQSFDATHYALQTL NKHIERRLAKVQANTVRLKRELWLLQRHIKEFRHPLFENWEADLLTRLIEVAHAHQHK KLPGGVVIGESSFAERENLNHAYSIAAKGIRMPTLHKLGLSEKYHQALQRYSEVAPYR SPNPFQTEFAFAKWLVEVREDRPELYSFWSKLFPVCYDRTVQESASIF PEX2_093680 MAPKKVMTKSPQRQVTKHHLRDEDVMLLWKLVKLNCKKVGIAAL SKELNLEVAAVHMRWSWLNAKFEAFQKKVNEKETTAAAASSADTTMKDIDDSEDTKEA EETEEAKGGNNDEDAE PEX2_093690 MRRSRFTGRSKPNKTEFGWYFAGEPMDKRHSRSGRIRLVRYGPE METCVHSRCPSPSPISEQPSCPRGNRCEVHPHEARSSSPSNTDSSGYEAARRYCERCH SIRRESGSPPQVEKVTCSCHNRCECHSHETRPVTPSSSDYSSSETATKRYGSRHSARR ERRSKETRPTTPNSSDFSDYEPSRRRRSGHRTGKREPEHHPQVEVCQCEAHAPPPSPP TISSQRYNSARASFTTAPRSSPIVHRHHCRKASYCELHPELCRASMPAPSPVPRSIPT PTRSPRSSEKSRPSSRVKPEPNRTFRRSASPHKQAPPPKPVSPPIPTSSRADVQYCSC CYMDGYHADDSGYYTPYDDTASETSIQAMPDHDRDVDERHCAFHHRCRPRFERGLGWV CGRK PEX2_093700 MPTPLPSSFASAAAGNTQDPNRRGDGSTSGEWSRNRMNGATQTF RRPSVATTPSHHRDSSQPASASTLTAGGAYIPPHLSSNTSSIARNGDARYSKDQLLEL YKSQREEGTLSKNVEEYFVADWDPHTVSAPVNGAWGKRDDHKNNSAGPEVCWDHGGQV EPLGITGMTEDEREMFAASVNSPLKPPPTNAAKENVIPGTGGRKSSISYPQGHINNYT TTSSPTAGRPGPRRRETGESVGNPMSPTGSNSRFFRDEANTSTPPPALLRRKTDFREP NPKPDEKAKDGREDPASPFGSLKRSSTNPINTGVSGPNSPWGSASHNANFSPMGAFGA FSLGSGSGSGTPTTEKKPGYGSLRGESRFKGLLSKDSSEDIAGSAKEKPMGNLERLAE NDSDGRSQSPWGDAVKTRVNRSETNPFDEPRSGSAALGGSQDVEGPPQSDLGFGAFGM TSSIPGFRELMQSQENSRNPTPSLLQGHEPNSPTNTNPYQSPHGDRHGERTEPEDVDT DGSDIQPAQHPGISGLRDPSNPFGSMRRVGSGIDLPSVDRSQNSSVNANRTFSGLGGL GGLSSLGGASTWSSGAAVGTPTRERSAFVGGFGDPIFGSMGDLQSPSLSTLGGGGLFS PHAGMTGTGSIGRSSKLGALFSSMQDEQGRPDSVGLDGLDPSQGDKAGQASHPGSTPA SQTPVSAVGSIPNLAGHDIPPSQTPGAGIPASQQRTMVMPDRMRWIYRDPQGNIQGPW TGLEMHDWFKAGFFSPDLQIKKLEDTEFEPLAQLVRRIGNSREPFLVPQIGLPHGPEP TVAQWTNTTAGSAQPPFPGSFPSFGTTLTAEQQNALERRKQEEQYLMARQKEHLAQQQ AIMKQMAPPGAPSSSMNPPLQHQSSAHSLQSQPSFSSITSPVGYQPSPIQAPMQQQSQ GLPGFFDGVAPRQGGLNVGPGMLGTDFGSQDQLPALLDRLNVSRQDPFAFGSAGSFSG RQPDNFLQSQQVSQMLQDRAQLQQEQEDFDKAHEDDPFDQHAREERLRQFHALRAQEG EMGMRTAEGLPTHPAAASQQLEAEVAAEMEEAAQQLTDSVTGAEPLTLSQQVHKAASA QRQQQEHDHSHGPPESVWGNKVDHAMPQPFPPPPSASPLPAPTAQRNRQNVAESLATG SRSQTQTPVDAAPTSVAPWAKEANDLPKGPSLKEIQEAEARTAAQREEVAAAARRAQF LAEQERLSQAQAQAAPGLPSSANWASAGSPATPASAGSPWATKTQPAPTATTAKKTLA QIQKEEEARKNRAAAAAAAAMAATPSPPVPSSVGKRYADLASKGPAPVAAAGQGSSAW TTVGASGKVKGVLPTAPALGPRTASGTVPISPLIAKPRPVTTTRTATSGSTPQSNPNQ AVEEFTKWATLALSKGLNSTINVDDFVQQLLFLPAEAEIISDSVYANSQTLDGRRFSE EFIRRRKLADKGIVDPVSPSAFGEQKNGGGWSEVAKKGSAATVAAAAQREEEAASSAF KVVAPRKKGKR PEX2_093710 MIPLLRLPNELLLLISTKLESEEDLSHLLQANRRLYDLLLPRLY QQNVKLWKSEGLANCAATGNERGVRHFLYWGAYVDVQVLSTIRKKTDRFIPPMSLHTM FSGRRPTSQARNPFMVPPRALLKVESQTPLSIAAHAGHDDIVRLLLEHGAQLNDCVGK EIWTGPNNPAVGALLAGHESVFRILLEWGAQKEGPNLLYGGLINCAVASGQMPMLKLL IEFGVDTNAEVNGMCPLLWAVRRKPNYASMVEVLLDNGANVALVDNDRAKLLFEAISR GTVDTVRLLLKHGANCHESVLHGAIRESTLETVRLLLDHGAHVNFESITHAVISRNCD ILELLIDYGFDLNSRGYKGYTILHYAIRCSGMPSHMPSDGVISGYLVQPVFKCPTGPD PPHVSAYCRRRRGDHSTAEDIVRCLIRRGADVNAMNGMRETPLYLAWKYASPAVQHLL LEHGADWTAMLTIY PEX2_093720 MMSQCSAELCMLLIEDNFGELFARIFTVLQRYERLTLPRLKFYA RLTDRQLHHGLSAMIQHHLIYHFTSLDDGNTYYEANPQAAYSLVRSGKILQLVESRLG EYAAQVLEAIMMLGHSSIAHLETLPELQSKRQRVPNGINHDEVKPEEDGENAEDSAAP NGDHVVSEKPVRLHPTLKSLASHGYIHRLREAHFQSPNDNWLDASRIIGSRPDVKLMK GKQQASEIEEKAKEMVKERTEGDLSRGLIYNGLPQGAKRKRDHGNSESNKQASNGTNG VNGDHVEDEEEEENDWSEDEDGLDSIPMDSNLIVRVNYEKLDVALRNTRFLELAEQDA PSASVEIYECLLRRIEYQTARCRDSYEIPREGEEGEQYSAPIQLSSIVEDVDPHLDLA GCIGPMEPSTVLNRRGKRPLEDDTNGVNGDEHEDDSSGKNRAYEIDQHLSILSQPPLN LTTKHVISGLPTWRVAFRGLSRKLRHLELERMIESRYGDVALRVVRVLHSKGKLDEKR LQEISLLPFKDLRQTLASMQTGGFVDLQEVPRDAQRQPSKTIYLWYYDPDRVCSSILE DTYKAMSRCLQRIKFERSRERDFLEKTERTDVKGHEEEFLSEAELEHLRNWKAKEALL LAEVSRLDDMVAVFRDY PEX2_093730 MLSRSSLSRNAPRAFAAGRRSMASAVNPAFQYDVSEASGVKVAS REVEGPTGTLALVAKAGSRYQPFPGFSDALDRFAFKTTLKRSALRITRETELLGGEIS STHSRENIVLRTKFLSKDLPYFTELLAEVATQTKFADHELNEVVSKLLKYKQQAVHSN PETVAVDAAHGVAFHRGLGASITPSQSSPYEKYVSGDALAEFAQSAYSKSNIALVASG PNSAEVSKWVGQFFKDTPTVNASSQYNVLPSVASKYYGGEQRIASKIGNAVVIAFPGS SAFGTAGYKAEASVLAALLGGESTIKWTPGFSLLSQATKGFSQLRVSTQNNAYSDAGL FTVTLSGQADQVGAASKNVVDALKKAAAGEVASEDIKKAIALAKFRALESVQTLETGL EATGSGLVHGNKPYQINEIAQALEKVSEQQVKEVANTFLTGKATLVSVGDIHQLPFAE DLGLTV PEX2_093740 MRPIPDLPFSRKPSDHPSSPSLDPRTATPATFFLSRSPHVADHD SNLSLDSPEDVKENMYGVQSLDASLSQSEFTSLPRDPSADSLKQATDDLESHLAQHRS TLKPLNSGTIDSSDQASPIHAVSRPLTPLTLGIPDDPSSLPSSPKSISNQSFRPLDDI SITDEINSQALGSGDEDEIPYGSPFLTPGGASQLIMPSIKMPSRRPFTERGKSMGRFK VLLAGSPGSGKTSLIKSIVQTCEDIVHVDTIPQVTSSGRRRPSRPRSRGTLTTTTEIY ASTKPYPPWWSDLEDSRVLQRRKSIGEIVLERNLCFVDTPATNLSPAGQSNAIGQYMR QQFFRATNALSGSSVDFQNLLAGNGGSQVDAILYLISNDTLSTDVECIRKLCELSNVV PIVSKADTLTPAQVTHLKLRFHEQAREAGIKPFLFGDPPNGLDGLESQPPYAVSSEKT IDTETMDASTLMSPDYVQPLVPSELDALVNKMFDRDNLAWMRHSAAKKLLQQCRDSYP IPPSMPLPNAQPGPAASGSAYASNSWRSVSGISISSGSPPGYAMARIADYTRHEERMA QVHLAQWATDLQRSLQNERDRYTSLARGERAVWLTERLGECVVDGSLVPISQTPGFCG LHGSIGEKNPGGFQVMRSHASSGAYRFATFTPHDPLGVIGWIDELGHRSWMLVQIVGS VGVVGGLALWLARTWGLPTRSLSDLRVDYWCGTIER PEX2_093750 MEQPAMSWPEQLHENNLITAPGEEEFSNLFEFNMPFPEIEHGPG NMQHSHSLPTTTGPDSDMAHLRSHAVQYSGQMEGLMDFNDNTQSHTHHGHPMPYSTPH MTPGFCAQQPSPMSQPPTHQHYMQGHNMIPPTPNSVEMHGNTARYPQRVDENPDMYDG YSRINEEQALYTPLISPAMTPLENQFRLPEYTIPGEYFTPLTSPALEAQNAESSSYQY HARQVSDMGFVPTTAEVNPLPGTSAPPSPSIIRKPNSRHRPSTTATRLNNTRKVKQSP IIRAQRKRSTLATNSEEFYNSLTQEMNSTRPQNQDIRSLQLSSNEGSGQDSVSPEPLS EPMMPPPALPPPRMSPAIAPHIKSSSPGTAATPALLMRIQRNQHLQDPAGQFRGQAQL AEPPAEFPDDIMEDISLPEAAAPSQLRPKPNRIDTAVRTQSISTNGTPFMDPLSATYD KPHSASLAPSPRTTAMPSPSGPVPRKDSRTTSNRKRPSLSSTQASPQLRPKISPSIQP LMKGSEGMSQDALYLASKSNYQHILDGTLPSGVSYPEALAENLSSKRTNHKLAEQGRR NRINNALKEIELLIPQEFIDARNAKEAADSGGKVNEKEKEKEKANQAISKATAVEMAI DYIKALKTTLDATTAKLAAAEAKLSGEPSKESSPSMSTAQSEKSPDGVANSETKSSA PEX2_093760 MSSGLDKSDEVHGEKAAIEQSEVLVKSDLMTDAFDGENREHEMT TWAAMKSHPWACLWAFTMCFTIVMESFDMFLNGNFVALTAFKNHYGIDVEGHGKTIPT KWQSSLFQAGQCGAFVGVFLAGPITNRLGYRLTTIFALLLMNATIFVSFFANSLTLLV VGQALEGVPWGFFIANSPAYASEIVPLSLRGACTATLQMSWSIGSIIVAAATYGYNNR NDEWAWRVPLALQWIFPTPLLVLLFFAPESPWWLIRRGRKEEALRSIKRLGSDSHEQA QQKLAMIERTVEIEAQMGGSPTLLDLLKGTDLRRTIITCLIYASQNFAGNLIANQATY FFEQAGMGPDRSFQLNLINSCLQLVANIISLPLASSFGRRTIYLWGTATNITLLMLLG VCASIHQNQATNYAQAVLGIIISFVFAGTLGPISYTIIAETSSVRLRALSTGVGRAAY YVAEIPMIYLASRMLNPSGWNLAGKCGYVWGGTACVCFVSAYFFLPELKGRSYRESDI LFNRKIPARKFKSTIIDVRDNE PEX2_093770 MQPTDPARVVARMVCTFNRRDYRINKNILCLNHKHDKEEHFGDS TSFFSRAHIVTGINLVRQLLAEGIVHPTEVSIITGYEAQHKAYLAAVTYCHEHDAPGS EDWLNVHVHKIDTYQGKEANIAAIVDLVRMSQLGFMREPQRLNVACSRVRFGLYILYN QTGMKNALNRTPAFFVKAMLQDISENQLSYPAPATDYESEL PEX2_093780 MAPKALQSVIKWLYGHSEPRVLLLGEAASGKTTFLSQLILGQVV YTIPTMGYYLTPFDYKWRTYMLWDTGMGCFRYPNWLAYFYTADTSVLFFHDCTWGEEY TQQSLDLLSSIGREMLHVGYRSLWVILNKQDSLSAEGVDHIRRIYDKKLKEMFDDPVN CKVIDYFVSAKTGEGVKEVMDDLHVFMTGIKQGHHQQRIPKHIAQKQSQKEQVSVDHD LNVRIEEEGSRDNMDPQQFWHSFLSANLTEWDHRSHLKSGFIVTLESIKEGKNVFDMA ETFLGHLKRLRTMKPDLFRNTEHRLHTGKEEFPSWNDFQQVLLYTPSLMNTGLWRLYY TKEHMFSEAARESWSLPDLQPLPGISSPHHDSPSGQVSNPDRLLQYAFAVVQYTLTSG SRRGQVVKEALDSLKITTMRIRTTNSSVPPYSETQGYFWIQVVHAAIQSLKATPGSST GERSIIDIPASRISSATLNALFGLNSSTWRHHYSEKVWNSISARMEFVQPDLKPIPNI ITISSQSQEQAALFKQMEVSLLQRSPGLPTLECLSFQAIWVIRDVQALAESSMVDSPS ISSHSHLLLYLYRKLILGSENGLPGKTALEQAEKMSGPQLDSVTHKMFWIQVFLIAMA RAEASRGMADKQTSREKVTFEAFIRGNLHLVHEDLPSLYYTPEIWQSKEAFEVMIAPD RRRMDRFLESRLKDKLEEFVFI PEX2_093790 MTSLSNYRLLSFDVYGTLIDWETGTLNGFKPLMEASGVHPSRSQ ILDAYYECEKLQQSKTPKMKYADLVATIYPQMAKRLGLPEPTPEQSAAFGRSVRSWPA FPDTIDALKRLKKHFKLVCLSNVDIESFKGSNEGSLEDFPFDLVITAEEVGSYKPDPR NFEFMLRAVHEKFGITKKQVLQTAQSQFHDHHAARKMGIRSSWIVRPGSIMGNLDEHV YDWKFDTLGDMADALERGL PEX2_093800 MNSTQASRLPVSNPVQSFWNADPKKFDDYQSTSALPSVADFVVI GSGLSGVATSYYLLKDNPNLPSVVLLEARQICSGATGRNGGHVKPDTYSDIPKFAKLL GIEAASQLAEFEASHVHAVKELVETEKIDCDFHMTRALDVYLDADHANEVESTYKSLE ELDILNLRDVAVTSGKAAERISGVKNAKCCVSYTAAHLWPSKLVHQLVARLVHQGLNL QAHTAVLSVCPSKDQDYLWTVRSSRGEIKAKKVVHATNAYASSILPEYTNAITPVRGI CGHIESPLGTNTPHLINTYGIRFDTVNNDYLIPRADGSIIVGGARQVFWHQKDRWFDN VKDDETIEEAATYFHDYMQRHFQGWEKSQMKTTRIWSGTITHDIVLGYSSDFMPHVGE VPGKNGQFIIAGFTGYGMPKILLSAKNLAAMINTGIPFEQTGLPQAFKSTKERIESKD NIMKDSYNSLWTNNAKL PEX2_093810 MAREGCRARRRRVADKDRKRAARACDLCRQQKEKCEGGIPCTRC VRLHRECMLSISLESRHSSDLVPPVTIESPPPPGQPQPTTAETGNETDLSELLQRLFY LENIVRYKFGVQNLDLQALRALASEAQQDASIGSGGNMAPGRGIAAVDEKCSIQPIEH NVAHFSGEHSHWNFSMQIKRWIKQNISLNARIPVEGLTEFWRFKDLQCLSSTLAAVSS LPPRFIADFLIHCFFKHAMTNYFYVDRDWLFTKLDTAYSSSSSLGQNDAGTMCTIFCI FAIGTHYAHLEANDGDTTGSFTHHPSNVTPFTGESASLVFYHEACRLLPDVITIASLE SVQACLILAVFALPLDASGLAWTYLGLASKLAVLNVNQHSSGAATPSPLSCVEATLRL NEMLNRSSLKRFSCADALHWGLDRLSQSQGELVAWWDCLPTSLSRPELSSSTLDIRPI AHLKLEYCLVRMFIGRNFLFPEECLRSEIMDSMDCRDPGSSASLMDPNILKSHFIDNC VDAALGAIETCRFLKNTIGLARASYTEFSSCRAALLIIAIQCFQTGSRKYRHSLREGL IMLKEMASWAELARVEASLIDAFEKVLINMDATQNEISADESGFMEFKRWENMWKENT SATDSFNLVGQDGMAQMTDPSWQDWPNIRESTSLNLDDIDSFPMLEPRGQRGLIHQPR TCEDLSTLLGFSFQSS PEX2_093820 MSENLHFDTLQLHAGHEPDSATNSRAVPIYATSSYVFNDSAHGA RLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAAVAASSGQAAQFMAISALAHAGD NIVSTSNLYGGTYNQFKVMLPRMGITTKFIQGDKPEDIAAAIDDRTKAVYIESIGNPR YNVPDFEAIAKVAHEKGVPVVVDNTFGAGGYFVRPIDHGADIVVHSATKWIGGHGTTI GGVVVDSGKFDWGKNAARFPQFVEPSEGYHGLKFWETFGSLAFAIRVRVEILRDIGSA LNPFAAQQLILGLETLSLRCERHATNATALAKWLQTNENVSWVSYPGLEDHPSHDLAK KYLPRGFGGVLSVGIKGGAAAGSQVVDNFKLISNLANVGDSKTLAIHPWSTTHEQLTE QERRDSGVKEDAIRISVGTEYIGDIIADFEQSFQASKALPDRTA PEX2_093830 MLALKPPGVSGSKIGGITNLCNANVQNESVLIQKIYTHFKKAPG SHKLGVLYVVDSVTRQWVEAARKAGQVPGGDAPDGTFAAGVKRVTDLLPILMTDIINN APHDQKDKIKKLVDIWERGVTFPTPMLISFKEKLNAAQNVESNTPEGSPAPNANPIGI PQQPAAPDTSSILKALADMAKQNTTAPAAPSSLAPANPMGAFNSASVTPANDPSSHMA AAMSALGAGGPVAPPFAGMPGMGQNPALQPQSQSGTPISMPAANPLTAMLPQAAQPAA PDSNAMAQQLQLLQMLAAQGIPQDQWGTALQLFSMTNNGGMGGMPGMPGMPAMPGGPM AGFNPMQGQNVGGWGHSDAQNKDDRGREYPRSPPTGYRRRSRSPGWDRRRTASPPRRR DSPVYGEYGESPGRRGDPRDVRGRRGNEYRQRSPPGGRGGRRRSPSPARNKDPNLPPP GPKFIEWDYSIGQGMIKVLSRTLFVGGVTSSEAHLRQLFGQFGIVQTCIVNVDKRHAF IKMVSRTDAMQARDGMESYRTGEMQLRTRWGVGFGPRDCSDYQTGVSIIPIERLTEAD RKWMLSAEYGGTGGRPIESGMIVEEPDIEIGAGVSSKAISRRIATDTGGKRGPISTRT NPNPQDTRFGGRRPERDGYGGGPQMGGGELDMPNMNNQGAAPAVPSYGFNFASMPMLP PGFMMGGQPGMPGAQPPSGGQGN PEX2_093840 MASSLRIGTTALRSSIVKPVQSAAFNGVRCYSSKVKSLKDTFAD NLPAEIEKVKKLRKEHGSKVIGEVTLDQAYGGARGIKSLVWEGSVLDSEEGIRFRGYT IPECQKLLPKAPGGEEPLPEGLFWLLLTGEIPSEQQVRNLSAEWAARSDVPKFVEELI DRCPNTLHPMAQFSLAVTALEHESAFAKAYAKGVNKKEYWTYTFEDSMDLIAKLPTIA SRIYRNVFKDGKVAPVQKDKDYSFNLANQLGFGGNKDFVELMRLYLTIHSDHEGGNVS AHTTHLVGSALSSPMLSLSAGLQGLAGPLHGLANQEVLNWITKMKESVGSDISDQSIK DYLWATLNSGQVVPGYGHAVLRKTDPRYVSQREFALRKLPDDPLFKLVSQVYKIAPGV LTEHGKTKNPYPNVDAHSGVLLQYYGLTEANYYTVLFGVSRAIGVLPQLIIDRALGMP IERPKSFSTEAYAKLVGAKL PEX2_093850 MSTTTSAASAPALEKKPVKFSNLLLGAGLNLFEVTSLGQPLEVI KTTMAANRGDSFAGAMGRIWGRGGIFGYYQGLIPWAWIEASTKGAVLLFVASEAEYYA RSFGANDFVSGISGGMAGGVAQAYATMGFCTCMKTVEITKHKMAATGVKPPGTFATFM DIYRKEGIRGINRGVNAVAIRQTTNWGSRFGLSRLAESAIRKAANKEEGAKLSAWEKI LASSLGGGLSAWNQPIEVIRVEMQSKTPDPNRPKNLTVGKTFKYIYDSNGIRGLYRGV APRIGLGIWQTVCMVALGDMAKEAVEKLTGDSVTAKH PEX2_093860 MATRSYTPLRRSLGQLSTRSTRIAGRRAGSSICGYSTGQKPREP KPFTVWRPYLRLAFGVPFIGGIIYSMMTEEVTELDAPSMVEVDEALKQSSKINESSPM RLRMEKLIKQHQQKIIEELGRIDGQQFKTDTWSRPNGGGGVSCVLQDGNVFEKAGVNV SVVYGKLPRPAIEKMRADHKSFVGSDVDSLDFFAAGLSLVLHPLNPMAPTVHLNYRYF ETSDPKDPINGDKNWWFGGGTDLTPSYLFPEDAQHFHKTIKDTCDRHDATYYPKFKAW CDKYFYIPHRGECRGVGGIFFDDLDANFLQTSAGSSSNPQETLFSFVSDSLASFLPSY VPIIERRKDMLYTPEQKEWQQLRRGRYVEFNLVYDRGTSFGLRTPNARIESILMSLPR TASWAYMDPVSGTRTENMPVDEDDLTEDKTSEKELMDVLRHPRQWA PEX2_093870 MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGDL PEX2_093880 MSPAIASSAGAASKDVKKESATARLLGSGSAGIAELMIFHPVDT TAKRLMSNQTRITNATAFKEVVFREHVNATIGRKFTSLFPGLGYAAGYKVLQRIYKYG GQPFARDYLAQHHGADFDNAFGKGTGKAIMHATAGSLIGIGEIVLLPLDVLKIKRQTN PEAFRGRGLFKIVADEGMGLYRGAGWTAARNAPGSFALFGGSAFAKEKIYKLTDYNTA TWGQNFVASVCGASASLVVSAPLDVIKTRIQNRNFENPESGFKIVSTMLKNEGPTSFF KGLTPKLLMTGPKLVFSFWLAQTLIPAFGQVV PEX2_093890 MNLTFAALLVLPLALAGSLKSVIVTFPKGTPDSVVNQAKLSLVA SGGIITHEYHLINGFAAEAPVNALQTLSTQDCQYKPNIEEDKVVSFFDTRLKRVAKMA SEHTVTKVLLEWINSFSLGKTLRATDELTDGIILWEILQDIDPQYFLEEIPEPNSSDH WVAKWQNLKHIHKLLLNYIRHQNDDILPSGLDPSPDLESIAEKASTKETNKLLKLLLI AAISSPNAGTYVQTLQELSTSTQEGLRDIIEEAHNGQYEPMDAADELREDLAKHDRPV DLELQFEERVGKVLAENDRLTHEKKELEKALEDLHNRLARLQENNDTLQNRLASTEDR LVTLKSGKGDIGHNAKALESKTRQQDDLIASQEAKLTAAQDEIDSLRMTVESLRVKNQ RYQKLQDDYDELRTEKDQLARKANAAEKYRQKLQASQDFEKENQTLKNQIKDIQQQLK ESDAQQRWSSERDVELEEYRKLLPQVEQECNEIQNLKKQLEFNNHALTERLESADEQH ERDDALISELRERLGEIDGLPGSPSPGSETPKMQGTLHKDFEALGVKESQLKSDNDDS KQEMESIKAPSAPASHTGGFSENFAASVHLARSGSTQSDDYWKLYENYTGALKKIAEV QDTLETTKRDLSDTQAELGLVNAEQVDLLQGLEAHNSAELAKIRDDWESLTQNVHHLE AEVDASQTLVREVCAEREELRKMLDNKQSEISSEDQEVMNEMQMLLGEFETHNTEGGE VPQKSSFELLKQCAGVLEKNVERLAQRAEYIQQQNELVKSLRERMKNYEENLDDGISK ERELELQNIIDCQARELNLVGSAWYHLQSRWQNNNMTVSRYRHGASTGDSKGWLAKQR SAVTG PEX2_093900 MSGLVSDEIAEDYKSSLEDLTTNDGIQIRTLTVIAKENTEHAMA ISRVLENHIRSTPPLQKLPALYVADSIVKNVGSPYTLFLGRNMYQTFMNVYTLVDGNT RRKLDEMLNTWKQPAPGSLDTRPVFPPDITRNIESALIKARTAALQQQQARAQPDILG RSRGNGTPTGWTNSSTPPQNMSRPSQNQYHNNGHSNSAPPQTHRQDIDLSYLNRDVDA LIASAKIDFANSPFNPVSQQRLKALVDLQAILQKQQLAPDQLKLVRDQVSALAATRPP SVAPNVATNGPAVSAPPQIPTPPAQPVSQPLQQLLNHNTLAELIKATASRQQSTPPPQ LPVALPQMSQYPHQSGPPQPAPENPLIVALRARGLLPGGPTPSSLTPSTSTPVTGRSN LPFILPHGMQPTTPVPSQTPIPSSGSSVPMSTASMKIPRFGLIVSLYDSRPNRCGTCG RRFLTTEEGKEMKARHLDWHFKTNQRMTESSRRAQNRSWYVDERDWIKSREAGDENGA ADPQATTEGAAGVDGNIKQEPPKPWIRAPNDATLRNTPCPICQEKFESTWSEDVQDWI WQDAIKVGSRVYHASCYSEVTKGPAPTRRTRTSTPDSVLGKRKAEGTESPSQKTRIKT EI PEX2_093910 MAPHIVRWGIMATGWIAETFTNDLLIDPKLRDASDVAHQVVAVA SSSSKDKAEQFISARGITTPCSAYGDYETLVADPNVDVIYVATPHSHHYQNVRLALEG GKNVLCEKAFTVNAAQTKILVEIAHKKNLFLMEAVWTRYFPLSIQIRELIQKGEIGEV LRVVADTSFGDDVETKWGTTHRMVNPDLAGGALLDLGIYSLTWVFQTLYHTLPRDQRK PPTVSSQMTPYHLTGADECTTMLLSFPTSTPSNGPHPQQSHGVAMTNIRVSADPDEKG SAGPPIRIQGTKGEIQVYGQPFRPESYRIIPKKGAGEIREVQCPFPGNGKGMYWEADE VARCLRDGKLESEGLPLEESIVIMEVMDEVRKQGGLTYPQKIESTEYPLQF PEX2_093920 MAPITEEAVSGLKNTIHQLEAKVSELESRLANGGKPKSATEQMR IILMGPPGAGKGTQAPNLKEKYCACHLATGDMLRSQVAKKTDLGKEAKKIMDQGGLVS DEIMVNMIKSELDNNSECKNGFILDGFPRTVAQAERLDDMLVARDQKLQHAVELQIDD SLLVARITGRLVHPASGRSYHKIFNPPKDDMKDDISGEPLIQRSDDNAETLTKRLATY HAQTTPVVDYYKKTGIWRGIDASQEPGQVWKSILGVFRQ PEX2_093930 MPATTADTLSLVNRSVTVAPLVLLSVADHYGRTAKGTRKRVVGV LLGENSGDNVRVSNSFAVPFEEDEKDPSVWFLDHNFVESMRDMFKKINAREKLVGWYH SGPKLRASDLEINELFKKYTPNPLLVIVDVQPKEVGVPTDAYFAVDEIKDDGTTTSRT FVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIGQYL QKVLDKELPVNHAILGNLQDVFNLLPNLSTPPATQRTNGQEPQIENSELARAMSVKTN DQLMAIYISSLIRAITAFHDLIDNKIQNRQQQEESDTKRDQEANGTKGDKEVKKAGSP NGEQKEEQDSSDKNKKN PEX2_093940 MDSNSPHIFIDRLITKLAEQPAGGLSKNLKPLMLTLHCLFPNDF LPALDLLDRKLVRQLVRSDQCATSLETAQEDIFIVISASVPPSLPGLSVPSQEKGYEV RLRAWNCSCPTFTLSAYRDSHLSANHPTNEQNQSTYSFGGTLARGTARVSPPTCKHIL ACILHARCPELFGTDGDSRFAVSKEELAGWCAGWGG PEX2_093950 MANPKPTEEFEEELEEELEEELEEEQELEENFEEEVLEVADETE PREKSGKEKRTLRTVIPNYWHPDFPDKTTVGFPNDGVDCYRNVVFQMILHMPIFYNWL IWYREHHAPKGYVCNLGSSEEGPSECQVCQLAEISQAYWAGETESWMPTFDSLTHSLL HGWKPGGVDSEQDPAEYFEVLFNAIKNSTKPMMQGDLEDIFRVEIIQAMRCAGKNPCD PKYTLDPCLFMRISLSGEEGDILPEKPTLSDIIAQHFDHEDDFGVCAQCGGKKTAKDQ IGSFPELLLVHLNRTSQSGKKIDTHVYLSEQLNIETRFMDERWGNERKVVQYKLTSVV LHHGQDVTRGHYSIGVKGKGDEWTKANDTEISDWNPEGRGGNPNHLATGYLFTYRRLP TDDPVRRHPEPQTAEENAVEIDAALAWDDEALFADFDSGPVPESGSYVLGNDPKALGK LLGAMIPKVVDSYIARSADARRKEWDKWANEWEKKRGTVKAKASTFAIGPAIGSDINT GSNEDIVDWNKQRGRLEITLTGDAGKGAKVLDLEVQGMHYNRLKRKKGERTEEEASEK DSTFSKFKRKVQGKAKEYGNGKGNRKGKGNGKK PEX2_093960 MIALQNRPILPPVKVDISLLLKPQDEEETAPGMNTGYPPRTIGP PLGLGPMMTMPGPAAMAMPPMTKTGPSGAAKRLQPSHTSESPAKKQSKWSPDEDALII ELRGSGMKWEDISKRLPGRSAISCRLHYQNYLERRSEWDEDKKNKLARLYERFKAEMW SKVAEEMAIPWRAAEAMHWQLGEQEMARRAGVVPFSLSSAAIDPPTTRTRRASTSLSR PRKGSSSRAIPGHLPGLPPQLPSLEELTAGVPAYAPAPPPPREFYGIGRPPEMGIPPP GLMGPHPGMPPRTMP PEX2_093970 MASQQPGEAYEQQNVHEVYQEIAQHFSATRYKPWPIVERFLTSL VPGAVGLDVGCGNGKNLMVNRDVFIIASDRSENLARIALQHQPHSTVVADILDLPHRN ATFDFAISIAVVHHLSTPARRVQAVAEILRTVKHGSETQEGGKILIYAWALEQKNSRR GWDKGDEQDRMVPWVRKGDQPQTFHRYYHLYAEGELERDIDNAGGRVLESGYEKDNWW AIATPKTAHDV PEX2_093980 MAFQPTPMDISVIITNAALAKSGNLEPRFLTERRITPTWTVMQV KAKLETMTGIPPGCQRLRVKVPGRPDQWADGDDRLIGDWGLVKGSEIEVNDSRPQTMR ANFTDLSSVEKYVLPTETYEARSDSVLAWKKNQKLGRFDPNALSPEDALHHQVEKDQN EVQTRGITVAKRAIVLPSSPPHIRRGTIRFVGPVPTIPITGPGRELQQDAELPVDLQP IWVGIELDEPMGKNDGSVGGQRYFECLGNRGVFVKPEKVEVGEFPPLGLDDDLDDLME EI PEX2_093990 MARGPGKARDFDYSNVGTKGRRTGISLKEGRRDEHGMEEVDGLF SSPEKSPVELNGFEDMENESSVGSEGMSMDEGNAPDPMDFLKGTNGSRASFLPPPAAR SPMKTGLTGSPRRTPALQSTPDPQDIESSSPSDGKGFAGAQGESRQDPSPLSTRSVNV GRSSQRNGTRQKSTTKAQLAAEFEPAVIHDFSDFSDGDGDENAFAAVQQNFRDSLDVD SDSAAAEELPDSPGQDQHDHDDNSSTANTTPPREEPVVASKSTKKAAPKTANTDKNQV KPAASRGRPKSQRRNVEEDADPRPTKKRNTAKASPLVREPLEPELGRVVENYANRTGP LKGRSLYILKRENPTDNSATHTRSGRVSVRPLAYWRNERCVFGDGEAAEGHRYPLSTI KEVIRTEEQEPEKKKKKGKRSTSHKSKSKKQKDDSSDEDEDVDLWEKEGGVLHGYTLK WDGKTQTSSKEEEVLDIAYAPSGIETREVKDSTFRFAKLLSSSFIGSGVVELPPDGVK KPKNSKKMHMVFYVCHGRVQVDISGVQFSAGKGCVFQVPRGNYYSFANTHQKEARLFF TQGCVPDENENEDDTPQMSQDAGPESEFEADTPTAPVPMPAKKAKGRPKGKQKKTGK PEX2_094000 MTNNTPTVNPLKESSTFIPPSVISNPLLSGESYAYYTPCPNAIT PQAISPTSHDTIESTPCVLGVDEAGRGPVLGPMVYGAFYLPLDLHHSLLTEKHSFDDS KVLTPAVRANLMRLLNTPGDTLFESCGYAVKVLSARDISSGMMRSPTAVYNLNAQAMD ATVEIIRGVVEDRGVDVREVYIDTIGNPATYQQKLERIFPSLKITVAKKADSLYPCVS AASVAAKVTRDVALEVMYEAVLRAEQSLRPTPETWGSGYPSDSKCVGWLRRNMDPVFG WGNECRFSWGTAKEMLEMKGGARVDWPDEEEEGAPRLDEFLLSSAPGKSTGKVGLRDW YGHRKTEVL PEX2_094010 METVRNIQNPIPPVLLQPSKWLSIYEDFVTKNASSVGQVESALR SLTYIIPGRYRESEIPSECVHSGVQLLSLYHDSLVSRVVDRLPSTVPRPPPTPHSRYT KYWTSRSSLYRQVALALQMLQYTELLWEMAARRRGQKTRWRVVIFIEFAKAVCRLLLL RLTNSRPLVSPPLPEREVDPRTTEEEEPQTDWNGMDTPVSERSSDLSWTMPRTGLSLP SLPDVNDVSNYLISKVLTADDIKPPKALLHRATGQGQLAEVLYILRPVVYAMAMQKWS GDRRSWRPWLIGFGMEYGCRQLAKRDFRERVAGGLRGLTGLEREELKKRGWSMGWWMM RGAFYENITKSWLHSLTGKMKGKPLLDLVGSVVEDYEYLWDNFYFSTATL PEX2_094020 MEVVKELHAAVANACEASFDNAYKHMKSHIDAHAKEAEIKESLA SQAQRRLEIRIRELEHDITVLRSELQQYEVDPRDLELPGEYANLETEFDPKNLWGDGL DDDDHTVRKSRKRVEARYTALYTNLQTFIQTWSGLKSRVLQHKKKLRRWDQQLKRGEF SLVLNGQPVTFRRVESPTPEDVDGKHSEAQGFSKKRPREECTDLPAKAIRLSQRRSYA DDVHADIRVEDDNQRVIHGLTSKLASTQCNSPRPDMEPSESSDTLCLISNVPRQQPPQ RPSPTLPGRHLVHTQPAAMSSGRSTVVKNEILSSSPTRIGSVRNSEQPFVSTQDLDEI GDTIRTPIKRKAYRDVNIAGAWNSENSTNNANYSKRISLGQQTSQQSSILQPVDGNTR RATFSAQGCSTKRLQDLEQRAIPALAEDGDGDGDGDGDGDGDGDGDATSLRMSSKIGP GVNVGPSEAKTNGGFAQRRLENLLERSVPSKSPLHLLSKVFDPDSTRANYTPHSDQTT PKASSQMAPDIDPDDEPFRARPLRRLGLGHFKINPARNQGLDYAYDAVVRKKDDRKCI SGCTRPGCCGDRFRAMARLGGLPGKSGAEQEEEDQAILQEFVGEDTQLLRNMSGKERE NLLVEARARALANQYGRHRHTHQRAQSPPGFWRTDMPDTQEVEEDLEAAKRLEREKVE ERYREAMRPGGLWTWADE PEX2_094030 MPSYADQILWWDCLHGRFSARAPGLDHKRFSMLSCKNPFVSSIK IISYNPNRLGNLQSSHVGYSDAAITAMALSSPYLMIVSRHKDLTLYSLRSGSHRAGET AEASEPRQVASLKADNIVAPMTLSLRVSSFEIVATIVYSFFHIGCGWSLGIQELRLGK DGQQLDSRLATTVDSQYGLRPLQSRKRRHSVGESVDNPPGIDPRAPSIIHQQPPTSMS YSHPYLLTSHADNTLTMYLVVSTSENVFVQGGRRLWGHTSSVSAVQVTNRGKAVSVSS RGDEIRIWELEMAISSLGSRKFFEENGVPLNAGNTGNKDEEPEPGLGTISHSLGRLNV ECQELTLPIGFDEERVLLLREKTGTQLLECYDFT PEX2_094040 MDFPPAGRGGCFNCGEASHQAKDCPKKGNPTCYNCNGQGHLSRE CQEPAKEKSCYRCGQTGHLSRECPQGGDSNYSGGGSQECYKCGQVGHIARNCSQGGNY GGGYSAGGYGGGFGGPGGAGGRQQTCYSCGGFGHMARDCTQGQKCYNCGEVGHVSRDC PTEAKGERMCYKCKQPGHVQSACPN PEX2_094050 MVSHLSTAGVSLAELPKSKVFTSKLPSDPAFDTPESSHKAPRET LGPRMVKGALFTYIRPEQTDEPELLGVSLKAMKDLGLKLGEEQTSRFKALVAGNEIWW NEEQGGIYPWAQCYGGWQFGSWAGQLGDGRAISLFECTSPQTNTRYELQLKGAGKTPY SRFADGKAVLRSSIREYVVSEALSALGIPTTRALSLTLVPNAKVLRERLEPGAIVARF AESWLRIGTFDLLRVRGDRELIRKLATYVAEDVFSGWESLPAIVSLQDQQSSTQIDNP QRGIPGDQVQEHQDVQENRFARLYREIARRNAKTVAAWQAYGFMNGVLNTDNTSIYGL SLDYGPFAFMDNFDPQYTPNHDDHMLRYAYRNQPSIIWWNLVRLGESLGELIGAGNRV DEESFVNDGVTEEFEPELVKRAEKIIERVGEEFKAVFLNEYKRLMGQRLGLKTQAESD FQNLFSEMLDTLEALELDFNHFFRRLSGLSLSNLETEEGRREAASVFFHAEGFGVIGY TEATARDRIAKWLDGWRLRVLEDWGPANDEERQKAMKSVNPNFVPRGWILDEVIERVE RKGDRDVLGRIMQMSLNPFNDEWDLHKEEEERFCGDVPKFKRALMCSCSS PEX2_094060 MANTISSIPTLDLNDGTSVPVVGYGTGTAWFKKSDTGINNELVE SIKTATKLGYHHLDGAEIYQTEEELGQAIKESGVSREKLIVTTKVLPNIADIPQAIDT SLKKLQLDYVDLYLIHAPYFAKSKEELQAAWAAMEQVKQSGKARSIGVSNFLQSDLET ILETAKVVPSVNQIEFHPYLQHGNLVPYQEGKGIKTVSYGGLTPATRAQGGPLDPLLS SLATKYAVSESEILLRWIIDRGCVSITTSGKEARLNSYLRTFTFKLTPQEVDEISKIG EQKHYRAFWREKFAEDDRS PEX2_094070 MSVNEKRHSQTTTTPRAASSPTSDREVLDQRLQRLSDDVLPPVP YLLTFPTKTPFNLGNRSANNWAVGHDRPFSLEEQQLQYMTFLTHHDTDSLLLAVGDWS DETGRMMTDRSTAPSAGESSRETVAKKKISLKDYKTQKTSVAVASPVGLEAGSRGASN SAKPEERRQMTPSEPPKNTDKTKMPRMPPKSHARLSPERAGQKRPSGSEHEFPNPSVT KKSEAHTTKKLRLSPEKETRRQPSPAKSHSPKLPALLSPTLPPTSGGPRLPRLLSPTL PPDIEKELARLEDRSPSRNSRAGKGLPAKESNTHEHPRDHARADPQKTQLLTKLRYGR ANRKRVEALLKFSGKRKAHLSDSPVSQDTDRDDVSHFRKKGGDTSSSRGHTFGDLKGK KHDTGEPLASQYGRSKEPKSFPEKPRTPVPQAHLTSHSIANDKTKAASITPVKDLKDL KPPTSRRNELGETDGRTPSYPANKRHSVDPGSIMKASPAQADGRTRNERQVWWDEWQK FASIGRELKHAAERHTSKTGTSVTDEKLAVVTAIEALLSFIMAFVANDQAKASSRQVG DSSTWLSIVPYWRVVRKNSVSYPALNSLCLLLGAVSFTAIHTLDLERLAITPIPGEHT PVPTPGSDGNAALSDENRKSRKEFSELKARLPECYKESQRLWIEGTRGLSEDVLGRDF PTIWSQRSRNYSERGRSSLKAGDYAGSYFLPLSGTTPPIEVVRFGWSLLKEWCSKERV EWSGRLGL PEX2_094080 MFSEYASRFLAQSQSRVTSRPDEIQRTGRSRPGQHQGNPRHPSS RSFLRPSNPYRPGASQTSQFPFAPRSSVQQAPLFFSATDEFREEDDETEREREIADFY ALQRSRRHFGDSNLKESSELDDSERSGFEEESSPYNDRPGKGIKSSWRGEEGVFSARP FPIDSVAEAPEHESASPSSPGSSKVRGHLVDIGLEDSLRADVDDDQVLSNPGAQDPPV QRFRERELLKEPSGPSQHGHTVEQAELFLQDTPRPPSSASSFPTSVSPLASENTVHDA FWGQLFLISLAGLFASAFLVYLHTSTPSGDKSRWGDTIYMTVHGSFFLLGIYTIVSIL VSLLWLALLRSYVRLLVHVILVAVPTILYSFSLYPFISSFKGPWHGASIQDKAMRWGS AVPFLIATMWIYNIVRGRQSIGKAIGILEFACRILAANPELLALGLGALVCVVSWTWI WMLMFTRVFLGGHLVSSKSFIIDVGSWWLGIYFIVVYLWSIGVIAGIQRAVTAATVSQ WYFHRLTTPAPTSRQIVQAAVVHAATTLFGTISLSRLLGLLVRLPLLLLPGRISSLLS LFAYSLVPTPITYLTNPLSLTYAAIHSQPLAASSRGLSQMAILAPSAASTSLHPRSYS QSPGNSESLLSYRLSKLILYAARFMMSLALGFGGWVTTARSLTTSASSGTIRGSLYAY VVGLIAGTIGWTTLGAMEGVIADIVDAAVICWASEVGIYGREARYCREAGWLFGDSQP RFGHEYQEV PEX2_094090 MSQPWDYIAKLVCIGDSGTGKSSLTVRLCEGRFSPSHDVTIGVE FGSRIVPVGPPASLELELDEPSPGSSGDTFPEASTTSGLPTPPRKPQGSPNQKRMKLS LWDTAGQETYKSITRSYFRGASGALLVFDISRHSTFISCTQWLQDLRHIAEDGIVVIL VGNKTDLTGASSGSNQRQVTQEEAEEWCRMNNVVRYVETSAKSGDGVERAFLEVAERI YRNIETGKYDLNDRRSGVKGFGASGGANTGVSRTVTLGMDDAMRKGGNGWTGGCC PEX2_094100 MAGLDTAVSTGAKHESDLRRRNVPDTSKVHLDSNRLNDEDVKKA RTQSPSFLHVLSSWEPIIAPIILTALALFTRLYQIGRSNIVTWDEAHFGKFGSHYLKR EFYFDVHPPLGKMLVGLSGYLAGYNGSFEFKSGEKYPEDVNYTFMRAFNAAFGIVCIP LAYYTARELNFRRATVWLISLMVLCENSYATISRFILLDSMLLCFTFTTTMCWARFHR LQRDSFSLECVKWVGFFCTALVGLYTIDDLWNKFGDLKMPRAVLAKHLIARVVGLIVI PILVYMFSFYLHFLVLANSGPGDAQMSSLFQANLQGTEVGRDSPLELAIGSRITLKNM GYGGGLLHSHVQTFPEGSMQQQVTCYHHKDANNDWFIYPNRQEPDYNATADLRFVGDG DIIRLIHGQTGRNLHSHAIPAPITKSHHEVSSYGNITIGDDKDHWKVEVVDDVASRDR SRIRTLTTAFRLRHPVLGCYLRAGNVNLPQWGFKQIETTCTKENKPGDVYTHWNVESH TNERLPPGDPGSYKSPFLKDFIHLNVAMMTSNNALVPDPDKQDDLASKFWQWPILNVG LRMCSWDDSVVKYYLLGNPLVYWGSTATLGGFGLLFLWYLLRWQRGYNDLSNEEISHI HYSGVYPVIGWVLHYLPFIIMARVTYVHHYYPALYYAILNFGFCVDWVTRKMNPRLSA AVYAFLYVIIVGLFIHFRAIVFGMEGSNQQWTHLRWLPGWKMANVN PEX2_094110 MKSPIAPIFLEPHLGELPGSSRRISRNDAATGASAAGVRALSAQ IVAFYFRAPIKAFFRMRVDYMAFARAVNPRVAEGKWSLHTTTPGLLLHAVRTYGWRFI PNQVLPPLMANAGVGAVLYTSYLQVLGALHEPVSQGVKRVWPPAPPSATFAAGFTAGT IQSIVAAPLDALQVRFQTSDMLEGQYRSMWHYGHHKLKQIGLRGVFAGWSLSFMRDSL GYAVFFSSFEYIKSQSYYSFVTWYYGSLQVDRVGMLSSSKSSDRGVPLIKPHYALEPC FLMMAGVVASIAQQTIQHPISRIQDLHLGRLEYLDHQASLIPSRQRMIRLYYHAYQET FKRCKRKAARAGGWPSWLFRGFIGSSLRQVPSTSAGLIIFELVRRRYANPADAVHIQS DGEKGSRRSSHSSARSVGSDFSIWSDTGDLAEQSAEAEDSLQIHLSNSSDRQLLRRKE RRKQPKHVHYPSNLSNERPGVDIEKIRIPHPSPRHISRIERVLAAIMTPRNEPQSQIH GLVGKPLLYFTSVFVSLGVFLFGYDQGVMSGIITGWYFKDYFNQPSRATIGTVVAVLE IGAFISSLLVGRVGDVIGRRRTILYGSIVFFIGGAFQTFATGIPMMMVGRVIAGLGVG ALSTIVPVYQSEISPPHNRGKLACIEFTGNIAGYATSVWVDYFCSFIDNDYSWRLPLL FQCIMGALLGVGSLMICESPRWLLDNDHDEEGMVVIANLYGEGDLLNDKARQEYREIK MNVLVQRQEGERSYSDMFRRYRKRVLIAMSAQALAQLNGINVISYYAPLVFESAGWAG RDAILMTGINGISYLLSTIPPWYLVDGWGRRPILLSGAVAMLISLSLISYFIYIEIPA TPTLTVIFVMLYNAAFGASWGPIPWLYPPEILPLSIRAKGASLSTASNWAFNWLVGEV TPVLQEVIKWRLYLVHAFFCACSFVLVYFLYPETSGVRLEDMNVLFGDASTAMPTPAT QGERGSLMGAGSPVPSLDLRRQYGQFGAENSIPGLDIDPPTLSHEVTGKRGRSDSHQG SVRGEGIGGWISNMVSRNRGAGPSATSSQYRRLEQGEGDQQ PEX2_094120 MAEEIAIDNNNFFNRLSSFYASWKADKRSGNALFGNAGSIVILM GKTDEENSFQKNNAMHFWLLGYEFPATLFVLTTETIYVVTTAKKAKHLEPLKEGKIPV EILVTTKDPESKTKAFEKCLDVIKGAGNKVGTLSKNTASGPFADEWKRAFAELSKEVE EVDIAPALSAAFAIKDSDELVSIRNASRACSGLMSEYFVDEMSRLLDEEKKMTHKALA AKVDAKIDDGKFFTKLARLPSEFDSQQIDWAYGPVIQSGGAYDLKLTATPDSKNLEPG IILSSFGIRYKTYSSLIGRTYLVDPTKSQEANYALLLSLHEATMKEVRDGVVAKDVYN KALSLVRSKKPELEGHFVKNVGAGIGIELRDANMVLNAKNNRVLKNGMTLSITIGLTD VKDPDSKNTKNGGYSMVITDTVRVGESGPHIFTKDAGIDMDSISFYFGDEEEPEKPVK EKKETKSSATAGRNVTRTKLRAERPTQVNEGAEARRREHQKELAAKKTKEGLDRFTGT TGDDNGVAQKKFKRFESYKRDNQLPAKVKDLTVYVDLKTSTVIVPIMGRPVPFHINTI KNASKSDEGEYAYLRINFLSPGQGVGRKDDQPFEDLSAHFVRNLTLRSKDNDRLARVA QDITELRKTALRREQEKKELEDVVEQDKLVEIRNRRPVKLPDVYLRPPLDGKRVPGEV EIHQNGLRYLSPFRNEHVDVLFSNVKHLFFQPCAHELIVLIHVHLKTPIMIGKRKTKD IQFYREATEMQFDETGNRRRKHRYGDEEEFEAEQEERRRRAALDREFKAFAEKIADAG KDEGVDVDIPFREIGFTGVPNRSNVLIQPTTDALVQLTEPPFTTVTLNEIEIAHLERV QFGLKNFDMVFVFKDFRRTPVHINTIPVEALEGVKDWLDSVDIAFTEGPLNLNWTTIM KTVVSDPYGFFADGGWSFLSAESDSENGSDEEEESAFELSDSELAAGDESSEEDSEFD DDASADASEEDFSGDEESGEDWDALEEKAKRKDKETDHDDNDRGIKRKR PEX2_094130 MVRCSPFALPKRGACATEDPGADFLHELGRLKSYEADPALSQAR KAPIEIETWFHIISSKSESTQVTDDMINSQLSILQQSYVGSGISYRLQGVTRHVNDQW ASNADDTAMKTSLRKGSYRTLNVYFQTDLQASPGQAGRDSVTNNDLASSVLGFCTLPD PSVNASSPASHYVKDGCNVLAKTMPGGSLDLYNRGGTAIHEIGHWNGLLHTFQGETCS VDDPGDHISDTPQQSTPTDGCPAQKDSCPDSPGLDAVHDFMDYSSDVCYERFTPGQGE RMRNMWISMREGK PEX2_094140 MSQEVTRAESIEEQERARDDGDKKPKSRRPANTAFRQQRLKAWQ PILTPKSVLPLFFIVGVIFAPLGGVLLWASSLVQEISIDYSDCSRQAPTDSYASVPHY SATFKSSKAISAPTWRKSINESNSGTVTCTLLFEVPNELPAPVFMYYRLTNFYQNHRR YVQSLDLNQLKGDAVPYSTIKGGTCDPLAVNTTAQKVYYPCGLIANSFFNDTIGKPQI LDPNASENDKQYYEMTTKGIAWESDKELIKNTKYKMDEVLPPPNWVWASENGAYKEDP NLHENEAFMVWMRTAGLPSFSKLSRRNDTHGMPAAKYSIEIVDRFNVTEYDGTKSILI STRTVLGGKNPFMGIAYVVVGGICVVLGTLFTVAHLVRPRKLGDHTYLTWDSNQPSTA IATGRDNAP PEX2_094150 MSPPETKPLESFPVSLSPFAKPQDSSTRDIQLPPISADRKRTQS EMDLPSPPVTPYTGNKKSNSHASDQIERDLGSPRDPVLFPPQDSVTDVATDEPLFGPV HPPSAEELVEEHMNSHMAIFRNKLNKPTRDEYLLALSCVPIVSTQYNRNPGAWAREER ETLERQMAMMNRYRSGDYEPKLKKIAPAPVKRSGTQPRVQRTRVKRTPKSTPKQQVFD NFDPPQTPLTKPRALGTNRDDTDYHSLKDFSPPVDTLGSNAKALKADWKGQMLDLSND PDRNILSPAELNLASTLRLSCATYLCSKRRIFEARVRALGVGKEFRKTDAQQACKIDV NKASKLWTAYERVGWFEAEYFHQYLA PEX2_094160 MASHSKGLARASRTHPLEGFHNISFTSASMKDLDSSAARLAGCL GFQTTASLHALFSNTNAPTKRVISDYIADRQGGFGAPPEFPNKFRSIKAPTVIEAVEH AGHYTQLLLDDKPPALASPNYMLTRINDLAVNSQLRVAPFSFDALETFLAWKVLIFFY TSVHRRKDSAPTNWHKVPAIAPTHINSKGKAATTSISVPTSRVSQLENALARFDNAIA EAAQDDPAQLNAALPDVISDSAYKTLVVGLGTALDRPTTARLNRPALSHTDLGYLHGS LCNERFLTNSLLQYHPPIPRGDGMTHGYATKTISASEAHNTGIEASDLTSSDDLLSDD PSRGDISTKPPVTPVDPREQLTVSAIPPLFDAALDVLKLPLATESHAELNPPNSFELP PTYLKPWQVTALGWMHLQEASPLHGGILADACGLGKTLTALSLIWTTNQLLPAVDAPP GTFAPSLILVPNALVDTWTSEIDRHFGDALQLIVFFGSTTRTSDRRRKSQIVGTLEEL HNHLNQLHSSDSITGLTVVLSSYQTWARRTTYEVDAEGAPLSHPLVSSPPSRPAISGR QISHADIDEDEDQLSDQEQEAIEEAVDEAVDETMDDATHLSDLASSGHNEDPFGTARR ALAQLQDAQSPGEDQPRRASRTRYFANRVETTFARIICDEGHRVKTISSRQHQSVAHL QRSSTWFLTATPMWNKPFDFCGYLSLLWSNDFIPEPESVDPEHLTDVAEPESALHDYT TWSAVSPLPDTGRPYHLLSPVQLLTLGRGGHLSTDVGFHVLPVILRLTCLAREPGHLM VGHGNASVTIGADIPPLAISTVELRYTRTAQLDHDKSYGTLASTLHGPPTESAASGPS QDGQAGSINWATYRQLCHIAVYPKMDLFLRNSAHSVLAAEITSYGDWGDDCGFGLFFA RTVQDRSVDLPTSRMAVARYLAYDCPRLRFLLHLLWTEGTLAESGNRPRFLVYCNWPC TRWLVEMFLAALGVDFVVIRAGMSLDARTTAIQRFTNLASTTTVLLTTYNCGALGLNM HAQCSRVVLMEAPQNYNSVFQTVGRIHRLGQTHPQKAWLLFQDHTIQRLMEYNSTRKI LPQIAAQFRPWLQTQIPSVAAHAPVAQSAAIQAAAAQSAAARVAGRLSSPLPAAQPNI TPSASPTLSSQYDDNSSDPPPKKPVSDVLHTTAAIDRVDMDRIAYGLLAEILGIAPGM PSRLDMGEHHDLGLLGETAGGIQYSTRGAPMAVNPAHRTPQKRAPSQPQLHGGRPSKT SRQE PEX2_094170 MERIYNTLSENRKFPMTDEQLSDLGVDFHQFDIRTGTISSPSVT SYFLPRVDFRDVLDSDLAASPFLKAASCSEVPGPRHSPWILESFEMVMFPDMMTDQYN YFTFTGSGLSFKEFHHEGIPNGGWVVDRDTHFLLGGALLSVCREFRDFECTNTEKLEF PLDSLSCHEHKGHQRSLVGFCNQEKCGDKRPSSMELFAIAALTHREMTEVDRERSYKN RPDDSRSNEHRSDECYFTFPNLVFLMDKFGGCRMFQSYFDGKLHVQFSELLDLGHMIA VPAYGEKYFDMVDRKDFLEKLNLLLKWAWPIPQGNTVANFPRICGN PEX2_094180 MDLSTSSKRRSPYHLRPSKSEEDEMELGVPDRPYKWEKEAARAT ITEPRPGKPHGPSLPLGEQPLNVSLRELSGWNSASKIGSQYGHFLTTKILWKYSQVKD LANMNTAVCEALDLPNWAKATAQEYVSGYGDWSQFIDHIAKYPIAKPGNPILIGAFSS IRDQQSRIEGACVELFGDRPPSPIAKKRRRLTNTSSPGQLMTSGTTTPFTAEKLERVT RRLQNLTLESRETDNTGGFTALVTSIRIKKPFGNSEAKKRTHEAKINMSFVNLLYTIC LLLPTELKWDSLQHHLNLHIGEAEYKAIVDGGLVDPDGEMQVLLEVKAMHLSSSSVKE VLMQQGLEMLAWITTTLGIQGPKGPRVQIIHSPIRRWVMLAQYATQIYFIVAELHEEY IEYLVIGTRSGNPLDSKTHLTMQLLGPFSIYSAEEMRAFGFLVVALTIVQHRDWKKSK ED PEX2_010630 MRHTKTPRIYLIGRDQTRASEIIDDLKLANPEGQVHFIKSDVSL LREVDEACRVIQHKEDKINLLFLSPGVGTAKGRDETDEGLDKKLNLHYYSRMRFVVNF LPELTKAGTTGDTAKPGTGLSRVVSVLGAGSEAALQLADLSLKIHYSMSMEHLASSHP NISFIHCSPGIVRTRLMRDMNPLGKFAIDSLMFLVKSREIPLVESGERHLHVATSPQF PPRAWTSNDAAEGSDAHIGSGFYRVAPDGSTYKSSKIMQQYREDGTRSLIWKHTLETF AKVCGDQRSEREDDIADI PEX2_094190 MEEEGTWINLFFSGSRQNILNANEIEGQKFRPFCAEDDNWELGG RADISRVPDTNSIQVFWLRIKGRRKFVGKVFPCYSKRDAIIQLHRIGVLPSPENIGDA IYEFDRFYREARAYSHIDLFCPARERIYFPQYHGVITDIPQSRFSSGYYHKRAVVLEA IKPDLRSRRVLSEVVNHHPETFLAILETLSSKFCTTNTVLSLSSFEQEWYHSLLKDRI RRLDTLHRIGITHGDIRDIHFRLPNDIYDTVLYDFSESYTFSRKQPFRVCGGRLRPLS LISEGERERVLLHVLDRAASRDLRSHLIRFNRKASVAGIDSKHISSVDDALWKSLDKE EDLLELIILRVSYHPDEFSMPTLNSIFPFLEAVCPNSDLCWHIRRAYFASSKIHFKIY FGNPFKRFLDMAETLLSYLTTRNPNVYPFAKPQTSFTFSSDWDPVDSIREWTDFNYDT LHMRFRNELSRHVSPFDTAKNCEDGGFNNIFDERGLSDLICMSIMGPVSAVLPSSFIT SGGRVTQHIGCIPDWGAGKDAARDQFGKAKALVLGDTKFNWSSMSAINVVQNMRNGFY EDSNLIDSVRPIEQVQHYGAVYGCRYVYIISDQELVVMQLHLAPAPFRTSPRPQRTRL PPSHQRVTSSSTISKQLTDISLDESSFRASIGLVEYKRIPWSATSGLTIKLALYCLVR LAAEDGSDLKTDYPRLTSQVESSGTQLLPSMVLPTSEPRIIIGTSSTVTSTLQTSNEA AAQFYDVTVQWNKARTGYVYQDNYGKWVFDDSTSNWKQIGNQIYKFQGAPPYARSSIP H PEX2_094200 MGLYRNSALSQLLPAKAEYEFLAREDIHALDQTMAKLSSLLLNA LPEEKHEIQLKQKRAYNEKRSLYNEELRKVQAQSGKQHGSIYTETMFHYRRKVMPHRD FLARKLPYLRITENGYISTVATQGITEPRTGLQKYALNATYGLPMNRSGGLIARNILI IQAICSVVIRWYFGTHP PEX2_094210 MEPLKPNSQHSYPPKDYDQEQSSSITDSQSSDDEDWYPGDGICP PETQFQSCYQASLDSWSQAASSRDGALKSLDMHLRAFLGLLENVPPFFHEPPKNIELT GGTKSPLVLRSSLHLKTTGHPRCSQHWKPIDYLQCLGFPLPTFYQTDMEEPVRSITLA TRELRPAYLTSIVLAWSYILSSRWVEILQSAGEDCTLFHDSSDSMIENFWELITQGRW LARVKRGQAKSYSPWMLRGEDKSHNKR PEX2_094220 MAITNICLYHGRISGFYVQAKRYRQASNMSIKLGVFRINNCLTR LEIIIHSQNPKNARMSMSNPGAQHLIFLIGIGITMMAFGLMMELHRLKTLGDYNITHG PEX2_094230 MDISLALDTLRTATDEALQSRYSEINLTLSIISQRLQVRFLAST PATVDTSTPPPSDSEKDSPSSIAESTVDRLNTENTVGSLSPSNTSELAIIPVQTTANP APKKGSQPGKNKKSTVEKLVCSMQDHIHWLWDTAHTDNEIISHKRDPTVDIRMEDYRR VEGNQRPSNQDKLLRLLSMRSLAEDFVQETDGKARLQSVIAYVLSVRSGDLDPEKSNS SQLKGRCRQVSDFVKLHPLLSSCHDANRAINKGIKHLAFETVFKKTLEDLKLPNMSET VSAILGLSMDDFKSLTYAQMPQLVDAIVDGVPRTEYELHGQKFDLPNVIKRIDSWFAR VQTRYNS PEX2_094240 MDHTRDPCPWVALSDFGGAFAMGAIGGAVWHGVKGFRNSPYGER RIGALTAIKARAPVLGGNFGCWGGLFSIYDCSIKGIRKKEDPYNAIIAGFFTGGSLAI RGGFKAARNSAIMCAVFLAVIEGVGIGFQRMMADNTKLELPPLPPSEQKALA PEX2_094250 MAGSASASDTNADEPCALLSPASVAEWPPQTKSNVRRSSIAPFT PAWSIGVKNEELDGLDEGQKRDKLADPELLKRGREALEQELEDELSNDTLKAYIDEDL DVRAGFIAGIGFNQLYQCQSWYSAYVYYRLKTEDDEENGKHKGEDIQDAANVRD PEX2_094260 MVALVTSAAALLTLVGGSGALPGTGSTSMTSSSTVAAPTGTSYQ SGFDMKKSWGNLSPYADAPSFNLPKGFPQGCELLQVHILHRHGQRYPTDSTVDAGSME AFASALQNASRKHPGTKIGTGPLAFLNDWDYLLGVEDLLPTGAATEATSGANFWSKYG RLLYGASIGEANWNKTLNEFPNGKPRPKPVFRTTSYPRILESARWWLSGFFTNIGANS SYPQYELVIIPEQSGFNNTLSSTDTCTKGLITGAYAAAEFIPAMIKTAATRFAPFLPE DFFSKSAEIAAEEVLGMFNLCPYEYTTLGSSSFCSLFTEQEWRDFEYYLDLQYYDMYG FGSPSGRAQGIGYVQELAARLQHKLIQSSDSSINSTYDDNEKYFPLDQPLFMDMSHDD TIISVLTALGLDFFKYNPKRLPSTVAHAPKRHFKLNEITPFGAHLVAEIWNCPKNTSF GDLQPQMYKNRNMSSRSDTEKYIRLVLNNAPIPWDGLSACDGSVNGFCPVQSFISQVP QLTKQAMFQEACFGSYNTSIPVNNGQPPLE PEX2_094270 MGKGLARLIGSGIGFTSEAIHAARTRNKDSTSSSPSPTASTSET PRSIAGLQRESGNYMAAENQGNGTSTREDFIELPIREGHPELGSDRELLPEYELSSYS LDSKRQSRTTEAEDPLEFDEKRGSYPSVISNDYNDTVDTKNDRERSMDGDEAAWQLDE ATEEFDLPMYHQSASQPGAQGDQRSFEMGAENDPEEINANDSEDEQAKKRERMIRALV AMAGPPPAQPQRLPYPVIIPQRRPGAKKRGFVRAYAPVLADCGISQDVFLKFISDFHK ASQASMWLQVIVVAANITSFSPSLAVALTAAAIQIVADTAQQFQIRHRTNTYLDRVNQ EIFIPRGQYAMVMKFSDRPPKPTKEQKQNLKQNASSLGSEAERIGGLFSMEQVSFNQA SAPAGNDSSLNQPTRPEFDAAATISKFTHSEEHPQMNAWKQRMKHYRLQSGATQGEMQ LPDCAPLVFPDIDRAAIRVRDGLEPKSKFQSGRTWVRDYIDRKSQASFEVDHKGSVVA VPESGRKAFTSRYNDPNHPANNGNLISALSGGLYKPKPGLIERAGIAIKESQDRKRAA QGLPPSITWKEKWTKKKKEIGSRIRILSEDVMYLMIVNMPTEEELSESVAKLQYLAQQ GDLGRDRAGGPSIGVNPLDVAGVVTLAAAVAS PEX2_094280 MREECLGYRDEWALVFRDQTDHTIKRSKKIETESATDKLPSPAR RLNPSADEIGVNYFFRNFVVDQTSSRGCLNYIPSVFRDDGGHPTLVASMAAVGLVALA NSTQQSELASIARAKYTEAIHNVNTALASPIDSLKDSTLMSVISLGVFEHVSEHKSWI RHVHGAAALVLARGKGQFTSPASILMFNQVRADLVLACVHGEKPFPKDMIELQEEAAK HTDASSAFWLLGVLGTRCANLLMGVRENKTVSFWPEYLNEATIIESDLQYSVELLAIQ EPYTTTHDSGGASRMIYNGRIDLYKDSWAIRVWNNLRNLYMIVCEIRLYLLKKILVTD PTLTLAFQESLKLKLQITKQTLSKLGDDILATIPQALGFLSSVSELCPSVDLSFQGSV SGGYILTWCLYMVGKCPATKGETRKWVIQRLQDFGWNMGISIALRLVEDIVKIDQLAS PEX2_094290 MSSAVGEQMIPGAEPGHYSAPSETRCVEYYDISRPDLPSIANTN FCLPFVSLAPKLSLQINQCTYWNKGSVWPIFNIWRPGLFGISLTQLGPDPVKLRNKTD FIVTGYEDDMEALKTKDTNAISFSTEGLVAGVDVDAPPWYGCKVCNCHRGYLFLPNGE RWNWIEIGEEHYQFKREDDRRDQDVASKTLIWEFQNSWRPSEDLPADLPFAVNRDARV CRVSETHSLIGGPVIAGSPIAVMNHDGFIFFDGVGSKMAEIQTEDNRAFILMSGLAIA KYEGWLAEG PEX2_094300 MSSIQLRALPRLPPASLVLHPSPRIQTQITRLYATQSNIGSGPQ STLPRKKSITVLSDDGRVQWGDLSRGEKVARATQQSFNFVIVLAGAVLTGGVFTLFYL EVLSPNSKTWQFETAVGRIKDDPECIKLLGDRREIKAYGEQTSSRWARNKPIASSAEK DRLGREHLRMHFHVEGPLNSGVVIVHMMKPLDKSNFEYQLLALDVKGHPRIVLEKASE KANVTSALKLFGIQWR PEX2_094310 MSATKAQSQKIFEKAKLKPANKVCFDCGSKNPTWSSVPFGIYLC LDCSAHHRNLGVHISFVRSTNLDQWQWEQLRVMKVGGNESATKYFQSHGGSAALASKD TKVKYTCNAAVKYKEELKRRAALDAQQYPGEVIITDIPAGTPSDGSNTPAGDGDDDFF SSWDKPSIKRPSNPPSRTSTPPVVSRTGSPFLNAGANANGSRSKSPLSASDEKSISPA PTAIRPTNVTRKTSTAASSAKKGSVLGAKKAPKLGAKKIAAADIIDFDEAERKAKEEA ERIEKLGYDPEAEQAEAEAKKAATAAAVPIASPIPVSPAGKSNDRNSGDVERLGMGVS RLGFGQVSKPAAPKKPTFGSVGPAKPNPADEAELTQTRTRFGAQKGISSDEFFGRERF DPAAQSEAKERLRQFDSATSISSNTYFGRPEDEVNSLDDGYGDMEVAAKDFIRRFGIT AGDDLENLSHLVGEGATKLQGAIRNYLNN PEX2_094320 MNLKFPSPDIHRAFIALGSNVGDRVEMIEQACLEMDRVGIKVKR TSSLFETAPMYVLDQDPFINGVCEVETTLEPLDLLDTLQSIEIEMGRKKLIDKGPRSI DLDILLYDLEIFSHERLNIPHSLMLERDFVLRPLSQLIPNEYPPLPGKDTQTYSTHLK TLPPPEPTPMSTTPISPFFPSLHATDPKRSTHVMAILNLTPDSFSDGGKHAPTDLNYI TETVRNFIASGATIIDIGGESTRPGSTPVGAAEEISRVVPAIKHIRTSIPEAANIAIS IDTYRASVAEAACAAGADIVNDISAGLLDPEMLPTVARIGKSVILMHMRGTPQTMTTL HEYPSGVIPEVATELGARIAAAEDAGIRRWRIILDPGLGFAKIQPHDLEILRELPRLR AMPGLECFPWLMGPSRKRFIGQITGVKTPQERVWGTAATVSASVAGGADIVRVHDVQE MWQVTKVADAIYRVD PEX2_094330 MQCEKANANCSSITAPMPVFGSTPAKVGFILDYAAVSQEEDSFL EHPMDEGPASQRPWSLKVCKFTESNILLWTYNFGKLLPRTQLSSGIRFAVGLLSLQKE ATRAYAVETVGLVGTILGYARDERLGREKITTKTVGGPVLLWLNQKDLAIEGLKRIET LAGSLSRGLLMILHYLPRNETRASSKRQTDPGARAMPNAKRVRCHEPFDKDVFREVKK IVLDDVTEKEESYKTALQGVSPDKPLEGDQNPEMEIVSDETSGPSALSPTSSEVEDGH ILELEPLCKRAVDLGLLDLKLSKARRDRPNYKGHQWRQEVGKFDNKEYSYQMGPENTR SRSINVNYCRIGFQKNEDVGDGTVSVKIEVNPPGQQHGKCYATGALDSDPASRPAFRV RYKSSRREQQERYAHAQGRKSLYAANTLVDILVDNKPNEMITKTPRRYLYFNSESKFP EELKRFVGGAYTEDT PEX2_094340 MTVLTAFWQSPADYANRPVAILGAGVLGRRIACIWASAGYNVRV RDPSPQQRVDCVTYVEENVASYAEKTGISPGKAEAFEDMKFVVENAWLVIEAVPEKIQ LKIDTFAELDALSPADCILASNSSSYKSSEMLEKVSTARKSQILNMHYYMPPACMIVE LMTDGFTDPAIFPFLVERTREGATSPYVARKESTGFIFNRLWAAVKRETLTILSEGVS VPEEIDAMWEEMFVKGRSLPCKMMDNVGLDTVAFIEGHYIHERGLSFEHTVDFLKKNY LDHDKLGNKCVNGGLYPPVDATATPNGPRIVVLDIGLASDTPGHTQGEILEVTLDGKL KRVLVSEQNYPDGLDIDYESDRMFWTTMGIPGKDDGSVYSAKTDGTDIRQIVPSGVVN TPKQLVIVAEHKKIYFCDREGLRVFRCNYDGSSLELLIDNRGSGYSQGVPEHSKWCVG ITVSPKLGKFFWTQKGVSKGGKGRIFTANIEMPSGQSPKTRDDIKCVLAGLPEPVDLE LREDTLQLYWTDRGELPYGNTLNRAQLTQSGLLAETSSQKHEVLTKHLHEAIGLKLDT KNGHIYMTDLGGSIYQCDLEGKKKKVIYSDETRAFTGITLL PEX2_094350 MAADKVFSVDDVASHKDRTDLWVIIHGKVYDLTKYVRDHPGGAD VLYDVAGVDATEAYDEVGHSEDADEILKTFLIGTVKDAHEIKAPKKVVRLIQSTTPKK ESTAASGSSAGAITMVIGSIAGGAALYFASPHNFKLQNLLPKLPLPTVASLQSTPTIA LPQGGFFTGFTAATSFCVTVGAVLAAKLSNFTQIESGFTKYPPHLKSQPMVKADPGLA RGFLQPKEYQALPLVKKEQLSPSVFRFVYQLPKETDVIGIPIGQHCAIKANIDGKDVA RSYTPTSNNTDLGRLELVIKCYPDGLLTGKYLANLKVGDKSLFRGPKGAMKYKKGLCK KIGMVAGGTGITPMYQLIRAICEDETDTTEVSLILANRSEEDILLRKELEAFANNYPK NFKFWYMLDKPPQNWAYGKGFITRDVMTAKLPAPSPDTKVMLCGPPGMVKAAQTALVS MGFQAPGAITKMTDQMFLF PEX2_094360 MPSRTFYLLGNPVTSAIEVEIESSTDLDGLKHLIAAHFAIVEPN GIAFQFDHADLPEVQDIISAQGLVAITIDGHAVRDPEGPKGLPIVGNFFEIYPDHLGN HQRLFERFGSVIQTNSMGRVTYHTNDPDIAAIAFAESDFFTKEINKSHPLHALKNSSA GIFLGDTDSPEWRVAHKFLPPALGPKAVRHYAPTMQKTVEDACKVFDELDEQGEAWNV YQYMLKLGSQAVGKLTLGLDFHHFTSADAPVHDMVHLIAEMLSLNKKVTSKGDWYSSL PFGDPKRLKDIKARIEGMVEESIQNAARGGEEDLPLQDAALKASNMVDYAIRATDNKG EKLPKESLVWALVVATAAGFTTTSSLLSWLIYGLVTYPGMQERLLQELIDNDFNDETE ITADFTERLLFQDKYIKEMQRRHNPSFQPGRTAKTDLVLPGGYKLPKDSVIIPAIHHI HNNPDIWDNPYKFNPDRWDTPEVKNRHKTSYVPFGAGARMCIGFNFALQEIKVFLPKL IYNYKFVREGDGPIEYDPMFQLIRPNNLYVRAQRRVKWPPKSEGAV PEX2_094370 MVKITGFTTRDVRFPTSLDKTGSDAMNAAGDYSSAYCIITTDSE HSGHGMTFTIGRGNEIVCAAISLLAPMVVGKDLDEMTADWGKTWRYLVSDSQLRWIGP EKGVIHLALGAVVNALWDLWAKTLGKPVWRIVADMTPEEFVRCIDFRYITDAITPEEA ISLLKEVESGKQERIKEAEASKAVPAYTTSAGWLGYSKEKLKSLLEQSVEQGYRHFKL KVGGDLEDDKTRLRIAREAIGYDKGNILMVDANQVWSVPEAITWMQELAEFKPWFIEE PTSPDDILGHAAIRKALADTPYGPVGVATGEMCQNRVIFKQLLQAGALTVLQPDACRV GGVNEVLAILLLARKFGVPIVPHSGGVGLPEYTQHLSTIDYVVVTGKKSVLEYVDHLH EHFVHPSSVKDGYYATPLEPGYSVEMKAESMDAFEYPGQEGKSWWRSEEAKSIIDGPR VV PEX2_094380 MYNPYQAPGLYGQAPDYGAYGAPPGMAPPPGMAAPGTAAPPGMQ QANAQPGRPGSFPPSFQPPANMPNINFSAPVIRLGTSGPAKPAAQDSGRERGSDAPGR RGGLGSMGMDTQRHHGRDPIMQLQPPTRDEIVRTLFIGGITEGAGGDDGIQRILRSTG ILRRWIRATDADEKPCRFGFAEYDDPESLEIAVETLKNVEVPVKRQTPRAEGEEEKEV EKSMLLVVVDEGTLTYLEQFESSRAEQDPEERQARFTAARKNLDNVLSSLFHPAAPLP KEEVSALDREGDSEMKDAELAKDGEVVTIPITIEDELADIPPEMRANVAKEISAFRER STRRDIERMKREEEIESMERARNSGRINRLASPPASAPSGPAAGINGIPLGPRDRNVL NAPSGPKAFGVQIPKDYQKGVAFVNGGSLNGVSIDREGEDSDASDEEIERRRQDRLKA EQEKQFADQERRWLSRERSRTAALEREKKREDEEDGKLQAAHDEMEARLGAWNDDVED SRKAVDYYADRGAWLRNRASFRAREANIDDADRAAEDRERVQSAKQQEQARGMADDFL ARQAKELETRPQEAPREPQRFKLSLGAAAQKAQAATSRRAMADVEGLLEDEEEPVTAT RRQLIPIKFDSAAEAAGLTDEERTQAARQLAAEIPAEKEGLWNWAVKWEFVDDAVITD QLKPFVEKKIVEYLGVQEQMLVDVVEEHVRKHGSPQELVEQLVEALDEEAEVLVRKLW RMIIFCSESEKRGLSS PEX2_094390 MPGFSQTSDLPAWKELQEHHTTLGRNLVLKDQFQKDPKRFENFS HKFANTVDNTEVLFDFSKNFLTQETVSLLVKLAKEANVEELRDAMFRGEHINFTEDRA VYHAALRNVAKEPMEVDGKSVVEDVHSVLEHMKEFSEQVRSGEWKGYTGKKINTIVNI GIGGSDLGPVMVTEALKPYGHPDIKLHFVSNIDGTHISEALKNSDPETTLFLIASKTF TTAETTTNANTAKSWFLKSAKEDSHIAKHFVALSTNESEVTKFGIDAKNMFGFESWVG GRYSVWSAIGLSVALYIGYDNFHQFLAGAQAMDKHFRETPLEKNIPALGGLLSVWYSD FFGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKAITRTGEYVKYTTGPILFGEPATNA QHSFFQLLHQGTKLIPADFLMAAESHNPVEGGKHQRMLAANFLAQSEALMVGKTPAQV KAEGAAEELVAHKTFLGNRPTTSILAQKITPSTLGALITYYEHVTFTEGAIWNINSFD QWGVELGKALAKNIQSELETEGAGADHDSSTSGALWPTSALAGNINDNNEGYKLREDI PVSCLNRTMEGEHVTDNLGKLQYVPFVTCNETARPLSLHYGISETITCTIDSLSDELY HLLEFYVHSDVPMTCRVPTAPLTPSAGAAEHADKDSDQMSGEADTLSALADNGPPFTP LTIALQGTLQLSHLHIWTDMNVVMHNMASDAAAEQSSKTRGGQSGQPGFTVGGIAYST PEFDNTGKNPKLDEDEEPVALSQAAREPWTAGHGTKVVRGEPLTFSFHVAWLEGGASI GWPVRPPLDSWLALATGSKKKSGSFFSKLVFFVMAASVGALVALFWERNGLRGRGRTP WHGDGLLGGSPARGAKGPGVTFGNGGKNNGYGGYSGPANGNGNGNGVGSGYGFPSGKR D PEX2_094400 MDFRLLARSLRARPTPWLQQQTQLHRVASLANGVRYNSSNSSPN TPFKPTSPTDQPITAPEAAQQAQAQKPASDVNEQRTAAPEAAKPARKPVSDFDDILSR LDLTKPREATSSHRRVFSDSLSRAVGEGAQSGYRGRSRAPLPARKVELKLGPTLGRQA HVEPERGTDLGGALRKLQATLSQNRVRQDANEQKFHVRKGMVRKQKKMARWKKLFKFS FQGTVKKIQRMQAQGW PEX2_094410 MSSISIATLPRMGRDVLAALLSTGEHSNLAIVDVRDSDHVGGHI HSSTWVPSSTLDVRMPELIRTLKDKKMVVFHCALSQQRGPSAALRYARERESTLGAEE NQKQQVFVLEGGFVEWQQKYGNDTKLTEAYAADIWEEY PEX2_094420 MNQATRRVASHILRRPRNTVLPRCPGRWNSTFEQREWSTPLAKT LANVMKVTGPVPIAAFMRQVLTSPDGGYYTTRGENGGVFGKNGDFVTSPEISQVFGEL IGIWTIAEWMAQGRTRSGVQLMEVGPGKGTLMDDMLRTFRNFKSFSSSVEAIYLVEAS GTLREVQKRLLCGEEAVMEDTDIGHRSVCKYFDVPVIWVEDIRLLPHEEGKTPFIFAH EFFDALPIHAFESVPPSPENQQTDEPRKIMTPTGPVELHNPPKHANTPQWRELMVTLN PKAIEENIKGEPEFQLTKAKASTPSSLVIPEISQRYRALKSRPGSTIEISPESRIYAA DFARRIGGDSASALAAKKTSASGPPPSSQKKTPSGAALIMDYGTLSTIPINSLRGIKS HEKVAPLSEPGRVDVSADVDFTSLAEAAIEGSDGVEVHGPVEQGDFLGAMGIEERMRQ LLRKEQDEEHKKTLETAWKRLVEKSGGSMGQIYKVMAIIPENGGQRRPVGFGGGIQM PEX2_094430 MPPNKVIKKKITKRKLVNAILDIQTQKLSFAQLKASLANLTTMI QGGEYELPTLAISSLKIDQVQSMLNLSFSFNNIEFQNQPPVDPSPICTEWMRITRNTF GNSESNEALTRITLNNLLVCSHHHITSHSDDTSNTVHLNAEANWSLWYGPKEDVAVSV VVVEAKSGATATSGVSQTLGYMGCVHRKRKELGKMDSTVYGVVSDGQYFVFLKINNDS QWCEYIVSARLNNYRQVLGLLVHIFRAAAVMSPIQSENTSVQTHSKESSGVSYLEFDE EKEEDAEEEI PEX2_094440 MPTTRRKRGADEKLLPGLPEEKRRRSSKGTISGGSHARKPAAKK GKKKQDPSLPHVALANDLLQRGEELRLSGPISLSGQFFEPPKVWGHRDEPITDPTKLP DGWSGNETDLAEDDVDGQIARCHRRIDENIMPAIFEQKLRMYQQIKQKQTDMINSEPS GLSWEVVQRLDSLKKVKQSFDELGQDNGNTSNVLAIMDAYRSRRLVWDENKVTYWVHG KMVAGPKKMDMEEFLTLSQELGPHGIWVEGMDHYKPEPMYLFFSLLPNFPLYASHNIT VSIRNPNTWRTNTVQHTMALSVLEDTGAIAMKIFQSDRDQLEALSGAPLPVSASTMMV TASGDVTVDNVVLQVNILHNDQPMLPRWIDVRACISRDPPNTPPSGSRLSGIWLHHML YCLSMPDNTNAMYVGTDLTEILATVPLCNPAFAIPPPTSSTI PEX2_094450 MATKQPVSFDAIIQADRQKKKHEDLANQLLGKNRRSSAPGSGSG AGKKAQNKPQNAKPGSLASRIGVAKRSASATVQPNKNKPAPTANINRTRGKTGKKDRV NADQVRAAFQPENVQRNARSGNFAPNSRLSGGSNMTIKGASGPFFVTGRNFAPGTTAA DIQSALEPITGPMLSCQIVASQPSVVAEFAYAEKTAAELVVANFHNQRADGRLLTMTL KSTKAATHQDPFSALRAQADQERLRARRGPGHVNDLLSDDQGNSPTGLYSDEMMVDAP ARNTQKNQNQRKWRR PEX2_094460 MSSRWANDDPETEAIIAQQKRDKEQKRRAKAEKQRLEDANKAQA RDAKANGELGPPTKRRRLSNDPDARPDDNEVVKIEKEEKNQSTLLRFPTGEWGPCRHV DNFERLNHIEEGSYGWVSRAKDISTGEIVALKKLKLENSPDGFPVTGLREIQTLLEAR HQNVVYLREVVMGNKMDDVFLVMDFHEHDLKALLDEMREPFLPSEIKTVLLQVVGGLE FLHSQWIMHRDLKTSNLLMNNRGELKIADFGMARYFGDPPPKMTQLVVTLWYRAPELL LGADKYGTEIDMWSIGCIFGELLTKEPLLQGKNEVDQVSKIFALTGPPNSETWPGFRS LPNAKSLRLPSTSAPSSAGNPPLLPRSRFPYLTNAGLSLMSGLLALNPASRPTARQCL DHKYFKEDPRPKPREMFPTFPSKAGMEKRRRHHTPEAPKRGQEAPELDFAGVFGGAPG GDTGEAGAGFTLRLG PEX2_094470 MTCEGCVKSISNSVHSLEGINKVEANLKDQLVFIEGTAPPSSIV TAIESTGRDAILRGSGTTNSSAVCILETHSTSVTNNIRGLARMVQVSPNMTLIDLTIN GLSPGKYWTTIRQAGDISRGAESTGGIWESLKTKVLGADAAAPATECRGILGTVDVDN KGKGSVFLDRPVAIWELIGRSMVVSKGTEGPFKREDENTLVGVIARSAGVWDNDKMVC SCSGKNVWQERQEQVVLGMA PEX2_094480 MAWVQSISRRSLHRARSGLSALRSRFSHRSSEEDSEDSPEQSFV SPVALRRERQRHGVSSGAYTSDTQEDVNFGAELSRMNLPLSSSSSKTDVESLLRRASS LRSIPDSFITSPGPPSTPIFYPPTRAVSNSGVNSTCTLATDHALDFQFGGTGELGANM DSEDHFAHTTSSTENDLIRPTWGIAISIDKEIKMPAHELEDPQPVCEEQQTTYLQQEN INHASSDTQISDDQPSSFPISSQSSAEVRFAFPGIYHEALCQWARQHDSPSPSLHTPT LNEHTPNTSQHSPSPSQHSPRLHGQEEPPCDSHIPLECITRQDDTYSNLPYDEYDEGT IPLVPPQTPITHSEEIQPLSYANPLNCISNRNLVERWPSIFERPTTQRSSVEEYSSRY TPAGTTSRDMTSTEMTSMESMTNDTWSPWSPIDSELLFPSPVEGRNEYFLVDGKTTSH YPDTGNHPVKSAQHTTSNCGNTRAHQELTELISPGIVSLPLISGDIHGAGLEFVEEDT DDEFYPGIVQPRQFW PEX2_094490 MLPNFAKTFRSSNEDLEKAPQVNNTSDLNGNELFDNSSDGAVPG ESFEYGDSMYAKLQRVAGKFNIEQRGVERVPDSERTDTSYYNIGTMWLAANMVVSSFA IGVLGKSAFDLGFVDAILVCLFFNLLGVMTVCFFSCFGPLYGLRQMVLSRFWFGWWPV KFIAVLNIIACVGWSAANAIVGAQLLNAVNTKVPGFAGILIITFCTLFVTFAGYKFVH VYEYWSWIPTTIVFIIVFGTFAHSGDFINIPMGVGISEMGSCLSFGSTVYGFATGWTS YAADYTVYQPKTQSRRLVFLAAWLGLIVPLLFTQFLGIAVMSATAMGDGVNNKYAEGY LASGNGGLIGAVLEPLGGFGKFCLVILALSIIANNCPNIYSVGLTLQVLSRATQRVPR FIWTFLASCVSLAIAIPGYTHFETVLENFMSLIAYWLAIYSGIALVDHFVFRRGFGGY RPEDYDNPDKLPMGIAASVAFAFGIVGAIVGMSQTWWIGPIAKHAGDPEFGGDVGFEL GFAFSAIVYCILRPIELRMTGR PEX2_094500 MPLDYNPETEIPDLTGKSIFITGGTGGLGAASAIHLAKHNPSHI YISGRNATSAEKVIKQIHKDTPNLAVTFIKFDLSSLSSVKEAAERFTSQHRTLNILMC NAGVMALPPSTTVDGYELQFGTNHLGHALLIKKFLPLLEATTDPRIVLLTSQGWGLHP RGGIIFDKLKTPQNISFGGPWVRYGQSKLANLVYARELAKRFPGITSVSVHPGVVGTG LVDGLGIKEKILVYALSWWRMLQVHEGAFSQTWAATVDKAEIRNGGYYEPVGKLENRK LDAAARDEVLAERLWDWTEEALKEF PEX2_094510 MAESDFEPFKPEIERLYIYENKTLREVMDYMASKYSFSKPPGQY ARQLRKWGFAKGHIKADEWNWIGNKTNKRKLNDNKKSEFHIGGMEVHVPKLKKAKYRD AYVSTMARFSTAPSPKTPEGFSVCTPASPGMHLIWNGGSLPWQRFIKLVCSMEKEEAP PPTSSLAVRSPGADALSTTVNHELMHRLSTMVPWNKLNQPPNIHSSSRTSAALSILIP EDFQGQHDALSMDLSSSTIKAKDRMALELFLLSNNIKSQDSEKMTERNMRSNDERVMQ MLSDYGWKDLEHIQVLLSTREPTAEAIAERLFASSLRLHDVDVVKMMLEAHMDPNIPL IETIFDGVLTPLQFTAGSKHKRSEELVNLLISHGADVNHSGNAYPPLFYAINKHENRI IHALMFHGAIVTPHCLSIATHLKDIEVFEDITNSCSDVNARTGWQDFSALSQAVKQGN ISMIEILLARGGNVNALVSTSSGDNFGATTILGIGAQSGSIDVIRVLLGGCHEINPEF NGLRYVSPLVLAVQRANAKVTQTLLQAGVDIKLADEQGGMTLLEHATQHYSPKEALAL CEVLIEHGAQVDRPVSAQKQESSALLIAVEKNSPELVELLICAGARLNDEYTKPPYTA LGAAIKHFDGVLFNSLLAAGATFVGSRLEEIGSLRTAMYLQESGVLQGVLRVSGPRIL AAALLEEQVDLAQYLLEHNADHEDRIRNEEAFLSEQTTPLEAAIQAGELAFAEKLLAR GVKVTESVLVNAMDGNLVFLEHLLTRFCGSAPIAVGIALRDDKPLQLLELFQKQGVDP AGVPKHLQNRLCLGAFDLLQPESVLEIAVAERDREILQFLLQWTPWSPRLTGRALIIA ILLHLDELAEDILPFDPDLTREITIGYFGRDLFEDVKIEQTYTPLEAAVNRQMIPIAR ALMEKVDVNYLGHGAGCRTALQHAVEKGNMELINVLISEHGARIDSPPATDGGATALQ IACIKGYIGIARRLLDLGADVNEAPARYNGRTALQGAAEHGRIDMLQMLLDEGALIVG EGEPQYHKAVELAEKNGHYAAARMLTSWRDSVSLDPSAI PEX2_094520 MSPTGNRADWADDEDFDDPSVLPPQEVIANKDGTKTVISYRYND DNKKVKVTRRIKTTIVREHVNPQVAERRKWDKFGLEKGHAVGPSFDTTSVGENIVFRP SVNWKANAKEAEKEGGEKGSMKDQLKDKKVKCRICSGEHFTARCPFKDTMAPVEEGTA AAAAGAEAEDDAGGLGAGKSSYVPPHMRKGGAGGGEKMGGRFEKDDLATLRVTNVSEL AEENELRDLFERFGRVTRVFLARDRETQRAKGFAFISYADRGDAALACEKVDGFGYRH LILRVEFAKRTT PEX2_094530 MSLGNCKIIFALDFPVSKGKSLPRDWDDHWQFPHRVISNFDHPG SIARQHRSYHDHEAPGQKVPSSLKYPTLSAWMERQGTNQARTPMERSMSHWLADLQNS EYEACQARKYALDGVGCRPKTPLIIQQLIRLFFCLSVAILTIP PEX2_094540 MSLFDISTLLCAYGITLYEIQKRNECSEIVRYVGIFRPAGTSKP NANNNLTFEQMHEPIYGYLSTRISPKTLDAGKTLKV PEX2_094550 MNSPALLSEVFSLNDPVTSEGDYMNTHVTGFVTDEDDNNQRVGG LVSIPDTNGVRYHTQQPVMQDLHQPLDPLVAALPPGPGSLNFSPAGFCTFHTNLLPAC LSSDVLSFLSELVPLKSLPLSPPVSIVLLRIMVSALRPDSYDIFWF PEX2_094560 MGNMGMPLGGMNDLSRSHGYPGTPRALDSGMDRSQNNMYQQPIV ESSQRSQPQVEAPPFDDTTILHTVVAEFGGQYQTVKPDVQAKMGRGPFPAEGKWTCYR RNYFAVTCGFGLHPWPPTAPLYIRYPDQTLEPIRGFSMAISAIVNGQYGETRELVQHT PKRDKQSERKPGRVVLQPAPPPSFTATSSVNGSLSGFPLGSQSMDYNPSFAGTPQPCQ PPTSHVFERIQFQKATANNGKRRAQQQYYNLVVELYAEVASSVPGETEWIQIARRHSH PLVVRGRSPGHYKDGRRGSTGSMGPDGAGGDSTTCSVLPHGLGQTGRPHMLMYEASHR NGLSYGRSDHRKVNVTDHSPLSDSPLISSSSSSGFEYSMIDTMDPMDTIKSDLYLKSD PYQDNTYTGVPSHQHRQSSTGGYDPVYSTAYSRYDPIQNSHSLCT PEX2_094570 MEGKSFPEISCRVDLSPKRLNCNIAIAIHCCNFLRLCPRAPCLN IKLPTYIPHPSIASPQRIGTPGFKRGPGSSEPEGHLLHTYLHWKAVIAMHLDTVCFVF AHPAKTKEKFKNKQAVVNGQWLTPPILYLRISICACTSPSERPQGMA PEX2_094580 MSLWSSYRGLAPKTRALFGIGVMAWAGIGLWTAPQVENALGMQP SKEEQEALDRKLAVRVSRVGGEEVETSNTRVN PEX2_094590 MVKKGPFSIEAPGYERVPGETIPRRHPKAKDGLITTLEDVSTTY ENMRRSARVFGNSKAVGSRRLIKTHVENKKVKKIVDGVEQEIDKKWTYFEMSGYTYKT FLEYEKLCLELGSGLRKLGFEKDSRIHLYGATSAHWLAMSHGAASQSVTIVTAYDTLG EEGLKHSIVQTGSTAIFLDPGLLKSLISILRSVPSIKHIIYNTDTEMDQKLLDQLHSE FIHINVQSIEELRKQGEENLVEPVPPKPEDLCCIMYTSGTTGPPKGVPLTHANVIAAT AGVHAVIGPCINHTDSLLTYLPQSHILEFMFENLCLFWGGTMGYGNPRTLSDASMRNC LGDIKEFKPTVLVGVPAVWESVKKGVLANLNKASFVVKGMFWGAMSAKSFLMSNSFPG ANLGASVLDAVVFKKLKEATGGRLRVVMNGGGPVSKDTQRFLSMAIAPMISGYGLTET SAMGALNDPLAWNPDALGEIPASIEVKLVDFPDAGYLTSNKPPQGEIFIRGGSVTTGY YENEEETKAAITEDGWFMTGDIGEFDRNGHLSIIDRKKNLVKTLNGEYIALEKLESVY RSSPVVGNICVYAAQDQDKPVAIIVPAEPALKKLAHENGIKGDSLESLVHDEKLNKIV LQQLQSAGRAGGLRGIEIINGVVLSDDEWTPQNGFMTAAQKLQRKKILAHYESEIGKA YGKK PEX2_094600 MDQIIHLLANGEEVIDVDEGTPPPHTNISPKYPQYSQLTRIESF LLFAQDIPSNNLGMLNPRAPSVDISINGNEYTIHQSPSLLSSHRAGGTTGAVLWKITP LFAEWITNPSNPLWTTSLLSQTSTVAELGTGISALVALVLAPSVRHYIATDQEYVRKL FRTNLDANASVPASSGNSSSKAKGKSKGSKSSKSKPSSTAKPVDNISFTTLDWETDQA ASLKECMDLEAHGQVAEEEGEEDKGFDLLLSCDCIYNEALVAPFVRTCAEICRLRPAY VASSEEPGSRRKPTVCIIAQQQRSPDVFETWLRETMREFRVWRLSDDVLGEGLRSGSG YLTTNKACRYPE PEX2_094610 MPPPEQMVQSHHFRHFAPPMHEHHPPGVPPVHSPASLEHIEARL RHLEHEEMARNATRSRILAMRKHEDEEFRSMTERAEAEEEDLRRQRKKLKRESMGLGL NAASDSPPLRPTPPRRLSETSAATTLAFFKQQSPPEPRQAPLPPSSQAPPPSMPPPHM HAQPHHQAPPPPPPSQHQHPMLPHESIHSAGSIRRKQKYTIKNVEAWGERHGRPAAHD PSGRALWKRPSDGSLVYLTCPIHGCGKSDFVTLHGFMCHLTKKHKDRTLGSQSRALEV CGVVYDPNAPLPPVMNSHRASTEGSPLGSIPLDHDGDQHYEDMDSDSEGELEREGSYR VKTEVLDRFMPDAEGTPIESAAATPPKHAINGSTKQSISSIIDRTPETESREALATLP PSEAGIQGPSPTPTESSVPSKRKYEFSPPAEKENAEP PEX2_094620 MTRLYSVETIGLTKWAAVQTLRCHTVSSDWLNVYSSGTKGQNPC ASWELLAYHRCHCSRYLTLRWT PEX2_094630 MLPRTITRALPRAAFARTPASRLPASFRRWNSTEGGEEKVKGQV IGIDLGTTNSAVAVMEGKSPKIIENAEGARTTPSVVGFAQDGERLVGIAAKRQAVVNP ENTLFATKRLIGRKYTDVEVQRDIKEVPYKIVQHTNGDAWVEARGQKYSPSQVGGFVL NKMKETAEAYLGKPVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAALA YGMEKEADRVVAVYDLGGGTFDISVLEIQKGVFEVKSTNGDTHLGGEDFDIHLVRNIV AEFKKETGVDLTGDRMAIQRIREAAEKAKIELSSSLQTEISLPFITAGASGALHITQK MTRAQLEALVDPLISRTTEPVRKALKDANLQSGDIQDIILVGGMTRMPKVTESVKGIF GREPSKSVNPDEAVAIGAAIQGAVLAGEVTDVLLLDVTPLSLGIETLGGVFTRLINRN TTIPTKKSQTFSTAADMQTAVEIKVYQGERELVRDNKMLGNFQLVGIPPAHRGVPQIE VTFDIDADSIVHVNAKDKSTNKDQSITIASGSGLSDAEIQSMVEESEKYAETDKERKA AIEAANRADSVLNDTEKALKDFEDRLDKAEAETIKEKIATLREFVVKSQSGESTTTAE ELKEKVDDLQTASLTLFDKMHKANNEQQQQQQPGSEQQGENKQ PEX2_094640 MTSDSTLLHRPTEALILPNKQRFFPFKIPNFHTQLRNYISTADP DRIYVVVERIVYSIHISGQKRETVAVIPFEPRCLVAGHGWIVVGGPENGECAFIRIGD RGMQIHSDAPFQTDVDTALPLDLDPRPSMPSGDFDGESAPTRYRSSRSSPEVELHKFG GSIVNSVTIHRFYGDSENLADEDVVVLSNNDRTVTVYSLTRAKVLKVLHHSTSVGDEN HAYFYEITRDLESSGTTESGEKLTGWEWELINRLEMDIGLRADDACCFTVAFSPSSRL CAIGSQSGIITIINVESIYKNFGDSDGDSAVLCQFSASRSCAEGGAVRCMAFSPEPWD LLVWLEAHGRAGVADVRQQFMRRQILHLDSNDPQLQEVYTDFSTENLERNPLGDDLLE TPPRVRLDHEDFPIERNGEQTDRSSLRESLIQDLTERERLIMEFLNTARWTSRLEEGL TERPERPARASLLPQSAARSQNHGSTDGTTRTNRPTSPLHRYDPSDVSRDSQLGRTAF NPRRQSSVVVSQGSRPSEATSPHDRQSGITLSYSTSHSELTPLRSEMISRGGADPESA NNETSIPTEATGPSNPSLDIHGLNHRSQRSSSIPRRIERPQTGAERRYDTSRLTTYEI RANVAAERLRRQRQIANEVHNRSFEREQRHRQQLLGFEQTHSPRWIRNIINDLPDRNS TNGCGAEEPDSTAGVGWGADGRTLYIATLEGIFEFPLNIHDRKTFPIFSCR PEX2_094650 MPGFIDPRMASVKPRIRYNTIGGINGPLVVLDNVKFPRYNEIVS LTLPDGTERSGQVLEASGNRAIVQVFEGTSGVDVKKTKVEFAGHSLKLGVSEDMLGRI FDGSGRAIDKGPKVLAEDYLDINGQPINPYTRVYPEEMISTGISAIDTMNSIARGQKI PIFSAAGLPHNEIASAIARQASLVRPTKDVHDGHEENFSIVFAAMGVNMETARFFTPN DPTIERIITPRLALTTAEYYAYQLEKHVLVIMTDLSAYCDALREVSAAREEVPGRRGY PGYMYTDLASIYERAGRVEGRNGSITQIPILTMPNDGMGSPLLIFDFEGQIFIDRQLA NKGIYPPINVLPSLSRLMKSAIGEGRTRKDHSDVSNQLYAKYAIGRDAAAMKAVVGEE ALSAEDKLSLEFLEKFERTFISQPSHESRSIYESLDIAWNLLRIYPRELLNRVPKRTL DEFYARSARKVASKDTRDNSGEEQDTTAQQNTNLIDA PEX2_094660 MAGSLDSTMKNLGLEGEPFDPLTFDARLADEENGKIDNFQARKQ APQSPQELLTELENEFLTPSDKFSNTWLNSLQRRWDVSTDYADLFELAPTQTRTVTRF TREGLEGRVTGYQEVTVPAASATAKNSTSLLRRPAGRAEFVRGAAGFFPFAPGGLDAI EALAAMEADAQLTEQSSNGKQSGLDRIIDFGTEGGLLTTPPGFDNGVDFDEAKSKDAT EGAQEVETALLHEESDLKVDQPDETADVDTGAKDELNDDVSDEEDEEEDIDALLPVEF PALEPRSQLLAGVHRQKGKEWAHVVDINKDIPNFNELVPDMAREWPFELDTFQKEAVY HLENGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKYRDFRTEFD DVGILTGDVQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVV WEEVIIMLPEHVTLILLSATVPNTREFASWVGRTKKKDIYVISTHKRPVPLEHYLWAG KSKHKIVDSNKRFIESGWKEADDILSGKDKAKAKKEAEAQAQSAQAKAPAPQGRGRGQ PANIRGGRGGPQRGGPQRGGPQRGRGQSGGQYSNRGTGNIARTGRGGGRTSAAQDKNT WVHLVSHLRQEDLLPACVFVFSKKRCEENADSLSNQDFSNANEKSLTHMFIEKSLTRL KPEDRTLPQVLRLRELLSRGIAVHHGGLLPIMKEVVEILFARSLVKVLFATETFAMGL NLPTRTVVFSGFRKHDGKSFRDLLPGEYTQMAGRAGRRGLDTVGYVIVTNSGKDEAPS AASLKQMILGDPTKLRSQFRLTYNMILNLLRVEALKIEEMIKRSFSENATQALLPQHE KQVQVSEASLAKIKRTPCDICDLDLLTCHNAAMEYRKLTAEFHTELLSSPVGKRLFTA KRLVVYRKDGMRTAGVITRDGASAGIVGAVPCIQVFEIGTLSSKRHPTDILPFLPMFR PYLQSLPNRVDDMSLRVCKVPLSDLECVTNTQVKITKPMWYLNIKKESVKWAETELCQ FTNSWIDTAWDEIDWQRIKEMEIRDILDKRQAQAEIAQSCHCLQCPDFVKHFEMQNDE WQVKENILELKQLMSDQNLQLLPDYEQRIHVLRELGFVDEQSRVQLKGKVACEIHSAD ELVLTELVLENVFADYEPEEIVALLSAFVFQEKTDSTPTLTPRLEKGQKEIIRIAEKV NDFQILHQVIQSSEDSNDFASKPRFGLAEVVYEWAKGMSFNRITDLTDVMEGTIVRVI TRLDETCREVKNAAKLVGDPSLHTKMQQAQELIKRDVIFAASLYM PEX2_094670 MDVTALRDRIQSTLDANADIRRQAELDLKYAETQPGFINGLLDI LQGEQNNAVQLSAGVYLKNRITRGWAPVEDSPQRTPIPEAEKPSFRERLIPALASTPP NVRNQLVPLLQKILQNDFPEQWPGFLDLTLQLLGTNDASTVYAGLQCLLAVCRVYRFK AGEKREEFDKIVELSFPQLLSIGSKLVDEESLEAAEMLRIVVKAFKHAIYFELSPCLQ THQATVDWCTLFLRIISKTPPASSMADSKEEREMNHWWKCKKWSYANLNRLFIRYGNP TTITKSSTPDYTPYAKTFISTFAPEILKGYLTEIDKWVSKTQWLSNSALSYTLVFMEE CVKPKAMWDHLKPHMDNLIAHFVFPILCQSDEDIELFEDDPSEYLHRKLNFYEEVSAP DVAATNFLVSLTKNRKKQTFSILTFVNSVVSKYESEPEEQKQPREKEGALRMIGSLAS VILGKKSPIADQVEYFFVRHVFPEFRSPHGFLRARACDTLEKFEQLDFKDPNNLMVIY RNILESMTDSELPVRVEAALALQPLIRHDVIRTSMQQNIPQIMQQLLKLANEVDVDAL ANVMEDFVEVFSAELTPFAVALSEQLRDTYMRIVGELLERNAAKGGDEDGYGDFLDDK SITALGVLQTIGTLILTLESTPDVLLHLETILMPVISITLENKLYDLYNEIFEIIDSC TFASKTISPSMWQAFELIHKTFKAGAELYLEDMLPALDNYVAYGSDMLVQNPAYLDAM VGMVQDIFSDEKVGGVDRICGCKLAETLMLNLRGHIDQYIPMFIEMAMRVIDAGEART KSYRIHLMEMVINAIYYNSALSLQVMEAKGWTNKFFSTWFANIDNFRRVHDKKLSIAA ISSLLTLKATDVPVSVQQGWPRLLQGVTRLFQTLPAALKQREDATKESDFTLDDEDDE DDEDNDWDGDVEWDENEVEAALEEDDVPDESAAYLDFLNQEAQKFGSYADDDDDDMDE ESLLETPLDKVEPYGMFKHVLLSLQQEQPQLYESLTKVLGPEEQQVIQGVFHEADAKA MVAAANAEAAAAAGMQANGN PEX2_094680 MSAPLSGRQSPSPERQTGAQQQDTPGSGRTNVGKHPAPNFSQQS SEDYKNNVLTSNPEHPLEKIQAEKFAKGAQH PEX2_094690 MSHSTVHVSGISSTTSEKEVRDFFSFCGKIVTLSITPVSGEADA QKSATVTFEKEAAAKTALLLDQTQLGTSAVHVEAAHNIEDLAGAQATGAGSETKDEEH HIAQEDKPRSRIVAEYLAHGYVVSDNAIEKAIALDQKHGVSSRFTSALTNFDKKYNAT ERARGIDDSYKISTKAATGWRGLSSYFEKALEHPSGQKLRDFYVQTDKQVRDIHAEAR RLADLKQGKTGEAEAAAPVPASAAAATAAPGITAPAAPVAVPAQTEAAAAPVEKS PEX2_094700 MAPDLSAISTSTMGRPRATTSAPAMASQSLTPRQPAHGPHISAA LPPPGSPPLGTGDNGITRTAQGPLRHPQPLTTSDLHLVLEKEQEAMVNRLTRELSILR QQTASVASTASSTSTFNEADGARASPTLSSSTPSHSARRNRSSSSLSSHASANQGQQS ASVTGIAPSREIPYRPTDHSRTARSREPSLTSRRPSIGSLSSFSHNNSSVYPHRNSVS QTQQGHSPGSSLSRFEEATHHRLEVEYMKRENEQLRRRVRDLEQTLKRQKEDSATEAS VTPSERISDA PEX2_094710 MARPNSPDATHIPPILKPLAPYVRSRQETLQIRQALTSYLRSFI EFDDQSSDHAHSHLSLCTSTDGIAGVKRIPTDLPGLRKDYLKALAANVAARKDFALAS ENVASLRRQRTSPNRPSDHADLQEPGTELREYLALLRDQRRHTKLQVFQNYLEEIKTR DAGSLEDVGNDGEQILLPEVDTEAGHNGTETDLGGLVHSLERAVVHARTQLDHQRQLF EKAKAQHDPQTETSDAKAKALQTTRDELVQWVEERLVGQGDPDESLLQELSPKEIEEA QRGLENRKMQITEQYAAYLRARRDLLDAASRACQPINVTQKPPSRSINKNELVMAEMP PPNPIDVLSYTNENLVPLYKSQKSLAQQKSYLSGLLSKEKSTTLRALNRLSDESHLLP EYPTPARQPRATALSSRIQTQTSDQTPDEVVGLAEAWAFASNAAAANGRDFVQQKIAL GTEVAQDARQTLADVCKTLNQDFDEVMLEGRENLKGSDSWASDVRSAKGVSRGGGSRI EKQPSGPWSGLNGRVGVIE PEX2_094720 MVLAARCGQAAALLRQRCIAETRPALSLRAFSSQSVRSTVSTLR LQKIPTARSQQLRSFSSTLNRLASQQPPAAESYLASGAVSTGSNLVDVKKVLVIGSGG LSIGQAGEFDYSGSQALKALKEAGVHSILINPNIATIQTDHKLADEVYYLPVTPEYVT HVIERERPDGILLTFGGQTALNLGVQMNRMGTFERYGVKVLGTPIKTLETSEDRDLFA QALNEIDIPIAESTAVETVEDALKAAEEVGYPIIVRSAYALGGLGSGFANNAEELRDL SSRSLSLSPQILVEKSLKGWKEVEYEVVRDAANNCITVCNMENFDPLGIHTGDSIVVA PSQTLSDEEYHMLRTAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSAL ASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYVVTKIPRWDLSKFQH VNRDIGSAMKSVGEVMAIGRTWEESLQKAIRQVDPQYLGLQGDKFDDLDETLRNPTDR RWLAVGQAMLHENYSVEKVHELTKIDKWFLYKIQNIVDCNNELKEIGSLFGLKQEILM KAKKLGFSDKQISLLVGSTEDDVRARRKSFGITPWVKKIDTLAAEFPADTNYLYTTYN ASSHDVTFDDHGTIILGSGVYRIGSSVEFDWCAVNATLSLREMGKKTVMINYNPETYS TDFDTADKLYFEELSYERVMDIYELESASGVVVSVGGQLPQNIALRLQESGGATILGT NPLDIDKAEDRHKFSSILDSIGVDQPAWKELTSVADAETFADTVGYPVLVRPSYVLSG AAMNVIYSVDELKEKLLNAAAVSPDHPVVITKFIEGAEEIDVDAVASNGKLIIHAVSE HVEPAGVHSGDATLVLPPANIEEPIMARVKEIAEKVAKAWNITGPFNMQIIKADQEDA EPALKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGRDVPEPVDLMKVKRDYVATK VPQFSWTRLAGADPFLGVEMSSTGEIACFGKDLVEAYWASLQSTMNFRMPEAGEGILL GGDITQPYLAKIVEYLNPLGYKFFAVNTTVKDHLESTAGVSVQLIEFPKKDKRALREV FQKYDIRGCFNLAKTRGKTQLDEDYVMRRNAVDFSVPLFMEPKTAQLFAQCMSEKLPR VEGIPSEVRTWSNFVGGKAL PEX2_094730 MRSSWFLLSALAALGAADEPSKTTISYFGVDNDSGANLGAYSST AARVVGINKYATTYEIACISGAAKCALHHPATLIQGDATYSVSLEATVVTSGATAHAT AVESCTFTHKSESAVCSWSLAYTGMQGDVTISDSASSTQSIPASLVTYKPLTITDGVY ALTADATGSTSAVKITPTASTGGVAAAAKPLITAAPLGAAAALAFAAMI PEX2_094740 MASRTALPSVTTRTVPQPAPNGMGNGLFATADINPGEDVLHIKT PFVAVLDSPRLEDTCAGCFGKRQMETGNELKACTGCRVVKYCDRVCQSKDWKFAHSLE CPIFKNVKPMVLPNNARALLRIVLRTARNKYDSEEFKVFGGLETHINEISESQGQLDR INLTAKAVKNYSGTDVDEGTVASYAAKLDLNSFNLTTSMYDRIGLYMHPYAGLINHSC DYNSTVGFDGEELYVKAMRPIKKGEQIFISYIDTTTPYDIRRNELKERYFFDCQCTKC QRGADTIEDRFLSTPEDMTPLETAEREALELMQKATATSTETKETIEKLEAAMHKLHE TALWPLTRQPYASLRDKLIISLLTAGNFTRAFIHAAIRYLRIDPAVYDKAHPIRHIHA WSLVRLTVFISQEGFQPDPKDPVQIKDFNLNFHYLIWYILAELTSTQAESCTVSSFRK LVGNQFVQVHNEFKANGLDPTHSSSPLLFLSSLHTFAFVFIAYKGNSMPQTTAKMATF SDRWSMENGPPSPETINEVRATPETSRTSRYGASRRAATPRIADHRTPVIPPGYQQIP GYEAHRQRQEARVLMGSIAERRGKPTPAPILICGDAPSLLSRGRRW PEX2_094750 MAPKKPAATAEVALTPLKNCLVNLPPSLVALLVNANTTAQNVII ELQYKSTSGKANGAPPQQSCFLGWTGMPSKRRLAPVVGRDGINSGYSREQEISTVELD TTFGRLLGLTDGQKVGLFIHLDPPVAHTINIEPLTPEDWEIIELHATFLELNLLSQIR ALPNPTYNAGQSVHMHPLALHLSPTSTANIVVTSLTPAPSDTSPFAKIAPDAEVIVAP KVRSKTSKSSRADSRSAAGSSRKSAGGRSASSTVRQKSSRSDSTRGSLYLRGVDRSAT TQYFDGEVEDDANEGLRIWVDPDMLASHELRGATWACVSVVQPSGLKPPPDPQQQLAQ AEQQKSNDSGAPTTKIVAKLFPWEEAPDNRHVAISTLLCTALGAENMVGGILRVEAAP PQLHRSAVKTLKVYPFIGDASKKKDGLKFGADTTASRDALAERLKVIYGSTGSDTGLF SGPLTDGMIIPKSEYHASVSSFDGAILRFDPPLKGAADETKSMFGWLLGSEAKLNLEV QAEIPKPFDTSSSTLPMEDPLPETAPQLVGIDSIIEQALNNLTKSSSILLTGGLGAGK TALTHLLAHRLRRDHLFNVKYFSCRKLVTDETRISNIKETLNRLFMSASWCARLGGQS VVILEDLDKLCPAETELQVGGDNGRSRQNSEVICSMVREYCALNSSVVLLATAQAKES LNNVIIGGHVVREIINMRAPDKEGRRRVLEKLTSEDKPTESSNGHVRAISSSTQDSWL DPSNPGSRPSSSGGDGFVIGRDVEYLELAGKTDGYMPGDLVLLVARARNEALIRSVSD LTATSKMITLGLEDFESALKDFTPASLRNVTLTSSTTTFSSVGGLFETRKILLETLQY PTKYAPIFAQCPLRLRSGLLLYGFPGCGKTMLASAVAGECGLNFISVKGPEILNKYIG ASEKSVRDLFERAQAARPCVLFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGL SGVYVLAATSRPDLIDPALLRPGRLDKSLLCGMPNHADRVDIIRSVSEKLKMSDEVTS RLGDIAAETEGFSGADLQAVVYNAHLEAVHDALGDRSTDTAKPSAKSNSASASSKSFI QFLYSSSEEASGSVSMPAPAVISAKLEAIKNSRRRQRQLEQGPSGANAAAPVANGSEP DADELREDIIVRWEHVERSLATTRGSLPPAERRRLQGIYREFVAGRNGEMPNGEAANE IGGRTSLM PEX2_094760 MSLLQYPPPVDYSAQLDGFKDFLKGFKTFQSSSEAAATEALEDL NIDDGQTSDEYDFMDDADETNGANTRGARRHREPKLKYMQILQDIANRDISNILIELD DLSIYEKSRPEGEDLKLVASIEKNTKRYIDVISQAVDEVMPRETKDVTFKDDVLDVIM SQREKRNETMETAMEADMEAAATAPSMFPPELTRRYTLNFKPLTPSGSSAERDSKALA VRYVRGEHLGSLITVRGITTRVSDVKPAVQINAYTCDRCGCEVFQPITTKQFLPLTEC LSEECKKNNSKGQLFLSTRASKFVPFQEVKIQEMADQVPVGHIPRTLTIHCHGALTRQ LNPGDVIDVAGIFLPTPYTGFRAIRAGLLTDTYLEAQHITQHKKSYNEMGMDSRTLRK IEQHQRSGNMYEYLSRSIAPEIYGHLDVKKALLLLLIGGVTKEMGDGMHIRGDINICL MGDPGVAKSQLLRYITKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLA DNGICCIDEFDKMEDSDRTAIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRY NPRVSPVENINLPAALLSRFDVMFLLLDTPSREGDEELAHHVTYVHMHNKHPESEEAG VLFTPHEVRQYVAKARTFRPIVPTNVSDYMVGAYVAMRKRQKIDESKKRQFSHVSPRT LLGIVRLSQALARLRFSEEVVREDVDEALRLIEISKASLYNDGEQGADNTPSSKIYNL IRSMKESGAAAVGDGEEGEMSMRRIRERVLARGFTEDNLTMTIDEYAEMNLWQVTGNG TRLLFTEVDGDMDM PEX2_094770 MHFSIVALIITTASLVAADTTSLAQCGTCNPISGENWCDPSTSC INTGKSFHCACRAGYKASQYNNDLYHQFRLPMPNYEFLVFVPEDTACNMPCDDVYAAP SDLCNEVRLQNQCEA PEX2_094780 MESVHHVSVSSKILFSKVRKIVPPMLEKFHKGQLGRVAVIGGCV DYTGAPYFSAMASARLGCDMSHVLCERSAAPVIKSYSPNLMVHPLLPSSDTVKDPISI DAASLAGPIIGMLSRLHALVIGPGLGRDGVTLKVVAEVIREARSRSIPFVLDADGLLI VTQDPSLIKGYKECILTPNVVEFSRLAKALGVKVASQAEIAQGGNGDTTSKASDACEQ LSQALGGVTILQKGPQDVISNGVTSIISDTKGGLKRSGGQGDTLTGSLGTFLAWRAAY HEKLWDSGEQDNDKEAQSKEDVQAELDSENKRMSPTTTLLLAAWAGSSITRECSRRAF VAKGRSMQASDLTDEVHGAFLRLIGEPDGSKTHL PEX2_094790 MSFLDSVLSSLQTGKPSQPPLSQAPTSPAPASIPKKEDRKPTAV RRAPPTSGNASGGIKRKAEDQLPRLPKPESKVTNAPAATKSTLATRPAVSSAPPRAVP KPVPTITSRPALPKPTPKPSLSAASKVTASQKESTPKPTSAGAATSSKPPPKGSFAEI MAQAKAKQETAPVGVGLLRHQAGPKERLTKVERKRRMMEMQAKEKEARVGRKAGSGVS AKGKPAVRQRDSEGPSYKGTAKPTQTPEPPAYRGTAGLPSSRGGSDRRHQSRNSRQNE YLGTDEEDEGDLGGYDDYYSDASSDMEAGMDDVDREEAAALAFAKQEDEKELRQEMAA KKEKLERQRKLAALASRSKR PEX2_094800 MKYLAAYLLLALAGNEAPSAADIKAVLSSVGIDVEGDRVEKVIS ELQGKDLQELISEGSAKLASVPSGGAGAAAPAAAAAGGAAAPAEEKVEEKEEESDEDM GFGLFD PEX2_094810 MADMEYNAEEAAEIKKRRQFRKFSYRGIDLDQLLDLSSEQLRDV VHARARRRFNRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIVVPEMIGSVV GIYSGKEFNQVEIKPEMVGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK PEX2_094820 MPVPSKYPLVDIPEVDLWTFLFERTDRAYPDDKTFAILLSFPST NPQLVIYQDADTKRLYTYKSLRDASLDFGKGLKALYEWRKGDVLALFTPNSIDTPVVM WGTLWAGGIISPANPGYTVDELAFQLKNSHAKGLVTQASVLSVAREAAKKVGMPEDRI ILIGDQRDPDARIKHFSSVRNISGATRYRKQKITPAADVAFLVYSSGTTGVPKGVMLS HRNIVANIRQQFIGEGGMLSWDGGLDGKGDRVLAFLPFFHIYGLTCLITQALYKGYHL IVMSKFDIEKWCAHVQNYRCSFSYIVPPVVLLLGKHPVVDKYDLSSLRMMNSGAAPLT QELVESVYSRIKVGIKQGYGLSETSPTTHSQRWEDWREAIGSVGRLMPNMQAKYMTMP EDGSEPKEVAEGEVGELYLSGPNVFLGYHENPEATKGCLSEDGWFQTGDVGYQDAKGN FYITDRVKELIKYKGFQVPPAELEGYLVDNDAIDDVAVIGIESEAHGSEVPMACVVRS AKSKSSGTSAKDEAARIIKWLDGKVASHKRLRGGVQFVDEIPKNPSGKILRRILKQKF KGVAEAPKAKL PEX2_094830 MHVLLLGGHGKVALHLTPLLLNRAWSVTSVIRNPAHESEILALG KGLKGTLNVLLSSLEDVKSPSDAQKIIDTVTPDYVVWSAGAGGKGGPARTIAIDQEAA KHFITASFASPGVSKFLMVSYLGSRRKQPSWMPDDEWAGIVKTNTEVLPTYAQAKQEA DEYMTALAAQRKRESGPARPFQAINLRPGLLTDKPATRKVELGITPKGRGSVTREDVA IAADLLLARADTEGWVDLVNGEEAVEEAVERVAREKVDAVVGEDVEGMVKRFFP PEX2_094840 MRTSFVAMLALSAAAVSAAPAAAPVTDLVERGSSSCTFTSAAAV KSGKSSCSTIVLDNIKVPAGETLDLSKLKSGAKVIFKGETSFGYKEWTGPLIRASGSN IHISGEAGHVINGGGASWWDGKGTNGGKKKPKFFYAHSLDDSTITGLNVKNTPVQGFS VQANNLILDHITIDNSLGDTKGGHNTDAFDVGESTHITISNANIKNQDDCLAINSGSN INFIGGTCSGGHGISIGSVGLRDNNIVKDVTISDSTVLNSDNGIRVKTIYKATGAVSG VTFSNIKLSNIAKYGIVIEQDYQNGSPTGKPTTGVPITGLTVEKVTGSVKSSAIPVYI LCGEGSCKNWTWSGNTISGGKKNGKKCSGVPTGASC PEX2_094850 MIRSIQAAQRLDSRGHPTVQVDLTTDKGKRAPTVTKLTSYTDAD TFRAIVPSGASTGANEAIELRDGDNSAYGGKGVQKAVSNIGLVIGPALVQSGLKVDTH QKMIDDFLKNLDGTDNKSKLGANAILGVSMACVRAGAAHSGVPLYEFLRRESGAKKPF VMPVPFFNVLNGGVHSGNKMAFQETMIAPVGASSFTEAVQMGSEVYQQLKKVIVEKFG TSATGIGDEGGFAPPISQPHEALDLLVEAVYRAGYTDRIKFAIDPASSEFFRGGKYDI GFKDDKPNPQSSQQLAELYRSLLQNYPIVLLEDPFAETDWDSWTEFNKKCPVELVGDD LLVTNTRNVQEANAKRACNSMLLKINQIGTISEAIEAADLAFSFDWSVFLSHRSGETT DDFIADLVVGLRTGHLKSGAPCRVPGDALDLPPRAVRDILRVCLGAKEYRFLHESVIK RAPAVQSKLPSPSRYDAIARPNNRHSEAAIRSSLRVLVGSGIALKLADLLMTRFQGAP QKKTRTSLLRSPKFRLSISLSLLLLIHRLLYRFLIRLRANLRTDDAKPFRERNPRISR ALTSRFAPAIGASLAGFALGICPQDQLRLTAAIYTGTRSLEFFFNVLDSEGWLDKRPW WFGSWLLMPISFAQLFHAFVFDRETTPNWFPKVILKLSPSYIQGRPESLPDNIAWPEK EEIVNSLASIADLRWPAFVSPILHPGDPNTLPSSVASISPITGPAHPAISSLSCALLH PNLPNCSTAFLHHILLSVPLLARFLTTVTLALSIPKFKSILLQPISSVNTISKRIITM TAVLSAAIGTAWGSVCLLNNNLPRTTLPTKRFFLSGALGGLPFLFLGNSRSTFLWFFR AAVDSAYKTGVKRGLWKGRKGGELLLFVLSWALMGSILEGNPEAVQGGGLRKALAWLR GDGFADPVDIAKRKLRRESKKPEGNEVTSQ PEX2_094860 MTAQRLKIGCAGLGRMGKRHALNFLERTPRAELVAASSPDPVEL EWAKIHLEPYGVTLYQNYDDMLKHEGLVAVVVASATAVHAEQAIKAIEAGKHTLCEKP ISTSPEVSQSVVDAASKKPHLKVMCGFSRRFDKSYRDAYEKMQSGAIGTASVLRSQTC DKLDPSGFFVAYAEFSGGIFVDCSIHDIDLTLWFFGDQCKVKSVSAVGITAVEPDLRK HNDRDNAVGLVEFYDGRIAYFYASRMMAAGQEDTTEIIGTKGKLAVNTQPALNHVNVY DESGIRREIPQNYYDRFEYAFVTEANEFSAACLDNTALPLDLNNAVKAVRIGAALQES LITQKKIFFDEDGNRTELARL PEX2_094870 MAPVAISPQASPVFKKRDGQALEELSDAIDEINVLKDMKKREAE KKEAEEKGLYEESEFDKEKDKTNFRQYEDASDRVKNFYKEQHTKQTVAYNLKARHDFH SKTRAEMTVWEAMEKLNTLIDESDPDTSLSQIEHLLQSAEAIRRDGKPRWMQLTGLIH DLGKLLFFFDAEGQWDVVGDTFPVGCGFDDKIVYGRDSFRDNEDFGHKIYDTKFGIYT PGCGLNKVMLSWGHDEYLYHLAKEQSTLPDEALAMIRYHSFYPWHSAGAYHELMNDHD RDMLRAVRAFNPYDLYSKSDGIPSVEELKPYYLELINEYFPTKVLKW PEX2_094880 MAANDTRFKLNTGAEIPALGLGTWQSQPGEVARAVFHAIKVGYR HIDAALCYGNENEVGQGIKEAIDAGIVKREDLFVTTKLWCSFHARVEEGLQQSLTDLG LDYVDLYLMHWPLAMNSKGNHNIFPKLADGSRDIIHSHSHVTTWKSMEKLVGTGKVKA IGVSNYSVKFLEELLPQATIVPAANQIENHPLLPQQEIVDFCNKAGIHITAYSPLGST GSPLFTAEPIVAVAEKRGVTPATVLLSWHIARGSSVLAKSVTPARIEANRADLIHLDA EDLASLRKYSDGLQAEGKLQRFVYPPFGVNFGFPDKQ PEX2_094890 MDCHLAGHLSDYPPKAIGSDKSAGTLTGPRLAGESNQIIREIIR FHTIRNVSRNHEPERHPTAPRHVAISTQQRGRSSA PEX2_094900 MGVSRFRSAFRRSSTQESITQVPVVADGEQKSEIPTETVAVPNK ENPEVPTEDVQQGVKEVEAVTLSWTRTMLIAVFVNIWFLYFVNAMQSSINYSLLSYVT SDFESHSLLNVIYIVADAMTAAVYIPTAKVMDVWGRAEGFLAMTVFATLGLVLMAVCN NLPTFCAAYVFYSIGFGGMTYCVDVITADISKLKNRGLAYAFTSSPYIITAFAGPKAA EGFYEDISWRWAFGAFSIIFPIVASPLYFILKANLRKAKHNGLIVKQPSGRTLLQSVW HYTKEFDLFGVLVFSIGLTIFLLPFSISTYAPNGWASGYIIAMIVLGFVMLGVFLVWE FRFAPTPMFNFSLLTDRTIIGACLLDATYQLSYYCWNNYYTSFLQVVNDLSISEAGYV SNTFDVVSGFLLLGVGFIIRRTGRFKWTLYIAVPLYIFAQGLMIHFRRPNQSVGYLVM CQIFISIGGSVFIIVEQLAILAAADHQHVATVLALLNVVGTVGNAAGSTICAAIWTNT FYKALETRLPESAMGDIDLIYEDLAQQISYPIGSAARLAIQEAYAYSQTRMLAVGTGL MGLCIFWALMIRDIDLKKVAQVKGTVF PEX2_094910 MSLRSRPLNHENFYISFPHERVVQVTINRPDKLNCIDKTTSREI AKIWELFDEDESLWVAIITGVGRAFCTGADLGEWNAMNKAGVVNDMSAPGLAGLPRRT GKKPIIAAVNGICMGGGFEMVANCDLILASSSAIFSLPEVKRGIVPVAGCLPRLTRTI GLQRTMDLVLTGRNVSATTLHDWGLISQVVDSPADVVRAAVEVAEVMCKNSPDSLIVG RLGVRMSWEAGSVEDTVSDLADQWYPRLVGGPNFAEGIQAFVEKRSPSWKNSKL PEX2_094920 MASKPHQYPLVKLPHPYLTAYRVNTVAGSTPAKHNLTLDEQPAK GRSLPEQLHSDSLSWLDLTFIPKVEQPPISDNTPWGRARRSPQTVFQWSGNSAPSLGQ IWNVIHAIYLGHPTIEYFRLTLSGAGQEVIQNELLTTGLAIKHPRNLRDRENKALQFD DLLILRSAFWQGAASPLGPRPIWVVGDGTDGPMREPLSQYPIMPENYQMTNKFPEEPV YTRHPTRRPKPHPGSIAYSRYIPEINEHFSLEVVDWESAEHVQLFNKWQNDPRVAAGW NETGTIEQHTEYLRKLHFDPHVLCLFGRFDDTRFSYYELYWSKEDHYGAHYDAGDYDR GRHSLVGDASFRGAHRVNAWYSSCIHYVFLDDPRTANAVGEPKATGATILSYENAQGL TIGKYVDLGHKRSVHSICSREKWFQLCPLFWDGRERPLESADRAAWNAKL PEX2_094930 MGFGNLADYPRLLVAANPSRLELGTLFVGLASSIASGVPFPIIG IIFGQLIDDFNAVTCEETAGSSGGDSSDQSDINSKILLIVYLGIAQFVLIYLHLLCWT MTGARLAQRLREKYLQNLLRQEPSFFDNLPAGEVASRLNTDIQTIRSGTAEKVGICIS SFSFFVTAYVVAFIKDSILAAMLISLVPAYFIMSFVGSYYIEKYTGRMSDYAASAASI ASEALNNIVVVHAFGANGRLEEKFSKALKDSETEGLKKATATGIQAGVLYFVAYGANG LAFWQGSKQIAAAVRTESAGATVGAVFTVIFVLVEGWFDSYLTPEYLLTSATATLLLS QVAPFIHLFTQAVACYHKLRDDIERVSLIDGYSDAGIRLTQAEGGFEFKDVSFIYPSR PEITVLNKINLSIAPKKHTALVGLSGSGKSTIAGLVIRLYDATEGEITFDGQNIRDVN TRDLRGFLSLVQQEPSLLDRSLLENIAHGLVNSSKPAHAHLKTALLGTDLSDLATEVR DGQDLMTAAERRGPEIVEIVQLAKQAAALADADGFIEALQYGYATMVGSSGRLISGGQ KQRVSLARALVKDPAVLILDEATAALDSRSEQRIQRAINNISTGRTVITIAHRLSTIT NADKIVCMHKGDILEEGTHSELMAGNGPYSELVKLQTLGAASASSKTDTTASIESISK SDATSITDVENEKGGLSNDFEPTKETRVSTTEAPAGDSEEEEEEEPETPVKSVWALCK GYAPALKPHLLVIFAALFGSSMVGGAFSGEAVIFGNTVGSLNPCFSAASISERGNFYG LMFFVLAIIEFFANIVSWGGFGLVSEKIVYKVRVLSFRSLFEQDLQWHQSNGRSPALL LSYITRDGNALAGMSGSVIGTLFSITVNLIAAIILTHIIAWRIALVCLALVPLLLGAG LMELMVLGKFEDRHENAYTKSVDIGVEAVTSIKTIASLSLEENTLKTYRRSLKGPRKE TFMVTVQASLWQAMTYFLGNMVNALAYWWGSKQIINGNYTQTQFLIVVFSLLVSALLW SQMFALAPELSAARSAMSRILGLIEIGKDKMDSRLIPYNNNDVEATEEKPTYNSGSSA SSVQLRDVHFCYPARPDMKVLKGLNVDIRPGKFCALVGPSGAGKSTIISLVERLYTPE SGSIVIDGVDVTKTSDVSFRDWISLVPQDSVLFEGSIEFNVGLGARPGHNVTLEEIQE ACKLANIHDVITSLPDGYQTLCGPSGNQFSGGQKQRLSIARALVRKPKLLILDESTSA LDAESEKLLQDGLEKAARGITVIAIAHRLHTIRKADVIFLIDGGVCTDSGSHDELLER SDSYRANVMHQTVAT PEX2_094940 MGFIPLENAEIHNAPNGIEKVPTDTNGDFSSFQIAHLASEQITT PTGMKEEIVLLSWLMVLLRSREDSQISYDWSYKDVAHGAEPESVNKLSMNEVMKGLQS NVGEVATAISQNINTGTLSPASLVLSTSSLSQTSEEAKDEGIIHLEVRFNNAQLEIRP LWHTENMLPYTVNHHVEALADTVRVCLTNPEATIEQCLRPTESDLNDIWGWNHELPPT YNFCMQDMVAERAREYPDKIAIDSWDGSLTYSQIDQWSTSVAHSLKEMGVQLHDVLPV CFEKSRWTIVAVLGVMKAGATLALMDPTLPLARLQNMAVQVGAKSMVASRKQYELSLT IMPTGNHFVVEGDAFTNPANSPSISDLPAVPSSALMYIIFTSGSTGTPKGVKISHETY TSSAIPRAKAVGYTPESRVLDFASYAFDVSIDSMLLTLGNGGCLCIPSDEDRLNDINE VIRTMRINYAGLTPSVGRILDADVIASLSGLGLGGEAVSARDANHWGKETRIIIGYGP CECTIGCTVNASAATGRDYLSIGPGNGAAMWIANPNDHNELVPVGAVGELLVEGPIVG QGYLNDPEKTAASFINDPPWLVAGHKEYAGRQGRLYKTGDLGRYDPDGLGGIVFVGRK DTQVKLRGQRVELGEIESQLKAVLPSEANVIAEVITPKDYGGQPMLVAFVAFQPKGHG QAELALDEISSELSSILTKANADVAKTLPRYMVPTTYIPVNLMPVLISGKTDRKQLRA FGATVDLRQLDQGAASTASRELNEVEKRLRQAWAETLKLDAEAIRPDDNFFALGGDSL AAMRLVSVCRAQDLDLSVISTFGNPTLSAMATVVKPCSSQVQEEIPAFSLISQSVESA RLEAAQACGVDQADVQDIYLCTPTQESLFTFSLKSTKAYIAQRVACIPAHITLDAWKK AWEDVVAASPILRSRLAPLQDPGLQQIVVKEGISWRYSTDLDQYLENDRSERMNLGQS LARYGLVSNATDDKRYMIWTVHHVLYDGWSEPIVLKQVSDALQNQSVEIKTQMRNFVK YVRDTDETAMHDFWRRELKGAVGPQFPRLPSRDFMPTPNGLIEHQIALETGAGSPFTM ATLVRGAWALVASQYTGSDDVVFGETLTGRDISLPGVESIVGPLIATIPIRIQVRRSS SVESYLQTVQQTILARTPYQHMGMQNIRKVSQDAQYACEAGTGLVIQPDPEYVGSELG FELGDVVREALHFNPYPLMVGFGIRKGGLRVCASFDSSLIEGSQMQRILAQLETACVQ LSKGLDKRIDEISCLPETEVNQIWQWNQTPPLSVDETSGNLRAHASTKQGSVYPRTVI PWVCDARNPDLLSPIGSVGELWLEGACLSGETVESPAWLVAGSSGCAGRSGKVQPTGD MVQLQDDGSLVFVGRKENVVPLQGHAVDIADLESHFEKHLPSGTRAAASVFQPLREGS QKVTEQELAVFIENQPSEEDSVRVTQEKYEIIGEEATVCDTISVTLAVSLKKLDKFIR DSLPSYMAPSAYVVIDKLPAGLEQLDHTALNQLASKIPQSVLEQLRGGFKEAWTKGLA QTKLSPTEKILQSAWAKILRLSPEQIDVDDNFFRLGGDSVLAMKLVSSLRTQGHSLSV ANIFQHMRLGDAAKVLKVDQAKESAQPYKPFSALSNLDVKMFLASTVRPKLSNPGWPI LDVSPVTDSQALDIRGTVGAPRTSIQYTMLYFDQKIDREQLLRACNDLVKTHDILRTV FIEHESSFLQVVLQDAHVPVVMREADKEIEQYVTELCTADIESNFELGSPFLKLFHVQ GADGKHCLVLGLSHAQYDGVSLPRLLQDLETLYAGDKVVDFEPFASYMARVSDGRLQT QAVNYWGNLLKDSTLSVLDGASVQPTDKAIFYEKAVEEFQPVQEITTANVLTAAWALV LARRLGKPDVTFGTVTSGRTIDLANVENVMGPCYQLTPVRVKFESQWTAMDLLRFVQK QSAESAAHDFLGFEKISKQCAQWSPEARSFDSIVHHQDWDDFDDMPFAGSSCKVDISN PHGDAAYPLKAVSFVRGGKMHVGFVGSEKDATFVDATLDELAAAVQELSGCRPDPLAL GA PEX2_094950 MSKFWPKGGLPGILHHYTETLVTFEYASSTVRQPHSLLFVGGLG DGLATTSYMADLARALQQSEWSLFTLNLTSSYQSWGLGHLDRDTNEIAQCLEYIKSYK ADKFGGGKIALMGHSTGSQCVLHYLSQSNPHTKVPAFDADIEHITRPVLDGAIMQAPV SDREAIFCVLKYGIGDTTPEKARAVYDEMEALAKNAVAEGKPHDTMLPLSLTSMIYPA NTPISCRRFLSLVSPESPQAPQEDDLFSSDLSDDHFGTTFGRIQQHGLLNHKLMVLIS GKDQSIPDYVDKDKMLARWQKATDHDGKYQIWDQKHTGVIPNASHALSNDDQAEPRKF LVERVLGYLKTAVERE PEX2_094960 MNRFRKNKKAKEPAEDQEGASSPGFSLKTSKKKVAPEPKPDFDL SAALPPTDNFRTSLLMPKLSARFSMLKEQDDPLSMLGKASDDSVLFPKRASRLNLFGH NPATLADIDEVCSNDGSRPSLALDRTGSFASGGDGYGTDDDRSQGGSMMSRARRAEGN NLFGGRQKIYKIPVRSPGATTPDAPEAARRGMGKPVYDHDMNLSAFQRLRLKEKEERT AEAARESGYAASTASSNSRATYSSTASGPLSTVPTSTAATSADESPMNTSQSPATGYP FPETLPEAPRPGPGPMSAPRNGSIRNRRLYGQGLTQAAQSQQSSTLHRLESLSRQRAG TPDLPSLNRNYSRSATNLRDRLQNLSIVEPASTLQATSPPSSETSPKPRMSGEAALKD RLSPTVATFGAPPLSPPTSENDEIPSLLAASINPEDHGKATAMGLFNRPATGFDEQAF SRRQLQMHQGRETPPPRRASPPRRPLPAEPAGRPRGLSKSSYRSRAESASSHYSSDAH RGIDHSGASSVEASPFRPGVKSFYANSSASESGDEGENPSSQELSSSTSLATGYGPPL QHSTPTKDHLETLPEVRYSDLGDLRPIDENDLDFTNSSPGISDNTPKRPDSPTLEPDQ GFNGVGGMIRSHLRQESDMSSIFPPLSPRPAQQQNDTHIPETETQKGTPQPPTTVPMI AEPREESRPSSTTRPGTSSSQSPSRHSTEGTGSRNSAESSAPGTNPDTASVSNGSSWR EELELHHRRHGSTETQREREEFAIELAERRRKVQEKLRSVAESDSRSSSPTPGRSTPD LSRAGNAFAMLKHKSSKQASAKPDQKKLFGYVAGNASTPVLSSDDSMREEERPSFSFG RHSNSSSPLIGSERSLRSRMPSLNRDNSYEDSRESSRSRGPSPFRDQRDRAGSDASGR SKSRTRLRERDDLQTVDEGSIIAHDNFTVPEGYEPPMPVSRPTSSRPSTEMSDSVSFD RTPSAASGRNRSTSRSGTPSFQYERPFQFSQSNTSSIIGASPRPPPAAPAYSANATPP LNEMPTDSSSTSLASSSSNSQNPQRGLGHGSLLKRPVNKKQISEPTFVSSTSNVPLVG LPAGSALPSPGSAPPLPPMNPRRRRGTATQTILGAFKSDKNDLSRVASPVPSPGDEHS VFPDEEKRPRSRNRLRKTSSEGGNLNARARQGSMTSTPPAVPQYPPPAVPVDGGMF PEX2_094970 MSGPPFTVRSVFEYASGHDDDLNFPIGQIVTVTALEDDDWYYGE YSDAHGVKQEGIFPKNFVEKYEPPAPPRPSRPRPKKEPEPVPAESPVVESKQAEPEPE PEVQPTEEDDEITSPQPPLPQSPPLRASAPIAEVASSPPQPTKAPAPAPPVATTEPAA KAHSKPAPPAVAEKPSGSSFRDRIAAFNKPAAAPVTPFKPGGSQPSFIKKPFVAPPPS RDAYKPPPREPAPKVYKREEDPDVKEQIAREPPVSESRPAPIEGGEEGAEDQPKPTSL KDRIALLQKQQLEQAQRHAEAAQKKEKPSRPPPKKSMDEPVAPAVLGEEQESPFVEES SAARDPSVDTLRAAAPPQPHVPFSPTQEIASDANDADYSAAADSEDAGEASTGKDDED DQSHTQPRPVSQRQPSTRAVEQTAEADVEDEGDAEEEEEMDPETKRRMELRERMAKMS GGMGMMGLFGPPSGGMPGMSGAGSARKAKTPGESEKRLGEVEPEPTSPANAPPVPMMI PLPGMNVASKPAPSSIEVEKEEEEPLATPLTEQHSAREVPDVEEVIHEGAPPRASIDR PVPAPPSQDRSAPPPPPRESRPVPTIPSEPPASPPPVPTGRSAPPLPRPTTADDGEES DDELSVHTSILSLNTSAAPPAVPAPAIPDQIDSRRSSTYDPVSSPVSTPAAEKRASRL PPPIPFNPPMPPSSQGRAPPPPPPDQLRRRSTADSRMSALAAPRQAGEEVEGEFTEYD GDYDTDIASGVKHKDALKAHERDSSYDESIITDDHSIQSPRSPHESRHPPPPPTAPRA VPPPPPSQPPRNARASIDTPRGPPPPPPNREPVSDDDDDVEYDPFNYSAPRHGLPTSP PLPLGRPEAPPPFPPQQAADEYDDLYDAPPVQSPVKERPSALNEKRLSLAPMPPQSQS SGLPSPSAARTQRASMDLLRNQPNLRRSMDVSRPSIDQGYIATDVDFATYTLWWTKPN TPPPAFQNRTDLLFEIEETASTNRSGKTTVSKDVYILFIDYSQTVLNVQFDPKNPADA SFEQRHEPPPLPSRQDQLEQAHIQLGTRISEAVNSVQNSTVGDGTPFGLVQHLLNPLS DALLPVGTRGYGASVYSNLANASVSQNDEIRAGDIVSFRNARFQGHRGTMHQKYSAEV GKPDHVGIVVDWDGTKKKIRAWEQGRESKKVKMESFKLNDLRSGECKVWRVMPRSWVG WEASK PEX2_094980 MAQQGPSHPLKTSLPETYAAVNAQQPPPSYSYPTQEEQDKYLEG RPGATQKHLEVALEHAQQIQTQKDAEDVILNRILELLELPSSSTADPAAPSLEDTVKL KYSLAPFRPSDYDNLILERNFEDLCGYALCPHKHRKQNNGPQGGFQFKYGPKGSGPGG RGRSVDIVSQDRVEKWCSDACAERALWIRVQLSEKPVWERRAGDTRGTTILLLEEARA KRLKAPAATSSVSSVVNDLQSMGLGNRDRSRELALERGDTSIIRPDGRVNVDIRENQR GSQQVASAPQMRPEDATGGSIEGYVPRERRDVDQDGDIDLLDQI PEX2_094990 MGRVRTKTVKRSAKVIIERYYPKLTLDFETNKRICDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDVSQTESGQLD VDADTKDLLKSLGFDNLKVNVVAVTQQQQAERPRRFGPR PEX2_095000 MASARLSPTANLLRNSRLFALPKTLKGRNDLTSRESDSATLPHP IRASIVTPQSSLARGDWGLKRPLPAKSTSEKSSRPVVRVHALDTYEHVTDFESAADHT VTLEKFQELHMPMSLPSKVNYATSVVPRHQSPFETHLDNTETSQGLQEPGAKQFRHTG PWLAGQTEAEFTAYLKQVTRNKPELLQKLREQFVAKRSAEIRKLAQDNGEDLEAHPTT VTDAEFKAYIKTLRNDPFALGPVVFELLDLASPPAVPSERIGRKYYQAPGSKLSSFEY AVSGPPKTHPSGGLSYTRSHALIHNHAQFGPQAHQRPVEARILRPKGRFKGRMARALA GIGGIAVEDLNAMTFVEQGTPPGLTFFDASIPGGGKYWVTPIRASVDSDGRIGLSSYR ASATAKAPYGIEDYHKPSTLTISDVATAPSPLVPRLDRQPFGQPRFQPSGENRTRRQP TRGTEDVARSLLNNLNSRS PEX2_095010 MVKVLLTGGSGFIAAHIVDILLEHDFDTVVTVRSEEKGKRIIEA HPDVSNEKLSYVIVKDVAQDGAFDEAVKSNPPFDYVLHTASPFHFNVQDPVKDFLDPA IKGTTGILKAIKEYAPTVKRVVITSSFAAMVNGKQHPKVYSEKEWNPLTWEEAMDHSQ VYRGSKTFAEKAAWAFVEKEKPNFDIATINPPLVFGPIVHYLNSLETINTSNQRLRNI VQGQMKEKIAPTGNFLWVDVRDVALAHVRAIEVPEAGGERFFVTAGFFSNKKLADIAR ETHPQLESKLPPADAPSDFPENIFEIDNTKSQKILGLKYRPLKQSISDAIDSILAVGV PEX2_095020 MAQAGAITDVTQRLFVELKSKNEEARARAAYELYENVLSVSRDW PSEKFVEFYNAVSQRIAQLVVNGSDANERIGGLLALDRLIDFDGVDAAQKTTRFASYL RSALRSNDNVVLVYAARSLGRLAKPGGALTAELVESEIQSALEWLQSERQESRRFAAV LVIRELAKGSPTLLYGFVPQVFELVWVALRDPKVLIRETAAEAVGECFEIIVARDSQV RQSWFARIHDEALLGLKSHNIDWIHGSILIIKELILKGTMFMKEHYRNACEIILRLKD HRDPKIRTEVVLTIPILASYAPTDFTEIYLHKFMVYLQAQLKRDKERNSAFIAIGKIA NAVGTAIGQYLDGIIIYIREGLAMKARNRSGVNEAPMFECISMLSLAVGQALSKYMEA LLDPIFACGLSKSLTQALVDMAHYIPPIKPMIQEKLLDMLSIILCGTPFRPLGCPENR LPPMPSFAKDFAPHELHSDSDIALALHTLGSFDFSGHILNEFVRDVAINYVENDNPEI RKASALTCCQLFVHDPIINQTSGHSIQVVSEVIDKLLTVGIGDPDPEIRRTVLWSLDR KFDRHLARPENIRCLFLAVNDEVFDVKEAAICIIGRLSSVNPAYVFPPLRKLLVNLLT GLGFANTARQKEETAQLISLFVSNATKLIRSYVDPMVTALLPKSTDINPGVAATTLKA VGELANVGGHEMRQYLPQIMPIILDSLQDLSSHNKREAALRTLGQLASNSGYVIEPYM EYPHLLAVLINIIKTEQTGSLRKETIKLLGVLGALDPYKYQQISEIEPDVHHINEIQN VSDVALIMQGLTPSNEEYYPTVVIHTLMQNILRENSLAQYHSAVIDAIVTIFKTLGLK CVPFLGQIIPGFILVIRSAPASRLESYFNQMAILVNIVRQHIRTFLPEIIEVIRDFWD ASYQIQGTIISLVEAIARSLEGEFRKYLAGLIPLMLDTLDKDTSPRRQPSEKILHALL IFGTSGEEYMHLIIPSIVRLFDRPQNPQSIRKSAIDSLTKLSRQVNVSDFASLMVHSL SRVVASGDRVLRQAAMDCICALIFQLGQDFTHYIHLLNKVLKTNQITHVNYQILVTKL QKGDPLPQDLNPEEVYAFPTDDTNFSEIGQKKIVVNQQHLKNAWDASQKSTREDWQEW IRRFSIELLKESPSPALRACASLAGIYQPLSRDLFNAAFVSCWTELYDQYQEELVRSI EKALTSPNISPEILQILLNLAEFMEHDDKALPIDIRTLGKYAAKCHAFAKALHYKELE FEQDQNSGAVEALITINNQLQQSDAAIGILRKAQAYRDVELKETWFEKLQRWDEALAA YKRREKTDPDSFGITMGKMRCLHALGEWKVLSDLAQEKWNQASLEHRRAIAPLAAAAA WGRGQWELMDSYLGVMKEQSPDRSFFGAILAIHRNQFEEANMYIEKARNGLDTELSAL LGESYNRAYNVVVRVQMLAELEEIITYKQNVGDPEKQDAMRKTWNQRLLGCQQNVEVW QRMLKVRALVTAPRENLDMSIKFANLCRKSNRMGLAERSLASLETVVSDANGTRTIAP PEVTYARLKFSWANGHQVESLEMMKEFTSGLTDDFSRYNTLMVSNADHHGANGVNGVV DQNHPDAIRLNERIGDANKFRKLLSKSYLRQGEWQTTLQRGDWRPEHVREVLNAYSAA TQYNRDSYKAWHSWALANFEVVTTISNQANREGMPAPVPAHIVTEHVIPAIRGFIRSI ALSLTSSLQDTLRLLTLWFNHGGDHEVNTVVTEGFTAVNIDTWLAVTPQLIARINQPN IRVRGAVHRLLAEVGKAHPQALVYPLTVAMKSNVTRRSQSASNIMESMRQHSAKLVEQ ADLVSHELIRVAVLWHELWHEGLEEASRLYFGDHNVEGMFSTLAPFHDMLDKGAETLR EVSFAQAFGRDLAEAKHYCMMYRESEEIGDLNQAWDLYYTVFRKISRQLPQLSTLDLK YVSPRLKDCSDLDLAVPGTYQSGKPVIRIMSFDPILHVLQTKKRPRRMTLKGSNGSSY MYLVKGHEDIRQDERVMQLFGLCNTLLDNDSESFKRHLSVQRFPAIPLSQSSGLLGWV SNSDTLHALIKEYRESRRILLNIEHRIMLQMAPDYDSLTLMQKVEVFGYAMDNTTGKD LYRVLWLKSKSSEAWLERRTNYTRSLGVMSMVGYILGLGDRHPSNLLLDRGNGRVVHI DFGDCFEIAMHREKYPERVPFRLTRMLTFAMEVSNIEGSYRITCEAVMRVLREHKDSL MAVLEAFIHDPLINWRLGTQESPDRVSLTADRRQSIMEGVNFEPGAQPPGDFSRRRRP SMLEGGILDAPEGVPQEAREAQNARALQVLARVKEKLTGRDFRNNEELSVSDQVDKLI AQATNVENICQHWIGWCSFCIMGGDLNLKKSWHPGLIKNQERVWLEEKRALDERKQIA QLQREREEERQMEEIQRLQEAAGKTKQHRRVDWMYQAPSTETGHYSEEMEGYLLGKRR IDGVLLKNDPDTKKLEKGSEVVGNGAAAGPSIVSARDTMSKVMADPLLEVKKREQAAY EAMVKETLRRKEREKERGDRDRGKDRDRRHRTHDSKRRRYSDDAGDDRRDRHHRRSSP RRHRSRSPGSPDRSSHRHRSDRDRERRDDEYRPDNRDRRSDEHRSRRDDRDRGRDRRD YQDKKDRDSYSSRHQDREDRGGRDRSPTRNSRSPRPSSDRKERPAAGEDRRRDFPRRE YNNRRDSGRAMGPPSNRAPTNKPDPKELEEERRRKLAEMQSNASEIESERRQRIEEIS AKEEEQREADDRLRSDRGRFMSDVNKRVQEDTLDERIRRSRGGLAKMEED PEX2_095030 MGLTISTPYRREDDPHTYEGFEDPKPWRVANHFRYASRPLKPHS IMSSDSNLA PEX2_095040 MFANEIADLASTERPHYFRFNVDQGLENIELEEWREFETLTVAT DPYLEAHRRDVDSCVEAFLGLIESKMSIEQSFMTCVGVIYSKHPSFREFASRSEPFDV VRARLQTPAHYPWLIIIDGLDNLNVSERLKIEANAIVKVGPLKPVDARSLFLYRAQNI DQNTNEELDEGEES PEX2_095050 MSRPLFSSTAVRRLSQAQTCRLFSTFRPALRLSAFPGGEVVPRR PSTVASSAPPLKRSTPQSSTSRYIRQQHACFSSSSVRPATKVTQNPRTGDDGQSLTIG ISPRAVERLREITDPTSSPSAIKEENPYHHLRITVTSGGCHGFQYMMSLEGASKIDPE EDTVFEGEADEAAAESAGEAKVVMDEPSLELLHGSTVDYTTELIGSQFKIVDNPRATS NCGCGTSFDVSD PEX2_095060 MGSRQHVRDIKSGSPLPYTDDIRAYKKEYAEALDAQDPLRSFRD EFIIPSKNDLKRKTLAVDESNEASDEKSIYFCGNSLGVQPRSTQKYIEQYLRTWATKG VTGHFVPHDDQLLPPFVDVDSAASKLMASVVGASQSEVAVMSTLTANLHLLMASFYRP TKEKYKIILEGKAFPSDHYAVESQLRHHNFDPKDGMVLIEPKDLDQPTLSTEQIIKVI DDNASSTALVLLSAIQFYTGQYFDIERITAHAHSKGILIGWDCAHAAGNVDLRLHDWN VDFAAWCNYKYLNSGPGGIAGLFVHERHGSVDEKQPESDDTFRPRLSGWWGGDIKTRF NMENKFLPQPGAAGFQLSNPSVLDINAVIASLEIFNRATMKEIRQKSLNITGYLEHLL MKYPLDAAPEDKPFTLITPSNPAERGAQLSLRLQPGLLDHVLHCLEENGVVIDERKPD VMAEKEIKQPSISHPGSGQDANVGTRSGDLADVDYSVFTIFQGLSPMFIGDFADKAGR RPAYIVCFTIYIAANIGLALQNNYAALFVLRCLQSAGSSTTIALSSGVVADVATASQR GSYMGFVTAGSLMGPSVGPVIGGLLSQYLGWRAIFWFLTIFAATFMVPFLVFFPETAR GIVGDGSLPPQKWNMSLISYLKSRKAREEGVISTTIASKQKLKFPNPFQTLAIVFQKD ISLVLFCNAVLFAGFYDVSASIPSIFNELYGLDDLQVGLCYIPFGLGATIASVVNGKL LDFNYRRLAKQLNFPLTKNRETDLRNFPIEKARLQLAFPLLALGSLSILVFGWFLNYG IHLAAPTCILFLMGLTLTGAFNTIGTLLVDLYPTQAAKATAANNFMRCLLGAGATALI DPMLSAMGRGWCFTFIALVMMATTPLLLIVIQKGPSWREERRLKEETKNTGNTNASRS PEX2_095070 MSQARLPPPPPPQWVVSLNSPMPRPSKAASSIPDPPGFSSSRGG KQRQQQQQQSTTKKPDETDALKMKKAWEIAIAPSKQIPMNAIMMYMSGNSLQIFSIMM VLMLFKGPIQGLIGTNAAFAKYETPSTHSRLLGVKIVYMLMQLVLLGLGIWKVNAMGL LPTTRSDWLAWESERQPLEQAHFAFR PEX2_095080 MFIGIAPYPPSHGSRLRNTQGKVAELRQELNSGGKKDKNYSAKK IALKKIVANMTMSNNDMVALFPDVIGCMNLPSLEIKKMCFLFLVNYSRMKPEVALKAL PILVDDMGDNNPLVRALALRTISYVHVREFVEATFQPVKRLMQDNDPYVRKTAAFCVA KLYEHDKKMVENSDLIDRLNRMLKDENPTVVSSVLASLVDIWGRSESISLTIDYVSAS KLVSILADCSEWGQTYILEALMAYVPQDSAEALLLAERVAPRLSHSNSAVVLTSCRVI LYLMNYIPGEKHITSLCKKLSPPLVTLLSKPPEVQYLALRNAILILQKRPEVLRNDIR VFFCNYNDPIYVKVTKLELMFMLTTKDNISIVLAELREYATEIDVHFVRKAVRAIGKL AIKIESAAKQCIETLLELVDAKIPYIVQEATVVIRNIFRKYPNQYESIISHVIRNIDD LDEPEAKAAVIWIIGQYADRIDNSDGLLQDYLATFHDETVEVQLALLTATVKLFIQRP TKGQQLVPQVLKWCTEETDDPDLRDRGYMYWRLLSTDPATAKQVVMGQKPPITAESEK LDPRTLEELCLNVGTLATVYLKPIHQVFRAARPRRLLPSPALQRPPIDDGTGNMLEYN PPSANMASASTSNSGLATIITTGDPITPSYNGPPPPLPVPGVNSATNGPSNMNEAADA ADAYFSGIGSQQMASLDLDGSGGGGAPQTQYVVTQNQQQGYQPQLAGGAATGELLLL PEX2_095090 MSYEDRANARSNLNDESDNEEEAMANDYREQVNYDDGMSELDQT MSHGASQTQDLQAQLAAAATPLEYQATLETKFASYDNYCSLFHYILNSDGPVDLEVPN YYWAWEVIDEFIYQFESFCRYRNRVARTGSNEEESQLLRENPNTWGCYSVLNVLYSLI QRSQISEQLNATKRGEDPMAVAGEYGSRPLYKMLGYFSIIGLLRVHCLLGDFSLALKI LDDVEMNKKAMFARVMAAHFTTYYYVGFSYMMMRRYGDAIRMFSHILVYVSRTKNFQK GGNNYEAIAKKNDQMYALIAICVALHPTRLDDTIHSAVREKYGEQFYRMQKGGPEALP VFEELFRSSCPKFINPTPPDFDNPASNVDPVDHHTAIFMDEVKNTLFNPTIRSYLKLY TTMDLKKLAGFLEVSPEQLRSWLLVNKQRSRQIRWVDGGLLDGEPAIANDLDYALEDD LIHVSETKAGRRLVDWYLRNLARVY PEX2_095100 MKISPHENPKQFSALAPGPARMFTPQTSTAVSRPKKNSTACLAC KAAKRKCSGPDAPCKACRSTNTICLFDPSRDLRRKVAVKRTIQELTSHLDLLKALLDT LASDDRLQFDKVVDLIQKKATFKDIAHAVGCPTAMFGDSQELSETTKSVILIYSERPI ENLDNEDRRPSDAGTIASSPEEIPNMKPPQWPTVSPYARVTLESLCDIPLFEVPAKPW TKITNDDYLVSHLVSLYFTWDHPCSQFLDQRIFLDHMKLRRRSEFCTPLLVNSLLSMA STYSDSPDVLSTPENVFSRGQNFFLEAQRLWEIEDDHHDLPNIQALLMMCCVFKCQGR TRKSWMMLTQAVQLARDIGLFDAPSVSNKKMLPEMERVRAITAWGVFNLSSQMSIELQ KIASLEYPTLDVKLCGNDDFDWTPYPRSNKVTYDKKPALLPKVREGLAEVTRILVDVQ KLGSDKIRGATLDELWNKAHGPFDRLNDWLQHWPGVPEAQRNSVPQVLLLRIQCLQAI IYLFEGLKDPHEPQYVRQAQFYQVKAVEEMANCLRIHRQSYGLNHIPSQIVDAIQAGL RISVHQLEESDQTRQAFTELCRFGMALSHRFKQTADVIHEVRRVALHLDVQLPAEVIE IFDEPEQWSLEP PEX2_095110 MGSIAPVAELDGSKFNVTLSTNLRDVPLPGSPEELSHSHCTDHM VTVKWTAAKGWETPEVKPYQNLSIPPTASVLHYATECFEGMKVYRGYDGKLRLFRPDC NGERLNSSSQRSSLPGFKPDEVKKLVAKLLQIDGPRWLPNPGSFLYIRPSVIGNGPHL GVQVPKEALLFIIAVPWPDFTKMKKDPEAEPRKGLRLYASSPDTIRAWPGGFGYAKLG ANYGPSLQAHGKAQALGFDQILWLFGPDRQVTEAGASNFFIIWHNTEGKLELVTAPLD NQLILPGVTRRSVLELVRERLSENFVGKLAPLEAVERSLTIDDIEKASKEGRIVESFV SGTAYFITPVAMIQNEDTEINTLGVNGEPAGYAAQIKSWLEAIMFGKVEHDWAYTIEN EGQ PEX2_095120 MSAHQPTPTILLPDLPPKTLVGIDLITFTSTPNFHGIRDLSPGW HFLYTGATETLSLRSGAWFYIGDITTAGKGTNDTALVTQGRNKTGSQNAPGPEIYIWK WSANTESLVTLDSESDSARQENMRYKANLGSVWQTGGLFKYRSRIAPSMLVKPVTESE TGEKNEEDQPAPQIEARIQTEDDAQTEENGRRDWSGLTDRISPSLLSRVIGNPELDVD GHPRWVLSSASTANRDADHIPGVDSPAEPEITEEAEREFGFIPVDLKRTWREGAVGRE RTEAAKDRSWALGDLIDRYAGEASGGNQLLGELQFTFLMVLTMMNYSCLQQWKRLLEL ILTSRSAILDREAFMSEVLRILSLQLQRCDDVDGGLFEIDGDEGGAFLRDLLMKLRQS VDDLVGGTASEVKFELDKLDEWVKAEYDWELRRGAVVRHGMLQLEDGEQVEMDWDGND EEDETGEYAPVIVDM PEX2_095130 MAPKTKTPAAAKENVSLGPLAGDGKLVFGVARIFASFNDTFVHV TDLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAVRCKELGINALHIKIRATGG NGTKTPGPGAQSALRALARSGMRIGRIEDVTPTPSDSTRRKGGRRGRRL PEX2_095140 MGRTKEDASIGIIGMGDMGKMYAQRLSDAGWSVEAGAIDKVVAQ YGLSTKVGAIVGGQTSCKAPELAAFEKHLPSDVEIVSCHSLHGPKVNPQGQPLVLIQH RASDESLKFVESILSSFGSKHVYLTGEMHDRITADTQAVTHAAFLSMGTAWQANNQFP WEHGRWVGGIENVKINITLRIYSNKWHVYAGLAILNPAAKQQIRQYAESVTDLYKLMI GGHRDELKRRVKAAGASVFRPGSEGQDLLLKDEVLDRFSLSNRPREKAPPNSHLSLLA IVDCWSKLGIVPYDHMICSTPLFRLWLGVTEYLFRNQDLLDEALDTAIDDNTFRSDDL EFTFAARAWSDCVSFGDFESYRHRFERIAEYFAPRFPEAATLGNEMMKTILEKTTSNN PEX2_095150 MASGHNSAYSASTQHRALGDGRSSFSQDGGSADGSWQDGTSRIG RNRRSESGGSSNMGGRGGSFVPSLAPSLVPSSGGPGSFSAELKSTTSRSVTPRADGQY NRRASRSIDEEDFHTTETRQAFLRDRIAKEMKIKSGTENMLEALLAKPLKQTKEQRLK VESELSSSNRKLVELQHELEEEILRAQAPASTPPRSSRLSSLFRASPMRSPSRNKDGM GSVNGDGEENGDGDVESPTYVLSETLQALEIEGMSPDFYVERANSLVELFKRHPTLKY DLAWSVFGLRVQVMLLSDSKEVVAAGYRLTRYAIADRKSLQIIRTLHTDELVILSLVK ETKASIEREQALKFVRGFLDVKDGVREISRAVVRTIVAVAEHHEDRLRNISIMTLAEM LVKDPELVASAGGFAALHDALAEGTFGASESLISSFLHVVDTPRSRKFLQGSELEAVL TPFTDSLSDTLRNGRLKSAARAISAMLKTWPGLVILARHDSQPLRSLLDSLHYNDPIA RDLIMELLFDALRIKPPSWSSSFLAGRRLTTYGRVSNLRSDLETSRANRAFNDTNSNR FDLTAHFSTLILAGLVEAGLPKVLSDVIEDEADLSLRRKATLLLTEVLKLAHHSLPQA VSAKLQVLPHLIPPAVDFETENHDLSTATIFQIDSINRTLARSGGYPSGQGRYNMETD LSGSLLPIEQGKDKMSPSMDETQFRNAILETHVLNTVNFNKWKWDIIHRLVEGPLTNP KRMDEAIKGSKFMKRLIGFYRPFKYRFALVPNSKANQRYVRTGCVLMRTLVTTPEGTK YLAENKFLRQVAECLAQLDRMSGLTSTSPLFSKDQMANTLSGGYFAMLGSLSGDVNGL LMMERWHMLNMFYHIIELQDRNDLIQTLLGNMDYSRESHLRVMLSKALTIGSKEIRIF ATRLLRKYAVGDVTLSPHVAIGNAEWVVKLLVTQLYDPEVTVCQVAVKILEEACNQRD YLEFVVKCRPSLDHLGEIGAPLLLRFLSTSVGYHYLDGLDYITQEMDDWFLGRNDSYV GLVEAALSRAYVEQPRRASLVPEDLVDLQDIGLVPPHFYRELARTAEGCKLLEQSGHF NEFAWTIRDFRLDEEDNEMLLKAKGCLWAVGNVGSMELGAPFLDAEIIKRIVQIATSA GVLTMRGTAFFVLGLISRSRHGLQVLQSLGWDSGVDQKGDSLGLCLPTDFRKLFLISF PGFDYRSKRTPKEKLKEATTDSDPVNQRILKLIVDMGNTVLSKRAASDLHILKSKQPE RFHQAHLFRKTLCILESHHYRLPARRFALDLFDKSVMRRIVLDEDSDSESDSSTTSQS E PEX2_095160 MPITSDITLDISKFNPENVTEPTKKAAALLETITTSGPRWWEVG IEKYCEMRELGQTSLPKPVYLPEALDSTIPSRDAGREIPIRVYKPDNGEPSKGIFLHF HGGGFVLATHKDFDSVLREYANNCQLTAISVGYRLAPGHPYPAAVHDVIDAAEYMVDH ATEVYGAPLRFIGGESAGACLASLSALQLMRSRPSYKLSGLILPYGLFDLGLGLPTMA AATKPLMINLEIMEQFNKAYVPGMSIAERQHPSISPLYEDLKALVAASPIGSLPPALF LCGTEDPLLDDTILMSSKWSIAGGEAIVKIYPGATHGFTVVPGLPVADEANALALHFM QEKLSDSA PEX2_095170 MSRRIGAVKSRFGCKTCKIRRVKCGEEKPNCLRCSNTGRKCEYE GRGTSPWAPSTPSHALSSQNAARRERRAFQYYFQHVSQHLAGGLNVDFWTGVIPQICR SEPAVWDAMIAISALYENPKQCMDFHFLKDGRNKVDLLNHAQQDALTWYSRSISGVSS QIQRGSADPYIALISCALFICVETIQGHMEKALELYRQGITLILDLRAQIGYGGVSVS KAVLLERSIAPLFLRLGTSSLTISGTQFPIELFAFVETDLSAGFASVDSARTIMSALA AEIILFEREANLHLRAVGAESAVSPEMVAKKEGFRARLAEWHRAYINLCQTNRSVPTL PIYPEPMLLTYHAAALIYVTGCLRQQETVFDIHFADFVTIVEQSRLILDASAGPNGVQ PPFSFEMSVGVPLAMTVLRCRDPNLRRRALGLLRLAPPIQGFFKCAPVALLSETLMKL EEGYSLALRQDNSMAHSHKFLDNNVLIGLPAAITTHEGIKSQAALIPEEARIHDYVSS IFNMPEQLDLDLDLIVLNCTLATVEDVVQCDIGVKDEKIHSIVPQGSLAGSKAKKIID AKGALVTPGGIDAHVHLQEPPLFGLGSTADSFESGTRAAIAGGTTTIVAFAPQRKHED SVLATLACAHERANNQCYSDYSFHLIISNPCAKTLAEFPAFRELGISSVKIYMTYEAL RLNDEQFLDVLFQSRLHKVTTLVHAENDSVIAWMTKKLHERQLYAPKYHTASHPAVAE IEASYRAICLSEFIDTPILIVHVSNPRAVDNIRQAQAKGLPIYAETCPQYLFLSKENL DLPGLEGAKCVCSPPLRNKSDHEAIWAGLEDGTFTILSSDHSPFNFNDSTSGKGSSVT AEHPDGQFHLVPNGFSGVETRMSLVLSANRLKIQRYVELTSTNPAKLYGMYPRKGALI PGVSDSDFVIWYPEGSLDMSITNGILHHDVDYTPFEGHKVKQWPRYTVLRGQVVWDRE DGGVVGARGFGQFLHRTESMLAGSRSEGSWDVETAWV PEX2_095180 MQSIFTSFVYFICFFTAMVEGLTRYHTTPPSDAIIVHDRQSLND LVKVNPETILHAENGGYYLKNMDEKVVAIAADDLCTELDAAFASIDANIFGDESESKN EAYEYLGGADVTKRNEDLAYHPSWHDYCSHPRCFHSATCLSYTSCQVCSTSSRKVCI PEX2_095190 MQSQATKTDSEPNSIDTKGLCLLSLDGGGVRGLSTLFILKNIMA GVNRQRQKSSLPAVKPCEIFDLIGGTSTGGLIAIMLGRLEMDVDECITAYVDLMRTVF ENASNFLPFSFTGKIKPKFNSGKLRDAITKVVERHDGSKTGLLNDRKEGGCKVFVCAT AKETTGVTRLRSYSSEGLDIHATISEAALATSAATGFFKSVSIGKRLFVDGALGANNP VDEVEGEASDIWCPDTRQLKPLVKCFISIGTGIPSKKQIQDDKILKFFIKTLVGISTE TEQTERKFIARWAKQVEENRFFRFNVEQGLQGINLAEYSAQGLIEAATDEYIRHQNQM SRVRNCVWNLKQKEYGTSSEEARKFTLAKEIQEFEDKVRLREPADYENTSMKEEPAFR TPFNNIPFPRNAGFVGRGDQLSEVEHMLFSPDEQRKVAITGLGGVGKTQVAIEIAYRA QARRGECSIFWISATSSETLERTYLQIAQDLRLPGLEDQQADAKTLLRDYLNDRNVGQ WLLIYDNADDIDMWFDEATSNTEPQGLSNYVPWNSSGSVLFTTRFKKVALKLAMANVI ELPHMDKQMAEKLLSKRLSTPALLDDKDATILLLEQLTFLPLAIVQAASYINENSLAA LSDYLLLLSEQESDLIDLLSEEFHDDWRTRDTKNPVATTWLISFERIQSTSSLAAEIL SFMACIEPIGIPKSLLPQVLSKRKWMEAIGVLKAYSFVSDRSENETFNLHRLVHLAMR NWLKRDGKLSDWTTKTLTRLEGVFPEDDYRNRDVWSAYMPHALILIKSADPKGSDKSH KLLQKVSQCLLADGRVREAVFWLEQKDNWEGKNLEREHPGRLASRHELAKAYQANGQI IKAVELLEDVVTVEERTLAKEHPDRLASRHALAGAYQANGQISEAIELLEEVVTVKEC TLAKEHPDRLVSRHELARAYQANGQIIKAVELLEEVVTVQERTLAKEHPRRLASQHEL AGAYLANGQISKAIELLDEVVTVQERTLAKEHPDRLASRHELARAYLSNGQIIKAVEL LEEIVTVEERNLAKEHPDRLASRHELARAYLANGQISKAIELLEEVVAVRECTMTEDH SDRLVSRHALEVAYSQRAYNRERESTGSSQSKVESDR PEX2_095200 MEDAAESLSPTHLTDVDPIPTGTGMASSEVSTSDVSSSEEHTTR DTADLPHLVIQLIQCQHCSRPLRSPLRLPCGNSVCRECLPAPRSRTGITYPVAEGRDQ EFTCFWKGNKACVGDHCVGDCGADVLLGKLVGLFGEILGSEANNVPRDWSRDHGPLLQ WKFDGQKQNGSVQLQRYGWLQGIYSMALEGRFPSDACEVIYGDSTSPGGSTEVQDLIH FQTLRDSLRTELDCQVCYALVLDPMTTPCGHTFCRKCVARVLDHTDLCPICRRKIGMP NDLQSEPINQTVARLIDYFFPDQISVRRETSTQDETGPDHEKNLPLFVCTLSFPTMPT FLHVFEPRYRLMIRRVLANGDGKFGMVMYNRQSRVLPGQLDDIPFVQYGTLLMIERYE LLPDGRSLVVATGVSRFKIVDSAMLDGYYVAKTERIDDISLAEEERLESMETSTDGVN ALPEENESNPSLDSIPTQQLLLSAREFIANQRRSGAPWLHPRVMLAYGPIPTDAARFP WWFASILPISEEEKYPILAATSVRERLKISAKWARQLEARDW PEX2_095210 MDLARPKKLTLSCSRCRTSKLKCDRKEPCLECVKRDCAYLCTKD ERQPRAKRIKTKHTEQNRSQVTAKEGKEPEIEETAQILEHFVDVIPLPTESAGVAPDI PNQYWVTQDPQRNVQKLALIRDVVHALPEWDVIQLLYEVFVTRCQGSLGNVVHTPSFM KQADQLHHCLSMDSPDVAIASTLSMDSLAVLLLALVLGLAFHPTLSHVGVQLTPLSFH VEQLRASDEPTSTWRSLGVRCLQGGVSLFCGSIISLQAAIMLLLDTKVTSLELDAVLV TAISGARKLGLHRLGNAALDASPFLDHDSSTAEPPHIRTEVAIRIWWTLVMRDWSRGQ ALGYYTIRPSQFNTRMPLHLNDDDLLRAHGKIPERPRSEFTMLSYTVYALEMSSIVRE LIDLGHTADEASQRRQHINQKYKKYVASLPSYFRLGSTIGLTAMGPMSAIPVQRFMLH QQLWSLLLRLHRATLSSPMGRASCQQLAHNIITTQAQIQARCTICGSLSSNETQLFNA AVVLVLGLLFDSPSTEVEHSSAQLSRLMTRDHIREAIELLRTKVSPEESSGDAMPGEL PQSSVSRSLMALEAMMKLEVDSSDSANRQAGSKQKRPLYHKVVKILKTLNDPITPADS VVPRPSTPGDTSFTYPMPVADGFPDLDVMPILSNGLSPNMWDFLDFQLPEDAGKDKPM AGDFYGDIGVEPAFYPGESSNISRARLAHESPSALQSEPTLDSATTPSSADALAAGNL EEVMGNGSVSS PEX2_095220 MSSTDGSTTPILINKPHGKEEIISSLGAAEKAEPETEPTTFSST FQEAVFILVIGLSQLFSVGGLGNVAYSIEQIGTSLGTNNNGQMSWFQASYSLCGGVFV LVTGRLGDHFGHKYVFLFGWIWMAIWSLVCGFSHDVVLFDIARGMMGIGNGALAPNSF ALLARAFPPLSVKKNIAFAFLGFCAPSGYIFGGLIGAAFGQKVTWRWGFWFWAIGCLV LSLATFVIVPHRIGSPIPGLSLRQFDYIGSLLGVSALILFSFAWSQASVVGWQEPYIY ALLIVSVFLLVGFVFSQSRVSAPVLPNSLWARPGFAPVVAAMAFGWMSFGIFLYYTTI YILTIHKTQPLAAVAQMVPLVIGGMFATMAVGLFIAKTPAQYIFGVSMFSFFIGNLLM SFVNYSNVYWAFIFPACLLVVGGPDLSFASSGILISNAVLPEEQGVAGSFISTVVLYS ISIGLGIAATVESHVNEGGQNLIRGYRGAFWLGIGFAAVGFFIVIFFVRDPRFESKAE DQSGPEAITERADL PEX2_095230 MDYDYGQLSYNDTDYGERDVANCKFPSIPKKMDETWKRNAIWGI IGAAFLLMVCLVGVAIAFTVVFAVKSPNFGGYSSDSPGYALYKNTRFEKCYSTPASTT NCTAIRAALDHTSITGFGDNKVGYLGSDKVFDKDSNPFSDWCEAMSCFNDYKVIPSTP RDSAIWPTLLTSWTTSAGFLIGSLLQLLLQQKALYRPKDKPCKRLGDIHWYSWILIAF DLYSFVWWWVDFGKLAAAPASAATPFIVGWVIPWKYAGLLRYHPYSCAFRKNLRAKNV TRWIFYILAAAQWIASLYVIHVNLPSGMATRWGLRAPNPSYDCVQSQIDAAPGASTCS ATQICSRNWLFVDPGFEPAYQHSNSMIAIWTIFIALTIAALSPLFFMACACFERGNSP GSSPRSMLRWADPGPVIILSILSIFEIITGCILVDDIVKRLSVTPEAAVTFDWECQAI HVALSPWRYYIDVDYERGWRIAKLWFNS PEX2_095240 MLLNRRAPALTLSAINSVHGLRTILLFLLFYILLIMAALRQSSR DPGSIFFDPTKAYDPIYSTIRLEQAASFIDRANNATGPQKSRVSGQPKLCLGIAIIAR KGASYFQATVGTFLEGLSEEERAIIHLILFIAHTDPLQHPAYEEPWLDKLADQVLLYN ASEVDINYLRSLETEKAKIVGREKVLFDYIYLLKACASVDTPYVIMLEDDLVALDGWY HRTQAALASAEEQTQELGAANWLYLRLFYTEEFFGWNAQEWLTYLLLSILVTCLVACV LLLFRKLNSRAQLNMTIILLCAISTPLLIGLFFAMGRVTMLPHSNGVHQMPKFGCCSQ ALVFPRSRIPELVALYTSKRIGYVDMLTEEYANARN PEX2_095250 MVQFWIFSTDSILDFPAPLFGSYEAWNLDRSICVDRNSRYAAYG YTEEGNKGQWQNVNWATLQQDCLQRNADRYQPSNIRQKTSTLHKEQDKGTEDHHWREK TETDRNRTSAIFKTRTAVVLRTWLDMEYTEDDLYYIRSIIMELALLSGGEYEVILLVD AKNIELPHPTDKAGLDNLKKSLPRELQDLAVFFNSEILKDWYPKIDVHEAILQYFQPM QIFSRLNPQYDFFWQFEMDSRYTGHFYNFLQQATEFSRQQPRKNLWERNSYFYIPAVH GKWDNFTDQVDQSMAGVHSIWGPQPAKGIEVGNEAPEPPRADLEDDSWSWGVGEEADV ITWLPQFDPQHTEWPFADRVFNFRQKGRTPRRASVVAMSRVSARLFRLMHKDKTEKGL GLASEMSPTSWALFYGLKAVQVPQPIYHAHETNPVELNLRANAGKPGKISAGRNSIWN WNQHNDIVMKMSYMFGSEFPEKIYRAWLGYDGAEKNGYSGHLCLPPMFLHPVKNTKK PEX2_095260 MTSLSLSLGPPALVRLHDALICLSKFSETVAIEAEPDILRLSAL NSTKTGFASFAFEKGVFFEAYSFTSTGGGRSGSVSLDRFFCQIYIKALLSIFRGRIDK NKDTAVERCDMELHEDLQQTECRLTVKMICGLGVIKSYKLTYEPAAIQHAVFDKSKAT SMWTADPRFLKQLIEHFSLSAEQLDMYSDSGRAVFTSFTTKIMEGKEVLKHPVHTSVA ADKRDFQEYLVEENMHVAINLKDFKAVVAHAETANATVTARYTRPCKPLQLEYRFEGI NAEFTVMTRGQADSDDVPTSSRATIPRQTPAPIPISATRPRDTRPSVAPSTQMPPPPP RSRSIRPLNGSSTQENLSQKASADRPAASGLSMDFDSLFVPADDDRQWDEMNEEEEPQ DILGWDASGTQDAFGASIRNAEPSFSKQDGSHAEDADEEMGLPPTQRLSQVRQYGLFD PEX2_095270 MRLFAFGSNGSGQLGIGHEEDVSTPTQCLFEQPEQPQGSQRHTI PNISLADDSEIITIAAGGNHTLLLASNGSIYAAGCNTDGRCGPHRETKNPKEPESDIN ERNILRFRQMILSDTVSDTEVSKFKHVSATWEGTVAVASVSRTRGNDIGHGHSSDTED RVFVFGSSPKGELGLGPDTPAPVPGTCIPSFPPAGLRITALASGMAHSAAVLSNGDVY GWGAARKGQLGEENRGARVAYSPVRICVPFFAEAVTCGREFTVVSGQGRFVVLGDGGN RWGILGVPESLSLRVGSNDEDRGLGEDGDYLQDDEKGDCGGRSGTIRYRYTGIGASWH GVYVHAAPGLGRVEPSTSDAQTDSDSDFVGGSIVAWGRNDRGQLPPPDLPPPAKLAVG SEHALALLGDGRVAAFGWGEHGNCGPDTDSRGNVSGTYNVISLSEAVGTDGKVVGVGA GCATSWMIVT PEX2_095280 MLEFKLSTPPVIDTEVDTSVYATKEPPACSAPWPAAPRTFAAEA CLVLIGIHGYGKHSLGFVAATALKRRFITGDHYIRGVIGVSVGFFCLRLERNTQCLPM LYDYES PEX2_095290 MTDFGPRAPHGPDMSGDHNPLEDMDINEKGAFDSLIRPDDSYTP EGVYWADLPLWKKITFVTSYNNMEAKRELKDIWNMIKVDPLSPVSYYFRNMVLPGAGL GLEGYVLFSIGNIKPLFQAAFPDCWKHAKTCSQQWIYAIDYLEIIGIIVGQILVGILG DWIGRRWGLIQDAVIMLLGLVMLTAAWGVTENGWIICYAWCLFIYGIGVGGEYPMTAT SGMENAIGSGKVSTKEDRLHRGRKVTAAFLMQGWGQFFNQVILIILLLCFHHGSGNNP YSAVSVQWTYRVSFAIPAVGTLWLVYYRAYHMKAASKQLTAAKKKASVTGYDAQSLKL TFKYFGFRIFATAGGWFANDVFFYGNKLFQSEFIKVISPASSSVMPTWLWNLVNVGVS LCGYYMASFLIDNKLYGRKWMQIVGFMLCFILFVVPAFNFDYYRRPENIKAFQTMYFL SSFFNQFGPNSVSFLVAAEVFPTPIRASAHGMSAAWGKAGALLAAVLYNYIDTQTKFY VVPWFGLAGALITLFFLPDTTGLDLKEQERRWEYLRSGREEEYHGPAVHPKHLSWYER YVLRKSRYYDAELDYQQKVEEYRIEWETAMAAKSAEKDAADALDTDDSLLEGHVHTYF HRTSPMFKGMEKNVTSKQDTFTLPPAAESESEDALNEKH PEX2_095300 MSDFRGLFQTVTVFVAFILTLLCLFAGTQRNLLEDVDLLTLYTP TVGTESGAHDFYSIHVLSYCQGTLGTIDPSAEVTRNVTECSSRTIVSAFDPTQAWPEE ITSSKQLGWPRVISDDFHAFKMTSQVMAVMYCIGVGAMGIVMLIRVWTTLAPKACQGP CEFLFFVLGSFSISIASIIATVIAFEFVALINAHGKGSNVSAHYGEKFLGMTWAAVGL LLAGSVACFANAFVNKRAAYAPAPVSKDIEG PEX2_095310 MEKKAIHFGGGNIGRGFVAEFLHTAGFEVVFVDVMDSIITALQN TKSYEVTEVSDEGELTKTITNYRAINSKTHESDVIHEISTATIVTCAVGPNILKFIAP VIAKGIDARTDATPLAVVACENAIGATDTLHHFIKDHTAQDRVSSMPDRARFANSAID RIVPGQPADGGLNVRIEKFYEWAVESTPFGEFGPPEIPAIHWVGHLEPYIERKLFTVN TGHATAAYYGYNAGKKTIAEALHDPRIRGIVRDVLQETASLIIDKHEISAAEQQEYVE TIITRISNPYLEDTVERVGRAPMRKVSRKERFIGPAAQLAERGGKFQSLMGSLEMALR FQNVEGDEESVELAQILKENSPADAALRLTGLDREHPLFPHVVKVVDGVQSDAK PEX2_095320 MPTFTIQPPTPAHATLTYPAPHILLVTLNRPRDLNCINAQGHAD LHAVWEWLDEEPSMRVGILTGKGRAFCAGADLKEWNNRTSTATTSSNSTTPMPNSGFG GLARRKGKKPVICAVNGLCLGGGAEMIINSDIVIASSRAVFGLPEVKRGVVALAGALP RLVRTVGKQRAMEMALTGRMVGAVEAERWGLVNSVVDVGEGVGEEEIGRAVLGKALEV AGEIAGNSPDSVLVSREGIKLGWEGIGADEATAMLNETWVKRLYEGENIKEGLKAFVE KRKPVWVDSKL PEX2_095330 MGVIRKKTASRGTEAGTKFHCDVCSIDVTSTVRISCSHPSCPEY DLCVPCFSAGKNSKNHDPATHPFQVIEQNSVPIFQEEWGADEELLLLEGAEIYGLGSW ADIADHIGGYRSKDEVRDHYYDTYVKSTNFPLANRADPDDRSLQDSISKEDFQTRKKR RIEERKDAAKAAPPTTPKQKPTASVPACHEVQGYMPGRLEFETEFLNDAEEAVQHMTF EPGAGLNENGEPDAETELKMTVVDIYNSRLTARTERKKILFEHNLLEYRKNTALDKKR SKEERDLLNKAKPLARMMNFKDFEDINKGLEYEHNLRLAISQLQEWRQMGIGDLKAGE KYEQDKQQRVQRMLPQGSFDRFASNRPKQAQLTETPAAAIQLTTPELPLRLQKAANPH APADSADEPLNDFDRAFAVDGDAPPPQPTKTKYVVPPLSGMPSWKLDNETAADLHLLT KEEAEVCNVLRLMPKPYLVIKETLLKEAMKQGGNLKKKDARIICKIEGTKTSRIYDFM VHSGWINKA PEX2_095340 MSWKLTKKLKETHLAPLTSSFGRSSSTSTIKGDSNGDEAVTPVA SNPPSATPSTNGIAASESLVSPPVAIVNPGILIVTLHEGRNFSLSPHFQQIFNSHFQN NSYAPSSLRPSTSSSSHSTQNQAGSFVQSGRPQSTSGGINAAPTIHGRYSTKYLPYAL LDFEKNQVFVDAVSGTPESPLWAGDNTAFKFDVSRKTELNVQLYLRNPAARPGAGRSE DIFLGACKVNPRFEESQPYVEDPKLSKKDNQKAAAAHDEQGRQLGQQGAEWLDLQFGV GSVKIGISFVENKQRSLKLEDFDLLKVVGKGSFGKVMQVMKKDTGRIYALKTIRKAHI ISRSEVTHTLAERSVLAQINNPFIVPLKFSFQSPEKLYFVLAFVNGGELFHHLQREQR FDINRARFYTAELLCALECLHGFKVIYRDLKPENILLDYTGHIALCDFGLCKLDMKDE DRTNTFCGTPEYLAPELLLGNGYTKTVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKI LQEPLTFPSSDIVPPAARDLLSRLLDRDPVRRLGANGAAEIKSHHFFANIDWRKLLQR KYEPSFRPNVVDARDTENFDVEFTREAPQDSYVDGPVLSQTMQQQFAGWSYNRPVAGL GDAGGSVKDPSFGSIPE PEX2_095350 MRLDVKRQLFARSERVKGIDFHPTEPWILTTLYSGHVYIWSYES QSIIKTFELTDVPVRAGRFIARKNWIVCGSDDFQLRVYNYNTSEKITSFEAHPDYIRS IAVHPTQPFVLTASDDMTIRLWDWEKGWKCVQVFEGHNHYVMGMAINPKDTNTFASAC LDRTVKIWNLGSPHANFTLEAHETKGVNHVDYYPQADKPYLLTTSDDKTVKIWDYTTK ALIATLEGHTSNVSFACYHPELPVIISGSEDGTIKIWHANTYRLEQSLSYGLERAWCV SYQRGRQGIAMGFDDGAVVVKMGREEPAVSMDGSGKLIWARHSEVVSSVIKGGDATVK DGEPLSLPTKDLGQCEVYPQTLSHSPNGRFVSVCGDGEYIIYTALAWRNKAFGQALDF AWGAKDNSNDYAIRESSTSVKIFKNFKEQSAGLDVGFQAEGLSDGVLLGVKGQGGIGF FDWETGSLVRRIEADPKSVYWSESGELVTLACEDDFYVLRYSREEYINGLNAGEADED GVEAAVELVATINETVRTGQWVGDCFIYTNSTNRLNYLVGDQTYTISHFDQPMYVLGY LPRDGRIYLADKDVNTVSFGLSLSMLEYQTVVLRGDMEMASELLKDVPQDQMNKVARF LEGQGYKEMALEVATDPEHRFELALALSDLETALTIAREANVEHKWKIVGDAALAGWN LALAQECFTNAKDVGSLLLLHTASNNREGLKALAAQASESGLHNVAFSTLWSLGDIDG CIALLIQTNRIAEAVLLAQTYKPSSAPKLVVQWKESLEQSGKSKVARLIGVPPGAPDV ASTDDDLFPEWDEYIRLEKEGAVPEPPSSESLIDINGDEEEEPASATNGTLEADEEDE EVEEADKAAVDEADAAEAE PEX2_095360 MRSTLRLLANVKPRYLEPFAPTGLTGLFTHPSPRPTLIYLYSTT LQKLQAFPESSAYRQSVEALTRHRLQVIESQKPAGFDAWLERVRKVVGAEPERFAKVQ RADGTYTHAAAQQKDGSDNARGEEWDGESLSATTEGPARTPQQEAEWAQIMNEASETT DKAEHDFYHQHMKWESEPALDADQISHVEQQIGAGLIEEIIQVAETELKLVDELAKAK VWEELEEKPQPGQWTYFERGN PEX2_095370 MRPSWLGWSLISLLVAPALGTPSDASSHQESGDTLVKRGGRGVD PDTPTIFNEIEVPPLTQLTPENFEEVTKDGYWMIKHYSPSCPHCRTAAPMYQTLYEYY YTSNPLFLSGVKPGELASIDSFTGYYNLHFGSVNCLAFGDFCRKLNVEYFPAWAFYDM GVQNGPPAGAKTMSEIGAMIEAKLETIKPGSRPSQGVQIPKSGAKSMEMTAKPEVVKP GAKTAVEKQSIETAELEGVSPESVKAKLQGRPANPQGVSIPLTAESFQSLVTTTHDPW IIKFYVPWCHHCQALAPNWNSMAKEMKDTLNVGEVNCEIEKRLCEDARVNAYPTIYFF RGGERVEYTGLRGLGDLIAYTNKAVGVGSSIQDVDATTFKKLEETEEVLFLYLYDHAT TSEDFEAMNRLTLSLVGHARIVKSDSAALSERFKISTWPRLLVVRDSRPNYYNALAPK DMRDFRQILSWMQTVWLPIVPELTASNAQEIMDGKFVVLGLLSRRRSDDFKQSKRELK EAALEWMDKQVQLFRLERAELRDSKQLRIEEADDRNDQRALRAAKNMRITIREDDKKQ VAFAWVDGDFWERWLRTTYGIDVETGDRVIINDQDNRRYWDTASSGASIMASRTSILE TIPLVVASPSKLSPKSTIGTFESIFFFARSLLGSHPILFFIILGAVVAVATIFGRGRL RRSAARGGIIGNTNNNGGFFHLDGKEGFLNGGSTDKVD PEX2_095380 MFASTARRAAAQASAYAPKYYIPRTAAGMTLGTAVQLGSVAAGF GVALGSGALFIFGEVPRVRNDILRKLPFFDNYYDRTIAPEDNPF PEX2_095390 METKTLVSDISKGQHEANNAQVTSVLPDGDVPYTDHDMDADERV ITALGYKQEFKREFSLWTTFCVSFAVLGLLPSFASTLYYGMGYAGTAGMVWGWIIAMI FIQCIAMSMAELCSAMPTSGGLYYAAAVLAPPGYGPFAAWITGWSNWIGQITAAPSVN YSLSAMILAAVSVYNPSYTPTTWQTFLLTTLIMILHAGISSMSTKRVAQFNSWGSIFN FIALITVLIMIPANTKNSPKFTPSKQVWGDITNLTDFPDGVAVLMTFVGVIWTMSGYD SPFHLSEECSNANIASPRAIVLTSGVGGLMGWFLQLVVAYTVLDIEAVIDSDLGQPWA SYLLQVMPQKSAMAILALTIVCGFSMGQGCMVAASRVTYAYARDDCFPYSDYWKQVHP YTQTPINAVVLNAILGILMCLLILAGDVAIGALFSIGAIAQFFAFAIPIMIRVFFVGN RFRKGPWHLGPFGPFIGGVGVVFVLLMIPILCLPSVTGKDLTPDQMNWTCLVWGAPMV AVTIWWVVDAHRWFKGPVVNVEHAIHAIEQEPVVSEGIEPESRETEATALSKTDDPDN PI PEX2_095400 MDPRSHPSRPPSTSLPPGSTPMSSTPISSMHMPQYSMQPQYPVS QPHTLPPLQPHHPQSPAPHHYMGQPYRPDLSRYPPSTHDVYGASQAPMMPHTTVGSLP PSSLLNLPNPQTQAHQQYPPPPSVLPPASSAQSYPQPIAPAPPRDRRPDFNGLPSGAF SYSDGKGWGMTPDVNGANGSPYAKEPPRTQVVGSQGRRGILPSVPGRATPVTNGVNGT AKSTTIPAKDADGKFPCPHCNKTYLHAKHLKRHLLRHTGDRPYMCVLCKDTFSRSDIL KRHFQKCSIRRGNPTGATHLSHPQAHLKRSQQQAAAAAAANPPKPLQDEVSNPVPHSN GVMGTQFDGAVNGNGMATGRPGYTEQQPLGFTMQSVNGMNRGPGDDAFSAGQAHARAS WMAGPKQNPYLMQPGVDAHGQQLTVDRPLEQVKPPVYHDHKPVMPGPHSHHPGVDWNS MFQHGSSDGYINPVFPHSMAAGQEPIHAPVDHDRKFYPASTGPESGMNGLYLASTTLS GDGTVQAARQ PEX2_095410 MSNNRPFLANFLAAFRAQSTYKPTSQQVGNTTSTLSSAQISQSA RTIATKAANTAQGSSAPSSASAAAHHNHHNPNHNHHHTHTHTSSSTSSSATTTAAGPV QGPSPAPSHHYHHDQPSLPSTPPSSTSTPIPINHSSADRQRRGSDSSSGSGGFRDAIG PEKWYIGGRTPAGEERFYRLGMVTKGGGRLGGSGRVGSIDQLSL PEX2_095420 MPPTNKTWHIQHRALAQQQDKTKQYICPAETRPHRATLLSFPSR RSTLPELHSATSNEIISLATTIAAFEPVRLHARPEDIPLAQALLDKQATTLSPEHRAN ITLIPAPTNHCWVRDTGPVYTRSADEADKTRYAIDFRFCEWGHKTTERIYGASVSSAA AKALEDSPQYTEWPVMDDEAMRENTAFAGVVLDLENANADAVADVVVRVQTDIRLEGG GVEVDGEGTFMATESSIIGDARNAGLGKDEIETELGRLLGVNTFIWFPGRVGLDVTDV HIDAEARFVRPGVVVVCRPHEKAEKVHWEIYEEIRAVLERSTDARGRRFEVHDVVEPD PTRIKGDLRGEEEAPAASYVNFYFVNGGLVMPAFGDVEADAKAFKLLKSLVPEREVRQ VKVNALPRTGGVLHCVTQQVV PEX2_095430 MTILNLKRDLGGKRAPWLLKLRSSTGFIIGAVWMSTFTEFLLYA MIVPVMPTALVTRAGVEFEHREYWVSVLLMSEAGTALLMCPIFGYLVDRGRTRRLPFI SSLIVLAGCMLVLQLAHSIAAFFTARVLQGIAGALVVVAAFALIGDAVDQEHLGQTIG YLGSAIASGFLLGPFLGGVVYNSGGYNAVFWFAYPILVLDMVMRLFLIEKKVAAQWSG ESNGDLESDLETAQRIPSGGSREPQVVQRRKGFVVFRMLKQRRVLISSWALLAQGILL SAFDATLPIFVETTFGWNALGMGLIFLPMAVPAFFEPLFGGFTDRFGARFVAFGCFVS LSPTLICLRFVHTKSNEQIALLVSLLFLIGLFIHACAPAMYVETQRALTAMELKTPGI LGPKGAVAQGFGLQSMCQFAGVFFGPLWGGFVEYRFGWGAMTGTLGVLVALTALPMLW LGENEEERALMS PEX2_095440 MDLIPPSYESATDRDAWIIIARYIPSSDLCAASLVCHRWHGLFM PFLWGDPASHFGTDNDAVYVALTRFRRTLKYARQEVRALTHTLHLPPALSEIYGGPRP GWLRDILEYLPCLQRLMLSKLPFFDHHAMAALKTHERSSQYNIRLLLAEREPNTTSAS LAQTLLLFPALTYLDLSYTTPARDRNVLSTLAQMPFLRVLKLRGIGLKDNDAEFLANA IGRRVRCLDLRDNRLTDMAVRSLLQESFLPLNERERQRSPRQATASPDPFSHFEINES GSDFLKRPDLDEQFARLLAQPVLFHPWVEDLPHTGITHLYITGNQISVEAVASLLLSS RLNALDFGTIKTPDKTYQTHRYGKKKYPGAEKLVPILGKITGDNLIYLRAHHAVLTGQ PPTKDTASNDEDAFLPELSAQDTPREVEQFELDASRQVYELPEETRPVFELADTSITD SIGSSSTMNMLKTSQQLLTAYEDEPVPSVRRGSAFAPEVVQPTPVHISANRGMPIGAS RIETWDTEQAEGGTLPSISMSSDCDTLATDFSLRSTLHRTSPLSLNDPLAQRVQEFLA KRPRNQSIPLQRGKEGWFPYLHPSYIPHMESLVLTDVPSHVTPNSSILSALTRFITAC SNEALLATLQAGSDYSLPPGQARMQAEQQRSRSLFGLRRLVLEITPVDTSKLTSWKPG NQYNATGHSSTGDRDSENLWSAATNDFSFFGEEECGVPENDPGKYFPMAVLNEKVSLM PSEDDSLHSPDSPRLGRHLLQSDKNPGPSGTAGERKQQSQVPTIDLVAELAAFRRGKK AEYEQVVLRERGRRSTLGTGASGLLMPSSPHISLSHYVEGHWKGEVKVVRNPMPKVRT GTVDMYGNYFEKGYLYP PEX2_095450 MVKKRANNGRNKNGRGHVKPVRCSNCARCTPKDKAIKRFTIRNM VESAAIRDISEASVFAEYSVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRIR FNKDGKKLQPPTAAKAL PEX2_095460 MSAASSRQRQNQSKRDEAIRRKMEADLSKKRNAPAKSRSSRKAP PGTVLALKPSQALQIKPVMSIAEAAQLMAAKREDCVLVTDDNERIAGIFTAKDLAFRV VGMGLKAREVSVAEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGVLD ITKCFYDAMEKLERAYSSSRKLYDALEGVQTELGSSQPQQVIQYVEALRSKMSGPTLE TVLDGLPPVTVSVRTTVKEAAALMKENHTTALLVQDQGSITGIFTSKDIVLRVIAPGL DPSTCSVVRVMTPHPDFAPSDMSIQAALRKMHDGHYLNLPVMNEGGEIVGMVDVLKLT YATLEQINTMSTQDEEGPAWNKFWLSMDHESDSMVSGGHSQQPNTPHRSVVSPDLARS GYDNSLLPNDSASHHGDEHSEVVSHHQPAEPAAPTPFPFKFKAPGGRVHRVNVLTTNG VTDLVAQVTAKLGKEVEAVGGEATVEEGCLSNTGYALSYLDNEGDTVSITTDQDMTDA IDLARRTHRDKVNLFVHDPAQPPIPAAIEPHHVKIVTPSEEQSVVEESASQESPVSKA LPQQQAVPSHAPDEQLIAGVPNDLLLPGAIVTLAAVIAGVFILSRPSGR PEX2_095470 MSLLPPEIHTALSQLLRALSTPDNVVRSQAEDQLNNDWVQNRPD VLLMGLAEQLAGAEDTITRAFSAVLFRRIATKSRKDPVTGDNKEIFSSLPNEQRIAIR EKLVISLTSETVTDVRKKIGDTLAEVARQYTDNDEQWPELLGVLFQASQSPDSGLRET AYRVFTTTPGIIEKQHEDAVVEVFTKGFKDDNISVRISAMEAFASLFRSISKKSQPKF FGLMPDLLSILPPLKESSESEELSSALLALIELAEISPKMFKVMFSNLVKFSISVIGD KELSDQVRQNALELMATFADYAPNMCKKEPEFAQQMVTQCLSLMTDVGADDDDAEEWN ASEDLEPEENDLNHIAGEQCMDRLANKLGGQVILQPAFSWIPRMMSSTNWRDRHAALM AISAISEGCRDLMVGELDQVLALVVPALQDAHPRVRYAGCNALGQMSTDFAGTMQEKY HEIVLTNIIPVLASTEPRVQSHAAAALVNFCEEAERSTLEPYLGNLLSHLLDLLRSPK RYLQEQALSTIATIADSAEAAFDQYYTTLMPLLLNVLKEEQGKEYRLLRAKAMECATL IALAVGKEKMGQDALNLVQILGHIQQNIVDADDPQSQYLLHCWGRMCRVLGQDFVPYL PGVMPPLLTVAAAKADIQLLDDEDQIEQVEQDDGWELVPLKGKIIGIKTSALEDKNTA IELITIYAQILEENFEPYVLETMEKIAVPGLAFFFHDPVRVSAAKLIPQLLNSYKKAH GGQSPGFAEMWNKVAEKIIEVLSAEPTVDTLAEMYQCFYESVEVVGRNSLTPQHLQAF IESAKSTLEDYQMRVKQRLEEQAELEDGDEENLDFEYAVEDDQNLLSDMNKAFHTIFK NQGNSFLPTWQQLIPFYDAFITSQDPTQRQWALCIMDDVLEFCGEESWAFKDHIMQPL ASGLRDENAANRQAAAYGVGVAAQKGGAAWSDFVAASLPSLFQVTQHAQSRTEENVFA TENASASIAKILHYNPSKVQAPQDVVANWIETLPITYDEEAAPYAYSFIAQLIDQQNP AVFAKADRVFGFIVQALEAATLQGQTASRVATSAKQLVAVTGANADQILASVDPASQE RVRKFFQ PEX2_095480 MSLAKNILLFGATGNIGSFILDAILPERSQFGRIAIFTSPHTAE TKVSQLNKLKEGVEVIVGNVEDENAVKAAYKVRAYLEDEISRDDLAYSYVVTGPFAEM YLHLVPGLEEAGGWDVKERRAVLLGEKGKGEVSLTTMKDVGTLVLNTLLHATAETRNA ALCVNSFTTSPDQIQAEFERQLGGQPWNVSRTSLEKLRKAEAAAWEAGNPATTVLTLR RIWGEGGTLYAKRANELIGEPKVMGLEDVVANEIQRVSKL PEX2_095490 MRLFDIPSLGLPLGLALSTVVHANGERSLNVCTVKSNGNQRDDV PNILEAFHQCGNGGTIIFPEDQAYWIGTRLNPVVKNVVIEWRGKWTFSDDLDYWRNNS YPVAFQNHAAGFIITGHNITIDGYGTGGIDGNGNVWYTAEKGDTQPGRPMPFVFWNVS DVSVENFYIKDPQLWSINIMNGTNMRFDNIYCNATAVDAPYGENWVQNTDGFDTMDAQ NIQLSNFVYQGGDDCVAIKPRSYDIDIHNVTCRGGNGIAIGSLGQYQEDSSVANIRID EVKVIRYNEDMHNSAYIKTWVGALVPQSSYESAGLARGGGWGSIRNVLLSNFEVHGAN SGPAITQDSGNNGSYSGTSLMSISNVAFVNFTGYIESTSMKVASVSCSNVHPCYNIDF DNVVLYPQENATTPGVGSCKYIMEGGETIRSAFEGRERPLLSYGIAFPAATARHLNDT FQASRVYVICSGSLARNTDAMNQMETALGPDKLVGHWIGMRSHTLWSEVLQIAEEVRT VHADLLLTVGAGSLTDGAKIIALILANEVSTPEELETLAEGPRKRLEIKASTLPIISV PTSLSAGEYSNFAGGTEDRSKRKYSFQHPTRGPELVILDPELAKTTPDSIWLSTGVRA IDHCIENLCSTSGTTTTSDKMALSALGLLVPGLLRCKKDRSDRDAHLQCQLGSVDAMA ACTSGSIELGASHGIGHQLGPLGVGHGETSCILLPAVCKFNAKYNANREKQASVRQFL IQDSVVSEVLRARSVNVEASDLGDILDAIIRELVYMTGGARQTQYH PEX2_095500 MAQLYWHSVVLILWSLFSISVADTCWRDTTCSGPIDTAFSGPWE NNIYAPASRTVRPVSTLPELKTNAVTDSLHPYKAKLHGNGSLIVYDFGIEVGGIIHLD YTSTGNGALGLAFTEAKNWIGEWSDSSSALYHDGALYANISSTGKGTYIMPDKYLRGG FRYLTVFLVTDDPAIVQINDLSLELAFKPTWSNLRAYQGYFHCSDELLNRIWYSGAYT LQTNEVPVNTGRVTKGITSGWVNNGTLGPGDTIIVDGAKRDRAVWPGDMGIAVPSAFV SLGDLGSVKNALQVMYNTQNKTTGAFDESGPPLSQKDSDTYHMWSMIGTYKYVLYTND TDFLLENWASYQHAMEYIYGKVTYPSGLLNVTGTRDWARWQQGYNNSEAQMILYHTLQ TGASLSLWAGDSTNLSSKWNSQAANLKKAINTYCWDESYGAFKDNATETSLHPQDANS MAIMFGIVNEERTASISENLLKNWTPIGAVAPELPENIASFISSFEIQSHFISHEPAR ALELIRRSWGWYINNPNGTESTVIEGYLKNGTFGYRMDRGYGYDPSYVSHAHGWSSGP TSALTEYVVGLSVVSPLGLTWKIAPQFGDLEFAEAGFVTSLGKFQASWVLDSSGYVLT FSVPKGTVGNVTLPYVTASKKPSITIDGDQLMRGVAYVDGTATVKFTGGSHKVAVR PEX2_095510 MASTLRQNPWRACQSLTRQQLRKTRLSSRHLSTTSLRSNATNNP LRSRASAAADLQHASQAQRKMILSAAGIITCAAGLYGVIKLDLFGLNELDTNKDDKTT TAPPKNGAMRMDGPVGFPSGGPSLITIQGQDGLEQVPTGTSTIPHFPSTIRLPTSEAT EGKQTGDDLAPSTGEEYQLLGLGIRTVSFLSVQVYVVGLYIAKSDITELQRRLLRTAI HPPTPGADADAAISGVGANAATSLVSPERQQLKELLLDAERGDAAWSAIIKDNGIRTA FRIVPTRNTDFMHLRDGWVRGITARAQAKKGAEPGEFQDESFGSSMNDFKAVFGAGKG KSVPKGQTLVLMRDAHGALDALFQPGADKPVKWMGRVADERISRLVWLNYLAGKTVAS EGARTSIVEGLMSIVERPLGTVVQKVI PEX2_095520 MSAAKTRAQSLINDNAVVVFSKSYCPYCDSSKKLLDSLDTKYTA LELDLEEEGAAIQSALAEISGQRTVPNIFINKKHIGGNSDLQGKKDLKELLKAAGAI PEX2_095530 MAGVATAYSADALASPVDGSQWDFAVPLVNEESHHSSKQRNSHD SNSFHPKFSRQRTSNGSRSSSVSRNVHAHESPYLASNRGRREPSLNRRGSEVGSMRDV FGHEGAGRKESEEGNDGLKLYLDGQTNQEKWIHRDKLAKIESEELHQAAILFQRRMRG ESKSSIGRGRSHDSQQNSAYGTGTPTSPATEYSEPWPKVREEPGKQDVNDNVNGNERE HWDLRRPEEIAADDAAASIYSHPSLGKSASRIPVSTVSPVPISGAMGRDSRSSRSRAA TDESSRGRRASEPINIEQNDSTPSLESRPGSRGFGSTQNPPGKKTVKSTDSTNRKTSA PPTNRKASAPRSRAVSGNNTQRPTTRSGENRPPTAANRPEGDPPWLATMYKPDPRLPP DQQMIPTVAKKIMQEQWEKEGRTPNTYDRNFAPLAVHPFDTPAPVATKAEPEDQPAES LQLEELPPRPSKSPEPPRPNTSTGYSTMPKVQDTPPMGLPPNPNPNWSPPVVTAQQPP KKEKSCGCCIVM PEX2_095540 MNLLPPTLAQSTLGEKCAVYIDQVTDILPVHLHSLRHQLDPILT YLSNTPLGPLAQKLPFAPDNQPIALFAAVAVCLFTVAAMSWRNPLKMLRRSPSYAPAS NPQVSDGDFSYITSSDIADPPVDDADPDIIALRHRGTIYPLRFRAYAIDDGALTIGDL REAAAESTGAGHPDCVRLLYKGKLLKDNARTCKAEGLKQHSEVLCVVSEAGAGSPSDG SDDNGHNIPVTTAAPTPPPLPRPTSAGDASSPPTPTIGKSKNKKKKRNGKKSPIGTEA PGPSRPPRPTSSGNSGVPAPPPNLKLLRTPLEQVTALAGWFEQEMKPLCEEYIANPPT DPKKRDYEHKKLAETILAQIQLKADGIEPNGDEMARSARRALIKDTQVVLGELDRVA PEX2_095550 MFSVSVSPISVGSNVFFAFALFLISALVLLLLRRFLTLRATPAY LSVPIFLALALPASVVLLVPIDLASSSRDGSGPKAIWLPERMVLVCWRIAYWLIFVLT WAILPLLGEYVDSGYREPKGRLMYSLRSNAKYQLIVLGCALVGLIYVSISNGFEFTSI KGLVMALAYVWGLVLAIYLMGHGLVSIPRNLLRNSNVSGRLRRLQAHAPKVHDRLMDS VNELANLNGQVTQLQRRKTGTARDFQEWIEELREGHGQPDVRAPILESPDTSATIPSV ITERYLADLTRRLQRARHMKARFVDEWDRLVQLSADLQAIINSAASKKLEFAPAPRRS SCIPRVRFLTPYMRYQLYSNVIPSVRLAFGALFAVASACIVWSEMIKSLAPHLSAVSL TVVPNWKNSPVGFGGQLTASAWLLYMCSAALVGVSDVKVWGNRALVRRNTYGESACWY AGLVARLTVPIAYNFLTFLPKEFRRTTTFYEFLGKLINLTPLGKGFDFIFPIFILLPI CATLFNLYGRIKNIFGCGLAEDDDIHDVENNPAGYGMGGWREGRDLIERELNGFGSLA VSSRGSRSTWASDREDEGSPGSSRLRVPVAEGSQPAQRTVAAPTIVEGENEEDENFFQ SFAHRVKNTFDTTNTPRWFQGEPFRLPRWMSSDDNTTEEGSALFGGRAVPGRLRLG PEX2_095560 MNPGEFPNPGAGPGRPAPNTAMRMNANMQVPKNDSVQSMMTYVA QMLQNQGPYGGWKAEVLIKTRATNVYQMITSLRLIQPRIDLHQAAQAAMSFELKAFTK ANEKIEYEKECTEKLLHIRNTRERQAAVAYQSGMMPQTGAGQNQIPGAFPQHINQSMQ GSPVSGQQQMAMGMNGQNQQAAIQQQRQQQQSQAMLQQQQQQQQQQQQQQQQQQQRSQ QRPGNGIPMVDDLSTLSAQDLDHVSRLANEMLNKTNPEDMEKIKLNLSNMTPEQRQYL ARKNLEPMTYFFRSQALNQIRRHRRARLEMGGRAPNAGVDANGNMMGDPMMNSQHQRQ MLQSMLNLQRNSAFPGNPGQTMEPPNFIGNVENIQGQQADGLRSQEAGQLVVPASSSQ MNQAPFPNNNNNMFPQQMGQNGQANMNANNTNAQAQFLAQQHLQGGSNTPQDRMQFQA QQSQAQAQAQARAQAAQKAQMAMSGHGGQVAPQSQPQLNGQSPVMPMLNQPMAPGQMS PVQVPAQARPPSRPANMGQHPAGVAGKAGMQGPLQIPSNIPPHIQEQLARMPPEQARV FIMQQRRAALNNMARANPGQQPQPQPGQAQSMMNNQMGNAMMRGPMSAPQDLNSGGIP QGQQMTQQQRQQRQNEGYKLQLLRQQNNGVEMTPEQGKQMDRVSFPPSILNMNGTSMQ VPNNIKSWGQLKQWASSNPQVASPNDLPRLMMLQKLHLGQLIAASTNQVNQNGQGPAA TPFQSTQAPFTNTSGFPSGQQPSAINMASMRPISAQDIQLARQKLGHQASNFTDEQIR EILYRNRQKQMMQAAQNRAMQLEGNTQPGQLSQPAAQPPAPAAQPILQMKQQHPQAPQ STPQAANAKPQTGAAAKGAKGATGKQASKKRPSTDDITEARPAATPQMSQPVAVPGAP GTAPQRPGLPFTPEQLAQMTPQQRAQVEAHMRRQQSQSRGQVLSRAAADEAWNRNLPP QVMEVYNDIAKNAPPAKPMPVSPEQKAAMTKQLREALDVLGRLDALVQWFAKMQGQEK NVKNLLAMRIQLMRQFKPSQDWVVNEHFTVTSDYLTGAILFMRKLFAVMISRMQQGQR PNAPQPASSNAQTMQGNMPALNATNLQQLQAQEEALQRARRASSQSVANAPAAPFAAP SPRGVPQYAPGGLAPENLKLPPPKKRKQSHGVASSPIQATAAPGAAAKYNKAVADATS NAAAMAGAFKCSVIECQHHYQGFPTQAALDKHVEESHQPEEEEIIEDYLKYYHESISM GLGLNPNDSPETQQTATLGPSTKLSATASPAKQSIATPLIANNAPMVRVTSQFGAKTA SPAAASTQLLTPQLSSVKGMKPADKDVKKEVIKLEDSDTKDPWAECPTSLDTIHDTFS NLASKDLPHLGYDSLEDFDINEATPVDDDWAAFASLTPPDEAEEAAFLEKFYEPWDEE SITRTAEWLRIPPEIQVKGIGPMGQLEVDWDAVARYDREGIRISMPK PEX2_095570 MGHFHLSNFIALIGILLVGPTATSGAAVSVVSQADSNDICSKYV VQAGETCSAIAQAHSITTADIETYNAQSWAWTGCGQISQGDFICLSSGESPMPVALPH AVCGPQVPGTARPNTWSKLGSLNPCPANQCCSSSGLCGTTPDFCTSAAHVPVALSMST DTQPNQLTTTSQAITISTSPAIPLQKVVTSSETSSSMTTSTSATTSVPTTTSTTTTTK TTSTLKTTTTSTISSQTKTKTSTSTSTTKPKIVKPWSLTMYTKQDCKGDYYVLQGHNK GYSDTCLNLHGGLSSKDTDTGVSCKWFTNDGKSSTKCDSGALTRPQSWIVETGICTVF SVKDCKHDLHSNAYTPVPKHPCQNRGKFDTPYFVSMNCYTEG PEX2_095580 MANNALIPLLVTMMLVTGVCNTILNKYQDMQCVRNCDSPDPSQR KLFEQPVIQTAVMFIGEMGCWLVVGLTFLYRQYIAPRLSSDPSPLLTGGYHPINGDDE GLDHEDDHTVDGLDSDSRHPKPLPEDDSRIPLRGWKILLLAAPSSCDIAGTTLMNVGL LFVAASIYQMTRGALVLFVGLFSVLFLRRRLHLYQWSALFIVVLGVAIVGLSGALFSG EPGHDITQDGSAIDAASHALMQARDVARTPEAVQAIIGVLLIAAAQIFTATQFVLEEW ILENYAMEPIHVVGWEGVFGFLVTVAGMVIMYLVVGRTDAGRYGYFDIKQGLHEVFNN RAVAISSVFIMISIGGFNFFGLSVTRTVSATSRSTIDTCRTLFIWIVSLGLGWETFKW LQVAGFALLVYGTFMFNDIVRPPLKACLPRDRREGEVLLPEGPIEHI PEX2_095590 MSSLKQFIRNVRSAKTIADERAVIQKESAAIRASFREESHDSGI RRNNVAKLLYLFTLGERTHFGQIECLKLLASHRFADKRLGYLGTMLLLDENQEVLTLV TNSLKNDLNHSNQYIVGLALCTLGNIASVEMSRDLFPEVETLMSTANPYIRRKAAICA MRICRKVPDLYEHFLEKAKNLLSDRNHGVLLCGLTLAIDLCEAEEEEEEEGGPVGVIE MFRPLAGGLVRALKGLTTSGYAPEHDVSGITDPFLQVKILRFLRVLGRGDVATSELIN DILAQVATNTDSSKNVGNSILYEAVLTILDIEADSGLRVLGVNILGKFLANKDNNIRY VALNTLNKVVAIEPNAVQRHRNTILECLRDPDISIRRRALDLSFMLINEDNVRVLVRE LLAFLEVADNEFKSVMTTQIGIAADRFAPNKRWHMDTILRVLKLAGNYVKEQILSSFV RLIATTPDLQTYAVQKLYSSLKEDISQEGLTLAATWTIGEYADSLLQGGQYEEEELVK EVRESDIVDLFTNILNSTYASQIAVEYIITASMKLTVRMSDPAQIERLRRLLSSRTAD LSVEIQQRAVEYTNLFGYDQIRRGVLERMPAPEIREEQRVLGAAPAAKKRQSKLLRGK STTKVVKPAEHDLLLDLVGGSDAPVTSPTSTGSNTADLLADILGGDSGMSSPSPGPAG QKSAIMDLFGSNGPSPSPQPTQPASASMDLLGAGGIGASSPSPSTSPAPVTASTPAHT ALNKDGLVLTLQVQRSGNNAHILARFRNDSNFDRFTNVGLQAAVPKSQRLQLSAISKA DLESGDEGTQSMRVTALNGSLPAKLRLRLRVTSARDGGNPVTDQVDWSEP PEX2_095600 MSSAISKNESANLRPRAVPIQPDGKSDVAIPLPLPVDKLAHDIS GRSTPVSEDAPPSAHSISSARKQVRARNRLFYTIDYVPRVSHFDPDSDYHNFRGFFTL FWIGLAIMVGTSILRNIKDTGYPLQVRVWSLLTANVWGMGLSDAAMVISSGLVLPMHR LWRGGPQWLQWSRGVSLWLVLNAGIWRANKKNSWPFMMQWTWTAQVFFTLHTLTILMK LHSYAFYNGHLSETERRLASLDQPGPKTPEPPAAIHYPEVHRRRPSMKQHDEDQSAEP LERLREDLATELTSPLGNISYPQNLHIGNYVDFLFCPTLCYELEYPRRKERRWSEIGW KAAAVFGCIFLLTLTSEEFIVPVLAEASAQLRLVSNITDKALILAETISMLLFPFMII FLLVFLVIFEYVLGAFAELTRFADRHFYADWWNSCDWLEFSREWNVPVHHFLFRHVYW PSRCNFSQPVAMVITFLVSSIFHELVMSCITKKLRGYGFLAMMLQLPIVAIQKSRFFR GQTILNNAFFWFSMILGLSMMCALYVLV PEX2_095610 MSTGIAIFIAAFGYPSGSNENGNASAHSSFVSGSQIENLTINWG VPAAPSITPASVIQNSTEFYQIYRPSVASINACYPPISITPRAYPAISSSCRSFAGA PEX2_095620 MSSPPDIDPYAVLGVSKEATIPEIRAAHRKRVLKCHPDKVQDES QRIAAQDEFQKVQQAYELLSDDVQRTKHDQKVKIAELKRELLERRRTDSTYNSSRGSG SGTREFRNGHVVEERVPMEVFFEETMRFTDEPRSMSSRKCEEFGVRSKVKPTEEKKKV RTPTSMFHQAKEMRDTAKATHSDRAKTRAQERRRQTEAKSEVKNGFFESDEEASDYSA PRYVHTKRTSTTPRREQDSRSRPSDSSRRRERAYDEDGDVSDHWQSKMASQSCNAEDY IARKARSSKSPRRYHGHDLAEPESSSSRSAGRSTRTRRRSSSRDNSYEHLESPRSYEV KPPKMQPSATSPSIKTSLRPSFLNTRSATTSGFTRPKRETRDPLLYEMAHEPLPSRTS RMRDRNDSGYSSPSTPEILQRGSSPKTSTRYKIVKEPDHVVVEPKSSKYRSARSPDRD RIPSTRQTPKRSSTYQTYATEDSPRVETRSARPSSRPYPDVEYIACPKEKDIKYARAY KHDDISYSPRHAHYYEEDIRPPAVGRRQSAY PEX2_095630 MQRKTRFVCISDTHGYTPSEAGFKIPAGDVLIHAGDLTNNGSLK ELRRTMDWICKADFEIKIIVGGNHDITLDQAFYREHGQNFHGQHLENPHHCLKLITES PSVVLLRHEPALIRLTRVDGPNTVFKIFGSPYSQSQGKWAFGYESSDAAALWDQIPID TDIVVTHTPPRSHCDQKPNGMFAGCAALRTALSLVRPHLAVCGHVHEGRGYERVRWRG KLTNTETEIKTQPESDSVDYVTRGILPPVGSKKQSLVDLTGKRDKRLDNEGFSHPGQR LHDLAKTQQISSASPGPESSAGVLKKASPQNLRSAHPSLGAGSPGSGGRGSDYALQIL RKETCIVNAAVFSTSWPHKGGKRFNSPVVVDLELPVWQDNHAVANG PEX2_095640 MSSFESVVVIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEALNI SGEFFRAKLWPTSGGNAPQFGYQKADSKFRRTVKYHAYLRKMTRFNPTRGGPFHFRAP SRILYKAIRGMMPHKTARGAAALERLKVFEGVPPPYDKKKRVVVPQALRVLRLRPGRK YCTVGRLSHEVGWKYQDVVSRLEERRKVKSKAYYERKKAARRVLAKAEQGANVDSKTK TQLAHSKSRLSGLLGHFTGSTPPFEHRVNTHTLSPTFFLPRAAAIEPNAEAIYHVTAN NKVLRRSYSETADRARGMAYYLKKHGFNRVGILCPNTPAFLESIFGIAAAGAVNIAVN YRLKQEDIAYILDHGGVEVIIVDEEYVPLLENYRSKHPHIPIIVDTDTDATEGELTGP FDEAVLEGLRHDIDTGSQGWEGLESHAADEESTLALAYTSGTTARPKGVEYSHRGCYL ATLGNVIEAGLNYHRGRARYLWTLPMFHAMGWTFPWAVTAVRGTHYCLRKIDYPEIWR LLKEEHITHFNAAPTVNTLLCNAKEAERLPKPVRVTVAASPPTPLLFEQMTDLNLHPV HTYGMTETYGPITKGYYLPEWDALPLKEKYQRMARQGHGFITSLPARVIKTEVSEGTI ADVRKDGQEIGEVVFVGNICARGYYKDPEATRKLFAGGVLHSGDLAVWHPDGTIQILD RAKDIIISGGENISSVALESMLVMHPDILEAGVVSVPDSHWGERPKAFVTVKEGKSLK GSDLIDWARNSSGISKFMIPREVEVVTELPKTSTGKIQKNILRGWVKDPRKA PEX2_095650 MHRLREAGRCSSAAPSETSGSTSPERAADDDTDFFMAQANDSQS SIGVANFRDSRLSSELSEPLPPIGRLPPEILIAIFSKLVAPSDMLNSMLVCRGWAANS VGILWHRPSCNTWANVRSVTTSLGKPDSLFNYADLIKRLNLSALSDDVSDGTILSFNQ CKRIERLTLTSCKNLTDKGVSDLVEGNRHLQALDVSELRHLTDHTLATVSRDCPRLQG LNITGCSKVTDDALLIVSQKCRQIKRLKLNGVSNVSDRAIQSFAENCPSILEIDLHDC KLVTSISVTPLLTTLRHLRELRLAHCTEVDDNAFLSLPPQMTFDSLRILDLTACENVR DDSVERIVRAAPRLRNLVGKESPLCAPGALFEHFGLGRDKPGQVLQPHSQLATLPKLR RIGLVKCQAITDQSILALARPKMGHHPSVSSLERVHLSYCVQLRMKGIHALLNSCPRL THLSLTGVQEFLRENLTAFCREAPPEFTQQQRDVFCVFSGDGVNRLRDHLNRSEPQFH EEAEATMYDDDEELDEDEGQMAGLMNATVINDGDDDYIDVGPLNT PEX2_095660 MATYKARNPLAFTPWPVTIITTAVYLALIIPLLIIHLNVPSAPQ ATPNGLNLTEAWQDLQSLTKGFHPYNSHQNDEVRSWLLERIDAIRQSAPSTEEYRDAK EEKPDVFVFDDLVSNLTFIDKSVGVYFEGTNILVYIRGSEDSKQNWWETPGRMPIGKG GVLVNAHYDSVSTGYGATDDGVGVVTCLQLVKYFLTPGHAPRRGLVVLFNNGEEDYLN GARAYSQHPMARFAHTFLNLEGAGAGGRATLFRSSDTEVTQAYAKSQHPFGSVLSANG FEKGFVSSQTDYVILDGILGLRGLDVAFFEPRARYHTDQDDARHTSIDSLWHMLSTAV ATTEELVSDTTDRFDGHLRDDGTVPSGSGTRAVWFDLFGSAFAVFRLHTLFALSVTLL IVAPLTLLVTSIILTRADKMYLFRSSAYSELNDDNIPLRGLRGFFRFPFLISIPTAVT VGLAYMVTKVNPFIAHSSSYAVWSMMISAWVFLAWFVSRVADFARPSAFHRVYTWTWM FVLTWSLMVVGTVYENEEGLAGGYFMFFYFAGTFLATWISYLELFSLPTKSEYVSRLA ESRRPSTQGSRLAASSDEHQDDGAEEDPTESTSLLHGRHRPTFANYVRVGVDRASHEL DDEEEEKDPNVYSHEQGWSGVLPRWTWLLQLLITAPVILMLIVPLGLLITSALSQTGQ DGSPQLIVYLFIASLTALLFAPILPFIHRYTYHLPIFLLFVFIGTMIYNLVAFPFADS NRLKLFFLQEVDLDNGISTASLTGMPPFTKDVTYGLPSAAGQNETCDWIFRGKNQVQR CSWSAPVPHVVPSADSLSVSNEDADSLLEASELSPDWISFSISHPQPDSSSVRFEVSG QNTRNCRINMDGNYITNFSVIGSSAPDHRFLNPSPDGLNRIQLWSRTWDNKWTVDVDF SKHDSPETNEVDESSITGRITCLWSDNNRIGLIPALDEVRQFSPAWVAVTKFSDGLIE GSRAFEIKRSSLGALRS PEX2_095670 MGDFTAAGDGISGHYQGTSLGMQITIATLAGITWYNAFELMILL FVTFAKYRGLYFWSLFISSSAGLLPYSLGFLLKFFKVTDDLPWLPVTLLTIGWWSMVT GQSVVLYSRLHLVLSNQRVLRRVLIMIVINAIILHLPTTVLTYGTNMADDASLGLWTK GYNIMEKIQMTGFCLQEFIISGLYIWETVRMLRLDPDRTKRKIQYQLLVINFIIIILD LGLLVAEYLDFYIMETMLKGVVYSIKLKLEFAVLGKLIHLVHNHVWKPESFSVPRDLP DFVDATRVTSDVTHASPSVVYRRPSRMDADDISIAMFEHLPPDRIRTNSDRSNSWSNE ARAYHVDHLPVDFTNPFRHYPHHQSRRGMPEKPG PEX2_095680 MGKRIVITGGSGKAGQYIIRYLLQQGHDILNLDLIPLPAELEER VHTLRVDLTNTGQVYSALGSHFHLTEPFREPLGLVPDAVIHMAGYSRNMIVPDEETFR GNTSAMYNIIEGASRLGIKKIIIASSITVYGVSYAEGDVDYPSFPVEETVDANPMDTY AISKVCGESIARGFARRYGSDIYILRIGRIVAPEEYKQSMFRSYVENPEKWGPHGWAY IDARDLGQMCDLAVQKDGLGFQIFNAVNDEITNYENTAEFLARVCPNVPVTRELGARE APISNGKIKELLGFQEEHHWMKYYE PEX2_095690 MESQKKLKIASLGSSFAAGPDIPPQIEPHAALRSGQNYPHLLAQ HLDADLTDLSVSGATLLNITVDPQSTNNMTFPPQISDLPEDANIITVTAGGNDINYIG GMIADACEAELQSSVSLSPSELAERLGGVLDQIHKKAPGARIFLVEYLAVLGPDTQTS RNIPLSKEKIQHYRGVASVLQHAYTVAVEGRAGWCETVPIHQLSQEHALGSKEPWVGG FVLGPLLHPNLDGMKAVAGILVETIRKSTLSKALL PEX2_095700 MQISQLLLATSLLASSIFAAPITSSDWTIRDMKRVCNDENTSCT WTFGIDSGSDTTDCTYIVEANDASQADGGPSTCGTYTVTSSWSGQFGPTNGFTTLSVG NDESGQMIWPGYNDEQLEGGNVVKPDKSYTPTTL PEX2_095710 MPFSRTLSVVGCHAEGEVGDVITGGVLDVPGSTMYEKLVHFLNE KDDIRQLLLNEPRGRCSMNTNLILPPCDPRADAGFLIMESEEYAPMSGSNTICTATVL LETGMVPMKEPITQLTLDTAAGLVTVKAECEAGKCKNVAFDNVPAFVFELDYQVLVPG LGEVTVDIAWGGMMYALVNAASVGLKVESQYSTQLVEIGERIKRAVQASYTPVHPENP GIKGVSILEFTEPLTQEDGCKAAINTVVVSPGRFDRSPCGTGTCARLAVLHARGQLAE GEMFKHRSIIGTEFTSHIRGTTQVGEYQAVLPTVAGRAWITSFKQVVLDSSDPFPKGF RVGDQWRMAPNSLF PEX2_095720 MADEAAHQRRSSKTKYSEPRPPEDTLSIEEGKQTSANGVLEALG YEPELVRNRSTLQVTFMSFVLAAIPYGLATTFTYPLIGGGPVNIIWGWLAVSLITLFN LGSTLFLVACINVFESAPGVGIFQAETYQVFLIFIAVTVLANAISAFGNKWLPLLDTF AIFWTLAGLLAIVICVLAIAKGGRRDAKYVFTSFEPVSGWPAGWSFCVGLLQAAYSTS STGMVICMCEEVQQPSTQVPKAMVGTVILNTLAGFLFLVPLVFVLPDTKALAALESGQ PIPTIIQSAVGSPVGSFLLLLPLIVLSLFCVIGCTTAVSRSTWAFARDGGIPGSIWWK QVNKDGVPFNAMMLGMVVQILLGFIYFGSTTAFNAFTGVGVITLTVSYACPIAVSLAG GRKQIKNGQFDLGTLGLVCNIIALGWSILVIPLFCMPSSIPVAADTVNYAPVVFVAFI LLASGWYWVWGYENGPKGIQAIGDKRGGRISTLKIQTTFMILFFRLGLRFVSSSQILP RLRDVHNVDKPSPMLFICLIFGGYIISFREMAALDVKERKIPESPLVSVEINTKFKWY QPSIFTRWLPSTNQTVILAFDLDPPIKDRFLIAAMKSDESWLNDPFWVYPCLVEQIAL IQEPSVWGIRDHVRLMETDGKPEGRPQPNYRRLHDIARHAIHVNETLDVALQNLEHIL VQHESYTNSNPDNASPASEDIRLRLRSWQSFIENLRSRSISNEKRLQNEIQLAFNTVA QHDASVTLEIGRATQLDSATMKTIAFVTLTFLPPTFICAIFSMSFFNFGGDNGWTMSS KFWIYWVFAIPTTAFTTLVWTYWPNIRRILFSKNE PEX2_095730 MTRVYGYVYELSNIGVSHFTLGGKAECPMFQLSVNGSRFCIISL RTMPDYDIIYAEKFESFYLSFLRIVRGNLNE PEX2_095740 MIKHEALLIGRETSFSTEEKAKSQPVPTKIKRGLPEVQAAASSE VPNRSAPPIEPPETVATEFPEVNKSILAEQDNIQNETQDIWYTASPNLFDQLPDFDEP TDAWFYTEPGSSGTALTRPDAKFDGLSILRQRLANTSVPSFLIQLPVMLVQYYFHTVC NQWSSFDCPLNPFRIIVSRLWSRNAAIYFAIQSMSAASLANDFPTMRAIGIQTQQQAI ACLNNSVQNGSTETKDDEYFLALLMIGSTTGWHDASDLGLPYLRAAQDHLLRQERQCK DANSALAKQHPLFKQCLLWWNLLAAFVAEELPILDLESSIENIDTDLSVYLVDGQVLP HPWTGSLRYSLGLFYQTARVIRAARTSHRRMPAALDPTLIDLSSMGEGLDLRQKAEHL EDTILFTGFSFYCGPVDIGDTNTPPSHFLTLAEAYRCTALLQIYHVFPDILEERLRSN ETADSERPIPALFLLLFPIAAEWSLCSVEDARHTLALHIVSLLEQLPSTSGTKVMQPI VLACISSDLVFSSGSMLGATENAIPSLNTLDVEIAQARRKVKMRLSELILILPKLPMQ RIYNVVHETWDRADSGLEEFWLDVMLDLNLETIMG PEX2_095750 MGDLASQFALPLQSKLAIVTGSSRGIGAGIAWELARRGANVCIT YVSDNSKLLVEELRAKISQLPHKPIAWTCQADLSTTTGAQEVISQLKAYIGNDNFQIH ILVNNAATEMVNNMQSVTLENYNEVFNLNVRGLMIMTQAVVPYLAPKGRIINLSSVGA RSGFKGVSLYSASKAAVESFTRTWASELGGDGTTVNAVAPGPVPSDMLDNIPKELVEM QKTTTPIEQRLGTTEEIARIVGWLAGPDASWVSGQVISASGGWSMY PEX2_095760 MGISNVNGTEFKDTLAARHPVWKRWVRFRAEDGRIYGGEPVNLD IDVGQAIEKNIEVSVKVVAVSQIEAGTVRCIGLNYKEHAAEMKLTLPNTPTVFLKAST CIASASEPIILPSNVDYDEADYEVELAIVIGRQCKNVSVAEAADYVLGYAVANDVTAR KHQEKTSQWSYAKGMDGFCPLGPCIVSTEQIPNPAVLNLKTRLNGKIMQSGAADDMIF SIPEIVSYLSQGHTLLPGTVIITGTPCGIGISQSPPQFLQPGDELRISISHGLGTQIC PIVRD PEX2_095770 MNKETDIKQADLSSDQDVQMGNFLVDPIREKKLLWKLDLCICPL VMLIFLVAYLDRSNLGNAAVAGMPEDIGLVGNELGNAVSLFYATYVFFEIPFSMLLKK LRPNRLISALILGFSASILGAGFIKNVAGLYTTRLFLGVFESGLFPCLTVLLTTFYKR EEQAQRISYLFVSAALSGGFGGLLAFGLIRLDGVAGLEGWRWLFIVEGIMSAVIGIAT FFLLPNDYETAYFLNEEDKELMRLRMQQSARYADTEEFDIKEVWKTLRDPKSWLTSFN QICVNICSFGFSTFLPTIIRGFGFDSVKTQLLTVPVYIWASAFYLVVANFSDRVRMRA VFMVPLCLVTAVGYAMLLGVDVHARGPLYFATYVCVTGIYAVVGLGVSWNANSHSGYY KRAMGVGLQQTIGNCAGLIAGQIYKSQVNGRYVVGHSVSLAAICLAFFGNAAMWTLLR YHNTKRDQLSPEERERIVSSGSYEKKGGDFHPDFRYIL PEX2_095780 MIQESAPDLPPMSLLSSKDSLQSFRNDSGPFSQVWDLLGLLPRN KVTVDRLIEKFLTEINWAIDTVHPETFRSQFAEFWGRKFGFDEIAGVDLRWLALLFII LAFSVLLDSPTTSSPEKRKESEEASLRFYWAARRAIVISPSFHGESLDLVRAGLMVTR YLLYTRQAAESWLTISFAMRMAQAQGMHIDGEKWGLSRKATETRRRIWSNLYLLDKTI ALALGRPYAISDHMCLIKAPENVWLDGLTDEESSIATARALSYPTMSTVTLLGSGLGK IAGEIQDRCFGLYPASYDMVIEMDNKLVAWKEQLPSYFAVEGPDLSLDESHTFLPWHR LYLHSAFHFARITLHRPYLLRESITNRFSFSHEACISSASSDLKIRLQSLNYGTSENM RWTLGTHNMFNSAFILGIIAVRRPIAPQTAAILNDLEEYCERLRKDVWLNEFGLAEVK VVELCIIRTTGLAQGTYQPGEQAPMSPPEVLPDFMAQERERNPIMPTPMNIESIAAGA SPDMMWPAVWEDQNFAFPQAADLETWEQMISEIAYHT PEX2_095790 MAIRRSARIRAKDTPEAEDIAPQPVVASRLHSVAEGEETDISEL PHVQTPLTKIPSQKTPRASAQQKRASMRTPTSVTAARPSREEMHPSKAQQSTTKHADS GLLLGFNPIKKDANGNLVKHGISDDTPTKSKASPASDQFGTPGFEYKFHSQETELSDE AKLLMDSVRADAARIKAQMVQGSSEQEREDHEAEQVHGDRKIAMPKSKTNRFSDIHMA EFRKMDSIAGHASSFRAIPGRFQPITKSLKRSKSKAQLDEPESQNSSPPRSATKISNI STPTAATVAKRVKHNRDEDTSSRHESKDQTQKVETPRRPAGPRPRTNVRSSLMTPTHA SLARTTSTSIKVPRTSMIPSLKFSPAAKTMASPRTPRTDFNPRLKSNLPTLGSLRSIL RRRQPLFSRDPAKIASGTHVAAPDFNPKSLFGGAGDMSDSAPTPSPKKHVEFTPSVKS RHELAVASPSPSKASSAQRRSMTGDVVYPTLPALTPEKNSAVSTSTTRTSEFKSIRHV RKSDAAKQPAPYQELPVVSHGIAHGILNKKRHRSEVNDEDAPSTTNSENVPPTDVQSD ERSRKRLKSNPPTPSPVKKRLTKTPVRPSSQIGTPASKQKSRSVLSMSRLNMLSKPKR QA PEX2_095800 MASRLDRLVTLLETGSTQLIRNTAAQQLADVQKQHPDELFNLLG RILPYLRSKSWDTRTASAKAIGLIVSNADIYDPNEDDGLQIKSEVKSEEPVLADDFLK LDTLDIPSVLRYGKRLLGSAGKEYEYSLASMEPASRLKHQKKTLTSRLGLEGEYLEED LIEDIELAPKVVTPAPKHEPTIPPISHHKSLHEAPSRRPSSPSESATKEDGGLSKRQL NQLKRKNKQNAKMGANKVRVVDLSSRRQSDIVTTPVATTPYPVKAEKGDDQNGETKPD YFSFERTEEDDDSKLVTEFKGAAVPERPHIQPEIVEQGAGWPLEHMCEFLTMDIFDSN WEVRHGAAMALREVIRVQGVAAGRLDGKSRSENDALNRRWLDDLSCRLLCVLMLDRFG DYISDNVVAPIRETVGQTLGALLSHLHPNSVRAVYRCLYRIIIQTDLGLDRPVWEVCH GGMIGLRYLVAVRKDLLVKDSNMMDGVLEAVMKGLADYDDDVRAVSAATLVPIAEEFV SSRTGTLGPLMNIVWDCLSNLQDDLSASTGSVMDLLAKLCTFSQVLDAMKANAADDPE ASFGKLVPRLYPFLRHTITSVRSAVLRALMTFLKLEGEGTTDWVDGKALRLIFQNLLV ERNEGVLKLSGQVWSELLNVVELRGSFKSEEELSDSIQPLVTLTLGAFGVPRYPIPMN TSLFIKPSGLPFSIALPAPTPSKGSPPASILGGSEIKVGRRRKSEKKEKEPPPPSAHN VDGHMLSGDIDLVGADTMLRSKIYAAKALGRLISFWDKHELPSLWPAILDGLNVSAST TQLASAMVIEEYARHSGPESKYRSSLCDRLRPILEGERPSWYADIACYLHVARSQCHS LLNTFRDHAHVAPSRLPTLAVVVQGDSEAGPNAFSLADAEKIVGPDFDRLKKNLTPAQ RITATQVLNDTRTTAQSAVEEARLMREQRDMRVLAATAGALVALRDIPKKPGHIIKGM MDSVKKEENVELQQRSATAVAGLIEHYTAATKRGPVDKIIGNLVKYCCVDTSETPEFP HNAQLEKSILSLRKEEDRRDHPDAAKFEKEAREARIMRRGAKDALEQLAVKFGAELLE KVPNLAKLVERPLRDALAEDELPEDITNPENELGQEIVDGLSTLRALLPKFDPGLHEW VIDLMPIIAKGLQCRLSVIRYAAAKCFATICSVITVKGMTMLVEKVLPIINNGLDVNH RQGAIECIYHLIHVMEDGILPYVIFLVVPVLGRMSDSDNDVRLLATTSFATLVKLVPL EAGIPDPPGFSEELLEGRDRERKFMSQMLDVRKVEPFEIPVAIKAELRPYQQDGVNWL AFLNRYNLHGILCDDMGLGKTLQTICIVASDHHMRAEEFAKSQSTDSRKLPSLIVCPP SLSGHWQQEVKQYAPFLSCIAYVGPPAERSRLQPLLATTDVIVTSYDVCRNDNDILCP INFNYCVLDEGHLIKNPKAKITSSVKKLASNHRLILSGTPIQNNVLELWSLFDFLMPG FLGTEKVFLDRFAKPIAASRFSKSSSKEQEAGALAIEALHKQVLPFLLRRLKEEVLND LPPKIIQNYYCDPSELQKKLFEDFSKKEQKELADKVGSADRGDKEHIFQALQYMRRLC NSPALVVKEGHKQYNEVQSFLTAKRSNIRDLSHAPKLNALKDLLVDCGIGLDHTAEGE LDTGASYVSPHRALVFCQMKEMLDIVQNDVLKKLLPSVQYLRLDGGVEATKRQDIVNR FNTDPSYDVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDIQAMDRAHRIGQKKVVN VYRLITRGTLEEKILNLQRFKIDVASTVVNQQNAGLGTMDTDQLLDLFNLGETAETAE KPSDTAGNEVDMVDIDGEVKEKGKKGWLDDLGELWDDRQYQEEYNLDSFLETMKN PEX2_095810 MASGYGNNGGPGRCYPFWQEVLGCYVVNSGDGASGKKKCVPALD DYYECLHHRKEALRTMKMQAAYRKAEAAHPRENAPKAEQIRSLGLLGKEEEAASVLSQ R PEX2_095820 MALEELSDAHAILLATQFCAAGNVADLPILKARFPHCLPLERLL RIILTFLPESTEPSQYTSVLQELANSSNLPSDRSIDTSAVRDLSESLARKRVRKLHLR PLQRPDEEDEIASADPLTKFLIHRAHLIDSETALQPLILELILPFYENSLIIRTWLIS SLLPLLRLNYEFYAHRDETISLEILESMDDQTAVNILLSLMSSEGSNMDLVNNLRGLI GPWLYGGNRSKRRRLNEAAQQSSVSFIEGSEKPQATELAGWEHVNEWLLSRSLVDRDS VVSAYTHWDGPSDVDLGGYDNAGTQLSEQQVRDLQVRYGQSGLAVVYAHADSSMAVLE GSFQVLMRVAKLLDLEDSLYLISDSELPSVHYDTESICSTSRASLLQNSLLRPTNPLT IPSPPSISFLSALLLSLRILTELGHLVPCRVVANMCLHSTEEMQLAELKNVVNSTVKQ PSVNQDWSMVRQRLLWLRDWQAEQSDNAWDEPSPYHGLFWRIPCDTVETEILKALLAA KEYQLAIDIYTNSKLAPLNPAQVEAAVQEAIFTAYDNASNGNRTRGGMKRAYDILQSF TSHFPKSVVFKQIHALIAATHALSYYSLTLEHGVPFQPVSIRVHHDPILLIEKVLEQN AKSYTKLDDLLSIGRNLVAAGVPTQAASHKAEDEPPRHKPPKEHAILTAERRITSLAI ASALSANDFGTAYSYILTRLTPPSLLSTSSPLLNTTSVPDDITWRAVYNAGRYRATTP THPPPTLQSQISHLSQRMELLSLALVLVPSPDPLPEILGAWRRCDEELTSLRAREQQE EDLWDRKGDTLTSVPGGFGPSDSERDAFDTEQQRAARRARAAMPNSHRHEAPMGLFEV ARGAALALHKNAFPLRGAADSSAPLATRDDDRPLSPDSEGRVRKRDMVSNMMTGGLVS GIGWVLGADPVSKK PEX2_095830 MSSPVPLLRPPVPGARNNNSSPRTPKLTLGIPPSPSAKPVNGNP APAQVPQIQTQLQPQPRPSGRPAPPRLHLATPMGGQQNVSQPALMPNGRPAPPPLSTN GLGGGGTPSLKIQTNGPASSNPSYSTINFAMGLRQPDGSSDPSSAISSVYSDRENGDR ENNVNGLLPDLDKLCLEKGRPLDVDDLDDEGWHAASEQNMIVELGSLGEGAGGAVTRC RLKEGKTVFALKIITTDPNPDVKKQIVRELNFNKDCASHHICRYYGAFMDKSTGTISI AMEFCEGGSLDSIYKEVKKLGGRTGEKVLGKVAEGVLNGLTYLHSRKIIHRDIKPSNI LLCRDGKVKLCDFGVSGEFGTKGDANTFIGTSYYMAPERITGQSYTITSDVWSLGVTL LEVAQHRFPFPADGTEMQPRAGLIDLLTYIVRQPIPKLKDEPQNGIRWSDNFKYFIEC CLEKEPPRRATPWRMLEHPWVQDMRNKKVNMTNFIRQVWDWKE PEX2_095840 MRFTTATIAFFAGLAIAAPGADQTVYETDEVTITSCAPTVTDCP ARKGGAGVEPTGSTTPSSAPAAVTTPAGETSESSSETAAVSTETTTWSSETPAWSSVP TWAPSSAPSAPAPSAPAASAPSAPAPSAPAASAPSAPAPVVSSTVIAITTCVPTVIYS TVPVTASTPAGSNVPHGPTGGVPHVPSSSKVATGTAAVSPTSSPVFNGAATLSGSLGF AGVAAIAAFFL PEX2_095850 MLFCPFQRRASEPLKPPSDLDYLLMDLSSLEGTPMVNPKFIVPP DTTIPRIHTQSRVSIQYLLSKHLPPRPTSTEAISPTQHCKGLQDWSPNPSIINGNISF CLQTYQQSAGRTVSQLQSSI PEX2_095860 MVQLAHIFKKDKDKEKTEKNKPDIRSSRNSFSASRTSPSPKSPS KSPTKSSTKPPSKSSSPTKPSKPSKPSKSSKQSYFSHSRSSSNSGPTANFKFARSSRD QDIHPLNLPPDELRRRLSAMAASNEEQRSSMDIDPQEPQHNGVNGTEERSPTPPPHQP NSASADEADSFKLAGNKFFKDGNYRRAIEEYNKAIEINPNSSAYLSNRAAAYMSAKQF PNALEDVQRSNELDPNNPKIMHRWAKILTSLGRPAEALEVLSRIQPPATATDRAAAEK MLRFVTQAEETIAQDRGLSMVIYCLDQARQGLGQGVREPRKWTLLAAEAHLKLNNVNS LGKAQDIAISLLRENSQDPDAMIIRARAFYALGETEQAQKLLKMCLGLDPDMKQAIKL LRIVQKLARTKEEGNNAFKAKDYRRAIELWAQALEVDPSNKDMNAKILGNRAQAYINL KEYDSAIQDCTEALRLDPGYIKAMKCRAKAHGKAGNWEEAVRDYKSVAENNPSESGIA EEIREAEFELKKSQRKDYYKILGIDKDASDQEIKKAYRKLAIVYHPDKNRDGAAGDEK FKEIGEAYENLIDPQKRAAFDNGDDLRSEMYGGGGFPGGGGGFPGGGFGGGGFGGGGF GGGVQIDPEMLRNMMNGGGGGDPFGHSHGGFHF PEX2_095870 MDGPPPPPPPHGENPQTTEGEYRKSSDLPDGNYDIFIIPPHSAG SGFLYLPSLQCNRNSFLAGVASTLLTCFVWSYISPVVKAWYIATVASGNGTGMAVLAI GVGVAGWLFGSTQSASSGGNKGNSGPRGGRFGFGGFGGGSGGPGGPGGPGGPRNAGPN TNQQSSGANYGGNGAGQQRQQGGNFGGGQTHGNQYSGNQHGSGPPPNSDNNKREKEEA EKRAKEEQAKEQRAQEERAKEQRAREERAREERAKEERAKEQRAREERAREERAREER VREERAKQERAKQERAKQERAREERAREERAREERAKEERAKEERIKEERAREERIKE ERAREERIKEERAREERARERAKEEAERERAREETRRKEELRRKMEEFKRKRDADNKE KEKKREREAMEKELQERREQLEKEMAAARAAAEKEARERLEKEAAEARERQAKAEAEA KAKLEKLEAEAKEKAAKEAAEKEAAAKAAAQKEAMAKFAALKEAASKKYAEKKAQDAK NEAAAKSPPPKPASTSNVPRTPSPKKPAPHSTAKTANDDDAYSFRPYDRPRRPYAAGT SVSSESSYAHSHSTARTTPPPSHRSTYSTKDPDKIVIQGVYAFNNAFMKTPVAQLVSG QGMITDGLVLRITTEGLFIDDDLRGIGQREWDVKAWTLKLAEVWCPQVNATTSAKAAS SNPFSFRRGNNVPTNEESEAFLGNLLKVCKNTCRLASPNARFARSTTASHDGGPVHPP QGESRGLHVLRASVRDQEGKKYVFVIQDTEAWKVAIGLQRLRAGALVRALGVCGLPVP ECKTMLTALGYV PEX2_095880 MSSQSHHGHSATSRQFPVYNPVAAVTAPAGTLLPGTKIQVGSHR VVVEKYLSEGGFAHVYVVRLPQPVNGTETAVLKRVAVPDKAALANMRTEVETMKKLKG HRHIVKYIDSHASQLRGGGYEVFLVMEYCAGGGLIDFMNTRLQHRLTEPEIVKIFSDV AEGVACMHYLKPPLLHRDLKVENVLISGKGSSATYKLCDFGSSAPPRPAATSAAEGRL IEDDVQRHTTLQYRSPEMIDVYRKQPIDEKSDIWALGVFLYKLCYYTTPFEEVGQMAI LNATFKYPSYPSFSSRLKLFIGSMLKEDPRNRPNIYEVVHEVCKMQGKEVPIKDIYSN RSVSEVRKYQELPPTPTEAPAVGAVFSPPMQETEIIPEIAPMRRGRPGKSPSSQPSSE RPSASPYRAAAEGSSNDPFAALDGSAARKKTAEEMSKRFPSLDQFDILHEKGNKFEFE PTVESKPEDEDLSRRLTNALADDAFARRVSPERAPKPVYKRSSQTSPVRAPNLREIPV PAPQAVPLYQPTPQRPAMVSTGTMTSPIQTPLPEPKLTSRPIYRFPSSDNEHRSSSEP FTTEEEQRVTRPHKAPSPPMSSLNVEGSSRLPSDRISSQSNSARPSMETLRRPSTLEV NDPVGRSKSAVGKARPMSVQSGVRYDLPRDSESPRSSLDMPRLQYEGGAPLRSVRTDV DRESDRTISSDVDYLRAMEEEESNRKREKRSSGSYKHNKRGSLSTLSLSGGKNLFASR FGDAFRRFEAGNQEKSSSPSAEDAPRQGLIELSDSGEDSLSPNDEIALEDVDQDDISP EMRRELERRRLSQEEKRVANAAAEYRRRVAEGDGGGRAIGDGTRSRTILNKVQSLLGE SEKPTVPKTATGYGRFTETSSSLQAKQSEAGPTISSQLPASRTPGPTYPPRDSSMALA DRPDGPNISAGLPQSVAPTSYPSTQRPTARPAAPPKPKNLRAGPATSRPGTGHGHGSS EETPASPGEDWEAKFSQRFPSLSGLEMETEIKIPKLSSLRTREV PEX2_095890 MAPVTLKTVDDDLKDVIQHLFEIQSAVHGYLGPETQHELVRKIK NLTVALSTLSTHTQLPPTQENQPDTNTDPSNPSLASIQLPPEIIDYVDSARNPDIYTR EFVELVQRGNQDLRGKREAFASFRDVLAREMRSAMPECRGEVDRVVAATGGVVDRPDG VAGDAATMTMFDAARHVRPLKLWLTSLNEYPEVQWMELISEQEFPDLMNHNANSKEPI GGSVPTNHRIAELEQENEILRRKCAQLRGNNTTSAGRIQSEPEHSVTSESTPRTPRTP LPFSRIAGIGLSRNDSPSTSTRDASHPEVPENDRRNTSLYHGPTSTVYDYTSPNHSEQ NRLGQWNEEGTRHFLFSQTARQRQLEPLNLAAGKLDFDGIDPEIGMHLLSIYWSRQLY TAQIIYRPAFMRDMACGGPYFSKLLLNAIFFVVSKHCDRPELRSDPNDITTAGWKFRQ RFTYLLRDCFDKSEITTLQALLIMSNALFSRCDERSLSWLYAGNAFNMFIDLGLHVLP SVDSIPAEELEIRKRVLWGAYLIDKIQCLFQGRPPLLNRVNLRASLDFLDDYDELEPF QDITYMAIKPRGVVPSLNVSLLTNLCDLTTIVERVLREIYSESRESSIVHRANISEEI KSQLKTWRQNLPLRLDYLSFPDQAVLLPQSACLLALFNVLIILVHRPLIIGHDGVINS TTAHESVNACTAAANQIVQILHDYSQHFSLSSAPYMLSYATYISATIHARIVAQKGSN STVFQSLLLCRNILVEHTRLYSAAEKARENLDKLITHLGISATDENLRTGSSGGSENT FPSEHMLVDESMNVARESGVADRALEFGSSLLNLELSDLDLEAIAQGFQVDVESHSFW NSLV PEX2_095900 MQGLNRVKTVLDKGGLALGVWQLLPGSNMSRTLARAGYDWVLVD CEHGNIDDAAMHEAVPAITSYGVSPIVRVPDFQSWMIKRALDAGAHGVLAPLVRTVED TKAFVEACRFPPQGKRGFGSPFPMDRFGRDVSATQYLTEANANLLLSVQIETKEAFDN VDSIAAVEGLDLLFVGPFDLGNGIGHPVLGSTFAPELEQAIEKVLSSAHKAGKKAGIY CGNGKQAKKYADLGYDLVNVVTDVGALTSSLASEMEIVTQKSGRTASGPYGS PEX2_095910 MSCSDKKSCEGCSCSNDVQPQSINIEDCLNELQALRRRNQELET RMKITSEADNAQVTVKQPGRTLRSSAWFDCRSNPGMTAIYMERYFNYGITKEELMSGK PMIGIAQTGSDIAPCNRHHIELSKRVREGIRTAGGIPFEFPTHPIQETSRRPTATLDR NLAYLGLVEILTGYFLDGVVLLTGCDKTTPACLMAAATMNIPAICMNVGPMLNGYSKG ALTGAGTVLWHGRELFATGDIDEHEFMDYIARGTPSVGHCNTMGTASTMNALAESLGM ALPGSAAIPAAYRHRAQCAYETGKRIVEMVEADRKPCDLMTREAFENAIVANAAIGGS TNAPIHINAIAQHAGVEMSMDDWDTLGSTIPLLLNMQPSGEYLGEEYYRAGGLPAIMA ELLDQGKLNGDVLTCNGKTLAENVRGKHTWDRRVIKPYDDPLMKDAGFAHLKGNLFDS AIMKTCVISPAFRKRYLSNPDDPEAFEGSVVVFDGPEDYEHRLENTPHIDEKTILVMR GVGPIGYPGAAEVVNMHPPGRLLKEGIDGLFCIGDGRQSGTSGSPSILNASPEAAAGG NLAILKDGDRLRIDLRKRRVDMLITDDEIEERRKALGTEGYPIAPSGTPWQEIFRQET DQLSNGMVLRKAVKYQRLAQEGQPLRHNH PEX2_095920 MAVRKRFVNPFARRASAEELPATGAPDMEASADSKFASEKSHET DQASLDTEIVDQKAQSGTQKAQASTQAWTKNTLIAAYALVWVVNFLEYFSSGLLSSLS PYIYSGFELHSLTGLTSVIASLISALIKFPYAKLMDIWGRPQAFGVGVGFLTLGLIMM AACKNVQTYCAAQVFYQTGFSMIDFSMTIFIADTTALKNRAFWIAYAASPYLITPWIY GYAANQILAPGGIGYRWGFGVFAIIMPIISTPLWGLWYYTQKKAEKMNLTEKKNSGRT LWQSISFYCIEFDVIGLLIIATGFSLFLLAFNLYSYQPGEWHSPMIICFVVIGFCLII AFTFWERYFAPVKFMPWELIQNRTVFFTFSMVVSLYLAWYIWDSYFYSLLQVMFNQTV TEATYISNIYTMGSCFWCLVFGAILRYNGRLKWWAVCFGVPLTILGVGLMIKFRQPDS NIGYIVMCQIFVAFGGGTLVICEQMTVMAVSAQRNIPAILAIEGVVAGIGSALGGTVA VAMWTGIFPVKLKALLPASAQADFPTIYGSLAAQQSYARGTATRDAIDHAYGETQRLM LITATCLYLITWTSCFFWKDLNVKKMKQQVHGIHL PEX2_095930 MATKANTIKKEPQMEAFKLLPNNTNPNWIKDRGLRKLNMGIVFM FSTASSAGYIGNLVNSLLVLPQFGTIIGGLDPNIVGLIIAATSLGALVSFAPASYMAD KFGRKTCVYIGSSVVIVGAITQTAVKSHWGFFGTRLLSGIGMGITQTAAPLLATEIAH PRQRQTATALYNASWSLGAISSAAVTYATIDIANSWSWRVPCLLQISYPLFQILGLIM FVPESPRWLVSKGRKDEALAVLGEYHANGDIDDELVQYEYRLISSTITAEITNTRNWS SFFSSKGDMHRLTICVLVGLMQEWAGNGLLSYYLAPILGSVGITKAADQAAVNVSLNA WNFFLAAAGALASERYGRRILWIISTCAMIVFLSMSTLAAGLFAERHLPAAGIAVVPL LFLFFGAYDIAYAPLFISYPAEILPFQLRAKGIAVTLSVDAVACFFNQYVNPVAFTAI GWKYYCVFLGCLVVFLGLIYFLFPETKGRSLEEVAMIFDKEKEPESNSKKEIETI PEX2_095940 MKEPMPPPPELDTAPGSSLSSSKQLRFLVIGAGSRGNAYARAVT MVTPGVIHAIAEPHTFKRREFGRKYIWGVSESSKEGQEFTDWREWVQWELERRKRATQ HNDDNAADTSATPVGVDGVFICTLDETHVEILRTLAPLQLHILCEKPLALSLDDCLTV YRALQPPEGPNNTPQAPKKIFSIGHVLRYSPHNILLRKLLLTDRVIGDIVSLEHCEPV GWWHFSHSYVRGNWRRATAAGDGSLLTKSCHDIDFILWLLCSPPSPEKASKQAQQPHF PRSISSAGTMTQFRQKRKPISAGNATNCLSCPAERDCNYSAVKIYNDRHLATGHTAWP VDIVCPDIEDVFRAQGDKAAESLLLSRLAEDYDRDTVLDSDIAARPWYGRCVYEADND VCDDQIVTFAWDDEEAAPHRLAKTASFHMIAPTEKQCERRGRVYGTTGEVSYDSTTIS VYDFATAKTSVFDVPKPPPGQNESHGGGDFGLARQFVGAVEAAEGGLDVETAQARFVG CSLEEAVRSHAVVFAAEEARREERIVKWESWWEEKLRVSAAAWKV PEX2_095950 MSVQTVSIQPFTDQKPGTSGLRKKVKVFQQANYSESFITSILLS IPEGVEGSFLVIGGDGRYYNPEVISKIAKISAAYGVKKLLVGQHGIMSTPAASNLIRV RKATGGILLTASHNPGGPENDFGIKYNLANGAPAPEGVTNKIFETAKTLTSYKYLDVP DVDTSTIGIKNYGPLEVEIVHSTADYVTMMKEIFDFDLIKEFLSSHKDFKVLFDGMHG VTGPYGVDIFVKELGLPTSSTMNCQPKPDFGGGHPDPNLVYAHELVEAVDANGVHFGA ASDGDGDRNMIYGANSFVSPGDSLAIIAHHAKLIPYFQKQGVYGLARSMPTSGAVDRV AKAQGLQSYEVPTGWKFFCNLFDEKKMSICGEESFGTGSNHIREKDGLWAVVAWLNII AGVAKQKPSETPSIGSIQNEFWQTYGRTFFTRYDYENVDSEGANKVVGTLSDLVANPD TFIGSEIAGRKVVDAGNFSYTDLDGSVSKNQGLYTKFDDGSRIVVRLSGTGSSGATIR LYVERYEADKSKFGLTAQEYLADNISLALSLLKFKEYVGREEPDVKT PEX2_095960 MPCFKGLAVSIHTPDGPISEYSIQRHSRASRIGCFIPVPPPKIP ESGTGKAEQSTFAISVTLLNPEQDVPYSTPKPTAECPSPKPKVVGKLSGETGQIAGTV APYQGLTNSVNETVAAYIYFDGRQKEEVATLLRRGEETWVNSRWVSIPDSEGGGIAER EFLFREVGLERWLNGLDLEGKDAAAKIERRRQKMEKRRAKRAAEEDPTAMEMEDNHPA KSKTIMRYGNDERSPLEDVSDDDLSSDSDDDDPIPETAGQIKVALFRVLASGEIKRGE YSPQFDAHDDEEGGQDNSGGGDADVDHTTSFAKPKSLDPKTISTQTVTGIDPTDKPYA VFTFMYRGERQLQKMGILKDPKVQETPAATKRKSIQADFANLGPIKPGGSVGFLNFRD NEPKPRKGKKSVDDMDSEDDDTDNPILGKADDEEAKDDDRFLSPDDIQRQGELAESLR KIRLKRQHSAEPPGGSVGDSTDTPASGSGSTPPANERSATPPKAAATASAGGPSEPSQ PAVEPEDSLFGSPLKKQRASVSTADENALRRRIAESSGRINEVLGSSAPTESTLTSPK IFADGFSLTPDLSAGPPENDEEFVLAQPGFLHQPRITSIRHKSTMPLERSTDPLVWID CEMTGLDPETDKILQICCFITDAQLNLLEPTGFETVINVPDSTLYAMSQWCIDTHGRT GLSAAVRASTTSPSTAADSLLAYIRQHVPVPRTALLAGNSVHADKAFLACAPYSQVLD HLHYRILDVSSLKEAARRWGSDQMLKQVPPKREVHLARDDILESIEEMRFYKEKLFG PEX2_095970 MAQEVITQVGSGQRSSNDQNSAEKGYFEQVDLQNNVSARIKNPL ADLTKNQVLRNVEEFAEEYNVTDILPELKKGALVARDPTEFETVADLTEPELNALRDE VLHKWRQPRSLYFTIILCSIGAAVQGWDQTGSNGANLSFPDAFGISEDPKKSAQADRN LWLVGVVNAAPYIASACLGCWLSDPCNRILGRRGTIFISSIFCVLTPIGSAVTQNWEQ LFVVRLLLGIGMGLKASTIPIFCAENTPAVIRGGLVMSWQLWTAFGIFLGFSANLAVK DAGKISWRLQLGSAFIPAIPLLFGVYFCPESPRWYIRRGEMGKAYRSLCRLRNTPLQA ARDLYYIHAQIKIEMDLIGKSNYVTRFIELFTIPRVRRATLASFVVMIAQQMCGINIV AFYSSTVFKNAGANDTQALFASWGFGLVNFVFAFPAIWTIDTYGRRTLLLFTFPQMAW TLLGAAFCFWTPEGTGHLASIALFIFLFAAFYSPGEGPVPFTYSAEVFPLSHREVGMS WAVATCLGWAAVLSITFPKMLSAMTPTGAFGFYAGLNVTAMVMIFLWVPETKQRTLEE LDYIFAVPTRVHMKYQVTKALPYWFKRYIFRQNVELEPLYQFDHLASGNEDVAMSRKQ SVVSDHVKS PEX2_095980 MPSNITLYTWPTPNGIKASITIEELGLPYKAEGIDITSSANPQK EEWFLKINPNGRIPALLDGSQRVFESGAIMTYLVDKYDTDHKISYAPGTPEQVEQTSW LMFQMAGLGPMQGQANHFRLFANTRSDYAIKRYVDETRRLYSVLESRLKESPYLAGEK YTIADIANFSWVRGAGASLEIDLSEFPFLKKWVEEIDKRAAVQKGLDVPHSIWTPEQK AEFFRNCRVKIDAMTTTDQH PEX2_095990 MASSNLQKQVGQLFAVGFYGCTPSPEIKTLIHDYHVGGIVLFSR NFENAEQLQTLALALQNEAKLAGHERPLLIGIDQENGLVTRISPPIAAQVPGPMALGA THDPECAYSAGKATGETLSFFGINMNYAPVCDINSEPLNPVIGVRSPGDDPEFVGRFA SAAARGLREQNIVPSVKHFPGHGDTAVDSHYGLPVIPKTRDQLERCELIPFRRAVAEG IETVMTAHISLPSIDLTLPATLSPKVMGILRKDMAYDGMIITDCLEMDGIRATYGTEE GSVLALRAGSDSIMICHTFDVQVASIKRVCEAIKSGTIDQLRLTDACRHVATVKDKFL NWDTALRQSSLADLSSLNNRIAETTMDIYSRSTTLVRDKNGVLPLSKTSIIIFLFPGD KTPVGGAVDGEGTEKPGLYHSNKYLDVLRQHNNSIAEIRYGANGLTSEQWRTLEVADV VIFTSLNARESPYQESLGLELAERIQSLVHIAACNPYDFLDAPSVKTYITTYEPTIEA FSVAADIMFGSLVPTGALPVGANALTKTSAAVTPFDAQRDLDEVVEVWAAALPTYLIP TGSLRSMIVRPYGHHFVAHIGSQLVGFCLAYTNSHGTPDTVYIAVLAVSPKYQHQGVG IALLEETRAYFRATFGFNKVNLGSSFPRFWPGIPRDLPETVQHFFTHRGFRLSPPTAR AVDLYQDIRNFQSPEKYITRARERGFHFAPLKSEDYEACLVGQRRNFSKNGSWVEAYV ALHPDKYPDSVMTAFDSQGQQVGWTLMLGPSDALNESWAFPQTCGPNTGLIGAVGIDE SHRKYGIGLALICHAIENMKQRGIEGVFVDWVALDGWYEQVGFETWRNYRPGEF PEX2_096000 MELGWELPFPINLWEAKNSQIWFLRFNENFGLPTFTIANSLLHG PRGLGTASLTIATQQLMTETPDSQLLSALEASPFAAFCVLTNIGALVRDFTRCYYQMP PSPSDPNPFHILTQGQNKQVHTAIEAIAKIVKKQAYTSDSPQFLLWRTNELFISSLKI SLCRPDQLLIAGIVDNSLIAGMAASTHLTQGNLVAIRRSAPLVPHHVGGDEGIVALLN DLSGALSNISGEEQDKVVREAPWVTVASYGVLLCIWGALKRASTDIRHHLNTFNELPR ISESCMLIFNTLMESALLNFSTENDAIRDPRLWTMNREAFVSLLDENFKSSNLKKMSS FKPRVGWYGLGSMGLGMSLNLQKYLQNNNLSPLRYSNRTLSKGDLLRDEGAIPEENFE DVVQNSDVIFTMISNDDVLIELFNKALAMGDSLKDKKFVDTSTIHPDTSEWATSRLSE YGAAFIAAPVFGASSVAAAGKLIFAVAGPVKAVETIKPLIMNVMGRSIIDMGEDVRKS SLLKISGNILVISFMEVIAEAQVFAEVTGIGNQQLEEFIGNMFGPVLESYSKRITTGA YAPPLDTSPGFAAALASKDMKHALSIAASHSTRLPTLETASTRLLSAREYAGECLDSS AIYGTGRMEAGLSFWSENSRQGN PEX2_096010 MAPAKPNFGVYTPLVTFFEEDETLDLQSTLAHAKRMAEGGIAGI VLQGSNGEAPHLDHSERKSLVRAVRDHLDHLGYTELQLIVGCGAPSVRETLSYISEAK ASGANFALVLPPAYWVAAMNASVIEGFFHDVASQSEIPILIYNFPGVTGGIDISSDSI IRLAQSNPNIVGCKLTCGNVGKLQRVSSALSGTSFATFGGKSDFFLPALVAGSNGIIA ALANIVPKLHMEVLRYYEKGELKAAQELQSKLSHADWALTKVGISGVKAIVSHHFGYG TGRGRRPLGNTTIMTLSQEILAPINEVVDLEKLLRQNA PEX2_096020 MTMASNDMKLSEVPSKRDTEQAVMDVQDGYLKQHEIRDLDPALQ FMNAEEIEYTPDEAKNVLSKIDRVLMPLLCWVYLIQFADKTSLNYASLMGIREDTHLD PNSQQYSWVSSIFYAGYICWEFPTTYLLRRLPLGKYTSVNILLWGIALVCHAATHNYA SLLCVRFFLGAFEATVTPAFVLFTSSWYKQEEQAKRMGLWLSCNGLAQLLIGPVAYGL AGVTGTTIAVWKILFLVFGLPTVLTGIVYFWYMPDNQVQARFLNHREKLIAIDRIRGN FQGIGSQTWKWPQFFEAFRDPRTYLYVLFSLLMNIPNGGITTFGSIIISSFGFSKRMS LILNMPMGVVDITCKLGLTYLSDRFFDRTLFAIIAILIPMIGGIMMIVIPIHAKAGLL IGYYFIGAAGTSWCLVMVMISNNTLGYTKKATVNGLQIVAYAAGNWIGPQTFRSTQSP EYFDGKLMVAIMYALAAVTLAAIRVINLLENKRRDRKAIDDPQSAHTTPGSEFLDLTD FEQPAFRYVL PEX2_096030 MPEGTNRKPLRISIDRGGTFTDCICKVINGDDILVKILSVDPKN YVDAPTEAIRRVLEIYYKISIPRGSELDLKDVEWIRMGTTVATNALLERKGERTALLV TEGFKDILYIGNQSRPYMFDLSIRRSKPLYSEVFEVKERVTVGACSDSNLRAVKLQSP EPVESIIGTSGEVVQVLQPIDLASTRVYLEDIYKKGFRSLAVCLMHSYIFPTHELQIH ELALEIGFKYVSLSHQTSPRPKLVPRGNSTVVDAYLTPNIEQYLQQFSKNFPNIDQSG TRLEFMQSDGGLVPSSKLSGLHSILSGPAGGVIGFSQTCFDEAEKTPVIGFDMGGTST DVSRYDGELDHIFETTTAGIAIQAPQLNVNTIAAGGGSILAWKDGLMSVGPESATSNP GPACYRKGGPLTVTDANLALGRLLPEEFPSVFGVNEDKPLDREVVLVKFKDLTQTINQ ETGKSLTWAEVADGFLQVANSAMCGPIRSLTEAKGHDVAKHHLASFGGAGGQHACAIA EALGIERVLIHKYSSILSAYGIGLADLVHEEERVCAKAFDDSTSKTIYSDMGILADSA RDNESMKPFNIVQTRRFLNMRYDGSETSIMVSLDSSEDPREEFIKAHHQQFGFTPTNR AVYVDTIRVRAIGSSVFSGPSDKSLNSHPKVVSGSVAPVPKSWESTYFSPMGWINTPV YHFDNLSDGCQISGPAIVIDKTQTILVSPHSKATLRQDVLVLDVSSSGPKLTSSEVID PVQLSIFRHRFMGVAEQMGRVLQNVSISANIKERLDFTCAIFTPEGDLVANAPHVPAM IGSMAFAVRSQIAEWQGKLRDGDVLLSNTPAFGGVHLPDLTVITPVFDSEGKEIIFWA ASRGHHADVGGILPGSMPPLSKLLSEEGAVFDSYLLVRAGHFDEEELHRMLCVEPARF PGSSGSRCFQDNVTDLKAQVAANHCGIRLVRQLIQEYSMDVVQMYMRAIQDSAELAVR NLLKRLALDHNGEEISAVDYMDDGTPIVLKVTIDPSDGSAIFDFTGTGPEVYGNWNAP IAICNSAVIFALRCMVNSDIPLNQGCIKPVQIIIPDRSLLRPSFEAAVCAGNVLTSQR IVDVIFKSFKICAASQGCMNNFTFGNDGENGFGYYETIAGGSGGGPGWAGTSGVHTNM TNTRITDPESLERRYPVILRRFSLRTGSGGAGMYPGGEGVIRDVELRLPMSVSILSER RSFAPYGMAGGADGKRGKNTWITKAGRHISVGGKNSIRVQPGDRFVIETPGGGGYGVL GEPKKPSVDQSTVMPSFVPIANGSVAANRSLAEEV PEX2_096040 MGSIGNYHELKTSNGVGNGIKPYEIVEKPSRHRRKLRIIVIGAG ASALNFAHDIDTSTLDTELVLYEKNPEIGGTWYENRYPGCGCDIPSVNYQFSWAPSPD WTSFYSGAPEILEYFKSIADNYGLRKYIRLEHKVVGAFWDEQDQQWHVKIQKGDNPED VFEDKGHILLNASGVLNKWKWPAIKGLETFHGPRLHSANWDDNVELQGKRVAVIGSGS SAVQIVPNIQPKVSSLKCFIRSASWVTAGFGQRFAGKGGSNFKYNEKQKGILKNDPQK YLAYRKKIESELNSRFRFILNGSEEQANARAYAEKDMRSKLANHPEIADSIVPKDFAV GCRRPTPGNGYLEALCSENTTVVSQSIEEITPKGIKTADGVEHEVDVIVCATGFDVSW RPSYPTIGRESRSLSEEWKNIPRTYLSITVPNFPNYLIFNGPFGPYGHGSFLPITETI SRHFLQMLEKMSSEGVTSFEPKPEAVADFFEHHRNFMPRTAWTSPCRSWFKQGTTDGE VMMWPGSRIHFFETMKQPRWEDYNLGYTTSNRFGYLGNGFAAREFDGSDLSWYLGTID GNEKAHLPDEDFEAFMV PEX2_096050 MRMASKPKVAFIGLGAMGMGMAVHLLEDGFAVTGFDVNPMALEK LLAMGGRAGSSPKECAQGASFVICMVANSTQTEDAFFADSTGAVFGLTQNAIVILCST VAPGFPAQILGRIHQDFQRPDIQLLDCPVSGGTIRAAQGMLTILSSGPADVLNIAQPI LKSMSENLYDIEGGLGAANKVKLVNQHLAGIHIAVSAEAMGLAATLGVNTKEFYEAVL KGPACSWMFENRVPHMLSNDWTPHSAISIFVKDMRIVTSEGLLQDFPLYIASATERLY QYAARMGYEKDDDASLARIFIAQDPSLVSKATHCQGPDKSRASELICQLLETVHTLAA VEALALGSKLGISTNTLTSIISSAAGASESFKDVASKILAGDLSSGYTITQTRNKLKE VMTLAHMHNYPLQLAATTFQLLQQAVTYGMGGEGQAALLKLWTTANLSIQPLHITKYD PVPLSELHSKLPKFEHNVGNLLCNIQDHLQAEQDFKLVVLDDDPTGTQTCHDINVLTM WDFDLLVSEFRSESRGFFILTNSRALPPNEARTLVSEILRNVSRAADMTGKKFEVVLR GDSTLRGHFLEEVESYIDTIGSPDAWILAPFFGPGVRYTIDDVQYVGDRDTLVPAAKT PFAKDRTFGYKSSNLREWVREKAGSRFSSKDILSVTLEDIRLGGVSAIEEKLLLVPKG GILIVNAVHTEDMLMFSLALLEVRKKHKLRFAHRTGASFVSSRLGIPEKPVLLPRQIP MVNPMRRTGGLIIAGSYVPKSTKQVQSLMQRLGDKLTTLIVEVPALLIELQKYPDIPT MLRNSPVLQDVVARASGDLQGGKDVLVMTSRDLVTLDSANSWASGTSKTITNLDINNL AANALVHIVRHLNVCPRYLLAKGGVTSSDATTAGIAIKRARVLGQAAPGVPVWWCQSE QDFKSQDQGGRKVKWTELPLIIFPGNVGDEDSLADVVEQWTL PEX2_096060 MTKVHENDAKNDATVDVALASQPHSTLGEYERLFGVDEDAYEQP TTTRKELWSYYLYYNGDNGVGPGSYSQTLFQWALNGAGYQPGSNPPKACTDSSPCVVP WAGGTKSVSSVVLIANGLCFTFMTVIFVWLGSAADYGTFGRWLLLALTVVCWALQYGM MSIRHPSQWPAAMGLYVVAYIAYGATLVFYAALFPRLARYTPHVRKAREEDLREGKIN QEEYDAIESLERNHISNISTAHSNIGYLLTLVLNLSVLLPLQNNTFSNNLALCLTNSY WVVLGIWWFIFQQQRPGPKIPKGSSYTTIGFKQIWLAIREIRSLPQTFLYFLAYFLLA DGLNTTGTLVSIIQNDAVSFSFLQLTYLGITQAACSITSTFGFWYIQQYFKFETKTMF LFTNSFSVLIPLWGMLGLWTNRIGYHNRWEFYFYNVIFGLFQAPYYAYAQTMISELMP RGYDNMFFALFGITNRASSIIGPNVIQAIINNTDNNWMGFPFLFAICAGSMIAICFVD IKKGREDCRKFTEQRKIDRVVAESGLDPNDMAKGKQPVGTEDVLEVPNEQVSTLIRE PEX2_096070 MNTKSRDLNPGAPNEKELDDFHEVDAEQYLRFSPARKVIIVGVL SFCSFLAPISSTSILAAIPEVAKTYNTTGSVINASNALYMAFMGIAAPFWGPFSQVWG RRPVFLVSAFLFFAFSIGTALAPNLPAYYIFRVLTAFQGTSFLVVGSSALGDVYEPRA RATALGWFLSGTLIGPAFGPFIGGVIVTFRSWRVIFWLQAALGGCGTLLVFFFFPETY PHLTKGDMADKTMWQKAKYLWHRISPLQVAIMLFKYPNLFCTALAAGALVWNQYSLLT PIRYVLNPRFHLTSPIQTGLFYIAPGCGYLVGTLMGGRWADHTVKKWIIKRGGVRVPE DRLKSCLVFLGGVIPGCILIYGWTVEKAVGGIPVPVLAMFFQGVAQLFCFPSLNTYSL DVMQSSGRSAEVVAGSYLLRYVFGALGSGLVLPAVESMGVGWFSTVSALFLVVSGVGV WLTTIFGDQWRDKVEKKNQRKAEESTEEMQQGTTKAEA PEX2_096080 MSSQSQSIASFNGDLSSLTAPPFILSTTSLTEYSAYWAEHPAVF VAPAKEADPAKRALLVLKWFLSTLHQQYCSRSEKLGSEKKPLNPFLGELFIGKWAGDA EVGETSLVSEQVSHHPPATAYAIRNEKNGVELQGYNAQKASFSSTILVKQIGHALYTI TPPGSDEKEQYLITLPNLHIESLIYGSPFVELEKSTKIVSSTGYVAKIDYSGKGWLSG KKNTFTASLFKESEGEKKPLYTVEGQWSDKFAIKDARTKEVIDSWNAKENPVTPLALA PLEQQDLYESRRAWSDVAANIQKGDMDAVSGYKSRIETAQREQRRIEKEAGREWERRF FNRIEGADDAQIQRLAKSADLAFESDKTGGIWRFDAQKAAGAQPPYHKVGGEGLGLTE PEX2_096090 MSDIQNRSSASRGRVSARGGRGGFSSRGGRGGNRSANDNSDISS FEEGEIGQMKKKYSDTLPTLKEMFPDWKDEDLVFALEDSNGELLEAIERISEGNVSQW GEVKKKTTDRTRPKPKEAPSASTETTAASARGTRGRGGIESRGRVRADRGRGGRGGRA GATTNGTRAVSATVETPAPTAIPAITSEWAAPKAEETVAEPSAEGELESTEAKSSVIP EGTKKGWASLFAKPPAPPVQKKPQAPPPVPVAEKPAEPVAEPSPPSPAPEPVTAPAAS QKTPVAKPTHPVIPAIPTTAVNPPKGDLTETNLEQIPDVSAPAPTATAASTIGSGIDA ATVAAAATTPSRFPSSAYPPSATKQGRTPGLQRRVMEQQQAVVMPGNHAVDRAAVQFG SMGLNGDAIDIDENREEAETRAQPPQHSPVAPRASLPPATQAPHSIETGAAGRPAPGL PPVPQGASADSFTDFARYSEPQQKPFDPFTQQVSQPQPQIPEPFANQAPAQPTATTGS EYSPFYAAEQQRFPYYASYGSYGQTQEAPAGPRAGAGFGVSGAEAQAQVPTTQPPNRY GPIDATNSGHNTPNPTVPGATQQTPTAQHMPGQSAQQHAYGYQYPNYYNNPHYASYMN QMGQQQQQQQQQQYGGGNRPRYDDARRYEDHYMQQQQQHNQQYGYGSQYGPYAGKGGM YGQPHGAFSYDQQSSSPANTGSFSQAMPSRDSVYGRTGSAQQSDNQSATGANAFGTGM SDVFSRSQGSFGQNPPIAGQPPVAADETKGFEAPKAGGPSPSLAQNRPGSATNSVPGQ PQAQTGLPPLQGQQGQQSFGSYPQLNPQYGGLGGLGHQGAATQTHQASGYGNNYGGGF GNYYGNSGRGGWGGNYGH PEX2_096100 MKGTSIFQFKSWPKIHQPLPRTPRESQQLLNALTSSFRRQLDGA YPASGNNHGRPLPNPESSAHATDQHLQNILDNPLFRIIPTRPITQEPATLQSIEGQRR LAEEPMAVFDQMAAAGSVTTLAINDCLKSQLLLSRSPADMNTSRVASRIVDWYWASDG TSRQMLLRLRSVTTSLTKFMVAEGLHSTVMQWLQMLMSHDLGSQNGRMTEGLARQTFN HLLVDFIDAEVSFGNGFSSAMAHYLSVCQMHFQSASSHKSRKPMLLAAGAHLSRMAME HKPSSEQVSTVVYDQFRDTVSVLSPRSLLVASVAVCHPTDPDPRPFLQFVASLSPSKF QAWNEIRRDAFFEIGSEALRVLVDQKRFRDISRLEEHISELLPEQPAPVDEKTHTMSE EEHLGRLNWSFS PEX2_096110 MATEGAPVQNSAASDRDTAQLVKEHSHAFQNRLNTTQTDSPPLA QTENSNIDTDPLKAAEGLETPKPTPETKPPVTEPKGSALGSIPVARESQIPVGPKDEI VAGEKRDIDSTVTPTPALAEDEEQRKPEPSDEPDTKKLKTDEKPVTNSNGTAAVAPSN ADSGGQKKASRSKKDKIKDAVNKVIPGDGIGSRTRSRTKGA PEX2_096120 MTGQKIWLRAETKPAEARSALTPTTCKALIDAGYDVTVERSTQR IFDDEEFSKIGAPLVKEGSWVQDAPKDAVILGLKELPEDDFPLEHVHVTFAHCFKQQG GWEKVLSRWPRGKGTLLDLEFLTDDTGRRVAAFGWSAGYAGSALAVKNWAWQLTHPNE TLPGEVPYANQDRLVESVKESLEAGKKVAGKAPKILVIGALGRCGSGAVQLAKDVGIP ESNIIEWDMAETARGGPFKEISHEADIFVNCIYLSAKIPAFVNVESLSAPNRTLSVIC DVSADTSNPLNPIPLYDITTTFDKPTVPVSGLEAGGLPLSVISIDHLPSLLPRESSEM FSEALLPSLLTLNDRANARVWKQAEQLFDEKVATLPKSLQA PEX2_096130 MQEKPTTVAAYAAGASLAAVALFYVFGPNYTIDGDESNDSNRKK SIVGLSNLANDCFINSVLQALAGLGDLRVYLIHELHRRQLDGPEIYHTLPSEDELSPA RSDKLRELQQGITTRALKEMLDRLNERPIYKKTISARDFIQALEYAFRTRISRNQQDA QEFLQIVLERLCDEYHAGARARQRALGAIDAAASSTAGEEGSSQESSSEVEVRIDDGS ANGLPAIIDTKLKEIDRESGFPFEGKMESQIECQFCHYQYKPNQTAFVNLTLQVPQKS STTLNSCFDGLLKTEYIEDFRCDRCRLQHAVDAKTTELARARTQGDRYRLEQEISRIR EALETDPEAELEGIVFPPSETAPKRRIARHMRITAFPKVIAIHLSRSIFDQSSSSKNA AKVSFPERLPLGSILSQKWYKLLAIVCHKGSHHSGHYESFRRNHIYPPFSTPEVFSSY AQSRTVSQNPSAAPSPRIVPRSSPDTDGDRSISRADSSTSLSGASATQLTPPQPQPTT SGSRRSFQSTTSRSSKPTLSPTSDSNSPTTDQGRWSPSSPRPSHSIPRPSQDTPTGVS SRLRRRRKPNDRWWRISDEKIKECKTSDVLGMQREVYLLFYELEKPEAKPTKGA PEX2_096140 MGVLEQLSRKSGVIVGDDVLRLFEYAKQNGFAIPAINVTSSSTV VASLEAARDQKCPVILQVSQGGAAFFAGKGVSNEGQKASIAGSVAAAHYIRSVATAYD IPVILHTDHCAKKLLPWLDGMLDADEAYFKLHNEPLFSSHMIDLSEEPVDYNINTTAA YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILAIQNALAAISPYFS IAAGFGNVHGVYKPGNVKLHPELLSKHQKYVKEQTGSSSDKPVFFVFHGGSGSSKEEF KEAISYGVVKVNVDTDMQYAYLTGVRDFVLNKKDYLMSQVGNPDGADKPNKKAFDPRV WVREGEKTMSVRVKEALADFNTAGQL PEX2_096150 MRGEKLDSFPIGTLQFIFQRSGHKDRDMDIHYSVSEYNATYNRD AHIPRHAQRFFGCMKRGYEGNQKG PEX2_096160 MAPSRLTQVPQAVLDLNGDLEELVYIKAIIGIYDRTMLEIVNST TTPAQLNLDHGSWLQAKSKVASLHRSIVADFNRLPRHMKRTFVRANRLTLSEHGFQPS ADNLEITRPGSRRVIIVL PEX2_096170 MGSIKRISKELTELTESPPEGITVELADESNVYEWKIIMEGPEG SPYHNGKFLVKLSLPTEYPFKPPTVSFATKIYHPNVTNDEKGSMCLGMLRADEWKPSS KIAAVLQFARQLLSEPMPDDAIEGRIAEQYKNDRVRYEEVAREWTRKYA PEX2_096180 MEPAFQRGDLLFLWNRDTRAEIGEVLVYNVRGKSIPIVHRVVRT FPEVEGRVSAKKVKEITVDTTPNMHMLLTKGDNNLADDTELYAQDQDYLDRKEDIVGS VRGYIPMVGYVTIMLSEHPWLKTVMLGLMGLMVMIQRE PEX2_096190 MQSISSELTLSTGEPELSAIELLHDHFLELTPDGKYVRWLRDHP RHPRNWSSLRKTYDIVLICLLDLFITASSTAGSAAAGQAKHEYSISETLSIFIFVTLF LLGQVAGTILFPPWSETFGRKNMYIVSSGLSAICCVIIGLVRSMPVVIVMRIIAGLLS AIPYTIIGGSIEDMFNSHARIWTMYYWTVASNIGLILGPIMSSYIIASLDWRWNFYIF AIIIAAITGGLCFIRESRPSLLLAYEVKRVTGMTTVQTIPPPLSHDRIPDLNTFVKEA LFRPVQLFFQEPIIFVIAMMISIAMSLIYIFTEALQPIYESMGFAKTDASLMFIAIGL GTCLSTLTRVLDSYILNYFHRQGRPIKPEYKLVGLGLGGPFLAIGLWWFAWTIPPETH TPWIVPTMSLVLVGYALTEMDTVLYGYISDAYLSYSASATAAVAFMRALLSGVFPLFT TQMFDRLGNNVAMSVLAAVATAFCIVPPVFICYGERIRRASKFARYSWEIQEELGKEK EDW PEX2_096200 MLEARSSYQEGSLWFYAPNKGAAIAFAILFAISGGIHGYQCFRY KSWKVTGLLPWSALLFTAGFITRTIGAFGQWGNIGVFIASTVLLLAGPPVYEGANFFI LGRILYYIPYHSPIHPGRVFTTFVALGVAIESITANGAARVASADSSVNSQNTGKALL KAALIMQIFLMTGFVTLAGRFHFNCSRGGVLNHKIKHALLVLYCSCTLITIRTIYRTV EYFTAASLNAYADLENISPVLKQEWFFWFFEVVFMYSNTTLLNVFHPMHWLPRSNKIY LAKDGVTEIEGPGYDDPRHWLQTVVDPFDIYGLIVNRGKKEQYWEASPTQRQETTAKP IQGV PEX2_096210 MPPKQPQTSLFQVYLRLRPPMAQKANESDRFLNVEPPEASQEET EIVLPGSTHITLQPPNDTRKRAVERFGFTKVFEEGSSQLDVFHDTGMEPIIRGVLKEN RDGLVATLGVTGSGKSHTILGSKTERGMTQMALDVIFRSLEPTAKSNDGSITPVMLAS LAAADASEAQLFSAQTFLEAVYGEPTGRTSRAQTPMSPSRANTPLMVRSPFTLNSPQG SPTPRPHTPGSSLPRPRSKPGSPRKVDLSSISHNDASRAGFGQVSTESCGWITPGFSN PIPYTHSNLFEPKSAKSRLYVFKEPTPALNFPRRHIRERPCALPRLPDMSHLTVDMDS NADYVVLVSMYEVYNDRIFDLLSPSIVSGQGTMSRGNNQKDRRRPLLFKPTEGSPDRK LVAGLRKIACGSYEEALAILDVGLTERKVTGTGMNSVSSRSHGFFSLEVKKRTYSKRT GEHSWAGNALTVVDLAGSERARTAKTAGATLAEAGKINESLMYLGQCLQMQSNIQDGM KTALVPYRQCKLTELLFSNSFPSPHQMSRGQYPQKGIMIVTADPLGDFNATSQILRYS ALAREVTVPRVPSVSESILSVASGSHRSVSGCTSPNFDSTEELERAVAEITRLTRDCH GLAVKLAEEEIARSDVEMRLRAAEERCLTIEQDVREECWAEMDEKSEEERRRWQRAWD EQIGRNDEHIDKKVELVSRGFQIFEDSGSNERVEELEQENDELRRKLAALEREMHNQS PTRKHRQKNATPARSNLLGRESDIENALQRMDQLKLADTMFAPPSPGASPIKKIRKMG TRKWDLAPEDQI PEX2_096220 MSSWVALNVEPDEAVEEEVDDTKELQIEEALKLYHSALKLHSQG PEHYPQAAEAYEALLNSEIFKYPESISDFKRGAFDDAHDEIATESIAEFNVNDSTSSL FQTLYLSYKNHGKFVLDSVQDSIRTPPQTPEFEQETQRKLMEASRTALASFGDALERD DSDLNLWRQSARLSSSLNSYRLSRYCLESVLADDDNRLELRPEQLGLEEIFAEQRLRS TLQSLFDKLSASQIPAQRPKKALLKYLKQHEDPYPNLPALPADLRHLNPSKGPLALHA SRQEINPTDPTWESVGNALLQALDDEDDDSLASVPTQSIAIILPARSSQAPTTPIANA EDAEMAIDTEDHGSKDDNEVADVMLETTENDGKPEQSSPQPTKEPFEVGEEQSFIDQS AEKQLMESLEHQSTQPQDKQAEQDGAHAEEVDTNAVLDIRKRSSASAANEEPEGGRMK SRRTRARESNADTLAPPEEVAFDQDKYYEDRLEVFTNADDWMFSTVDSLFSKVGIDAL GSIEALRGKCSGNDSVDTPQDLETRLFQDFRGLIKTWDDEKSRLMQQKDDLSPLRDIR GTSKSGLAVFLEHSRKGARKPVIEEELPSGEQIYKFSNTINSDWLHPRETSFEWLKCL LMPDFGEESSNWSTAKSTYVSFLWPKDLKKTVVELLVREDEFTYRACSELIADLEARI LSASNGAGFEYKAKDFFELEMMQTIYELHLDVFASFESLGREIDQETRLAQRDRLARW GMLARSSIDHFVNHSPSDESQSNLTLRHLWASAFHISLAGDAQREHILLCLQDLKQIL QSLDNPSVNLINNATMSELSAAVVDQEVLKLKCMDFFAKVFNSDSEDPVTLIEAIEPI LEPSSIEYAEMPTENDMNHPTSHLGEMAAFLDRGDATLRLFLWRRLQEAYQAIDYPPK VVSCYLRSIEVVMAELEAAKHSEEASQHRQVALLGWLKALDGIVAKAILLILEEPEQA FDCFDMDHLQTSMSAIARLVRLLHSFILYEDSVRVGQISGRDFRGSLAKSLENMKERM RELYVRCWILQYTLFMEAIAQNKELFDDPLEDRIHFLRSVHNALGVRSMCRYSQKRFL KLLKAELFGLETKGDYEFDMCQLLVDLHGIKFSAFDGTVDHGCPPEKLDRPTAIMMID FVLQQANKMNMKDLSKSELKTTIEKMQQAIGPAKASSQTPQLTFNRRLVNAYLKAPLN PSNLLRAVQGITELSTTIVPTENARIASKGWFFLLGHAALTKFRSQKRLSPGSTSELD DAIGFFRQDLDHGTGRWETWYRLAQTYDSKLDEDITWTADKINNNRSDLAALQRNAIH CYAMAVSTAMRTAEPTAETRESMSDLYSDFGIRLYSSSREPLSMGAFSVVDFPRHFSS EESQQMYKGTPFKEMSAYSTWNFASNLLKRAAIDKSKRWMTHYTLGKCLWKMFTSDDS LRTTSKKVEMQEVINAVLDAIAALPQRRDSRSDPIFEPHFKLFSFVHKLVLRGNMTPA EGSKALLATPWARKIEPPENMEGWNSYILEVLRKYKSADKSNWHHRMGIKAAHIIYDD QQNTTAAIAAKQELSQIFTKTLTIQVWRPEFERPGRHFVYTTRYVYFFVGLLDQLDDR ASLDQLLRRVRKKQGDFFNHTKLWEDVCLTYAKMIRRAANINEGHEESIFKPIGWEEF STKTARLESLPHLEPESQTILELLRDALEVKKLNNNLMKITMFEDLIADLYARLYEIN TPHLIEQATEENKEKMKVDHLLMVGEGLPETSVPPTSVPTSDTPAPRGRTKGIARRDI QKRADTIVNTKLAPRAVASKLTTAGETEPPATPQGTGSSSAPRGLAKQVSATGDGSAE QRDEHDSVDDTELSDNEDTKLGDEGISALFPHVTDAEEGGTGDEGADEGDGEDDEGGH ENEGEDGEGDGDGDGEGDHGVTEGEADEDEEMQDEETKLEEEDKEEKDMLTADQSPND TETEHVADKRDTGEQDAMDITSSEF PEX2_096230 MVAPAVPEIHEEEEIYVAVDARTESLQSLRELGPPDLVYLVKQP KANSTRQTGVYHHVTGIDASSSASLAAYVNTLTFSPLDKTHKVVSGIYCCYNAFSHLD MRVEVKIPGSLESYCIDERGDKRVATEALWLETFLCAVLRAYLYADDGSGDSVKKIVG VRRFNPVTNTEMEHKFLDAAEKLFFLGRQLSSDPETQVPNTVSNHLTSGILKYIHTTG RYTSGINLFEKLRTKDVEVSSLLARVQIMADEEVQAVRLMYDALQDVPMDYALLDCQA SFCQSKGESEMALECAKRAVTAAPSEFSTWARLAEVYVGMERWDLALLTLNSCPMFTY QDKDTPRMPQPSRIMLPILAESILDEIDEGQPKQGDPHDYVHPSLRKIHAASYQGTFL KAYNLLTKIAAAIGWDQLLKARSQVFVMEEEYRVERQHVPKPATSSGAETNGNNSSHE DDSSSVAGPDPTEPSSEEAANGEVGDSHAESPLERPEQTVASEVVKSGSEDPDPSHAS YTQFRNKRLCERWLDNLFMVLYEDLRIYTIWRTEMAQFRQQAMEYKKSATEWEILGEL AQRLHHFDESIEAYQSCLATRFSPKAMRGVLKLYEQRNDTRGMLGALIRLIAWQYRWY SEFSPELLFLVRKLIEDEGAVKVRSIVQATNLPQAVLDLTHQYCQLCATFRSSGSDT PEX2_096240 MTTRKRKQEAEEELQALPSDVSEEEEEYEDSEPEGEGVSDEGEQ EEAEGDSESEEDEEGEEEEEAEAEAKPESKDKDEGAPAAKKRKTAPVVVDDDEAETGA KSEEDEEPDEGEDVEESAADTAAESGPAAAAAEAKGDVVPKESDLPEIEVAEAAEEEK PEX2_096250 MDTTTPLKRSPDDAGLESPRQNKTRSVPRISKARACAECKRHKI RCEFRTGESTCTKCTRSGIKCVVNDFSQKFVDDDGIWKSQANATMRQLQAAVSHLLRQ GGLPELSTFAPGDTLNGPSPAESYHGQHPSVDRSQTQASHQEGLGVGVVMDVTREPSP EQDLQDPDLVPAPMRSLYEVTKLRNLRNNHVEAPKQTLLEEDFISRRLISLHEAEELF AYFSRTMNQLLWGGIILVHRDLTSVRRASTLLSAAVLTVAALHIPNRTETLNRCYGEY VSLVSNMALTRAHTLDDIRGLCVGAFWLSELSWKLSGHAVRIATEMGLHQSYQRLTRG HTDQYERAQLWYLLYVCDHHFSIAYGRPPVIHEDVVIRNYETFLALPMIVPGDIRLLA QVALFMILTEAYRMFGSDTEQALTEEDFGQLRVYNVAVDQWRLLWQPRSADSAYVRTY PSKGVVLHYHFAKFQLNSLSLRALSPSNTPVFSMDRKESANIAISSAMACLNMVLEEP DIRDAIVGVPIFTHTMVTFSAVFLLKVAINWNTAYLSINARQVRRLVERVIELMNCVS AGERHLTRHIARGLGKMLERFDSWEAAWQFQGNNDTAAEGREVPGGANAMAQGFPPPD LIYGMVGTYGFGLDENLLDPSMADFEFLTQ PEX2_096260 MHVLITGAAGFIGQLLAKELLNDPTYRVTLTDIHQPPIPAGVRY PQSATAIKADLLTGAKDIVDSSLDAVYAFHGIMSSGSEANFDLGMSVNIDATRNLLDA LRHTCPGVRVIYSSSQAVYGQPLPEIVTDSVIPTPESSYGAEKIVCETLVNEYTRRKF ITGFTLRFPTISVRPGAPTAAASSFLSGMIREPLDGKKCVIPIEDRQFKSWLCSPKTL VENLLLTLRLPADSVPPHIRQINVPGICVTVQGMMDALEAVGGADKLALLTEKEDSAL IPILKSWPTQFDNTQAISLGFKRDESFEQTVRDYLDSKISTISSIALHALYSDGQYDW LHAVGAHPFFKFQKDPENPMSEPPIAWIPVCTAPDSATKAKIIFACACTSVRNSNSDR DWNCQNWVGDALTELVKIGCLTKEERAEAIGKMVETILEAELEDDGMF PEX2_096270 MLSTSVDGATSKADDSLTTDPDIIKGPTESHVLSQVEQDEKGLS QQSGDTAEITNIGWGESPDAIEEPLVAGVSNEDLWMLIRRFDKVYVLSWLLDLLVPTM LAVLLALVVYPPCRSLMFPPAPISLVNKDTGGVQKPKAGILGSDDSITGAPEKFKGEA AEQEASNLVASVASVAVGSAVGKHDQGVPDDAPFEDDVPDAMDIVANTADAQSAAHGK VPTDSHDKTRQPMKQSVMEAANSSMQVIGDITDTYEKLGNALSATAPFPQLTPRLRLV ALLGPALLASMMTSCYVFMKLSTLLVGLTFFGDPVIRRGVVYLNRRLPNWQKLIQLQN SLLKGIPTNAQLALTLLRIGEANASPLPPPPTSQDKVPSRPASLNQEEITLGATGEEI KQAALVKPEDHAQEAQASPEKSQKRTLGSSILGFFRGTTASGVESKRGVDRLRAAIGS HHAKNRVGVLRDRGKRITPSGPVVFDARCKGKRGTVIIDSTKEPPLLYFTTDTPQSGD AQLENRKNGSVLFTMPVTDIQEMRKLGGMGWKGKLVVGWALGGKEVVDGLLITGKKPG QSYQLTAMGTRNQLFNRLIAIDRQVWATC PEX2_096280 MSEECANPLLLGWIKEWLDQARERNSKGVTVYKKAYESMKACPL EFQHPSQAQQLNGLGPKLCDRLTDKLKAHCQENGLPMPEPPDKGGKRTSEGGVDQPTK KPRKAKPYVPTLRSGPYALMLGLGTQDENASQGMTKAHLIEVAQPYCDSSFTAPPDPT KFYTAWNSMKTLIQKDLVYEHGRPLRKYLLSEEGWEVAKRLQKTLPGAAQTATSGSQA TATTGSQQGALAAELDSLQQDDDPSADAQENLSEHDIANIEPIFFPPKSFTIQLVLDT REVRTSTDRDYISGELMKQGITPQVRALEVGDAMWVAKCHDPNFLTRHGEEGDEVMLD WIVERKRLDDLIGSIKDGRFHEQKFRLRRSGIKNVIYLIEEFAVTHPDSTSGSAAQYQ EMVASAIASTQVLNQYFIKKTKHLDESIRYLARMTLLLRKMYGVEDAPSNGGTDSNTN PTTAPSSPVSKIGLVPGRRLSTDSYLTILDNLRSQDPSITYGVSFVTFSALTSKSDVL TLRDVFLKMLMCTRGVTGEKALEIQQIWPTPRHLVDAYMALEPKERETMIASRMSEVV GRKKVAKDLSKRIAEVWGEVNMG PEX2_096290 MSPAPNHKSSDLEAAHQDFDTQTSVFFVSNVHCASCVAYITEVL SETPSVRNIEVTILTHEVRASHSTTVRPADLVNALIHAAFEVHYVTTFDQRGKPIAEL DTSSWNHRGSTLFSSPRTSVSSISSNIKERIQTSRHKRHIANCDACRKEELETFSRHS SSRTELGFLDEKSSQSPFWPLSKHHEQDITATKLESTPENVVQESFRSFATSETKVRP DVSTPELPTDIAELPSPSAESADEFTAQISVGGMSCASCANSITAQVQQLDFVRTITV NLLTNSATVIYVGPRDNVDEIVERINDAGFEASLDEVNQAPKPPASGELAANYVSEIA ITGMTCGSCVGGVTRGLEELPFIRDVSVNLLSHSGRVEFEGRDNLDKIIERIEDLGYD ATVNSVSPLKGGTEKLSTAQIRTISIHVGGMFCHHCPQTVLGAVKSVPGVTIEEALSE KTPILKVTYTPQPPLLTVRTIISTINSANDNFRATVYHPPSIEDRSRAIQHHERSRLL ARLLFVFISAIPTFFIGIVFMSLVSSENSVRMYLEQTMWSGSVTRIEWALFIMSTPVM FYGTDVFHTRALKEVYALWRPGSRVPILRRFYRFGSMNLLISAGTSVAYISSLAVLIV DAVVGTKSSPHSTTYFDSVVFLTLFILAGRFLEAYSKARTGDAVTSLGKLRPSEALLS DDTSEDGMKRTSVDLLEVGDVVSIPHGSSPPADGVIVDTASYQFDESSLTGESRPVKK TADDIVYTGSVNVGQPVRIRITELGGSSMLDQIIAVVREGQSKRAPLERVADLLTSHF VPIITLIAISTFVIWLALGHSGVLPADYLDVAHGGWTFWALEFAISVFVVACPCGLAL AAPTALFVGGGLAAKHGILVKGGGEAFQEASRLNAIVFDKTGTLTEGGSLKVSEHEVL TSDLEVAKVAWALARKMEESSNHPIAQAITEFCKTQQSSFVKSSDVHEISGQGMKGTF TVSGSEHETQYEAAIGNERLLKSLLSTETDTYFVSNLLAKYQSAGKSTAVLSLRQVHP PSTEPSEFIPAIIFATSDTIRPEAVDIISQLQKRHVDVFMCTGDNQTTAHAVADMLGI PRSKVMANVLPAEKASFVRQIQEGSQNTLPADGNTTPSSGQSRPIVAFVGDGVNDSPA LAAADVSIAMASGSDVAINSASFILLNSDLSTILQLVLLSRRVFNRVRMNFGWAVVYN LCLVPVAAGVLYPIVSGHDQKTIDGEMIMANEHWRLSPVWAALAMALSSISVVLSSLA LRIDKESIMKIVRWKR PEX2_096300 MSAPRSGARPIPPRGPRPPVGRLASLKPPNSTPINRPTAIGRPQ PPRPTTHPKTSNCPNPGCPAPHIVDDGGVKVCTGCGTVISENNIVSEVTFGESSSGAA VVQGSFVGEDQTHVRSYGPGFQRGGAMESREMTEQTGNRYMLQLSRALTIPESATKAA GQVFKLAVGLNFIQGRRTKTVAAVCLYIACRRQNGNTVMLIDFADVLMINVFKLGRTY KALLDELRLGGNVFLMNPIDPESLIYRFAKQLEFGPSLMAVAGEAVRIVQRMNRDWMT TGRRPAGLCGAALILAARMNNFRRTVREVVYIVKVTETTISQRLNEFGSTESGELTVD QFRSVQLENTHDPPSFTRGREGRKSRIKRLPETAAELEDDDSPTESETESVQPPRIDA DGFAIPSLPIDPALTATSHGRRASVIAKAVNEVVEDIKNEPFHSKGKGKRQPTPEPSA EQVASEAALEDEMRSMLAQGSTMIESVGSDQPPRPTVSDSTEIDAAEFEDDPEVANCL LLPAEVEIKESIWVTENKEYLRTQQAKALKRALEESTGGGTPRKPRKRRRGRLGDVTY LEGEGEDGRSSRASTPAEATRRMLERRGYSKKINYSLLDTLYGGEGGDAKSQSLSRSQ SRSQSRSQSVISRRSASIEPEAASRSRRATPSDAKFDAKFIRPSSTAPLPTPPSTAPI ITQDAKPAMAEGGPVNKVEAEPEEEDDDEEDDYDDDDKDDGLDDAFAGNYESDYDYGD DDD PEX2_096310 MILNRLSDGPATVLSPPREHAFARFSSQSSFLDSSGGFNGYPAS PSTAFANNISAQSIPSSFNFSSTPAVPKPSRKRSRDEATFEEAVAPTVPPGHQAAPAS KVQPIYGEGMVLLNPQTGLAISAESQTGTWYEQESEAQQATTAPVSSRSNALLSDATE VSRKSQRLDTSAPGLDDIALSSIRQRLDDPSSNDQHRTLNAGPAPPAEPLVDDATRLL GIGWQRVNTDGDMAPAVRGWTKYIDNQYSAYLHDSQMLMKSRALNAYLVAATPTSGSS PAFYLFSEDLTQGQLVASSWEACLQNLRSSPIIFEGVALLGAADRPNTQPISFNAMDT SVPLLQQALSNNPQASSLDELSGGAEMGMEIDS PEX2_096320 MSDGEKVRASGETPREQSLPTVNPAAEKTEQPSITFHPAVYVTT WITLSSTVILFNKYLLDYANFRFPIILTTWHLSFATIMTQILARTTTVLDGRKKVKMT GRVYLRAIVPIGIMFSLSLICGNMTYLYLSVAFIQMLKATTPVAVLLATWGMGMAPAN MKVLANVSIIVVGVVIASFGEIKFNMVGFLFQIGGIIFEATRLVMVQGLLSSADFKMD PMVSLYYFAPICAVMNGVVALFLEFPHVTMDHVYSVGIWLLVLNAVVAFLLNVSVVFL IGKTSSLVMTLCGVLKDILLVAASMFLWQTPVTGLQFFGYSIALMGLVWYKLGGDKMR EYTSSAGRAWAEYGNNRPAQRKFVVFGAAVLIFFLFVGSMAPSYANGSVDRVKGYLGG ATAGNA PEX2_096330 MTHPDISVDVLVIGAGPTGLGAAKRLNQINGPSWMIIDSNETPG GLASTDVTPEGFLYDVGGHVIFSHYKYFDDCINEALPKEADWYTHERISYVRCQEQWV PYPFQNNISMLPKEEQVKCIDGMIDAALEARVSNTKPKDFDEWIVRMMGTGIADLFMR PYNYKVWAVPTTKMQCAWLGERVAAPNVKAVTTNVILNKTAGNWGPNATFRFPARDGT GGIWIAVADTLPKENTRYGPNNKVEKVNAYNKTVTLADGTTIGYGKLVSTMAVDYLAE AMNDAELIPLTKQLFYSSTHVIGVGIRGARPDRIGDKCWLYFPEDDCPFYRATIFSNY SPHNQPEASKKLPTLQLADGSKPKSTEAQEGPYWSVMLEVSESSMKPVNHETLLAESI QGLVNTQMLQPGDEIVSTYHRRFDHGYPTPTLEREGALTQILPKLQEKGIWSRGRFGS WRYEVGNQDHSFMLGVEAVDNIVNGAVELTLNYPDFVNGRQNTERRLVDGAQAFAKK PEX2_096340 MIRRPPTIIALEEGDVESHLQRIYIRHTLSIEFEQLHLEDESCD ETMDPSLGYCTSTESDVEIDATGLSQEGTSCFEPTSPRERSYAFTNAIPHTSVTKSCL KSPNPNQQSSLQLTISTGIQSQADRSVDPPRLTVKFALSPQEPELHSGKAPPEETDRD CRGENHRPSHTDAIYTPMSIDSPASTPQVSFLSCGVSSSPATASVPPRDLTLASTMSY EAVLAEGAFSPASATNSVAEDGGSHTKIAI PEX2_096350 MNGDLSLSQTLGGLRIANPDDSPLPTEDATAGSTGSSPFGRPDG SVSTQQNPAHDEHPSAFPPAPVEVPMTEDRQTSFLQASPEIPPLQSGTYQPYSAPPLS TPPNPNRPLSSVYLNGSATSVLPRDNTYRIRTDSGASSQSGSQARLDTRGGSSALHAG VLARDNSHSDRSYRTAQIPGNGPAVMRQSSRAQARGGGASQMPRTSYMAENGPMASSE EWQDRGAAVSVRQEVDANGQTVSRYIKKGVRDFSFGNTLGEGSYSTVVFATDRQTLKE YAIKILDKRHIIKERKVKYVNIEKDTLNRLTDHPGIVRLYYTFQDEQSLYFVLDLCKG GELLGVLKRMTTFDEECTRFYSAQILDTIDYMHKRGVIHRDLKPENVLLDSGMHVKIT DFGTAKILKTPRADPSSNGILPLDSAELTQEERASSFVGTAEYVSPELLTDKNACKAS DLWAFGCIIYQLLAGRPPFKAGNEYQTFQKIVALDYEFPIGFPTVARDLVERLLVLEP ARRLQIEHIKNHEFFNGITWGSDLWQQKAPRLKAYVPPARDPIKLNDSKNGESQPPVI STAPSNAANASSRHLPRVVTELPPPSQLDIEWSPVLSKSNERILKLGNLVVLSSAASH SPTSKNSSGELEAPRKFSRFFSGNTTKKRQRLVMVTSSGRVILAASGGDDKKIKLEIS LLAPGTSYRSTTDSKGISSWIVDTVWTSSYQLKNPSNETPQREKHYVFEDPKPSSSNI GATAVQVQEWLDALDRSREMASQQQSAGFSDDAFRDLSSGFPSPANTIDRTSDMQKDD RGPPSSSRNHLVKQQTGDTESTKGRKRFSKRHSKNGLAAVF PEX2_096360 MGFQMLIWKSKLKIEEESWTDSPGGLDGRKIFLVILPAGPASEA FLNYPEIVEHDEFTTCAQRMTKGCYTCRRRRIICDNGQPTCRKCRDAGKECLGYQKPL VWVKGGVASRGKMMGRSFDDVEIPPSKPKPHPATGTYDSTPMSSGFNFFSIAESSSDA ESHSSGTQPSPETDGWVFEVENPTFVGEIAPLGPLGVNSAEEQDTAVVHVPRGTLPAD YTPTPWGLVDPLLKDLSQFSRYYVHHYNQYMVNDFALYSQHKNPFRDLTALVSHSPVL TSSIAALGALHCSLVSESDSSVLPWSPGNLSMSVEEIEDVVAPASSRKPTSQAYHHFL EYKQRALRQLSMDLNNPAMQKDGRTLAAIVLLAFLDIFESGSGAWSYHIEGAKKLLRD RPENGPGQGILDDLDAFALDGCLIMEIMGSTLARPGALSKPFYSSMGPDILKRLEENS WVGCPAYLLEVIFFIHALWYPDSEVASITPQPTALPTPIQPGQPLTLDSFASLLQGIR NFDPIAWSQKMQNVFFVPNLTYRLALATSYQDAVYLYTSRVLSRAREGFSPPWIDVRL PLDHRLIATNLITQICLIPDSDPHFKCLIWPTFIAGAECRPSQRSLILEKLGSLYEAL TSVNVRNAAWVLRLMWQKQDLKRSERQSVSGEQGYDSNDDDPEFDWIEELDHTRLDWL FI PEX2_096370 MEVWLTVRLLRSPIFHRMVGRVHQKIQHIRYGVPPEEQGGTKLE GQDFQYKKFLSHFKEELKDQIKGNPRNKF PEX2_096380 MAETRSSPEVINASLPALEVQHENKFKDYNFLDITYVVVHLSDI TIRNIKNMMNSNSNTSNEYENEGYLEIIGKMLSLTLFGNENQLEYLDTEHVGRRKFVA FTNIQKKEKPDQSQSPSHEKLQVIEVMFMRTNPRETLKIFWRPARAIVFQRVLTRVKK LLEMAMGVTIPENKPAEQLFLDLPIQDVLNKNAGMLVIEPWASIYVDAIRDRRFVDAV WARYHISGDLENEIAEDPNNMTVLDVIKVDALEYRVNEPEEYAKALSFYTKTSSADGH ADVIETINNLTERDIARVRAQLEAECEDGFSKRTRAHC PEX2_096390 MRLSNLSLFIQALLVTAVAAQSAGVSNIVTDVTTQTTSSSSSEA PETTSTTSSSSSTTSQETSTEPTTTTQPTTTTTTSSTSTEPTTTDSTTTEATSAAEPS STPEATVSSTTSNTQPTAASSADSDSTTTSQSTTTTQTPVVKTITSYMTTDGSTIANV VTTTSTPVSQPSLSGDKSSGSSGVSSSDKKIIIGVVVGVGGAILIGALGLVFWRIQKK RNEQYGDEDDLMGGTAVGSGPREKAPSPSGNTPFKNTLDQYHNPGPVNAASNF PEX2_096400 MVFPLFDNLCVPIGVYLSGGIDSSAIGGMVTEISRKEHVKLGNE ETSRVTCFSVAFLETSGYDESHVAERTAEWLRVKTIKKIITEDTLAEDFEDAVFHCEH HHYDLNSVAKFAPSTLPQELGINVVLTGEGSDEHFAGYPCFHVEFLREADGASPDSEL TKNGELRENLFKSAQQEMKGIWERVGGTVLDNVPAPQSSAGPGAFDTCDTLLA PEX2_096410 MPGFATYLLGALSAIVIARLILSIVKRPSLPLPPGPKPVPLIGN IHQLPKSLQWLELYHWSKKYGPVMHLSMGGQPLIILSSHQAAHDLLNRRSSRYSDRPR MVMAGELVTKGMHMLLRPYNDQYRLHQKMEAPLINLRSASNYRPLQDLESQQLLWDVL GEWDEFGEKGVDFHHHFERAMASTIYWLNYGYRLQTGYEKELIDGKRVQAEFARTGQV GAYIVDLFPSLNYLPKFLAPWKKEGEELFELERQLHVGNLRKGLSGGKWNFAKHMKDS PEAAGMPEVELAFDLGVIADAGLDTSTVALDWFIVAWITSGSRGWVKKAQQLLDQVVG KDRLPSFEDYPKLAYIDAIVSETLRWRPVVVQGVPHFTKVEDEYMGYHIPANSTVLPN AFAITRDETVFGEDVDNFIPERWLAEESDKEHIDVCGFNTPALKDLPQTGFGFGRRIC KGRLIARNQLFIQMARMLWSFDVEAGVVDEATGARHKVHDMDCTEGFVTLPKPFRSVM RPRGQWVRDAILKAGTTHHLDHSAILEAAKIE PEX2_096420 MIQLKPSTFTLVLSLFLSSTLAKDGELSSSLVGCNEVSCPKKGS DDRCTVEENTFLGIGLSRIPDVPSSLEGFSLVKGVNVSAALAGKENDQATRPFKSFYY LGTPSDVETKDLSGCVVVFHDAPSKKFDGPELEGNHNGTDIRAASGTCSDVIDKTCIE KLTEKASKLGEETNGNVCTALERELKETSLDECDGFAGKGSNLGNFTVKSLDDFNAVK NSSDCWPIQPKSDQLVEIASITTLKNYSAQALLEEVYKITPVLTVFARNGNSSLVNQT ASQLTCSKVVTEEDASDDKDSSENTAVAIKASGFAASIAVLTGVFALL PEX2_096430 MFATQSPQTPFRQTHYTPIHSSPLSKHNTTPPTWTMSPTRSMQS ASQSTAPNPFSNAPPIFNIQTEPITRHMQNHHSPIPMNFHASASASTTPTSTNPFLTH TQTPASPSSPTRQKPKYEARYASIIANPLQGAAGLARSKTRKMFLNRVRHERDDGRFE ARGEQMMRMEHLADRRRWEESMARDGEGVVGGLEGDEDDMLPGMFVSGLIGGYVCGWD IGWTWIADFGGLVDDVDALDEFISQEEAMEMALMETQTGLPARVWDLRSNGPGVLFSD DEYDDIFMGLSEPRGDQCQSQSQDMDMS PEX2_096440 MSTPLPTEDRHEEAPTVTATTQESQPAPSTNTAPAPTPAPAPTP IPAQSQKVAVDDDDEDSDFDELDDVLDDFNKPKPAPPINPPALPATTNPDPSTGIAPP DFDEDAFLKQLEQDMANMMGPGGGAGSSLDPNFQATVDQGADVFAKQLEESGIPPGDF LKQLLADVMAEEGGSGAGASAENLAAAAAAAGIATPSAQGSSSTPATNAGIEQPADSF NDAIKRTMGRMKESGDKATAAASNEDDISEDMLAQLLKAVEAGASGAGEDGDLSKMFM GMMEQLSNKEMLYEPMKELDVKFGPWIEKNKGSGKVSAEDMERFETQARVVKQIVSKF EEKNFSDDDPKCREYVWERMQEMQAAGSPPEELVSNPLMEDLGGAVGAGGVPDCPQQ PEX2_096450 MVSMVDHSDMVMDSIVPKHEPGPDGSISSAVSTPDPEAEPLTQD AAQTQKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIRQLETTIKRNEESLQSLQ QSHRSAADECLMLRYKNSLLERILLEKGIDVQAELRLKTGAPGAPPKAAPMPPKAAPS AVGARPNQRHPAGIASKPETFGMSQREGAYGIPSPQFQATPTSHVSSPSHAKSPGFGF QGAMSPVEGRPQLLPQPRTFSQTSPPAISMPQTDSSDAKPQSRGAGAMGPRGARVAAA AYYPSPFQKHYDQLEQEYDAQADMVDDDHDGSAQYVPGFTPQSVTSGSHNMSGFNQPS GEGTPNDFGNANQLLGQYEPMLDTDPFGLSASMHFPTPFNYEHNNSRQ PEX2_096460 MHVFVTGATGFIGRAVVDELLTAGHTVTGLARSDEGAAALTAKG VKVIRGSFRDHEIVKQGASEADGVINLAFDHDFSKYVQNCIDEKETIEAIGSVLAGTN KPLVTTSGTLGLTHGKVSTEEEVIDYERPMNNRAQNEKVISSLAEQNVRACVIRLPPT VHGDEDHAFIERLAILARSQGNAIYIDEGLNRWPAVHRLDAARLYRLALENGVAGSKY HAVGEEGVAMKDIAEAIGHDLGLPAVSKTLDEAGSLDGFISYVMSIDNPVSSKKTQEL LGWEPVGRKLLDDIKAGVYFQK PEX2_096470 MAESVRSKNLYELLGNDPELDPSRPAPPPTKAIDRPVDRSGKRD APKEQPARHTEANARRGGRVTAGNEAAYRDRNAGRNNNREKPTDEAAQPARRGGRGGR GDRQSRTGQTDTRKQVQQGWGAESGEKTLDDERQGEKIAKKEENEPQTPVEAEEQEEP DNSKSFADYLAEKAQRETLAAKPERTANEGAKLDKKWAAAKELSKQEEASYIQPSHEK AKREKQRKEKNFLDVDLRYVEPPRSGPAPRGRGDRAPRGDRPARGGERSERGRGGPRG GDRAPRGDRPARGGAAPAARGGAPRANARGPAGPTVDEKNFPSLGGN PEX2_096480 MQLLLVVLAAVFQAAVSTASSLTPPVIPLIVRNPYLSTWLANAR DSPWSKWPMFYTGEEVGLSLMAHLPSQNTVYPLVGKPHESLDGKSDYKIKFPKYLGLN YDASTTNLTYHVDTGTGNPLDITISFLSPITPTSTLRQSIPASYISIDVQGDVDVSIY MDVDGRWVSGDTGSKIKWGLDSLKIEHEELALNRWQVQRENELLLTETRDRAEWGTLH FTGPSDAKFQSGDATDVRRGFASSGALRNTNDGKFRAIRDRTPVFAFSKSFHLGHSSK IYDDSVTFTLAFIQIPVVQYASSRGLTLMRPLWESWYPTTEELLNFHYRDYAAASSLA SNYSQQVADDAYLSGADDYVDIVALSARQVMGATTFSGTPDDPILFLKEISSNGNFQT IDVIFPAFPFFLYTNPRWLAYLLEPLIEHMLSGQYPNKYAMHDLGTHFPNATGHPDGN DEYMPVEECGNILIMGLAIVNSLRYEDSTAASSIWSTQGLPSSSPESETSGLFPLDNL QVLSGIAHQDSKWGGGSKGQHQAEKWVKRSYSLWKQWTGYLVEFSLEPANQLSTDDFA GWLALQTNLALKGIVGINAMSKIAEVAGHDADAAYFKKVASDYIAKWEEFGMSRDGSH AKLAYDWYGSWTTIYNLYADAQLCFHLEDTDTDSPGFVPRHIYQKQSVWYHYVRQKYG LPLDSRHMYTKTDWEFFSMAVASKPVRTEILESVARWVNETTTDRPFTDLHNTEGDGG FPGPNFFARPVIGGHFAFLALERACGGKAMPGLSFLDDVDEETLAIWAQSAESAAKEF TMLGRNHHGEGEL PEX2_096490 MNSTSVQFSPIKDGRRILGEKDSNACLSPATHAKPSFPAIGTPV KRISIATSPKKLLPSPIFAGQKRTRDQVEEIEENLGHVQTQESSSQPGVQSTVNDDMQ SQLNQTPTPQNSQPEREQTQDLMDADQSFNAARTPEEETRSVISDSDARKMFIQQKAS LLRSRLQSAMRNVTDHQFDRRVSELEAHSRKCPRLSLSVLSTPPLSSRKHFTSFPSSQ MKTPRIGCAGFTSTPCHSTPDLPGRPSPLSSSVVQQRTKLHAQRTPPRGLGSPMQLSS PPATVIRREAGRETSMGPRAELSMDDVDAMSPSQRGDAVDGLLKLMSTTGNQSSDAWT G PEX2_096500 MAPPITDNPVFEDSDVSDNSDVEQPDVDDRAPKRRRLSESSNDS YVAPAPLPTLSRIKKKGEVEEEPESKEEEPVLISDAIELGKQSGLSTFSELDVAPWLV SSLTTMAIKRPTAIQKACIPEILKGKDCIGGSRTGSGKTMAFAVPIMQQWARNPFGIY ALVLTPTRELALQIHEQFRAVSAPQNMKPILVVGGMDMRQQAIELANRPHVVIATPGR LADHIKTSGDDTVAGLRRVKMVVLDEADRLLASGPGSMLPDVETCLGALPPSAERQTL LFTATMTAEVRALKSMPAAGNKPPIFMTEIGTENQGKIPPTLKQTYLKVPMTHREAFL HALLSTEVNVTKPVIVFCNHTKTCDLLERTLRRLGHRITSLHSILPQSERTANLARFR ATAARVLVATDVASRGLDIPSVELVINFDVPRNPDDYVHRVGRTARAGRSGEATTLVG QRDVELVLAIEERVGRQMEEFEQEGVNIESRVVRTGLLKEVGSAKREAAGEIDEGRDI LGRKRNKLKKVR PEX2_096510 MHTSISAQRKPSRQSQRLSSSVDQQDNAQENSQHRVSTTASVHS TQAKWWHVHLFRGMINDVKRRAPYYWSDWTDAWDYRVVPATVYMYFANILPALAFSLD MFEKTSQSYGVNEVLLASVLGAIVFSLFAAQPLVIVGVTGPITVFNYTVYDIMAPRGT PYLAFMCWIGIWSLIMHWILAITNSCNALTYVTRFSCDIFGFYVACIYIQKGIQVLTR QWGSVGETSAYLSIMVALLVLMCAWICGELGNSNLFKRPIRKFLEDYGTPLTIIFFTG FVYIGQMRDVDVATLPTSKAFFPTTDRSWLVRFWDISVGDIFLAIPFAILLTILFYFD HNVSSLIAQGTEFPLRKPAGFHWDIWLLGLTTFVAGILGIPFPNGLIPQAPFHTAALC VTRQVADEDDTNKGKAIRITDHVVEQRVSNFAQGLLTLGTMTGPLLIVLHLIPQGVMA GLFFIMGVQALQGNGITQKLIFLAEDKKFTPASNPLKRIERRSAIWAFVALELFGFGA TFAITQTIAAIGFPVIILLLIPVRSFLLPKWFSREELAVLDGATASPFTMESVGGTHG MEDYDEAVASGAREEYLNGSDGVLINRTSASPESAVEEDDLERGEAYELPSRVSRRRS TASRVD PEX2_096520 MMHSYTIVGNSPSTLLALAGFSGLTAHVLLYRRGEWDLKAPRLV ISYIFLFISATFLERYKQNDLPPAGPSHLAAKLIGCHILGIYTSMLFYRAFLHRLSRF PGPFLAKLSNFYVTALSARKLHLYEETEKLHELYGDYVRLGPTELSITDPRAVKAIYS SSAKVSKGPWYTVLEPRVSLQMVRNRQEHARRKKVWDQGFSSRALRDYEPRVSHYTEQ LINVIEREVGNQINISQWFNYYSFDVMGDLAFGKSFNMLIDGKDAYILKQLHADMKGI GLFSHLTWLFPFFKKIPVINAEYLKFWKWVDGRVYERIQNTPDRPDVFSWILKAYEQG PKTRQDSLDLHGDAYLIIVAGSDTTAATLTNIFFHLASDRTLYKALQTKLDALSSLSH DKLTGVKLLDAIINETLRLHPAVPSGTQRLTPPEGITIGNTYIPGNLMVCIPQHTLFR DERIFVRPREFLPERWNTQPELVKDPTAFIPFNTGPYSCVGKQLGLMELRSVTAEILT RYDVSFAPDQTAAAFLDGKQDTFTLATAPLKLVFQKRENKRN PEX2_096530 MVIGKAIVAREPIHPLTVNWALEEVNVKSPGEDEILVEMRASGI CHTDILLTSVPNGQFGITYPKVAGHEGAGIVRSIGKNVHAVKIGDPVLLSFSSCSSCQ QCKNSHPAYCKDFAQENYSGHQKQMLVRESGEELWAKFFGQSSFAQYSIISEASVVNA KSLLDHDHELQLFSPLGCGFQTGMGAIQNITNAGQADTVLILGLGAVGMGALMTAKIR NCKAIIVVDRVKARLELAKTLGASHTLDTSSPDFTTLDEEVKKLIPNGVSIAIETTGV PVLMEHGLQSTTTRGRMVLIGVPPLGYRFSFDVTEQINAIPQMIKWYREGRFPIDKLI KFFNATDYQQALGGLKDGTVIKPVLLWTEQ PEX2_096540 MRSEIIDIPTPNEAQPTIQDAESLHREIEKPEFTEQRQDAFGDE SNAEIKYKVLKWWQGGLLMVAETISLGILSLPAAIAGIGLVPGLIILVGLGLLSTYTG YVIGQFKWRYPHISSMADAGEVLMGGFGRELFGTGQLLFLIFLMASHILTFTVALNSI TGHGTCSIVFGVVGLILSLILSLPRTLEKMSWLSLVSFISVFTAVMVTMIALGIQNHG AAVKPVVEANFVTGIMSACNIAFSYVSHNTFFTFMAELKDPKDFPKALALLQSIDMTL YIVAAVVIYRYTGADVTSPALGSAGILISRIAYGIALPTIVIAGVINGHVAAKSLYVR IFAGTDRMHKTDWVSMGSWVGIAFGLWVIAWVIAEAIPVFNDLLSLITALFGSWFTFG FTGMFWLHMNKGLWFSSPKKIMLTLLNTFAICVGITLCILGLYASGSAIHNNQGSASF SCANTA PEX2_096550 MGLEKEITPVPPSPQDHEKGTIENLELDRLGYKQELRRNRSVLT LLFQTLAIAAIPYGEGSPLLSAIYGGGPLSIFVGWIFVCVLDQCIAFSLAELSSRYPT SAGPYYWTFQISSGNKTTLSFINAWIWLIGNWTITLSVNFGFASMISATVSMYHPDWS ASSWQLLLIFYAICLASLAICIFANKHLPLVDTLCASWTLLTIIIILIAISVKADTGR HSASYTLSHYDKSFAGWGNFTFFIGLLPAAYTFSAIGMITSMAEECTNPAVKVPRAIA LSVPVGGTAGLFFIIPICATLPPLEDIINAPAGQALPYILARVMGSPAGGLGLISLVL VITVFCSISITVAASRATWAVARDDAIPLAKLWARVDERWGVPVWALVLLTGIQMLLG LINLGSSSAFTAFVSVGVIALAAAYAIPIFLSLWHGRKEVAKAPWNCGGIIGRFVNVV ALAWIAFELVLFSMPTALPVTAVSMNYASVVFVGFMGISAVWYVVYARKYYKGPPESD ALD PEX2_096560 MFTIAGMPQNRETGQQITRGSNDRFELISGPLINLKNMHYEATT TWHGSVLIVTKPTQERPQLRLRQVGAVDSGTQNEDGRVPTSQTIEGLKLYEDPLKAFW RFSLAVPVAAFEARWAYDIPGLYNESETQAQTPWEFVVPAEEQSMRIMFHSCNGFSVG TDMNAWVGPNLWNDVMRVHGERPFHVMVGGGDQIYNDGIRVDGPLKPWTAISNPHKRR THDFDNKMRADCDDYYYANYVRWYNTEPFRAANGRIPQVNIWDDHDIIDGFGSYTDHF MKCAVFRGIGGVAFKYYCLFQHHIAPPQSTFTTDAPQTMHAVNGTSGVDPRQVENTYV LENQVEDDSWIIGKRPGPYVEERSRSIYMRFGKRIAFVGLDARTERTRHQVNYEDTYN LIFDRLQREIVDANGDIKHLVVLLGVPIAYPRLAWLENILTSPLIAPIRLLNKRFGVA GGLFNEFDGQVDLLDDLDDHYTARQHKRERKMLVQRLQELARSHSVRITILGGDVHLA AIGRFYSNPKLNLAGENDHRFIVNVVSSAITNKPPPQAVANLLARRNKIHHLDRDCDE TLMPIFDKQPGGKEKSAPWNKVTMPSRNYAILTEVLPPSPSNPGVLESSSAKLDRLPK DGHAPLHKGEVDAGTTHSAADGFTCTSGMYGGLDVAIRVEIDPQNRSATTEGYGFSIP PLLATEGPPSTGHRLSLHSRRSQGRPSTAQ PEX2_096570 MSNPEFSQISDEMARVNPGRWTRPSDSTNPNSPQNSQATDSQSA PKELSFASHSNRHMRHPWGVLDFHIYLSHIESGRDKLHREKECHLIGNTSFRQVTLHD EPVLDDIPSGTNPTVVFVSLPVPNTQNESSNARLVLGFDMRSDRLQGFFVESESPIEN YEELWQVWSQGGVELVIDIPLERFLAVICHTMEQEIEILEIGILRHYGLTTRVFQAGF DMVVTVQFLQFVEDFADLLSRELDELRDRYIPLQDDLRNLLATSSRDAWFGVREGLNI EQYRQKQLKKVVASGLFHGQSMLTLRDGSEETPQQDKVSRPVKIVPDHSGQWSWWNLQ IQFLVSAIFLLYFISIFQRERVLCVGMF PEX2_096580 MGQDSVFIIRSDYLPGLSFDNELWVNVSIERLEDPDNEEADWVS VVIPEDMNETHSDEEIMEYALNRIRTRRAVVSKVALHNMGVSCISIPIPMDIVDSSGI LIRAEKDWKNWLEYISNGMASLNREVIFGRR PEX2_096590 MSNSSNSGQVLSGLEGTQITMNSPPQPPINFVPETWEIVEKIGE DTVTQSEQNFIDGGGPAYVAGKFLCRPSGAQGPLAFLRIYQQIPWLGTELRKASARAA QATRPFEPPELQALKHFKQQGCNVVPELLGYQFEKQDKEDSIPGGFVTYVIWKKVPGE PLDFTRFWNCTFSERQNIRVKFRQIYEMLLPFDYQVWVPSSTKIIYEWSTGEMHISGF KDATNRFLDKVWSDAHYALHNLVLVDPSIKSYFPIESINLYRDDNGWEW PEX2_096600 MQLLPKAVNSPQSSSRPLTTNLTSKFQPSVYKGTDGKYFAEPAG NRSDYYWVTVYFSEDLDHRGIPDSEIMLYVQNMIAKGRFTIDDQSMHGLGKKCLSIPI KRDLDTPLPKSYTPDPTHPDLLLAEDLAGYWRDQIAFKKVTLDQRMIFVDTRRKVAKL EDMLDVGVTLMDPWRI PEX2_096610 MPFFQASVFQSSQLEHGRRFHFKDPHNSQPGWRWGNVTLPDTMG IGTSDQEIIVHICDTIAAGLVTWSDTAMHGLGQYCVSFPIKLETPEQDLKRLMLQVEY AALWWVSFIRAETVSIDKHMLFRASQPPSDPEDQLLDAPLHWDKPEYTVLNPWSAVTV LQ PEX2_096620 MSNAVWAVWRAPCPGLRTSVLPVTFRPTSRDLRITPWRMYSTSR QSMLPIPNLLSQLYSRLSRPTPTPISPQRFNPVSTTRRFKRTSAASPKPKAKSDPSEV LPRQKPFSDAEIKAIFGSAKFNAKLGNRILAVLHGHRLTGTLDADLPIDIARAVTKQQ VDIALEWLRDEYPIDEDAAIFARIEREERAAEEKLVRRAEKLGLYKPQSGSYDAELGE EGSPYGKSVLKEARERNEKRLLAEQERKRQEWLDGEKEEHERLKREFGTNTSLQQYQE AALTEARPRADPIERPYLAWVQKHHIAATNESPESMDITNSQRLLAPLLFSIVVLALC YTFAQKYEFPARKDRIMPDVPPAVATVGAIVAANVAVTLLWKYIPPAWKLLNRYFVIV PFYPSSLGMIGSTFSHQTWRHLGTNMMVLGLMGTRVHDEIGRGNFLAIYFASGIMGSV FSLTRSVILSRLGMTSLGASAATSGIVAAWCMSHFNDKLTMWILPQSLQDQIWTYGWV FLTCLVGTEVFSLLAPAFLFRAWPLSRLTKMDHAAHLGGYVTGAGCGYALMQKKKERE RKARQSRWI PEX2_096630 MSTESLPITPGAFAEAIKELPMAVLYSKVSELTNSIAHLHRSNA ELRAFLTESNDSEEDKKELEGYVTENEGVAVSMNERILLLKTEVENRGQPWIELDELK IDRGQEALTDVPVTNGNQTEAGTGAGVGTEAGAGQESNDRDDGDGVYL PEX2_096640 MATFFQSVRQGFGRGGNNKSNNPPKSPAQASTPQHQATMSNSPS ISSSLSVETQANDPEGPKYFFQEKYAPLNVRGNFLTLCACPKNVELGEWLAHQIVEQY RLLHGMLQVIQEVNSVTGLPICNENTCPTMSAGRLTYTWLVDGRAAKISAPKFINRVE KWIVSKIHDPVMFPTEKVNGTPETSSIKEANGASTTPSDPANPDDWIGKSSGFPPTFY KDCQGIMKQMFRCYAHLYHAHWLNPFWHINKHDVLNMCFVHFVTVSKYYGLVSEKEME PMQPLIELFIKQQRVPPEALSGGHWAQQAAT PEX2_096650 MSSDEEGVRRPSNGNESPAHSNANDSGADQMDEGDDLFGSDGEG GLDDTEPHRNLDDEQLDSGDDENRYDRREDRMDDMAEEQQEVNVADQDLARAPVPLTN DGEVYTMRVPDFLSIEGEEFNPETYVPPPYQTAATSLCWRKDPANESLLQSNARMIKW EDGSITLQLASAPLEQYRIASKPLVPLTKSGDYDHKLDSHVYLAAGLETAQVFRLTSH ITQGLTILPTTLETDDAVQRLQEQLAAAARGSKQTAAGTAPRYEITEDPELAGRRAEM MEKEAIKAERRRQQLADREADRGRRHGATRTGPTGLSVGGLEDGGLSTTRPRAKPRRQ NRRGEIYTDDEEDYSRGARNREDEYDEDDGFLVGSDEELEEEAEDEEEELEDEDMDAE GEDDVEAAPAKSARSKPEPQARAGTPPARKKNRYVVDDEDDE PEX2_096660 MGRPPKKRTRTDDEGVDPPALSGAEVWPSPEDSQQSSLVMSPYT VNFSDIDHLCPQLYWRPGTATNTNLPQSQSADLLSGYEDHNHTWRPDRLKQQNLPVPA SSSPWPDFAAISEASAMPMPYPNPPTFPSTHSLPLSPATSISSDSTTSGCPCLSYLYL SLSHMTSISSFPVNSHTLCSLYIAARTARDVIRCQICPKVFSTGLQNIMFIGTLLTVV ADSWLRVSQVDAVELGMQSAPPHYVSEVLQSPDPAQVWRSWLKEVVRRAVIGGAVGPG AMIPCSEQPDLLSMIVEIENRQRRWHEPGQHPLGQWNSTSMSDSVHSQDQEDSGEKEF LCLRVVGSARDVIKRFNFDPSEYPEGVEPVTATNKAHA PEX2_096670 MNPKAASKKRKAVTRDVEEEAGVFSGDELSKANLDGALSDNSKD LSDSEDDDSDSEVELVDDFSDSEDGEEELDSDEIPSDTEEIKPAAGEPNFRIVKDANG NDRYLWDEIHPDDNSEISEVDANANTIGDIPLKFYDEYPHIGYDINGKKIMRPAKGEA LDALLDSIEIPKGWTGLTDPKTGKPLELSQEELELLRKVQMNEVPSEGYDPYEPLVEW FSSQKEIMPLSAAPEPKRRFVPSKHEQKRVMKLVKAIREGRILPYKAPEDREDKEEEL INYDLWADEVERPDHIMHIPAPKLPPPGYEESYHPPAEYLPDNKERKEWEQTDPEDRE KDYLPNDFGSLRRVPGYDNFVQEKFERCLDLYLAPRVRRSKLNIDPESLLPKLPSPEE LKPFPTACATVFRGHKGRVRSVNVDPTGIWLATGGDDGTVRVWELLTGRQLWSVKLSD DEAVNTVRWRPGKDAVILAATAGDDIFLSVPPIVDPETEKASLDLLDAGWGFAASRPA PSASEEGKKNAPPTWIRPSSALVDAGVCVVIPLRYVPKTISWHRRGDYFVTVCSSAST PASVAISIHTVSKHLTQFPFRRRLKGGGPPQTAHFHPSKPILFVANQRSIRAYDLSRQ LLVKILQPGARWISSFDIHPTSSSASGGDNIIIGSYDRRLLWHDLDLSQRPYKTLRYH RKAIRAVKFHPSGRYPLFADASDDGSLQIFHGSVTGDMLSNATIVPLKVLKGHKITGE LGVLDIDWHPREAWAVSAGADGTCRLWM PEX2_096680 MAARKGGRPTLSQGLDREVYQIVRKIIDDQPETGRIRLSVPAIY DTIKKSNSSLNRKPKRLIEDSLERVLEVVKADMGEDDEDSMEGDFEGLEEPAATQPNG MNQSVVGSWATTKAKKIAESAASTPAPTASKRRTHGGESVSKRRKAEPADRSPPTHVS LADLGGLDDVVQELGDLVILPMTRPQVYLSSNVQPPRGVLLHGPPGCGKTLIANAFAA ELGVPFISISAPSVVSGMSGESEKALREYFEEAKRLAPCLIFIDEIDAITPKRESAQR EMEKRIVAQLLTCMDEIALEKTDGKPVIVLAATNRPDSLDAALRRGGRFDKEINMTVP SEPVREQILRALTRKMRLADDIDLKTLAKRTPGFVGADLNDLVSTAGSAAIKRYLAIL KSNSGEEMEMEIEGEDDNISPRVRELRRLISHAKETPIGDETEVVLVSNADFFTALPK IQPSSKREGFATIPDTTWADIGALGGIRDELVTAIVEPIKNPDIYANVGITAPTGVLL WGPPGCGKTLLAKAVANESRANFISVKGPELLNKFVGESERAVRQVFVRARSSVPCVI FFDELDALVPRRDDTLSEASARVVNTLLTELDGLGSSRQGIYVIAATNRPDIIDPAML RPGRLETLLFVNLPTPLERVDILQTLLRNLAIEFSEDLRKLAEECEGFSGADLGSLLR RAGYSAIKRRDTIKFIDFVAAKSFVRPSVTDLRKYEKLRRDWSGGVV PEX2_096690 MGNSSSSQKISAQDRLHQYQRRITVLTDRETEIAKECLARNDRR RALLALRRKKYQESLLEKTDGQLAQLEQLTGQVEFALVQKDVLFGLQQGTKVLQTINK EMGGIEGVERLMGETEEARAYQEEVSQMLAGHLTNQDEDEVEDELEALQRELAGPEIL PDNVVLPSPPTADLPVEEPVLEPTQRTKAKAETRTALPAQ PEX2_096700 MASEQELSTSFIPALYKPAALLPIARHKKNLLFLVEKYPVTIVV GQTGSGKTTQLPQYLDQAGWCEDGKTIAVTQPRRVAATTVATRVAEEMRCKVGEEVGY SIRFEDLTSPATRIKFLTDGMLLREALVDPLLSRYSVIMVDEAHERSLSTDVLLGTLK KIRKKRPELRIIVSSATLQAEDFLRFFAGEEYQPVAEPADLGGSVGRIISLEGRMYPV DMLFLDSPAEDYIERAVKTVFDIHTQEPEGDILLFLTGREEIDTAIQLISERAATLHP KAPSLLSLPLYAGLTTEQQMYVFEPTPENTRKVIVSTNIAEASVTIDGIVYVIDSGFA KLRAYNPNTGIDTLTAVPISKASATQRAGRAGRTKPGKCFRLYTQQAFEQMPEATVPE IQRSNLAPVIMQLKALGIDNIVRFDFLTPPPAELIIRALELLSSLGAVDDYAKLTKPL GVRMAEIALDPMMAKVLLTAPSFNCLSEILTIAAMVNLQGTVWVQHAGDKRSAESHRR KFAVEEGDHLTYLNVYQAFVTKGKKDPKWCRDNLLNYRALLRAVSIRGQLKRYLERFG IQVDETLSSRHGAADLSNQPEQIRRCLTTGYFAHAAKMQPDGTFKTVSGGLTLHAHPT SLMFNRKADWVIFHEIMQTGEKTYIRDVTKIEKSYLLEIQLKRRNMYNNTQAFQEAVE LRYKMSGHHHDHDGHCHGEDHDHTNDITPAIQSLLYSQINFDLITTLNETTPNSGASI VKKTWAERLNDKPELESDADEQLLMTIPFNGQVNFHSLLLYTAPTNSAPKTVKLFKNR DDLDFSTASELHPTQTIEVPQPVPGADVFELPLNRAHWNATTSVTLFIEDNWSDGEED VTKVGYVGFKGQFMKLTREPINFLYEAAANPQDHVSIPGVSGVGGRIMPGQ PEX2_096710 MSEEDKMNTSSKPLAALNPPTSPRAESPTTARPMDFDDEPQESG VITSSPIAAQPAAAEAAPPKPPRPLNPREQSENTLKEAFPSIEPGVIKAVLTASNWNV ERAFNALLGMTDPKAQEEMTPPPKPPRPTQQSTAAQRQLEADEMYARQLSEHYNATGR RAPPPGWESDPRYQRPRGSEESDEREREYSFFDDDLPVIRENLRKGFLETQSKVNSWV TNLKKRIDGDDLDEEPTQNQRETPTLARPRRSGDMGRRSGDRERYDADPQVFSDDFSA LELRDGEAPPARPPRPGQSSFKPSVSPSPDRRRVSFQDGPPTEIDNIYDASTPSKRLS STGSKTSKWQPLSAVEPSPVAENDPFSLGDSDDEKEIKETKTKEQTTGSQGDQPKHAT VESVSDEVGSSKDQETKGASKPDESK PEX2_096720 MPPKSQDQADDKRQAAREVIDILQEISDLLNTNLDRTELSLCVS LIENGVNPDALATVIKDLRKETGSSKRVGNAPAVE PEX2_096730 MNMTSIFGPPPAGMDLAESRTSEDTAVAVTMCVLAVCTIALRLV VRGYIQEARLEADDWLIGASGIPLIALLALSILGGTYGFGLHVWWITVENMVIMKKIL FAYLIVYLFELLLIKVSILMFYRRIFGMNWMIWATLLISYGWCIASMIAAICSCEPIS YFWNEIIDPTAGSYRYNFYYYYVGNAAANVVTDVLILLVPIPVIWNLQMRTTQKIGVC GVLLLGGFVCVASGIRIHYITYLRNNIDITWALGNVAVWSIIEPCIGIICACLPVLQP FIRSLAKKVPSLPTQHIGTSRMPSVIHRISLHKLQRSKSDIKSRTCPTHFGDSEEDLD PLTSMRTRVEIETDPKEMFGGERNLDPTAIRVKRVVHWSVD PEX2_096740 MPWKPRKSSKKPTFVLIRPKEPSAWQKFRDEPCLFLARKLYAWR PTNLPQPPENPVTVVCISNACHERPKIPPGDILIHAGDLAAEGSFADLQDTLDWLKAQ PHHIKIAVAGRGDKYLDKMKRGSRRWRNGHRQRANWGDIIYLEHQHVIVTTQNGRQLR VCGSPYSPKTIPLAGFQYHRSDNYWAEIPANLDILITHTPPYTHLDSRRGCRHLLNKI WTYPPRLHVFGCARERHGTELLYYDALQSAMERIEAAGGGFFNLLKVFNGFVKSFFHP DIKAKTVLVNACTNGGLWDPERPPITVVV PEX2_096750 MAPQCEMGGMGGMPDLTISDIHALKRNEALEILSQISRVEKKTF PTNEAFPFGEDLWRKKPNTRVLYAIRAKGSQSDLIAYAVYVRQKGVALLHKVCVTEPN RRQGVGQEVLSYIQQRLRKEGCQYIQLWVDQAREPARALYLRIGFEEREVIPDYYAPG RTGIKMVLDLEA PEX2_096760 MAFFLTIVLAFLSVTGAASLSANYPINSQLPPVARVSQPFRFEF AQSTFSNSNADTKYSLLNAPSWLEVDSSSLTLSGTPHEGDSGTIVFKLVASNGSEKDS MDVTLIVTADQGPTVNKPLVPQLQKLGPVSYPSTLFMHPGRPFSIEFDQDTFENTHPS TIYYGTSPNNAPLPSWINFDPAALKFAGNSPAFPGAGPQTFTFQLIASDVAGYSAANV TFELAIGPHILTFNETVQDFNLTRGEEFNSPKFTSLLSMDGSPTSAKELANVDAKLPN WLKLDKENISLSGTPPRNAVNQNITIAVTDTFQDQAHLMVRLEFLKLFLDTVDGCEAA IGQDFKFVFNQSIVTDDSVRLEVDLDTELTWLTYFSDNKTLYGHVPDDMDPKKFTIPL TAYQGSTEDTMDFVLDVLKASETHSNPTDPFTSPDSPNHKRAGIIAISVVIPFVVILS LLIVFCCWRSRKNSPTIEEGPSDSKLAPPRPVRPDVPNCQPSMTERPSRDDNSEDWMS PISPSSIPKLELGPAWNVSSFDKREHPVNFTMPDPIVPPRSPARNSPTRRGFVPMRDP VIQEESPVQTVSPSKKQNNRLSYTTSPMRRRTTNRSRREPLKPIQPRAMKRESIQSSK SRRYSKRSSGISSIASGLPVRFSGAGHGAGGFGPPGHGVVHTSWQNARASMMSDETVL THMAPLFSRPPAAGRMRHSIASSIPENYKRMTLRTVEPDDSILSEGDSLEAFVHSRAK HRNSSNPLFSAQISRRTSSGMRALDRNRSRADTVSVSTFSDEFRQSIQERPLSVAISA SEYGDDNNPNRFSQYQNQAGLFPLAEGSVYGQSQLSLAQDYRGAISPLPRFWSENSMG SARQLEGQSNSQPQKVNDENAMPHSSSMVSDLDEHLSRKVSPNKSANHQWWLSSPLEP PRPLKNADNRGLPIASSGELAFV PEX2_096770 MGQTLSEPVVEKTSSEGGDDCCVYGVSAMQGWRISMEDAHATIL DLQAKYTGNNEKPTDPEHRLAFFGVYDGHGGDKVALFTGENLHKIVSRQEAFAKGDIE QAMKDGFLATDRAILEDPRYEEEVSGCTASTAIISQKKIWVANAGDSRSVLGVKGRAK PLSFDHKPQNEGEKARITAAGGFVDFGRVNGNLALSRAIGDFEFKKSPELSPEQQIVT AYPDVTVHELTEDDEFLVIACDGIWDCQSSQAVVEFVRRGIAAKQPLAQISENMMDNC LASNSETGGVGCDNMTMTVIGLLQGKTKEEWYNQVAERVANGDGPSEFRGPGIRNQFE DTPDEYDLEMERSRGFSVRSGRIILLGDGTEVITDQNDEELFDQTEEDEDLTNQVQHE SGTRNDRQATPGPQGKQENVSGTSTQISESPASTDAEKEKSAS PEX2_096780 MSPSTSPAAKRKRSASHLPAPVPKNVTADLQPSSRDASGEEGGD DSTGPANVTSHSSNGNRHPAKRARKAAAADTASHTEVNEVKPAINKEDPDEPSETTVA SSDIEGGPRSRPGLHIDLPEDDEPVTEEQMAPPVFGRLQDPVGYHTNPPPTGRPVRVY ADGVFDLFHLGHMRQLEQAKKAFPDTYLIVGVTGDEETHLRKGLTVLSGAERAETIRH CKWVDEVIPCCPWIVTPEFLSEHEIDYVAHDDLPYEAAEGDDIYGPIKEQGKFLVTQR TEGVSTTGIITRVVRDYDQYISRQFKRGASRQELNVSWLKKNELEIKRHVVELRNNIR NNWTMTGQELGRELRQIWQNSRPNSPAPSARNSMDWGMRGPLTSPTGGSKSHLSRVGA EQNRADSPMGSRRNEDFATGYSLGLIGGVRAWMARSRQSLQEPPSGMHSPTDEELESE HNNGYEEEVRGRAGHVSTQ PEX2_096790 MLSSLAFLSSPLLLPSTEWSDYRRLITIGLVFSLLGDFFLIPSQ QEFHGLDRKYPTSAKSKPQGKVSIYFQLGIVAFAAAHIAYTIAFLQDSRVTSWTTFAS TFVGTLAAAKWLGVTYPASHSSLKTNVLDLDIAPDMKPLVSGYAVIIGTMFAAATSTS PLIVPTDWWHSRALGAVMFVVSDLFVAKNAFGRSDVPRHRGWLTIFVGYALYFWAQMV IAGTVRA PEX2_096800 MRHFDTWVLRDPYSIWHYYPTGHRWRDTVRDLIQSRAICSPENT LHPPIDWRNPSPTQFQPQQSPLLRLPPELRQIIWSYVLTNSPAPNTQTPQTIHLVQLK GKIRHVRCPTPVQTPHQHSHQSQPNKHQSNHPIQPNLSLTQNRHCCPTTPARWRIYDG RVPGHSDRLLYPHTHSHLPSTLSNSNTALLRVCRGIYFEAEAILYKASHFDVDDLYTF IAFAASLSPTARNAISRLTVQWMPIWVPMAGLDHKGSIYAHTHSDALWVRFWDVVASL EGLRTLGLSLDLGRFTSVVGNTGEAIVSGQRIPFGIKEGWVQPILGVRGLDGFDLAVT ARCDLLAKGVVEGELIRDVGLLREELRGILCGPSERSGFGRMVKGMDMDERKRRGIRL AITAA PEX2_096810 MERLFPSFMRVLRDLDDADVLLTTFQEFESNPSATSAEDRIRFL DFPAATTLSKQELLKKAAQSPQELTFSEVELLYNRYWGRISFREESIRSDCFDNLKLE CLESFRTSFHAEYEADALKNAEAESSRRYDEMREAQDKADLAHIFEHGYPWLHQLWQE DEGKRPWGYAIFESPQWILEDPERQETYDLKQTNLFYWAHVAIGSGIQIGSQWYLESL DLPSGTGRDKSFMAILHQLRKQFNRLRSLPPKKQAPYIFMDMAEGKIDAIPEGITEGI LRNVFLYLDHDAAASVLDLRGPDDTWIWAVDPDYDLECRGSGSSGYQGFLRVRLQQLL HHFYVARRWHSDEWSMEDIWKAAQKDPHNGSFVSMKDEEIYARDSSREVAAAIKRSG PEX2_096820 MVTPNLDSASEAGQYQDHPDAPLPIPVEQRSSSTQTKTQAENEH DYNCESESEADSQPALAQSEPRPIEDDEDDEAVIAAAARPRRAWQVWWLRNKGMGLVL LAQAFAASMNVMTQVLEIHSAMHPFQILFARMSITAVASYLYMYIASTPSPLGTRPVR GLLLLRALFGFMGVYGLYYSVQYLPLSEATVITFLSPIISCYACSLLIPGETFSRKQL FAGLVSLGGVVLIARPFSKRDPSISIATPTAAAAWALGISASTDEKPPSETDSYHHVM ATIVALFGVVGASGAYTSIRMIGRRAHPLVSVTYFSSVTTVISFVAMASLPSVPFRIP TTAVEWTLLTGLGVCGFLLQFLLTAGLSYVPPASVSDGKPVAQGGRATSMLYMQMLFA VFYDKVVWGSTLSPISWVGSAIILVCAVFVAFSQEKPVQRGGSGSGVEEYRDVEGYKD AADEERAEGSVQGN PEX2_096830 MPAPRGGSFRGARGRGGRGGRGGRGRGRGGRGGRGGRNSFATSR LNESESDDSSGGEDDASEQSQEELGDDTLMDEGASSSDEEDENTERPYNELLQLLQPN ESSGPARKKRKIATDSNAEIQIAEPAEEPEQGDDDLEAQAPSDDEEEEADEDEDPTAA FEKHFDLRDSADLTKQIEPIQSNKWAIVKKEVDGLRLVHTVPDTGANSASILPPLKST TNIKLKQKLKSRAAEILPKITGQAQIIAPYVFDYQDVLYGARTASNANEMREIMALHA VNHLLKTRDQVLKNNARLSKDPDTDIECRDQGFTRPKVLYILPTRQACVKVLDAITRI YQPEQQENKKRFTDSFSAPNDDSWEHKTEDFRELFGGNDDDMFRLGLKFTRKTVKYFA QFYSSDIILASPLGLRTIMDQSDVKKRDHDFLSSIELVVVDHADALLMQNWDHVDYIF QHLNLQPKQAHGCDFGRVRNWYLDNQARHVRQTVVVSSCITPEINALFSSQMQNASGR LKVTPTYAGAITELPLAVPVKQTFTRIDSTSPIKDPDARFKHFTTTILSTLVKNITHG RGKGGAGTLIFIPSYLDFVRVRNHFATSTQTTNVSFGAISEYTEPKEANRARSHFVSG RHSVLLYTERAHHFRRYQVRGVKRVVMYGLPDNALFYGEIVGYLGLDPAALIEAAEGG VRALFSKWDALKLERIVGTSRTGNMLREKGGDTFTFV PEX2_096840 MAEDSFLHLARPLGPVSVGTAPTTAPLNVVIQPQLIPPKAIFSV LDHSLRRNADQERVIGTLLGTRSEDGSEVEVRSAFAVGHSEAEDQVEVDMEYHKQMLA LHLKANPREVLVGWYATASELNTFSALIQNFYSGQGDGTWPHPAVHLTVSTEAGKDLE TRAYISAPVGVTAERAADSAAFIPVPYELRYGEADKSGLESIANAKDAEDRSTSVTSD IESLERAIEEVLSMVDRVSRYVESVIDEETPASTAMGQFLLNALALAPKVEAADIERD FNNHIQDVLVVSYLANTVRTQMELSTRLATAQLTLGGGGGGGEGPASGEQRNQRGGKG NNQGGRPRQDRNEEARA PEX2_096850 MALTGREIQSIDEFPWTCTRLQHPLLEGCEDLWLDDQERKLYAA CSAVDSRQGWSPGGSKFNVSARSQTDHIAVLNIDQPGSDGLYGLHKLKVGGYLGDLDL HGFDVRRIEGRLRFWLINHRPPVHPTTGEFLDAWVVGANSTIEIFDLNDASETLEHVK TIANDAIISPNNLAVDKDGLGIVITNDRNAKVGTFVELEMLIGGGSLTYCRSDTGKCH VAANKGFSFANGIVEDNGMYYVAHSVTGIVTVHKLVGDQLIQVDKINTGYPLDSLSLD ADGNLLAAAIPNSIAFMKSIEDPHSFVAPATVLAINGIAAQLRTRSGKDCEVSKLVED GDAKWLPSSTVAVRDVKSHRLFLGGVCSPFITICEQHV PEX2_096860 MASNTSPDNPASAAVDKDDLMIPLIDFGPFFTGTPSDKHAVALS ITQAFKTSGFLYLKAHGIPPSIVSKVFASSARFFARPQSQKDSLGWTTPQSNRGYVAI GREKLMTVDETNGAEALRASAPDIKETMEIGREEVEGLPNRWPDHLDDEGKEFKKTMQ SFFEMGKTLHKHIMQAIALGMNLPEHFFDGSVNAGDNTLRLLHYPPVSKQVFENNSNQ VRAGEHSDYGSITLLFQDRHGGLQVRSPKGTFVDATPIADTIVVNAGDLLARWSNDTI KSTRHRVIQPTAPEGSGHDASEYPARYSIAYFCNPNNDKLIEALPGTFGEEIQVEKKY SAITSGDYLVQRLTATY PEX2_096870 MAHLQASKLFSVKDRVVVITGGGSGLGRIMTKALDANEVSKIFI LGRREDALKETASLAINGTVIPIQCDITSKESLEAAYKTVAAQTTHVDLLIANSGIMG PLMKPPQPAEDGSLPPLSQVRDELFNVPMEEFNNVLNVNVTGSYYTVLAFLPLLEAAN KRRPAPQQDVIAAPTAQVVITSSIAGFLRKVPFSFAYNTSKAATTHLIKLLSTSFAYY GIRVNGITPGLYYSDMASHIFQASGIEGRAISDGSFPKEIIPATRGGGEEDIAGLILW LASDSGGYINGSILVTDGGRISVSPAVY PEX2_096880 MTWKSLILLSLLSSLPFAAASPKKHHQDPSTTPDLPLPAANASA SAPPLRTYNSSRLHTPFTGTPTTTGALTASSIGTGISRGGVAVAATTYPGDGNLHHSE PAPYVPAGGVGTNGSTPVYNTKSDFDYESLALALYQEWIELDLFQDGLRRFNDSAFRA AGMTASDRELIAFMAQQEIGHATMLSNILGARAPQQCNYIYPYTNVKEFVDFCQKLTR WGESGVYGFLAHLDSREAATLLTQSITTEARQQLIFRQFEGLFPMVEWFEVGIPQSWA WTLLAPFISSCPENQTRLIWQNFPTLMVVNQPDPWISGTPRNLSSANYRRNGNNSTGY DSTGYDSTGYDPTAYNSSGYNSTVYNFTTSADRISRLNATSRFNQTTGFIFNETTGIN NTVGPGVSIPKSPKGSGRFNSSNSRNGCGASVSRIRPIPLTAPGRQVLLQWDLPGKKI GPNNSYITTTETKAGSAKYVLWVSQLNITYTPLYVVSGDNGTSTNNTTNGTNGVNGTN STTDSIRGYTTQPDLETYQGNPAFNGTIFIAITDDDPYASPFNLSLINPHVVAGPALY QAG PEX2_096890 METPRNRKQRRAAAGSSSKKDEPEIAMAHPQRNDPTQNKATVER TLYDIIAERQSGLRPKGGAIPASVEAQGIPPQSGGTRFVTVDASGELVDTDGDISSHL SNPSQKKIKNSQKGANGTTVKKFEPESEPDKPLPPFLDTILLSLPLTTLHLTLGYLAA HQYAESIDLPKLFKESATTAFPLLTLFVHLAHGHIISFKKGSSKAEPAPLYLFPLTSD KLTFSFLRKLVFPPALKTLVFLPLAAVLGAHLISVTNGEPYYAVMKKAPAVGTIWIWC ILELSFGAAVIGALGPLIWGVWWMGYGII PEX2_096900 MGWNTTMSSNNMLSQEVYSDLLSFTFDGPKPYNQNQPLFIDAED PSRSFTGVQFRQLVRTLIAGLKAHNVQPGDCVLLHLGNSILYPALFFGIIGAGGVYMG SNPRSHPQELDHILSLAEPKLILTTRDALPTVLDVSAARGIHPAQVCLVDERAIDHCA QLFLWYELGYSSAGQFCAMNGSDRHNSFPNLLCYGESDWLKIADPVVAQATPAAMYPT SGTGGLPKAAILSHYALVSQHRTIYYEVPHPVSRLISLPMFHLFGALWTHLFPVRYGH PLFVMPRFEVNDFLAAVHKYQISETYLVPAIIHSINQSTVPIGDMLSSLRYVGVAGAP IDGHSMQQFRSHINPMGYACQIWGMTEVGVTFQTRWGQQGDPGSIGTCIAEYEARLVD PDGKIVQGDCCSGELYVRGPGLLSAYKGRTDALEPHGWFRTGDVAYVKQGQYYIIGRT KELIKVRGWQVAPAEVESVLLQHPGILDAAVIGVNKDGVGEVPRAFIVRSRDPSMRRL TGEQVYNYARQQLAKYKALDGGVVFVEEIPRTASGKIQRFRLSQMNSYREMVASLLSR FDGDGSAAATAARRGLPAAAEMSHVPLIPEGRVAV PEX2_096910 MSEKSPITMPPKAVIARHTPTVSEATLTNGDRINEKHYSKESES LHLDLDKDIDVEAQTQHTTQRKPKVFASTRYAILTLYRRLFTLVFCANVAVFVYVMST EQKLLALVNATAANLLACGLARQPLVVNAIFLIVCSMPRSAPLPLRRIAAKVYHYGGV HSGCGVASLVWYMGFVGVLSHQYWKPSPEGPEIGISAAPVALAYVILVLLLAIIVVAH PAFRMKRHDYFELTHRFSGWLVVALFVALLLVFSRGASKSLGQPLGTFLIELPAFWFL IFTVAAIIQPWLLLRKVAVRAEPLSTHATRLHFDHAVTTFGKGIQLAKHPLRDWHGFA TFPDSSPSGVHGNPSFSSLVSKAGDWTAETIQNPPTHLWKRGVLIYGFAYAMRVFKRV VVVTTGSGIGPCLSFLGDDNRPALRVLWQTRAPRKTYGDGVMHMVHKMDSAPIILDTD KCGRMDMVPIILQQVRDFKAEAVCVISNPVLTARIVYELECRGTPAFGPIFDS PEX2_096920 MTGSMYSPVLETSRIFSELCEQSERFDLPPEVLANKDRVSFSTS HNEIYFPIPFKETETLAALKGVEGSVAAAIADLRFGAGTEPRGIQVNLEAATAFGCQA YMAKVDGLSKLDPTVKSKLKNTDLLAAQSNGYRRMSANLYKTKNPDEYFHIHGSLEAT TTLNMVGLEGQRPDLTDYEEIIKVIESKVQQYTAVELEEMNKERSQAGVTAYKHEDFI KTPHGKLNVEEPAWKVSKLPGDLPPTPFPARRNGSKKILEGVKVLEMCRIIAGPTVTR ILAEYGADVLKITSPNLSDVPFFQVDGNMGKLAADLDLKSEAGRKEFEKLLDDVDVIV DGYRPGALDKLGYGAEAMAELAKKRGKGIVYVNENCFGYEGEWAGRAGWQQIADCVTG IAWAQGQFMGLSTPVVPPFPISDYGTGCMGAIAALTGLYHRAKTGGSYHGKASLMHYD LLLFAMGQYPADVQEELRKVQPPEFFKLRHCDSVDRISSTVLKGMKERFPHLYKPAGS ESEGRKPLTELWSSRAYDADIEIVKPVAVIGGVDNQFVRSSRPNGFDRAAWEEFSVEE TDVKKFPPETPSPRLSNDTTLTALTQLGVYRFGCNRSFVSIIDGESQHIISEATASIS LRNKDLHRPDDGIFLGVNTLDLEWGVCPHAIRLFTGQDPSRILDTENITANQTRNIIR DFTKEDFYKDRPYVLGWPYFRFYAEVPLYSPSGFVLGSYCVVDNKPRTEFGDEDVAAL REIADSISNHLENARIVQYHRRSENLVKGLTNFVKSHSKFDPTSSSTQGQIEASAKKL NSEDLGAIATPGDVGGTLNSLSAEKDIGVYSHLDQKSSTSLSGKEASIFSRNIPSVSN YTQPSSLSRTSDRAEPLYSSVEGPPESLVPDNVPITERIAAIFSRASLLLKESLDLDG VVFLDAHRNDPQFETSERPDDWDALTNFETGAPIASRSSPWGLPNENSRKDGEKYCGS LGQAISCRPAKSNTDSKCHVQVTEELLHSLITHFPDGHIFNIDSRSNLDNSVDSDSGN SEISALNFAIARRMSHHIFNQFPEANCVLFYPLWDWNKARWLAGTLVWVNGNHRPLGT EDLHYFKAFGDSMISEVSRIHWTASENSKFDFVTSISHELRSPLHGILASAELLHDIP LQTPQRDMVNMISTSGLTLLDTIDHLLDYCKINNLAITQSPSVTNTEDSGATLVSDFN LDSLVEQVAMILHTGRKAPGPVSSLARETAIATPSFQPASRVTQNEHELSVIVNIDQS SSWNIRSFAGAWRRIVMNLLGNAMKWTQTGLVEVSLSQATGKTKAEPDLVHLRVTDTG QGISQEFLRNSAFSPFAQEDPLSEGVGLGLSVVHKLVTFLGGDLKMKSESGVGTQVDV YVPAQRPKDYAPAKLFDDASSLGIQRYKDNLKACLIGFNGYPDLKETPTGILSSDAKR KLSIQSTLSNVFKVQLGWHSTLAESLDKGEGDIAVIEEAKFTAMLNNQSPSTTNAGHH FKFFIVLGSTTPSLGYSLPLNAILMSQPYGPQKICETAQRIMDLYNSQALIGGLEAPI IDPPTNHEILPSNPTAPSLPEVRQSLSQKPPIELVCPSPIIGGSPIRPNGQMNDIHVL IVDDNDINLKILATFMRKLGYSYDTASNGLIALEHVENSSRRYDLILMVSTSKIRQHE KDHGLQPSRIMAVTGVASDTMQQAAVTAGVDDYLVKPLSLRKLKKLIEPTL PEX2_096930 MLLRTKLRPRVLQLTAYRSTRFKSEIPFIRIQDGTFYKQYPTAE DRSNPPLFPNLNFTLPAIQASSTAKAAPLQHWAIIGPSDRTELLHILRGEHICIPPNA RSYPYLLTDEIAEKDPRLRVVENAVQYVGFSGEGSGAIGGVRGAYLSARYESYREETD WSVLQYLRGQTNLNPMEGEEEGTLHDEDFLQQTVSSLELKSLLTLPVSSLSNGQTRRT RIAKAILSKPELLLLDEPFMGLDPVAVRKVSKLLHELAKKNAPRLVLALRPQDTVPDW ITHIMVLGNSHKVLLQGPRLAVEDTLNVWSYVANKSKPDSLPEQQTKILNKCKADLKA GVLDKELLGDLVAHTNAIKSSEVQAPLGGEPVIDMEGVHVQYGDKVVLGNWQQKVNNE IKDGLHWRVRRGQRWAILGPNGSGKTTLLSMITSDHPQTYAQPIKLFGRSRLPEPGTP GISIFELQSRIGHSSPEIHAFFPRQLSIREALETAFAETFLSKPKLNIERDQDISAVL QFFKPQLDPNYITSEVPTISTAFLPPITKRTHVKLEPYALLDHVVGYADEILFSELTT AQQRIVLFLRAIVARPDIVILDEAFSGLSAAQRNMCLQFLEIGERPKRRAPNANPRSL GEELFRWTGLTEDQALIMTSHVAEEIPDSVRYYMRLPSPAGTVDGLDFRFGILQSKTT LRQPQTWEAAWSSPEAFTKHARRTWRRKQHTLPVQDMTEFEWWSI PEX2_096940 MRGLDESTDVFLRTGQKAQEQVRHAWDGFINFAARDNVLEVALG LIIANAFTKVVTSFVSDMILPIVSLLPFLNRNMDQKFAVLSKGPNFDQQYGYNTLHQA REDGALVLAYGLFLETVLNFLGVSLTLYAVGHLYMMIFHDKIIKPTVRCPYCRQYIGA SALRCRHCSSWQDGREDEPRSDKSSREELADAEASFSGDIP PEX2_096950 MDTYGPLNDRLNCLLCGMEMFGMIYSQVANPTRSDVLFRENKWK HHRKAKLEHVMVPSREVEEADFAKFPSMWSCMCRAITKGPTAKYSLSGITAIEQKYNH PYMIPKDPNMARIGGRTCKLQYDDRFIHFYAAAITKQPSHLRGENIGYAVHAHCWALL NHIISTALVEKNMEKFVRAARKYWRNHESWGRDKDDELSEIEDDDSESWGSDDWSLRS WKLRFGKYHPGFRYGCDIYKNPLIVPKVQEAIEKAIDRASKTEAKSTQLRCSPVPLEV AIMIAERTCPIDYTPADVMDTRNMLAVWQWKLPDGFWKRRLKEEIFIELNSLREANHP IDWQTLWLDLMGLVSDREWYVPSGLPNRERVLGFMTGIKSNFFEMK PEX2_096960 MADSVAQNVHGYDHDNLRHVVITSTCFAFILSTTAVGFRVISRA INGSGLFLDDWLIILALIFEYGISIAGVVLLYNGLGTHIVELSPDQIVIYLKTLFTGS ILYTGCIASIKLSILMLYRRLFPVKSMKYAVNIVSMIVILWAACGILAGCFTCIPTEK LWHPMLEGGCMDLSKFYYGLQVPNIATDAIILLMPMHIVWNLPISKAQKLGLSGIFIL GFLTLIFDIIRLVVLIQLSTKGDDITYNQVPASVWTCIEPAVGIVAACLSNMRPLFKV MHTKVWSRLSSRYATNTSSTSTSQINEKASWPRQTPSPTGEESISPSPSGELNQSPTH KQSTV PEX2_096970 MSRLNVDTTVGGNHQRYSFMETPLEMHPSPHQRDLVSPPPVDQS APQHPTVEETPKERQRAWSYAPSEKEQLQHQGIIPDYTNCPPLEQHPANYAPLVQNQQ QQQLQQQQQLQQQQQLQQQLQLQQQQQQLQLQLQQQHNPSMSSQTYAVAPEHQQHVAQ AYAQSYTSQSYTNIAEQQSRTMSPQSYATQSYTNIPEQQQNQWHQQIGMTISPQYANV PEQQQYNALMSPHSYASHPYATESYTHIQEQHRGIEIPTQQYASYTEPPSSPPPSSPG PLPLKVNPEAPSRSETMPIVPDENPLQSPKSPYFPPPTRVTTLHAPQPDDLSAYHHPG QTTHPNQEVKGGGWSNGLCEFSNFGVCCLGLLFPCILYGRTQHRLSMKSRKEDPTNML GYETCNGSCTGMGLLCGCQWLLATVQHTRTRKAYGIQGSIASDCVRATCCTCCTLIQD EKEIQKREEYRSRAARERSATLLSPYTTPGPMSYGPPPR PEX2_096980 MSNSPSVPEDTLPSPLVTPATPAQHDCSNLHEGTCTPRHHLWQT QHLSQGPGEVLSPSRAESPTAHSWSQPASRLFPLKRTATTPPENTAQPDIVYRPQLSE RDSIFATHYLPSDSAANTPQLPPERALGNERQVNLIPSLDDASVSPSAFSKVSPHRFN VDPSHMEVDVHRNSPLRSSALFPTTNLPRLSLLRASTSPTDHSKKTGVETGVQTHLGA SHRYKVSLDNTGTKTSRFQGTLSERNLFPSTLDDVSRTSHSLTIPSSGHEGSQVSRDL PSYSPKEETPQTPVAVERSPSRGRRGRVDSSIEANLPNAEPAANVRSRKSSHYLGLFK ENTTSSPDRKRREDRGRPQDETLELRDRNMDYEQESHRSEQESALRKSISLSALDDAP SFQPPPPAETPHTINGDEPSKRRPTALPRSLLEEIRNFHLTPGGSHGSSFSKSIPTQY SERSRDYFQKDSHVEVSPSDSFEEEERGSGQFGVEEEENEQISSAVYFPHERTVPDGV DGLQQFPDGPLDVQPGQLPAAEKGHELMLVPQERSDSPEKEISHVDISFRSKNESKIL YGDIHSPRENVPEKLSAISEYSDSNYESEVEPQSADESIHSVDEEETPSATPTQRSQL LSRPKPPPPGPLGAVELKPYRHQVGGHTTVFRFSRRAVCKQLNNRENEFYERIELRHP DMLVFLPKYVKKFHEKQTVISVSNTNILVRYIGVLNVTFSKTSKRKDQVDSADGIIPE TTPTNGTSALSSQLLEPAESQEPQRIVSQSQVTGVIPKVILENNRHIIPADLFTHQQR PRTADASINRARSVDGLTGMSAESDTSALSKRAKIWGATTVNLKLQEQVLREVFSPPA IHHHRRHARGHLHLPRAHSDMPAATHRRENLSEDRTSNDRRPVPGPIAALQTDAIDIK APPSEGPALSSSASTALEANQNRLEKIRTEEEPNRSSSLSRNHHSRRRHSGSGLRRRG SMDSRNNGELMFFDDDDYGGDKEDEIFSMEADNPMSNASSSAQRSASPASESNSTNGY HETETFASSRTSDSSRFRPQEPINTILPSNPKEAQLRKDERVQFFLLLEDLTAGMNKP CVLDLKMGTRQYGIEANEKKKKSQRRKCQSTTSQQLGVRLCGMQSWNVKKQEYIFEDK YFGRDLKSGREFQDALTRFLYDGVNYASVAKKIPIILEKLALLEHMIRQLDSYRLYAS SLLILYDGEPQSSPEQGPPRSGDPTSNKHGASKDSQSKLNVQLKIVDFANCVTGEDKL PADTPCPPHTPHDIDRGYLRGLRTLRMYFQRIMKEVSLDEFVERGEGEAIALGSQPEA RERPSAQYWDETMMETDAGEVSF PEX2_096990 MTIDKRDFDANRPLLQDTTAEQDTTDRSPESSRRHSWNLGDHEL CRGGDGLLSDVVGEIVERDRRKMQKEVIRVLSFGWGVVTCLGAGSITAFSLYGHLLLT RLHYSQLQVNAVSIAAEIAMYLPVPLFGYLCDRYSPSPLAMFSGLVFGIGYLLAAFTY KSGPPVDAGGSGWPFWVMIVAFIAIGMGTSCMYLAAVATCAKNFGRGKHKGIMLAVPI AAFGLSGMWQSQLGMYLFYERLEDGSKGDLDVFQYFVFLALLLLGIGIIGTFALRIVE DDDKYIDETVEELERSGLLEESDFFQPRNEIRQAVEYGTFSDAFDEEQSTLSDEEREQ QRLEKEREEEERRKKNWLLNYETRVFLQDSTMWWLAAGFFLVTGPGESYINNLGTIIP TLTPQSYPTGASPPAGSPSTHVTTIALTSTIARLLTGSLSDFFAPPATHLFPPIPEGA HHGPSTPPSSPRITLSRMTFLIPSAFLLSLGYLLLASPLPLAHPGIFNLTTALIGFGY GSAFSLAPIIISVVWGVENFATNWGIVAMMPAAGAALWGVVYSAAYQNAMDRGDDGLS DGQCHGWRCYGFWAVGCTVSVWIAVLAWLAAWRGWKRRGVVV PEX2_097000 MPEIINLLSSDPPTPPKPQPPKSRQPAELPSRRPSHQLNPISSD PFDTSLFDYEDVFDKPAKKRRVSDQDTSSLRQSTTPNEPRAPASTAEPWFSISDDDFD LPPVNAVAQNKPPQSRVEESDPIVFTSSAPVPLPKPPSRKPTLSTRDILALDVDDVLS DPMKPPPSSFRGRSDIDEFSDPFALPEFSDLLKKPIASNPIFSESTARLLSRLGDEHT SGPKTKPGARKQKGTSLKSTTVEVLSDDDIDEPVAPRKTAKKTSKVTTAEKEAKAKAR EDAKLQRERERELEKERKLKLKEEKAKEKQRAADIASVNKLKVNKKDSTPEMIVDMAT SLEDSSVGTQTVEFMKRLGVQHTFFTSAIPNVVKWRRKMNATYNRTLRHWEPCASFIQ NEDHVLCLLPAQEFINMINPPRDDEERERESLEIHVLRIKSAYPDCKPIYLIEGLTAL MRKNTNARNRAFQAEVLRQLAETTAPEEQEQTTTRRARKPKKKPDATPLVDDETVEDA LLELQVTHSCLIHHTTAPTDSAEWIKNFTEHISTVPYRRERQEAHNAAFCMDTGQVKT GVDKQDTFIKMIQEVNRVTAPMAYGIVGQYPCVADLTRGMRMHGAMMLEDIKKSANKN GSLTNARIGPAASKRLYKVFTGLDPSSTDI PEX2_097010 MGLQRSNVTIIHPDLGIGGAERLIIDVALALQNRGHRVTIYTSH RDKAHCFDEARDGTLDVRVRGNTLFPAHVCGRLHILMAALRQLHLTVSLLGELGSRGT QKALTTTTDDEEDRDDIFIVDQLPACVPFLKSFGRPRQSRRQRILFYCHFPDQLLARR DEGGSLLRLAKVLYRFPFDWFEGWAMSASDRVVANSRFSRGVVRDVFGSDRLGDVDVV YPCVDMDSAVSVPEKSEEDPLWGGKKILLSINRFERKKDMALAIRAYNGLGVEKRKGT RLVIAGGYDNRVHENVHYHQELDELATSLGLKTATSKTVLSALSIPDGIDVLFLLSVP TAFKDTLLAQSKLLLYTPINEHFGIVPVEAMRVGLPVLASNTGGPLETIIEGETGWLR DAHADEEWTAVMDKALYGLSREDLQRMALAGKNRAQQEFSLTAMGDRLEEEISIMLKT ERRPFNGWQQVFVILALVGAVLAVMVTILLRAL PEX2_097020 MSSRQGGSRASDEDGKTAVKVAVRVRPPLKPTDPGYELIPQRFQ KSTVQVTAPTSLAVDVSAGRKLFVFDRVFPETTEQEGVWEYVSDSVDSFVQGYNVSIM AYGQSGAGKSFTMGTSGPIEQHNMQDMGIIPRAAQVLFDKLDGPSKHNRNGSHSTTGL RTPQRYSMSSAASFGKLNQDKEKTWQLKATYVEIYNEHLRDLLLPESTIANDRSNVTI REDTKGRIILTGLHQVNINSFEDLIGALNFGSTIRQTDSTAINAKSSRSHAVFSLNLV QRKSSPNHGTPGPTKEKRMSMPVDTFHTGETITVDSKLHFVDLAGSERLKNTGASGER AKEGISINAGLAALGKVISQLSSRQQGSHVSYRDSKLTRLLQDSLGGNAYTYMIACVT PAEFHLSETLNTISYAQRARAIQSKPHIQQIADDGDKHALIERLKSEISFLRLQIRNS EGSERREGGSTERSERKNEREKHLQNQLLDVQESYNSLSQRHAKLISNMANDSHATNG EMDDATSEIGKNSVERMQRSKSFAESVEQMVLEYEKTIQSLESSLSETRASLSITESD LLERETKCAYIETIKEQLQTRVQKLTDRELSTENYLHELESKLDGHSTGEEKHAAIVA ELRKELSRARDSEASCEEYISTLEERLAEGDQDMELMQREVMRLEHVIERQRGLGQLD RLLSELDQQDGGDRHDGANGIDYSHYHHPRGMQISHKHTPSLDVLSEAVETAIPESDE DFVEPTIEEELESIGESEHETGEDLKVLENVTSKLEARRSEALREEPLASPAQSKFVA DKLETVTQELFDLRLQHENTIGDYENLESKYEQALKALEELRQDKIDEARHPAPDVQN EISPRPVTFLGNGGTPTSKAGPQHSSSQSLSSELSSVGEQSTLRALSEDGSKAISSAP STPQVPSVAHVDSEESESMRKMLAEHQESAELMAQKYNELQNEHDEILGLVEKLKAEA QRAKSSSPPATPGFKMIRRMTSQNLLSGVDRATRALTGLRLLASEEFADKPDAMHNFD YHLDQTMHELQSRMERLQSLEAENQAVKKEMEMKATIISGLTRERSSLQGASPVDMAL VSQLRDQVVSQERQINHLRDAHESRQKELLTEINSLKGLLKSQESATRAMDAGADEQD KKIGALQGELTEWQGKHKSALESLQSSELQLTTTLAELEGALASVAAMRSERAAADAD SAEKEGAARELEGGRAEQQELVDSLMKNIEDHKSTIATQLATIASLEKSHSDARDQLA SQTTVEEGTNAGAVDLGLSSRMAELEQEISTHKSVVDSHNNELNTLQESHKRELVELQ ERTKTAAQAEYDARLVEKDAEYEQSMATLQKDIAESRDELVNLLKAVSTLLNTEVSAE NLTDQIQDILAQKQHFSDKYAELLGTNEDLRKQLEANANAESRLDEITKKNNVQDAKV NELALLVATLEDTLRQKEEQVKKKEALVEEITIEKQKSVRLVEELEEQITNSFDQHHN RLSVIQQERDQALEDAKAKIVIYEGDIETYQVRIEQLELQIKNTGNSDASHDRSSSLT SNLRKSSSAASLPSPPPAIPLPPLPTIASHSNGTSSVSPPSSRHASKELSNVQMVEDQ EARIRTIEKHLYAEKQLTATLEEALGDLEAQSTKVKNDCEAWKKKAWSFEDELTSLRK ERSSARLSLQAVEEERSARREAEAARAQLEERMLALNKKKKKSTLNCF PEX2_097030 MAIFHGMLLRLTAVLLLVKYCQAKTVNLDFNVTWVNVNPDGLYE RKVVGINGQWPLPVIEVDKGDRLIVNMFNGLGDKETSIHWHGMFQNGTNNMDGPSMVT QCPVAPGSSITYNFTIPQNGTYWYHCHTDTCYPDGYRQALIVHDDQAYFNDMYDHEVT VTMSDWYHELVEDIPFIRVQNPTGAEPVPDSFLFNDTLNTNIPVEAGKTYLMRLINIG AFVAQFFYIEGHTFRIVEIDGVYVDAQEADTLYIAVAQRYAILVTMKNSTEKNYPIVT VADSLLLDVIDPSLKLNQTNWLEYNSSAPHPQAVMTVDVSSDLVPYDDLKLVAHDRME LLPDPDVIVNVDVIMDNLNNGAGYAFFNNISYTMPKVPTLYTALTSGDYATNPAIYGE YTHPFVFEHNAVVEIVLNNQDTGSHPFHLHGHNFQLVSRTPSYGPHFNDYTDLDPLAY NATETPSSTFPKYPARRDTFVAPPQGNFVVRFVADNPGVWLFHCHIDWHMSQGLAMSF IEAPKQLQQQLSLTESEINICKAANKSYEGNAAANTEDLLDLTGENKQLPWLPAGFTA KGIVAMVFSCVSAFLGMAFITVYGISGIQPKQETVVVTERDDL PEX2_097040 MIGGFYGLGTDTFSSTEDIWEGILGIIASIIITIMGAALLRVSK LQDKWRVKLAKALQHEHDPNETKKGRVKRWLEKYAMFILPFITVLREGLEAVVYVGGV GLGLPATSFPLAVVCGLLAGILVGYIIYRGGRQTSMQIFLIISTCFLYLVAAGLFSRG VWYLENNAWNKIIGGDASETGSGAGSYDIRQSVWHVNCCNPELGGGGGWGIFNALLGW TNSADYGSVIAYNLYWICVMVGYGLMFYREKRGAIPVIDPAMNSVARAKSRARAFILR RPYEDPVTPVETTVPVSDKRAETGVLAL PEX2_097050 MSDSKSTKAAAKAAAKAAEKALRLSNNVWANKYFAIATGAIMVL FVIYHWSSVIHFHYGRRNSHPTLTRKYSSTMGLRTDRSILYIIYWAINLIIALTNIDV TNITYISKRLGWVSIANLVLLVFLALKNTPLAPLAATSYEKLRPLHKVAGYTCIFTSV LHSIVYLSAWSESGSLHKMGEAANFSGAIAGFAMVIIGFSTITYFMRGCYELFYMLHL IMFILIMITVGMHRPDFSTHTVIIVIFTACLWMTDRIIRGAKIVWNFFGNSLTVTALP NNALRVKLSRRMHSTPGSHAFLWVPAIRWVESHPFTLVSSNPSEFVIRVYDGFTRDLY KAAQEAPGRSLRCSVDGAYGQVPNFKVFDKVVLVAGGSGASFTFAIALDLIEASNKAV KSIDFIWIVRNQESLEWFAQELKQLQSHPEVNVLIHVTRQADLSGTSSPSSPASLSEK VAAKDDVILTEPSAVFSTNDPEKGVEQQSTDSIPSSVNQILPGRPNIRNLIAAAASGS GNPEYRIIVGACGPSELMSTTRKAVNDDLLNGGPSITLYTEEFEW PEX2_097060 MSNCEQGAAAHAPTNGDTVSDTKVQAPADSAPMNPQTELTSTTN PIELELPKSAADGLIQKPFARPLDTAKPVAREELTPEQQSKYEAVLKSASSWTTVATK AEKNAPTSPITEDERMWLTRDCLLRYLRATKWDVSHAETRLQSTLTWRREYDLKKFTP EYISIENETGKQVLLGYDIHARPCLYLLPSNQNTEKSHRQLEHLVFMLERAIDLSGPG QETVTLIVDFKETTSGQNASLSQAKQTLSFLQNHYPERLGRALVLNVPFIIRGFFKLI TPFIDPNTHQKLRFNEDLRQHVPPSQLMKSVGGDVEFRYDHASYWPTLNQLADQRREA YRERWVQGGKQVGEFENYLKGENVANLSQTQLEAKASEQA PEX2_097070 MDAEGELNEFFAGSFPDGIPKDILAELLSMLRVHSIPAEELFYK WESYSIKMGEGVTLTMQTVRGFKQDVLEALERESRDKAGRHSEKRNANTATPRGAAAA GGDMFGMFDQLTPTASNVRTGNGFGSAKRKSEFTSPATPRVSKFEKFGSQAGTKTPTG TPGDGMQTVPFSDRPNPGQTVETLNAHLSLPETPMAPFSEARIKPTANTDLKKLGYKP MGMKLSEASEILDDRIDEFATIFQAEFNSDDITFGSAAIQSTSEIIAVGRIASDSLEG KLNPASLVLETSRRTGAGRRVPLKVDSVPSVNFFPGQIVALRGINPSGEYFSVKEVLP IPLLPPAVSSAVTLDNINERLDGDANPPLNIMVAAGPYTADDNLDFEPLQELCQKAAE NYADSLVLMGPFLDIEHPLLASGDFDLPDIKGLDPDTATLSVMFRHCISAPLARLGAA VPSITIVMLPSVRDALSRHVSWPQEQLLKKDLGLPKQVRMVSNPVTLSFNESVIGMCS HDVLSELRREEVLHGRPAEANLLTRLPKYLVEQRHFFPLFPPTARSNLPKPGSEGGLA TGAMLDLPYMKLGEWWNVRPDILIVPSMLPPFVKVVDSVLVINPGTLSKRRAAGSYAQ LAVHPRLVAEDEREQKQLSHKLYERARVDINRI PEX2_097080 MHQPRLADLFEEFTRPHISTATNSTTDPHNANNVSAAAREAAST AANAIPYGSTSPSTIPSFLPIEDIYVAPQYQPPNPEDEDDVVPDQHAAFGITRAMERR RDAVWRDLGLEALVNGEGHGPDAGPVTGPGGAGAAGAATSRPGATLRGSGGGAAAAGA PVIRIRDSGRRMGGRRVIGLR PEX2_097090 MAEPSKPAPTAQASTPTSSASEQAQPATENSPQTLPIRDSKPTQ PTGDAPASTDGATDKPLSPAELKKKAKADKAARRIREKLEKEGGPVGGTTPAPGVGAQ ARPPTTPKKDAAGTGSAQKGPRAPPPRRGSGPIAQTGSVLVEQKKKKDDKKVAVFGHL YGQQRRVTVAGATKEVHPAILALGMQLMDYTICGSSARCVATLIAFKRVIESYTTPMG TSLARHLTTHLSAQITYLSTCRPLSISQGNAIRALKLFIAGIDPSTPEASAKISLCEY IDSFIREKITVADQVIADSAAQKVQDGDVIVTFAGSSIVKQTLLLAHNQGKRFRVSII DSRPLFEGKSLARDLAKSGLDVQYSLVHAITHAIKDATKVFLGAHAMTSNGGLYSRVG TALVAMSAKERASGVEIPVIVCCETIKFTDRVALDSIVVNEIADANELLPMDTPVSLV VSDPADAYVPPPPENKKGGNRAPAPEPPVIPHKTSSSPLANWHNTPNLQLLNIMYDVT PAEYVDMVITEMGSLPPSAVPIVHRMVTNL PEX2_097100 MYHSLLDRRRDAVSACVQLLSDSSRDMALDPRFYHHIGHPGPGS ISSAASSDVSAATGITSPSIISTSASAATFRSTASSPSFRARENFAISRTRDGMASPT PGGNVRVVVRVRKFLPREIDRKADCLISMDPHTQMTCLQAPKPKPGDQGKPKSQARGK ILEDKSFTFDNSFWSHNEEDEHYAHQEDVYNSLGEEFLDHNFEGYHTCIFAYGQTGSG KSYTMMGTPDRPGLIPRTCEDLFQRIGNSPSPDISYNVRVSYFEVYNEHVRDLLVPRT DPPHYLRIRESPSEGPYVKDLTEVTARNYTELMKFMRKGDVSRTTASTKMNDTSSRSH AVFTITLKQIHHDLSTDETTERTARIRLVDLAGSERAKSTEATGARLREGANINKSLT TLGRVIAALADPKKLRGPRKGKEQVPYRDSILTWLLKDSLGGNSKTAMIACISPADYE ETLSTLRYADQAKNIRTRARVNQDQMSAAERDRQIAEMTETIRTLQLSVSQAALNQRA SEASDERLEEYQQKVEKMQRLMEENKMVSECKIRQLQTENDALRMHLKLAVESLKNPI PPITFEQRKPSMTSIQDENQPPSYDSEDCEGSPLVSDAESDMDVWEDDDTITDDVTEQ EAQQMQNHMHDLLGDLSVFKRKLATDHERFRPIEEHTTRKRRALGSIVSNR PEX2_097110 MPKDKGQNRGQEAAAARTRTHATETASHSEMGPAELLREHMDAS GNAVPDESWGPTRAEDDSDLVDAMNAETSEFDKD PEX2_097120 MTRSQNIRIYRACQRCRQRKLKCDPSNLSDGTNPSCIQCLRSGN ECILAGSRRGGDFSQFRYSRRGQSSTPTLSRANIGHGLHDEQSRDDENAIEDPIYAEL TNPGDALQILAQLAANDPQVPNHPNDSTTFDPFPVTPSAKRSGTDTTFKMGGQVESMQ PPVLQSTLSETETLVIGVLGTDTASRLVHHYAANYHTFCPLAPKGLLATTDPRKAAVD EPFLLTVLLTIASKDEPTCKDIHQYCWKHIKRHLLDILLATPSTLRVGSVEGLLLLAE WVPYMQLETCSYPNMFPRNLSAVEDNMAWSLIGQAVRHSYLLRLDKASFRETAVGESQ ELENRNLLAWIFVYISDRQISVRMGQSFWSRGPSLSTNFTANDFPSLRLGADAEHKYS HVLQATIELTQLLHNVHDTLYASKERTTQMVRRGDYNRYLDDFRHSMSAWKDRWDGLE TSPKLNCTLRIFKEAVPHNRPPGENRTTTLGTRGAPSLFPRGIMSTPEGAYVLEAVDA AREILTITVQTTSQAQIRYMPFRFYM PEX2_097130 MTVTTSGVGFSHISIKPLHPTFGAEISGVDLSSPLKVEVFKEIF QAMTKYGVVVFRRTGLTDEGHIAFSKKFGELDDVKPYITAGRKHRLKHTELFDVSNIE ADGSILDPTSPRGEANKVTTYLSTDRKKALYTALTITDTQGNGLFHVDSSFNPRRAGY SLLLAHELPPSGMGGHTAFADTRTAFDTLPQDLKEQLLTEDYIACHSMHHSRKVAAPE AFAELNPLDYPMGRHKLVQRHETSDRMNLYIAAHVHHIEGLTSQKSQALFDQLYKHAT RDDNTLEIEWQAPGDLVIWDNTCTMHRAVGGQFLQKYKRDMRRTTVHDSSSTAWGLNE HTDVRQGLP PEX2_097140 MSASPPTILLIGASRGLGHAMAAEFLEKGWNVVGTVRGESRTLL HDLADKYTGRVDIETLDICQPDQIKALDKRLSVSGKTFDVLFVNAGTTNRDPTQNIGD VSTEDFIHVMVTNSLSPMRVVESLTHHVAPKGLIGVMSSGQGSISNNETGLREVYRGT KAALNMFMRSFAARQGPSRPMVVMAPGWVKTELGGPEARLTIEESIPSLVNVLLEKKE RPGLEYLDYLGRTVPW PEX2_097150 MASTAQALCLSVADSAFGPRVNVACRNFDFTVYFEDLFFACLPT ALFLLGLPASLWLLWNEPRRIKRSTRLLLFESSEKESIVTPETEKPATPEPFSGFWKQ ASFAWLAGTFRLGYSKVISVDDLPDLDPRLDTETVGNKLQSVWSKEENKSKKHALLIA CFRTYYAPFISAVIPRLFLSGFTFCQPFLINATVSWVGNSEAAMDSGKALIGAYALTY SGMAVFTALYGYRTFRFTIRLRGGLISLIHRQTVRARAVDLGETTAITLMGTDVERIA SGFRSIHEMWASLIDIAVAIYLLERQLGVACLVPAVIVVAATMKLAAASSTSQRSWVE KVEDRLRMTSLALEKIKEVKMLGLSEKISSIIRGLRQAEVATSAVFRKLLIVRVVISN SPTDLAPIATFVVYTIIALKKQDQSILAAKAFTSMSLISLVTTPVLTFIQAIPAVIQC VGCFDRIQEYCSTPHAKGNSDAPDAGETLQTGSPSSFKMEKLHPDSSQIEFKGQDFGW EKGASPVLHDVRLTVPDGLITMIVGPIGCGKSTLIESILGETLTARELSSTNLPSVAY CAQIPWIQSRTIRENIIGNRPMDKVWYQTVISSCGLEKDVTRLRRGDQTPVAGNGMTL SGGQKQRIALARALYSRSKLVLLDDVFSGIDSSTTEAIARDLFSNTGLLRKLQTTVVF ATHSMFLLQYADNVIVLEDGRVLETGSLDSLKTPNSYTQDLKSASVTSSVNSSGISSN DEAISSSISHMKNEDLAEDDVDNPDSYDDLNRQEGDFSVYSYYASASGRLTFISCLIV ALLWAFCREFTTVWLDLWTVANAKSGNSHIAMYLGVYIAIGVASIICMIIVSWLLIVN VISSSALKLHENVLTSTFRAPFQFFHNADIGSITNRFSQDMDLIDMSLPIEVFNVIAW GCTCLVKLIILAVVAKYLAIVIPFAAALVYMTQKFYLRTSRQLRFLDIEAKAPLYTHF LELVNGAATVRAYQWQESFDKTCVTLLNLSQRPVYLMYCVQQCLGFFLDMLVAVLAVI LVAIVVFLRDKFNPGDVGVALVMVMTFNSVLMQLIKDWTNMETSIGAVSRVKVYAATT ELEESTLDRTPSLPTQWPAAGAVEFSGVVARHSATLPPVLKGISMSIRAGEKIAICGP SGSGKTTLILALLGMIQFEQGNIRIDGFDLSDYSKAETRTKLNVITQDPFLVAGTIRF NVDPLEGASDDEIISALQKVRLWGKIEKEGGLDMAMKVTTWSQGQKQLLCLARAMVRK GKVLILDEATSSVDNETENFMQEIINTEFSTHTVLAVVHRLRFINHYDRIALLDNGVL MEFDSPEALMSTDSRFKTLHDSGNL PEX2_097160 MEKTEAPATPDLEAPDLPAKESSIAQRWKENLTPTHADLVCLLL TFLTGLCDSSAYNAWSCFLGMQTGNTIFLGLGASNQPKNKPWGWLKSLMSITSFFVGS MIFSIVMRKVGALRRGTLFVSFLVQTLLIIIAVALIQGDLIPHTSADATLTGGSLFLE LIPIGLLAFQSAGGMTCSRALGYNEIPTVVLTSVYFDIASDPKLIDKPTTNVKRNRRI GGVVCLLIGAIVGGWLSRSSGGMQSALWMAAGMKFVAAIGWLFWKAAPAK PEX2_097170 MAAVTKPLILITGANQGLGFATAQQLATTGQYNLLIGARSQEKA EEAIKQLQESSIDSSLTPIIIDLDQDESITAAAKFVQDRFGSLDILINNAGINRSSNP NATLRETYREVFETNVFGVAVMTATFLPLLRASKYHDRRIVNVTSGLGQIGITYSPTS EYSARIWELPVYRSSKSAINMISAVDAVRLEKENILSVLAAPGFCRTNFGGGQGVKSA EDGARPIVRAATEGTPKELFGKLVDDENTLVEFGW PEX2_097180 MTIQFPIMSFDCFQPSPAKKFVSLTKNPRVTDGKINTVFRELKP LQPDDLIGEWDGYILVTGHPFEEELDTLNWFGNTFYSTDDVAPLTVARNGQRVPFEDW GRASLREIKYQGVVSATLVYDRRPMMVYYRAVKHNMVAGCIESKEWQGKVYFYLTK PEX2_097190 MTTNIPEILLLCMDKDFITAFNDALEKSWPDHDPAKLKITPINE RLNSLPEGTTFDLIVSPANSYARLDGAFDHAISMTFSPRQDYHALTRAAQSVLYEKWR GFAPPGSSTLVEFPDDLKQNKYGCGWVAICPTMREPADVRWDKEIVYECVWSLLCQVE GHNRAVEGEGKIERILMTPLAVGIGNVTKERWAAQTVLALRQFVDAVERPARWSNLEW NDIDKDYTEVVRSWAL PEX2_097200 MTTDNKSHGLSPWEWIRGQFYMRPPEDDEPQSWWIASTAIPLVA ATTGPLANVMSIVALVVPWRSKILSYEESAAGTFIQEGYPDPRWATVLNAVSLFCGIV GNAFLLFNFTQIVRYIIALPVTIILWFMAAGILCGITIATDIYAPPVGIDRVYSQAYW SAIIAAVLYFILSVILMINMLGYFLGKYPQHFALTDEQRTLILQMTVLVVWLLIGAAI FQRVLGISFADALFFSDVTVLTLGYGNIIPIDAVGRGLILPYAVIGIIILGLVVQSIF KFAREVHYDNVIRKHIEQKRQSTFERSVDFNELEPTQENPPTNGEVITPPGLTLPKSE PHRHLHRRPIRNTINALTTVRRPKILIMREEKDRFDAMRRIQHDTMRFRRWNNLIISI VIFGIVWSCGAVVFWKLETMTYFESLYFCFTSLLTIGYGDFTPESNPGRPFFVVWSLI AIPTMTMLISEMTDTVVAGFKRATEVVADYVVLPQSRVYKTCLVRIPGIAQFVLSRQE KKRQERGFPLEGADDPESGERGHTEDSQSNTGSDDLAEAHPHQSLEELVREPTTLELT QQLAFAIRRTSKQAREGKHKRYSYEEWVEFTRLIQFTDPRARPPSLRNQPTSEEILAN DEDEFGLLNWDWIGENSPMLAKQTEPEWVLDRLCESLIRYVSTQDSPGVGGGADSTEE PTLRKERDIGMDE PEX2_097210 MYHYSSPPPGWSTYDYTQSPPTSPQYAYYASQFANSYASPRGTS RRHNRKASYTATKETPWYSSGYPQGYYEATPDYGIPSRKHDHVSASFAGKPKHHRYSS TGHPTGDGLGNGYHPSQSQTRPIYVDVVDEAFDVPRTNARESHTGRPGYPPASNPTQD GSGQHRRTTSTSYRKSNPADSHFYFTQAPNNEDIEAARRSRTRRQSTSTRTPSKPKPP PATAKPPPTATEDDAEAAGIPAGYSIKNWDPTEAPIILLGSVFDANSLGKWIYDWTVF HHGASTPMADVAGDLWLLLIKLAGKVKRADECLPRIQRVEAQEVVEDFLESGERLWSR FKKLLKGCELYMWKAAKREGGKGPVSMGRNAGCEFVESIFGRDRELENTEKLMNSIRL WNMRFDANCEEILRRPTAA PEX2_097220 MASASDNADRPAQDPAPKGKSRKTDPNAPIRKRRKRTVVSGAAD DCFACSKRGARCDRRRPYCSQCLELGRECSGYKTTLTWGVGVASRGKLRGQKLPVMES EGKLSGNSAKSQQKASSSSGPSSSRVPSNASAPMPPSTGPAMASFGASMPSVKLEGSP PMMPAAFDMSSNMAWTMDMPDPQSWSNTLTAPPAISGLPLPSRPMILNNTSQNMTSET PLLYAPIQSPMANSDSLVWPTAVPPPSQAATPPASQDADEEDVKYDPNYHVLWNAAHS PSLSQLLLARSVGRTPRIRYLISYYAEVIAPMIVAFDTPTNPFRTHIIRLAMESEALQ EAIATLATSNLRQRRQHNHLSTERTLPARMSSMAHRALTDEDFQDRYGISMVEGYIRE ENHHRGMAVKALNADLADPRRRLSDSVLATLLILCLFHGCDTGVAGFRAQFAGVTRLL AIRMRHSRVVTDDVKWFIRMFSWFDTLTATTNDRDVQLRGTCLEISSITDGEWGLENL AGCDGRLFKLISQLGRLNLLSQDQEPNLSRPGDAAVSTASLPPNMLFPGWETVVPNPG MGSSPEYGFSLPTPPQSSDSSRRPSSPEFWTEWYSLRQRLESWRFDPPAQAAFPSPPL SASTMTWNSTTYVTPPNSSSAYQVAPENLQDVFQISECFRHAALLYCERLAEPTLPSS HGRIQHLVQLAMHCLITVQSDVYLLWPLFIVGSECVQDDHRSIIRNRCKDISKDSGFI NNLSCLELLEGIWAEHSDESSFPIYPNGIGPPPPLAGGRAPASSQAFRWSRVMQAKRG DGEYMVA PEX2_097230 MSAFRPGRAKALVLAMLAVILVCTVYFYRSPITASSTVPLVPNT AFEVPLTERQKDFWKVLRPIIERHKPSCPSPEKRGDVAAQHFDPTKEAPRPDLTGLSE EDVRKMEEAHAAFIEDIKKSDKELKPIHTPGKRGLVSTAGSTYLPVFVSSLRMLRRAG STLPVELYMKDATEHEKHVCNEVLPKLDARCLVLADVVGKNIIEHYQLKIFAVLFSSF EEIVWMDADCFPLGKPEDLLDSEPFKTNGLVTWPDFWASSASPLYYRISRQQAPSMAA RQSSETGAFLVSKKTHSLALLLAAYYNFYGPSHYFRLLSQGGPGEGDKETFIQAASAV GAPFYTVSERVQAIGHANADGLSGSAMAQSDPREDFALIQQDKWRIKDESVAPAPHIF FIHANYPKFNPGDRIFGMGWETTPTLKEDGSDGRAWTAPPDTIRRFGYDVEKAYWEEI KWVSCTLETAFKTWENKVDLCKRVEEYWGHVFAEPHDDDPKFTLDG PEX2_097240 MSNFSGSGHSSVGNPSIYEAGDQRNAPLSELQQRARYAEGMPHS HSNLDSKDGRSIANKLASQENKPDSSKHHSENNPEAELSKQDPTKPARLHGNAPSKGA QIDAELKAEDEQRLRDKGIKH PEX2_097250 MSFSTARAEVQPRYRQLFTELENRFKTTDLGGDKWYILAISTLA ASPDPERADQLYLHLTQQADYATSAARQALIRRLREALVKSVPIVGVCKPIEAILSIS EVERDEDKDFTFTREGWQCDEANHERGTGWMQKLYARNTTGTLDLFSAHKDFSWLSKE ITYGLFLSDRQVLDDLDTQLVVLPGIMSQNLPKETHWHIRGTRRLGVPQEEVQVIWDC VQLVAQFFDVKLHKVPTVEAVEYDV PEX2_097260 MVKRKELGDVVMGGTKPEESDSDEDMDMVNVEFEWFDPQPIDFH GLKNLLRQLFDNDAQIFDMSALADLILSQPTLGSTVKVDGHESDPYAFLSILNLQEHK DKPVVQDLINYLKTKSASNPSLSAASQLLSQTSIPPIGLILTERLINMPSEIVPPMYN MLQEEIAWAVEDKEPYTFSHYLVLSKNYEEVESKLDQEESRPQKKKKKGGEQAERFFF HPEDEVIERHALCAGTVDYTHKSDEGHSDSKRAFQELGIRTKGSLILIEAAKFEPMVK ALTQYMS PEX2_097270 MDTAIDLSDALKALDLANIRFQLIRLEDTITFHLIERVQFPLNK TVYAPGGVKIPNSDLSLLDYLLREQERIQSRVRRYQSPDEYPFFPDVLEEPILQPLEY PRILHENDVCVNDVIKERYISEVLPAVCPKFGREDRGETQENYGSAATCDVACLQALS RRIHFGKFVAESKFQKDPETFVRLIKAEDRAGIDAAITNSAVEQKVLERLGLKAKTYG TDPALPDENGPKINIDAVVSMYKECVIPLTKIVEVEYLMQRLKGTQWE PEX2_097280 MENQRRDDSPSGLSDIVEGDGLLGTGLTTRHIEAFGRKVTTTAG HLMGPGEQNTAHLHNAMTDIHRELRRPATQRKVFSLTQTTPTDLVRSKLSTTEIQSRA LSSLPDDLLANIPEDTSSYSLFQGFQASVPEDEPEKKRHRRRSSKGKLLKDIERGGTL TAGPAGLKDQRKSLSRKLELMGIRKNMCSAEIHEIDNKVANLHKMRKIVLDRLAGLEM DEAGLEQELTDLDIKLEDFPEEEESQDTPVATPRSDGQDGSVASSGDPAMDASFMSES IYEKIPSPSPKSLRHRSNRKRSMPILHEHFAPGSLIKEIEAHTDMVTAIDFDYPFGTM VSAALDDTVRVWDMNVGRCSGFLEGHNASVRCLQVENNIVATGSMDASVKLWDLSRAR PATRDGRLNKHERDQEEGATEHNFPVPPSSNLEDCNVFSLEAHVDEVTALHFKGDTLI SGSADKTLRQWDLVKGRCVQTLDVLWASAQASSSMMGDSDWRPSGRMPDASADFIGAV QCFDAALACGTADGMVRLWDLRSGHVHRSLVGHTGPVTCLQFDDVHLVTGSLDRSIRI WDLRMGSIYDAYAYDKPITDMMFDAKRIVAAAGEGVVKVYDKADGNHWDCGPGVGLDD DGPFPATVERVRLKDGYLVEGRKDGTMAAWTC PEX2_097290 MPPKRATRRAGATPQRPHQEPEFESILDLVQGPVLPSVPVQASF NYGAATTTALPQRMSIRPNIGIDQIAGAVDARLEVARKRTAATKKRQGPQARQSKREP TPDEAQLQQSLYNAAEEHSDQTPSPPVPHSISTDSSPGAQPPLQRQLSNSPLYPSPLQ RAGSPRIHSPLGSSSPFRHSSVDNASVASWNLERDINEDDLQRTRPSKHGRNITAPPR RISGLANVLEEDEEEEEDDVKFESAIYHDVEPDVPKESFLRRWLGAVPPQGQEPQSHN EPPSEVRRKSWTQTFEAVMEGPYQNWIRGAFYLLLFLSFIFMPLIAAKLRVYLDHGAF DWDSSSNISITKPEVVHSLRSQVSKMDVQMSSLSNEISSVRSEQSSIHDSTPTDASLH RKPIYKVNFLSVALGAMIDPAKTSPTLGPKQSATFRALLWVSSFATRRSIRAPQSPMS ALSTWEEVGDCWCSAPRNGTTQLSVLLGRDIVAEELVVEHIPVGASLEPEAAPRIVEL WARFKVNPHKTPVKAKPTPEARPGRGFLKLFGDTTVSQAPPSTQAPSSRETGLGGFLI PGIGSLHGLVMDLLRRSNPFEPPSAYSDDPILGPNFYRIGKVEYDLHSPDYAQAFKLN TIVDVSTIRVDKVVFRVTSNWGANHTCIYRFKLHGHL PEX2_097300 MSRGASIHLILTALGLEKSTDGWTKKQQQQATSIVDQLYDSGVP FFTKEKFQVISRQLKRQPPSGDRIFLDDLFGTKLECVSRLEETEKPGEERKFVYSQFG LAYLGIEGLKYFIKSDTEKPSAFATLQVTLETYQVILDNGARKTIPDGEIRDTETTEK YFREMIQVWEARKSCKKLKSVLSSEASGHKINKIFGTACGSLAMAETDGIAYQHAFLL TVREWLKRRDADTQTPDIKIPCFIQDPGNTSVDKEVLPKFDMTVVDDGDAFINVDEQS VWLSIGPDIPVKEVIADIARPAVVIWTLVGSQRNVGTADPDTPRVKDMMGMEYDGYEL GEPGHFADAWIYIRKTKDEPPFQRMVKREDDYQAASPSSQKESHGAQEEAKEIIDKLY ESGVPLFTKALMQHMWDQIKREPAQGDKILTKDITGAIVDEVDKKIFDEAGIEAIEDP HGWLEVDERSMVLSIAPNVPVKEIITDIARPAVIIWCRVEFGDGLVRGLTDTDSSRIR AMTEGYELHNFGPDDEMFSDIVLYIRKSDPSPPPPYRKXTYRKAEDPRLGTFISGHAA FVQPILVPTPPMASERAIDREERQGLRAIRDFLKARNSYDVLPLSFRLIIFDTSLSVK ESLNILIQNGIVSAPLWDSKASKFAGLLTTSDYINVIQYYFQNPAALDQIDQFRLDSL REVEKALGVAPPETVSIDPERPLYDACRRMLESRARRIPLVTSDSQTERPHVLSVITQ YRILKFVAVNVPDTQQLRRPLGELLLGSYDNVATASMDTPVIDVIHILVERSISSVPI VNSEGVVYNVFESVDVITLIKGGFYDDLSLTVGEALKKRSPGFPGIYTCSLNDGLDTI FDTIRKSRVHRLVVVDEHFKLKGVLTLSDILHYILLEGENEEA PEX2_097310 MRRLKNVFRSGSETTNPASSRAVWQRRRQGSEGEPLKPQPEAAS SELQRDEQTTEAGPSTANKLSSSAAAAPVDNTETGSNVQHAQDTGELPSRSKNGTRRL KRMVACIRGSLSQDDDPITGTQPQTTQESAREGRTSSIPLSSNPIELDTAEGPSTTAG KSVRFNDPKERRKTSGQTTHSQDSSQTEDTICRDPAQRINMPFQEEPLEEWGWPGLML YCPESSSNTKTHIQESSDPFSDGKAADVRQAKPGPSRRSAGTSREESSRASEGTLEPI AETDFDTIEPVIEPAEPDHADSQRASSANSQTSRVSWSSTLDAPKAISAFNRMASQFG IPIAIPDDDTPSPPAEGNPAAEEQGPSRRGFGLLGKVRKVRSSLDANTTPLAPPPKLR RMKTFANLRRPTPMTSLQGRSIETLARLGGYGYLMLKDLGPCPVQLPAYIVATLMFLH KYGLDTPEIFIQSGDLKTAIRLYDHFASQVLEVEKDESKISLTMRVVAMPQLREDSAP VLSVAWALKAVLAGLPNGILGSVRLYQVLREMYYHSIPNQSHQLRVPDCISDASPMTA ARVQLMCLALIALAPEMQRDLICAVFGLLSLLVNAETNVQDQPGMELRDPVASPNFHE LVRVFGPLLLGPRGQEDREGASTEVEKEIDDQRVAVTTLDKSTLLYLDMSTNLKSAMP SGLPSGLSSGLPPGLGNNINIDPSPFTTSFSGDFLGLPIPDDDQLWGLSPISPMATGW DKPDSAAFANSALERDLKNAQVRNGQPTPPPYDDQGRDLSLDMLESASKRRRAREYKT AAASLSPDLDEAPHERAKRAKFLERNRLAASKCRQKKKEHTQLLEFNFKEQSEKKEKL IGEIARLRSEILGLKNEVLKHAQCGDEPIKLHLAQMVKKITDNDAPPAGTLPDSPVRI PDNNPSVSPLEPNPAPVTTAAPVSVPAAPATMSFGFDDPLQLEPAAAAAAEAFEQQMR RESEASLVSEGSYSFSAEDTFDDLINV PEX2_097320 MEAEAAHPSKAARWRIYWFALVLCSGGALFGYDSGVIGGVLTFP SFTTSFSIPPSQKTQTSAIAVGIQQAGAFAGCFLIWPVTNHYGRRLAMTLCSLIFCLG VILETIDSHSLSVFYIGRVICGLGVGGSATVIPIYMSEMSPKEIRGRLGSCYQLTYTV GILVSYWVDYGVKKMGEDVGARQWQVPVGLQLVPGVLMGAGMLGVKESVRWLLSEGRE GEAWESLVWVRGGEGVEVKGEFEDMRRGLEEERDAKHGFRVWELVEGGNLKRMGVAGG LFLAQQSTGSTALAYFGPQFFELLVGAGDKTLLLTGIFGAIKVVACLVFVVFLSDRFG RRPLLGGGAAFMAICMIATAAVVKSYPQPGDETVTSSGIVTVVLIYLDIIAYNFSWGP LPWPCVSEIFPTRIREPGVAFGVGSQWLFNFIWSFSTPYIQQSLGWGTFLLFGLLDVV IVGFTYFCLKETAGKSLEEINGLFDVNPDAEHGWKDMNGDATSHVPGRYQDAQVDTID ATAVSKHRTAHVESIAGRS PEX2_097330 MAAKRPNFLVIVADDLGFSDVGCFGGEIRTPNIDQIAKEGLRFT DFHAAAACSPTRAMIMTGTDHHIAGLGNLIEWTNISGQNGPKGSAMSTAPQRGMPGYE GYLNERVVALPEILRDGGYHTVMSGKWHLGLTPERSPHKRGFERSFAHLPACSNHYAY EPELKDKDKLPTFIEASYIALHMEDGEYVRRLPEDWYSSDGYGDKMVDYLKEWKTSGG SEGPDGEGDRPFFAYLPFTAPHWPLQAPREYIDHYRGVYDEGPDVLREKRLQRLKELG MVRDDVEPHPVQAEEVKEWEEYSAEEKKKSSVAMEVFAGMVECIDSNVGKVVEYLRSI DELDNTFVCFMSDNGAEGAAYEAYPMVKSGVMPHLQKYYDNSLENLGNGNSFIWYGPR WAQAATAPSRLYKAYTTEGGVRVPFTCRFPANFNVNPADVAAGKGGITDQFATVMDLA PSILDMAGIKHPAPTYQGREIVEMRGRSFHPWAVGRAERIHPVEFIQGWETCGRAALR CADWKIVFIPKPKGPEKWQLYNLVQDPGEIHDLADAEPEKLKQLLKLWDQYVLETGVV PLCPDLGEFLEATEAQMPENAWMEFDYWKDGARDDPEKFTRQPPRFQRTVQPF PEX2_097340 MSKMLPIMKGKLMYFDEVAWDKSDEQLATWKRTLLNSSSMKKIT TLIKTHRQGVADRLFKPQKGSFNMLIRLRFIDGASAIIRFPIPGYSVFPDEKLHHEVS VMRFLERYTRIRIPHVLHHGNTDESPHSLGPFIIMEYIDHNADLVDALNTPGIPDEDR PVLDPNIDENRLRMVYNQMAGLLLQVAKHSFPRIGCISNAAEDELEDEWVVEHRPLSI DMNELAQVGGVRAEDLPGIMETFASGAEYLLTLAELHMTHLSSQRNDAIDDAEDCRTK YIARCLFRKLAREGRLCWVEGEEMFKLFCDDLRPANVLANSDLGYKISGAIDWEFTYA APLEFVYSPPCWLLLERPEYWEDGLDDWERVYESRLEVFLQELRMREDVDIRRGVIGE ENRLSGHMRESWDNGGFWVSYAARRSWAFDVIYWARIDRRFFGEGSLEDRIGLLTDEE RDGMEEFVRRKLTEKEEGGLVG PEX2_097350 MFSRASSLNVLSRSCRYLLRPQIPTRTFSLTARSHAAINAAMAD TSGITADSLKNKLTETLQALHVEVEDLSGGCGQAFQAVIVSPQFESKTMLARHRLVNS ALKAEIAAIHAWTPKCYTPEQWQALQQ PEX2_097360 MGWGSSSPSNNTTASPNNTADPGSSASSATAATASRSPDINFFS TVLRADGHHTIPAPTTTLQQQPTDQDSYPSGFDMLGFMGGITSELEQKHLDLTTGLPM TFTASPGSQSLPATLTPDRRIPLTPDNSPPIVDGTSVGSASDVPATRGSLSDTGSASY EDQLLQHFLAIDPPAALFAPINIEWKYMRPALLAHARDSSPLLNALYCYADVHKAMME GKRWRWAPTFYRVSSSEIQACIRGELTESTLIKVFGAVFLLMISEILSSPEIGAGASY IHSGYLILQRFHDRTQHWTGFGHLLVAWVKLLDVKSLIAGRDGDPLPELGHIPEHSIP LKPPNTKTSDTRHITAPTDHNEEDSIDDPFLSPNYLVYEAIVGPAFEFFAQAQQVVRR IVCIDLHHRSRGTLTDEFEVLQLAHKVGADLETLWHRRPSVIDVYGRPEALTDILSAP VALEICRTFRQYVANFLANFIYLHRVAFAIYPRTDRVNGAVDQIIQLATVDSAGPDHL PVSFLWPLFIAGLEATEDQRKWILGEIQRMAAAHEADAAPSDTRHPTADRVLVLVEEM TRRQDVSRTWADSKCVRREMFSDFFIII PEX2_097370 MTDLNASMRRIDLFCKLLAPVFISLIDSISTRYAIWTVFTLNTA SVLVEYMAIAQVYQSVPALTKTQTSVTQADDINSETADGPHPNPSRKILHSLPESLAP WKEYIASPVFLASFALSLLYLTVLSFGATMVTYLLHTGFTSLQVSYMRIGAVAAEISG TWTAPLIMNRIGPIRSGLWFLNWQFVCVAGTAVAFVAWDSSSRAVAGTLIAGVALSRV GLWGFDLSVQFLVQENVQEHARARFSATEMALQNVFEMLSFASTIAFPLPAQFEYPVL ISSGAVAVAAVCFAAYVRKERGHLLHRSRCMGGDKVIYRAIESGSV PEX2_097380 MPLRAKVTNPAFRATAMISTSPAIPKELPGDERDDVLFNSIYGI RSVELNRPKKLNSLNGSMARKILPRLKEWEKSHLANMVLISGAGTKALCAGGDVAALA LQNESGVEGQKASTEFFGLEYRLDHLIATYSKPVISFMDGITMGGGVGLSMHAPFRIA TERTVFAMPETTIGFFPDVGGSFFLPRLDGETGTYLALTSERLKGVQALYAGIATHYL HSSVLSSVAQRLSELTFPDHVELPERLEIVNKTMAEFSLGLPPLEEEPMLMAGSLRTA IDRCFGFNTMEEIIEALEKETEHKEWAQKTLETLSGRSPTSLKVTLRQMRLGKKWTIS ETFQREHEIAANFMRHPDFVEGVKARLISKPARQAEWQPATLKEVSDETVDNFFDIPN EASRLTLLSKGDYKYYPHAHFALPSEREIEEVVRQGHASRRPVVDYFLQKYAHREGVR RKVVEVIARRTTTSSPEGLKWLN PEX2_097390 MATEATTQPPVATKDAVQVEQAQRPGGQTPVQVMTYRWPDLEPQ RLVHYSRRLLQMPTRHDILHRAVIYEGDSTRQGSASTKWRDDVHGSHRKLYAQKGSGR ARAGDKMSPVRRGGGVAFGPHPRDFSTDLPRKIYDQAWRIALSHRLSRGELIVVDNTI GIDEAASPFFIRNLVKAHGWYQKGRSTFVTLKPNEMFSDAVEKFGNHARTISVEDLDV KNVLETARLVIEKNALHKILLSHSTDLAKVDTLFSPSANAEKVYPLDFSRMKATWNNV PEX2_097400 MTNNLFEDTEEADTEVADTSTLHTIGQGFCGTVWAAETGPAFKR EDGGPDRSLINDFEMHHRVIQSLQKITTLQLKIQIPACYSFIKATDQQWWSANHQEFP QGCTTPCNMIQSQRIPPFSDTTRRLLIKKYCPPKFVQEIIASKPNKDCLVRPYLGRRR TENPHTTSRFTAFSLRNFPLHIDQLEELGITTNDIYQYARVMAETLAMMHWVGEVDGN DIEFVLAPPCKGSPFKMESNILGDHSMWVLDFDLCRRMTMDPKGVEQAAAAFWSNDRY YPRPGLERDLSLWIVFREHYIQMSETCIEIVNESDEAERRRALSRQFIHLVEQEGNMR KTMKETQKESDIN PEX2_097410 MAHCERASKPLLQCLRNTYSRGVAGVQLQTRGFQSTASVREPEA ETPSQPFHKAPDPSLVTSPRLERRLLRQGTAPIGSRRRRAALQDSENLPFEQLPYQCF QEARKILLADREEKLKEIETMRQRLARQEALSVEEAGGAKAKKSRVTAMQLHLERLKV LADINDPLVKRKFEDNEGDMSKPIYRFLADRKWREYRRKILVQRITQMNVIPDVLPHC DPVVETKLYFGKRQVQAGEFVEAKLSTSAPKLDVQIFEGGEKLVTIAVVDPDVPNVEN DNFDYKTHFLAVNVPISATSTKIDLAQLLEDSQVVLPWLPPVAQMGSPYHRLSLFIME QKDSQPLDFAAVKAKEANRDTTLLRTLQSRYHLKAIGAHLFRTQWDDTTLEVMKEIGF DGANVELRRKRVEPLPYKRRNPSSFR PEX2_097420 MSNTGKTCCGNGNELPGDPRTMKSRVLESGAAMIQDFTPVKQIC AHLNAFHVYANDPTRCVEADHYCTHLTEDIRQCLIYDSPKANARLIGVEYMVSPRIFA TLPTEERKLWHTHEFEVNSGMLVMPAPAGVPDAVWEAAETAEMHDVAPIYGKTYHFWQ VDRGDPVPLGPPQLMGSFVSNESVELAHPAGLDSLLEDRNKRYGVDHRQKAKKREGIE PVEKHPVDAARPEQDHVSNSPQMEHFIRSAIKATNLRTIGRLALNGTSTFCACALIWE HLITVQLSEGPSMYPTFDVRGDWLLISRVHRNGKGIEVGDVVRYGHPNFQGVHVAKRV VGMPGDFVCQDKPLSTGIGKEGNMIQIPEGHVFLAGDNLPWSRDSRNYGPVPMGLING KIIARVWPLSKMEWVANPLKPAQLDSQNIQNI PEX2_097430 MPSNKDRMYVVLQARGGKPTMPGKEDTYHWSLIVGPKFERENST GFCYHANESPKLGGGSEWHFEELECSLTATATSMLLVRIMIGKVLDGYHVAEIMRNTP VRQNRPGWNCVAWVKEALETLKADHKALGTRMLEWSIVRNEAMAYCQRKKDQHRFDGQ GNFDMRKAPTYDLLEQKEIIA PEX2_097440 MAGEVTLAEYLFLRLHQLGVRSVHGVPGDYNLVALDYVEPSGLH WVGNANELNAGYAADGYARIKGISALITAFGVGELSALNAIGGAYAEKAPVVHIVGVP STASQDQHLCLHHSFGDGNFRLNAEIYAKFTCAQANLRDKEAAPALIDETLRQCLLQS RPVYIELPTDLVKARIPIAKLEKPIDLSVSPNDPVIENSAIEDILKRLYAAKQPFIIV DGFASRYGISSEADDLVRVSGIPTSTTPFGKGIVNETYPNFHGIYAGAAGKDIYVPWV KSCDLVIRIAPLNADTNTYSFTTLTNRNVTIEVHQNGVEVCGAFYRDLDTKTLLRKLL DRLDTSRLPRLSPYPDLGNPKKEHDELAQPARDAIIDQDTFWQRISNFFRPGDILMTE TGTSSSGGRDFVLPPQTTVINSALWLSIGYMLAACSGASLAQREMISAKSRPNGRTIL FEGDGSLQMSVQAIGDIIRNRLDITIFVINNNGYTIERYINGMHAGYNWVQPWRYLES ARYFGAPENDPEYPVFNKRVENWGELMDVMQDKQLQAGKGFNMVEVIMKEEDAPVSLK NLVRMAAKRNEGGSEPAAPITSHKKRRISRL PEX2_097450 MSSQSVVYESDTKSEQRMSQPTRTKIQADFQIARPAPKSSLGLA PKLLLQIQQLAPNERPVPVLEIWQPLFRKSKLTRNFHQKVKMRSGDVYATLDESYITT SIGRQKDFSNAKQDSEGSTLQKDIVAAMCHNDNTNSTIYFRDARCRWQTSVSTGPDQS TPNYRFTMSNENKDISDPGRMILQWESRSKENAPAGSLDAEQFVLLLIDRKARRKCRI ATMTPGGFKIVVRKSSTLEHLQVCFGLTEPITSTMVQDPYKALEIWLYTQTLTLGAWV AYQEGWLNYN PEX2_097460 MRFLQLLSVLFATGVLAVRDSSCPPFPSSVIEFSSEFKQPDPPM IKAEYETNFIQHKWNQNLSHITTGFINNSPSQNFVRVDEAFNGSLASSFFNYANSTQE GLVDNTLTTFDHKSNEPTIWKGFVNSNFPLLPEDILIKAGAVFGGLVRRQFNKDLVAA WNIMYQGIFPVTIYVSNCNIVVGYDYFTPGLRTRVITEYFNIQA PEX2_097470 MSRLSKVKEFAPQMPLSTVVFEEIPELYEAPSRESDAYWDSLVP PGKGFVQIPNPEDYNLKPGILTQTSVERYSVTMYHELHCLALLRRYYWHLVDAVSNGW HEDARQEMVREQLYNHHPHHCFAYLAQGIMCNADLTMEWARVEKDGRRFQVEGWGIPH HQCKDPKAVNMLSDKEKLETYLQRNGQTLYICLQRIDFSGILRARFVPVARCVQIAQG NEEYIIPQVSMIITVSTAPQCFPTSNDLEVWVLRPDWSSLRTCGFRENNASVMCFLEH KEA PEX2_097480 MSLDESHSSQDPDNSRPLLFKEDDEEQRYHSYPPKRKQGFRWNW AFILHGSAFAIYTIIFLAGSSLAVTKGCHRDLVYSPARFSVEYQKIAFDGDLEKMNPY RGPPRPEHDAAWHELLQYSNIRVGKDTLDRLNRSSIKLADGSGDYFGGLSVHHHLHCL KYVRHYLHRDYYNATDELKESNLEEHIDHCLDDIRQTLMCHADISIYTYDWIPNYRKP WPNFRVDHECVNWELLDDWAKEHSFSIYDQASLVHPELGLSFPSVNGEFETSASGNHV HFVHPDGQNGSGMDHGGKGKHEGHM PEX2_097490 MPAYFYHLSLQLLSHSRSDVPSSQKSSSFALQSAWEALQPFQQR SRPSTSSRLGDNQTPPNPDQSLAHRQKLISTLSTEIAPTPPRTTTSANTSYDFNTSST SSSASTTPLEDDKRFGAVSIECIDMVTPKHQPKRSSKRGSVPSIEPENLVAAGIGTDI LGGLRTKGRYIPLDYELAESVWGIVHLYRELQETPYLGNDDEYPAYLKGSSAAARQPV EQQATLRQGLAGAAGAKQPGAAGLAEYPFPETSSSSAQSPDEDCTTLCILAVPSYLSP VDFLGFMGQQTMDDVSHFRMIRTARANRYMVLMKFRSGRKAKEWQKEWNGHVFNSIEP ETCHVVFVKTVEIQVVDAETPSAEYGALSSNISTPSRPPVSSTGQATLSGKPLAPPTP ALIELPTCPVCLERMDETTGLLTINCQHVFHCTCLQKWKGSGCPVCRYTQDDYRKSNA ALAPDEEPQECSICHSEENLWACLICGTIGCGRYDNAHAFAHWKETAHAFSMDLTSQR VWDYVGDAYVHRIIQNKTDGKLLELPAADHSALDPPDWGDAVPREKLENMSIEYTHLL TSQLESQRAYFEEVVERAVDKASQASAAAAVAEVNASSASSCLEELQAKYDAVTLETL PSLEHDRARAEKKAEKFEALARSFEKGFQEEKAMNRNMLLKLENLAKEVDGLKVANAD LAEQNRDLTFFISGTQRLQGQGEEVEQGTVSVPEPPAASKKKRKGKGKK PEX2_097500 MSTKQSKPKQACDNCRRRKIKCSRELPCDKCQRLLLSCSYSDVL RRKGPKFRTLYPLAPIHPLSSRQDPPENVYPENAGIGEIPDYQFNSPGFIIADSQFAG QDFSDTFPHIPPPELVSSPGSTDSTVESAIGYGFGYARPAARRLSPQILLVHVNIYLK YLFPIMPVVSADQLRLDCHQPGQLSAQRYALLASLCAATHIQLKLDGATPVPDPARLQ SMSIGDGHSLMSGEELLSEAVRARLDCDVLEELSTESLLTSFFLFASYGNLDRQDQAW FFLCQATSFAFTLGLHRESTYAEYEVEEAEERRRVFWLLFITERGYALQQAKPVMLRS SIHKPQVLCSEDPILAYGFINLINIFENLTPNLYDWISAGAGDSILEKPPTSAIQSNL CKAISLEGVLEIQQVDILITQQWLQTMMWKLSMSHATQPGSHDDPVLPFHLPVVVGKS VMSVIGAASQGAVDAHGIGMEQKLFDLGSSVADVTRSLAPKAIHRLAESTIDPNELLW GILHTLSQIRGSPSYLFPTLLDRCRTVLGLDCNITTGNFLPILGAAVPDQLASWSGQH VWDYTASGEDMEIHDVDSQRQIVLACLFRYRISNLVIGFMLLSKVLVSIAQDILYLII LGSPRQLKLTRAPKPRSQFSIEPQISPTTKTITSAMASLRPRTLPLSVRALRSTLRST RPSPNHSFSAPAFTPSTQTLPTRAHSTSSVSADELSHFSGLASSWWDPMGPSRILHLM NPLRHEFIASCLAEGTSNPTPSTPSTATLNYLDVGCGGGIFAESLARTIPLNPTAPAP TPTLAASMTAIDPSTDLIQMAREHARMDPTVDTHLRTGRFKYLNTTLEDVLAGNAGTP TPTPASTQTQSPNSSSTSTQLTPPQFDVVTLFEVLEHIDPKTSTPLSFLKNCLRALKP GGWLIGSTISRTLPSFLLNQVIAEAPWPIGVVPRGTHEWSKFVNPDEVKGWLQEGLMR AADTGVSRGGGAVAEGMRWKCVGAIYIPGIGWKMVPGSEDWGNYFWAVRKEL PEX2_097510 MVGVKNLFAATWLLPAAYGAFLTSASEASGFHSTVPSSTSSGIY SQFTIPAGADAGAQLIANIDDPEAVDAQSVCPGYKASNVKESARGLTATLTLAGKPCN AYGTDVDSLDFSIEYLANDRLNVQIVPTYLDSSNYSWFVLDEHVVPRPTSDHHASKKH SDLEITWSNEPSFHFKVTRKATHDAIFDTTGSVLVFENQFIEFVTSLPKDYNLYGIGE HIQQLRLLNNLTLTLYASDMGDPIDDNVYGSQPFYLDTRYYEVEHNGHHTLVASDKAD QSKDYVSFSHGVFSRNAHGQEIVMNPEGLKWRTLGGSIDLTFYSGPSQADVTKNYQLS TIGLPALQQYFTFGFHQCRWGYDNWTQLEEVVSNFDKFEIPLETIWNDIDYMHGYRNF DNDQHRYPYSEGEKFIDQLHSSGRHYVPIIDSAIYIPNPNNASDAYDTYTRGHKDDVF LKNPDGSEYIGAVWPGYTVFPDWHNPKTGSFWANEIVTWHKKIAIDGIWIDMSEVSSF CVGSCGSGNLSMNPSHPSFALPGEPGNVIYDYPEGFEVSNKTEASSASAASSSQAAAA AATGGSASSSTSYLRTTPTAGVRNINYPPYVINHDQDGHDLSSHAVSPNATHFDGVQE YDVHNLFGHQILNATYHGLLKVDEKKRPFIIGRSTFAGSGKWAGHWGGDNASKWAYMF FSIPQALSFSLFGIPMFGVDTCGFNGNTDEELCNRWMQLSAFFPFYRNHNTLSAISQE PYVWESVTAAAKSAMKIRYAILPYFYTLFHEAHTTGSTVMRALAWEFPTDPSLAAVDT QFLLGPSIMVVPVLTPQATSVNGVFPGLKHGEVWYDWYTQTAVDAKPGVNTTIPAPLG HIPVFVRGGSVLPMQEPALTTKEARGTPWSLLVALGGSGAASGQLYLDDGESNSPDET LDVTFSVKGSSLTVKSKGSWEESNPLATVTVLGVSKKPGDIKFNGTPVPASGVQYNAT SHVLSIGGLQKLTKEGAFSENWTLKW PEX2_097520 MVLARFAWLTSLVGTAIAATPAEWRSQSIYFMLTDRFARTDGST TAACDTSDRKYCGGTWQGIIDKLDYIQGMGFTAIWITPVTGQLTEDTPYGDPYHGYWQ QDIYALDSNYGTADDLKALAAALHKRDMYLMVDVVANHMGYDGAGADVDYTKFNPFND AKYFHSYCPITDYSDDTMAQNCWLGDNKVSLPDLDTQSTEVQDIWYDWVGSLVSNYSI DGLRIDTVKHVQKDFWPGYNKAAGVYCVGEVFDGDVDYTCPYQEVMDGVLNYPIYYPL LKAFQSTSGSMTDLYNMINTVKSTCKDSTLLGTFLENHDNPRFASVTNDIALAKNAAT FTIMADGIPIVYAGQEQHYSGGEDPANREALWLSGYNTDSELYKLIATANGARNQAIA KSTNYTIYQNYPIYKDDSTIAMRKGYDGGQTITILTNLGAGGKEYSVSIPGTGFAAGA KLTEVVSCASVTAGESGEVSVPMAGGAPRILVPTSLLEGSTLCSS PEX2_097530 MTRTGPSNSHISWETKSIIPEDGSRIDSGACQDTRPKGRIRRSM TACNTCRKLKTRCDVDPRGHACRRCLSLRLECELPETTERFQDNASTWSDATAIPSIE ERLVSLERGMGEMIHLMRQMVNRSPSMPCSPTIQTRNNSLDGASSSDSMSSSFYPLKP AQIIRDLQAECFGERDHFSDADILGDIVTQGIVDSKLSVKLIELFVEYFGHWVSINHS SSIQRSNTLLFNTACLLASRYLPGLSQHTVRDISLCVQHAVAKVLWKPPPMTSDMLQA LTLLCLYSTSVHKEGLMDDWLLSGISINHALISFNFLNTLPGDSLNPDELLAQLRLWN TLCATQLHSALANGRAVNIQQQYINQCPRILEHAAATPEDGRIVAEIQLYRIALRLQH TQHRIQFAETEYEELERWKMEWAHLLTTSEDSTLNLNLWFCQLLLYRTAARLQPDSER LLPEICGTARLIITQFLQTRFTTAPALIDHVYFIVGYAALTLCDYTLTDPLISQVRGF LLHLAPGGDNLSYRIACIVGEVQRRYSETSTVVAAGSHSSSPVAEVKGVQMFGASQHH RSGMDISQLMSSAEGLDSLVEGYNCLEQMMPGYTASQPAFEAPDLFHSPTTGTTGGAM PIGLVPRALHDW PEX2_097540 MADPTNSRLDGTLGLELHLHSEYIGPASYHEPELLDLRPSAVSL SGHERCYPRRVNQSAAFMTYPDKDSASEMQRIADLDRIEESIRPLGPTLVNLYFRIMH RTFPILDQGVFLEKYARSYREFSPPLLAAVYLVALDWKLFDRTLATAPRTPDAEALED LAMQAMADDLKRPKLSTLQAGLLLLQRMRSSHGTLPAQLLSLGHTLGIHIDCTGWKIP EWEKGLRRRLAWALYMQDKWGVLVHGRPSLIPAQIDDDEGTSDWHVGPCTLNDFPEAT AEEENGDGGVDGAAGRAAFLQSIELTKIFSRIVATFCSIGATKKGGRLERIGPSGAMS LAKPLAMELREWHAALPASLQLESTPSLRLSTNGALHLSHMATELTIHRALLRVLTPD SAPSLRSAIRTAARARLTSAMNLIESFQPEHIQSFWGFAAAAQVALVGAFAGLLWATS PQMDEAAGYVEQLEKLRWILQVRASAAPFAREALRMLHEEVGDLAAVKAATFRDS PEX2_097550 MTSRYSTGSGQQPLSISQWRELQNTVSEPTHILSLLERAQTNTS NAWISLATPEEIITQWKKITTLRSQGKDLPLFGVPFAAKDNIDAAGFCTTAACPSFGT EPVAADSTVVQRLKSQGAILVGKTNLDQFATGLVGTRSPYGAVANSFDPNRVSGGSSS GSSVVVAQGLVPFSLGTDTAGSGRIPAGFNNLVGLKPTRGALSAHGVVPACRSLDCVS IFALTLEDADLVLQLAEGYDIQDAYSRDRANCATHKSPRGALPSQPTLAICSNPEWFG RTEQAAAYQDALTKAQQLGWKLEPVDFTPLFSLASLLYEGPWVAERYAAIEKFIRSVP AEAMDPVVRSIILKAEKFSAVDLFTCEYHRRDLSCEIEQIFGKYDALLVPTAPTFPSM QDLKDEPVVANSQLGTYTNFVNFLDWSALAIPAGWREDGLPFGITLIGGAWEEPRLLE LARRWMSDAPRLLGATGVEYQEICTGIPATFNSMQLAVVGAHLSGFPLNKDLVSRGAT LVTATTTAPCYQLFALHTTGPVSKPGLKRVADGGESIEVEVWDMPLDKMGSFLGTVAA PLGIGSVELRDGRWVHGFICESVGLENAKDVTSFGGWRGYTQSLNTSTTESSRAASTT PATSIGEKRIRTVLVANRGEIALRIIRTLREMKILSVAIYSSADARAPHVTAADVALP LVGSTVSDTYLNGKQILAMAIKANADAVIPGYGFLSENADFAAAVEAASLVWIGPTPE QMRDLGLKHRARDIAMTAGIPVVPGSKGLVTSLEDALVEAEKIGYPVMVKSTAGGGGI GLQRCADIGALREAFDGVRRLGQANFGDDGVFIEHFIDRARHIEVQVLGDGAGRVICA GERDCSLQRRNQKVVEESPAGFVQARVRADMRRAAAALVASLQYRNVGTIEFIFDIDT EKFYFLEMNTRLQVEHPVTEAVTGLDLVECMMRIAMDNCTTLFPQQINEVPVFGAAIE ARVYAESPVQEFRPSPGKLLNVEFPSGVRVDTWVSSGQELSSSFDPMVAKIIAHGDDR AVALRKLSDALEKTIITGVETNLRYLRQIVAWELFNSGAFTTGSLNTFPYEAQAVEVI DAGSDTAVQDFPGRQGLWHIGVPPSGPMDSYSFRLANKIVGNDDNAAGLECSIQGPTL LFHSSTTVAVVGANAPISVDGEEKQPGTAIRIQAGQKLSIGTATNGSRVYLAVRGGIQ VPEVLSSRSTFAIGHLGGHNGRSLRIGDLLPLAPITEEELPLLKFPIHSLPIPENREW VVGAIPGPHGSPTHFTQDGLQELFNGKWTVHYNSNRLGVRLTGPRPEWARQTGGDAGL HPSNIHDSPYSIGNVSFTGDEAVVLTADGPSLGGFVAFATVAEAEMWKFGQMRPGDRL RLHPISLDDAQRLAMALEHSIATLSPLTNADLRQTSSVAVSNPTVKEISEGGRFIRCC QAGDRALLLDFGNEDNFTLRQTFHIMSFIEMHRTSPIPGVEELTSGVRSLHVRVKANF SLPQVLDALVAHEISLGTELPSRLPSRIVSMPLVFNDERSRKAIARYTSTIRSSAPYL PSNIEFLQKLNGLDSPNRVESNLYEGAFLVLGLGDVYQGSPCAVPLDPRHRLFGTKYN PSRSFTPRGAVGIAGQYLCIYATDSPGGYQLVGRTVPIWDEFHKPGLGEKAPWMFSLL DQIRFYPVTEEELSAAEADGTSSDLIKISDTELDLNEYEKWLEANEEDITAVREQRSK AMHEADFFDDLLKPYDPITMRPGRGQESNEGMAGERVKALLPGRCFRCAIEEGDEVQA GDPLIWIESNKMEVKICAPVSGKCVRLLVAEGDVLGPSDDVAIIQ PEX2_097560 MSQSHEVDEDTNMAISYTTQSSTGHPHQTLPSFRELLPAHLHDE IESTSPFYTTPRHSQDRPPSGHETADPRSIPYGNQPNQMPYDSHREYTVSRGADHQPR MPEPGHHMHLPENASRGPSPILPPIRDLDSIPGRAMNPSSSTGYPERPPRSDPFVAQE YRQPGPTAAAMSADPRGEHFAQPIMHPQSPFGHPATGYGDEQMSPQMMGHGQGNFGIM GDPIDPKTKRRRGNLPKPVTDILRAWFHEHLDHPYPSEEDKQMFMTRTGLSISQISNW FINARRRQLPALRNQMRSGADTESQRQSPFSDVDGSEHMPSPHH PEX2_097570 MRVTLFLSTALAALVTAEESTRINYFGGGMQWAHQIQLHPWTST AASVAGINAIATTYEIKCLSNAPKSDCEIATPWTMIQGPKTYSLTGVYTRSGSGTVNA VTGTQNFDCTFTKATDAPSCSFSAKVTGTTAGVSYSTSTSTSTKSLPTKSYTSYGIDV TGGAASFTASQATKTPNGGAAMVTAAPMGAAAVMAIAAML PEX2_097580 MVHAVQSIMPSATFASTDSRPRRGTYQEIPVSSTSHPDNPIPEE PRGVRSLSVTDTNFNVISSGSSQGTVSSGSSSPDAPLTPSTEPQSEEENAEEKVDGGR QRRRASTVLISQNSNDMRRVLENVGTAGTQKLQSLCCGGGCCRGQALRPVDGPISGFN SIVGPVGNKAFDSLKLNLDLLTMDSELSNIAPLPKKTVSFKPTPASAADMTFGPADHP PEFVQPHPPYQVYRAPLFHTRELTGPGAEKRTYHFDIDVTDYPAESGMVDFVVGGAIG VCPKNKDSEVEEVLNLLGIPKSMRDKKILMRTTNGRWPTIWGDDKPRELITTRREVLS WCSDIQSYAPTKPLFRLLAEYTSEPNEKKILEYLSSAQGQGAFCDLRTSSFVSLTQLL TAFSSSQPPLDHLLSVLNTLMPRFYSLSQDPQISCRYKGTECRRLIEVAVTVAESDDW RGGSRTGVGSGYLESLARRAIAAEASGEKLDLHVPMFRGLMANPLATRFASDGPMLLI GAGVGIAPFRGFVQRRLQSANCANKVWVLQGVRDSLLDELYSGEWGVHEDKVRTVVQS RKGESRYVQEEVRHQADLVWFVINSLDGRVFVCGSGKGMGEGVEAALIEVAMAKGNLN AQEADMFWQRKKEAGQYIAETW PEX2_097590 MTGLDEEYAQKGLVEPRYMGTLADRRDMNALGRVQVLRRNFRFV SIVGFGCTLICTWEVILTLLAAGLTDGGTAGLIWGFVGVTIGFTLVYASIAEMASMAP TAGGQYHWVSEFAPKRGQKYLSYITGWLSAMGWQCAIVSIAYLAGTIIQGLIVLNQPD YDFQRWHGTMLVIAISTFSILFNTFLAKNLPFVEGLILIIHIVGVFAIIIPLWVLAPR NNAKAVFTTFNNGGGWDSSGTATLVGLSTTITSMLGYDCSVHMAEEIKDASETLPKAM MTSVAVNGVLGFIMLVTLCFTLGEVDSVLDTPTRFPFIQIFYNTTGSFAATNAMTAVL VVTLTASTITEVATASRQLWSFARDEGLPFSSFFAYVTPGWNIPLNSVMVSLIITVLL SMINIGSEVALNAVISLTITSLLSAYIISIGCVFLKRVRGEPLPHHRWSLGKFGMAVN IGALAFLCPLYVFAFFPLTTEVTVETMNWSVAMYVGIVGSATIYYLVRGKHHFIPPVA LVQRDANS PEX2_097600 MGPYVPPGQSPPFEVVDDLHHGAWLIITAALGLVVSLVCFLIRL YVRLMLIPPFARDDWVLLGATLDIIALIIIYLSKCCVVAIYLRLTPQKPHNRASWATL ALCTAWVIPAIFIVLVNCELNTPWRSDGGQCTDLYIRWQFIAAVDVITELLLFILAVV LLKGLFMSVRRKLAVGFAFIFRFPLIIFSLVHISALHTSLNSTDTTLAAVEPTVWMQV ELHYALVACSVFCLRPFMAAVSTNYGTAGDSTLEGSASRSNGTKESSKTGSGSGSNSN TASRSLSQSRTQRKRAGTGSKLPMVPPAAGGSSSGSGSGSRLSEIHGPGRSAPLCLDA SPRAHGALGNNQRDGDSGKSDTVRVPMRRSMFPLSAPRKKLSFQVPERGKNGARSASG GPFTVESDLIELVPRPHTRHASEVTDGGDAEGTDKMVIHKEIRYSIQYEDEEELRRRE DSKINSVDVSAYV PEX2_097610 MYNRHKNRPLSTAFFLIVATYFFFFWLPSTQTYRGRLTKPISRK DNTVRHDAIRLDKVTERFPVAEYIPLPKSSAKIPRIQHDFPKETRADRKTRLKKRDAV KEAFLHSWNGYKDHAWMRDEVKPRTGGYRDTFNGWGATLVDSLDALVIMGLDDELQLA LEALEEIDFTTTKSGHVPVFEIIIRYMGGFIAAHDLTDGKHPILLRKAVELGEMIFNA FDTHNRMPQVRWEWTRSAQGKEITPSSRTSLAEMGSLTMEFTRLTQLTGDPKYYDAVQ RIMNELEIGQDKTRMPGMWPTWIDTDRMTFDNSEFTIGGCADSAYEYLPKEHILLGAQ TDKYHRMYEKAIETFNENLLFRGMTQDEDQHFLFTANVIAMRGNTKTFQYTPDHLKCF MGGTVAIGAKVFNRPEDMYVARGLTDGCVWAYDVMPTGIMPEVFKVSPCEHIDDCPWD EEQWMSDVISQSIDTEEDREKAEDHIEAEHLPPGVTSVPDASYKLRPEAIESLFVMYR ITGDKTLQDSAWRMFKNIDKATRTKFGHSSINDVRHAKPKHEDKMESFWLAETLKYLY LIFSEPDHISLDDYVLSTEAHPFKRPN PEX2_097620 MPITGVYFIPSNPNASTALQIITERLRAAFPNEELTPIGRWGLE QKLLRDTPGLLPSSSNSNKKPPNPRYMQFLSLTHYPTHGFIYTSEPEKPASAPNQNQN QGAPGPVNAIAPDHSPSPPMVMTTIPPSSYGTLFQHFTYACQPFWSHRLTLAVPNGIV YEVGDFRVRLGDVRQTFPTARVRGTVVEIEWRGPSVVEAIPVDREDGSFSVGVGAGGD GDVEAAGIDLASSAIEESDIDAEYAATASLIREFWGRLGVEAREAILIPNVGKEVKDR LRRWKMAGSGIDARVDTSVDGAVPVVGERTEDDPDPWSGADVARQFMEVLRFNR PEX2_097630 MADSLTEEQVSEYKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK PEX2_097640 MWRDRTNLYLSYRQSLIHHPAKKPQFTPTNGFSETPSHLEENRR LIPETEEDGDMVIEMDLLPPRWVDVQEEVSELLSEIAQNSAQLDKLHQKHLLPGFGDE DLRRQDEGVIERLTQDVTRSFHDCQRSIMRIETMVGESKAHGGVTSGEETMAKNIQIS LAARVQEASARFRKKQKLRDLEGIATPFDGTPTQAQNPYTDPSMMESDADRSFSQTML QETSQRQTGQNDAAIAQREREINDIAKGIIELSDIFRELQSMIIDQGTMLDRIDYNVE RMGTEVRAADKELKVATSYQQRTTKRKIMLLLLLVVVGMIILLVVKPKGSSSAPPPPP EDESSNNIRSVLAYRGRRHQLSNRFARDRWMDPDIFR PEX2_097650 MAFTDDAVKAKLSALNDSQESIVTVAQWVMFHRRHAERTGQIWL QKIRDSPPPKRLNLIYLANEVAQQSKVRGKNDFLIALSPIIVDATSVAYKGSSNEYQQ RIRRVVEVWRQRNVFDGAILDAVEARIDELDKARPTNKKQTLGGSFFKDTSAGSTPSE LQPLNPLQVALNKAVINSNNSATTATSEFNKLHDPNTPKPTLPVHAARLSSLLKTLAN AENSVSEVIKSRRALIDGLEKILQTNRAQLSKEEVLSAELSEKKTSVDTKKREVEDAI MRGLPSEESANPGEHSGHDDTPGRPEVEALTPPPVEAITPVGSPQPEKQQLRRGPFTE EADDDISEWDPMNIPDMQQSGNGTAIADQSTISPDHGNDFDIASDGHAKRRKISHGEE DYAAFAAGDLDADVADLLATQGKH PEX2_097660 MDKLTAPELAEQRGSEPAPATPVNTTSSNYQLPDPLITSPHDHL LDSNVFIESYNSQRQSIPSMADRFPSLEDFSEGQTEVADIQATTDDDFLARERAALGD DAEQFATSQDHVAGGNVGAGDDDLLGGGDEPVEEIGQFESSFPSVATQNQNERVAPGG TITGSGSPFPRTGYQSTQEPEDEGDAVREWREKRDAEIARRAEVSAEKKDSTVKKAQE DIDDFYVSYNNKADKNRSHARAEAEQFLANREDTSAGGTSWERIAKLVDVSGKGSAGG ASGSGKERFRELLLDLRKDQEAPGSSGI PEX2_097670 MVLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQAQIHQQREQ RRHEIKTLKYERIINDGLLSRIDKLLESLKKHESSSASPDEFIFTTIMEFATNPAEDQ APTPPEGVYTHAPEQPKFSQMISSLVDQVKKEIGDSKPDNLFKAYIQGVNGHLEKIQG LQKELDAKLAQLEKEEGAKITSDKLHEGFNQSHVSKVAEKEKATTKTKESSVELLNPG AGSSAADADDEGDDDDPADIEISPLAKKFAQLKPGDYKAYLNFISANPDIVAEKETDG LLVEAFNSQLKGQDDYARQCVHQGLLLQYCRSLGRDGIQLFFTRITTKDHRASTLFLN DVNETYARIKTRSAELSKDGSASNDPAGVEQIQLHAVDPNTKITINLPAANSEDPTEI EVRKIFDAFPEDLQKALATESLDEVNKVLGKMSVEEAEVVVEQLGNGGMLSLEEGIVD ATTAEGQKKLKELEEEGKQEVGEPGGDITELD PEX2_097680 MRGSRLPVSLALGVSPRQALRPYSSFTRDLLPKTRSSIVSSGDH NGLNRLYSTASGTLRDGANPLLLQNNSFKYTPSTSRIVSQARLLCSTSQLFQAKPPAT STDPTTQSAPEPKEEVEEDKGFELSERAAQAAQVNMSARLAKEGASGKKSGFAEIWRL LKIARPESKALGFAFFFLLVSSSITMAVPFSIGKIMDAATKGVTEGGGELFGMSMPMF YTALGGILLLGAGANYGRIIILRIVGERIVARLRSKLFRQTFVQDAEFFDANRVGDLI SRLSSDTIIVGKSITQNLSDGLRAAVSGAAGFSLMAYTSAKLSTILLVLLPPIGLGAL FYGRAIRNLSRKIQKNLGSLTKIAEERLGNVKTSQSFAGEVIEVNRYNKQVRKIFELG KKESLISATFFSSTGLMGNMTILTLLYVGGGMVQSGAISIGDLTSFLMYTAYAGSSMF GLSSFYSELMKGVGAASRLFELQDRQPTIHPTKGLKVETARGPIRFENVTFSYPTRPA VKIFQELNFEIPQGTNVAIVGPSGGGKSTIASILLRFYSPTEGRVLINGKDIKEMNAK SLRRKIGVVSQEPVLFSGTIADNISYGMPRATRSEIVAAARKANCQFISDFPDGLDTH VGARGAQLSGGQKQRIAIARALIKEPDILILDEATSALDAESETLVNSALAALLRGNN TTISIAHRLSTIKRSDTIIVLGPDGKVAEQGSYDELSSHPDGAFTKLMEWQMSGGETT PPPAKPIEVDQLWELQKEESEPELEEDEVEEVETTSPKKD PEX2_097690 MNRIRSLIARPAAYERLQDSAADDADETTYTERHQQPPFSRLQY GIFFLLGVSMLWAAQSRNMFLAAAPYFYSRFQSDDWTRLHYQPSIQSMSTVTNLGAAY ALAKLQKNASYPRRITFSLLMNSVVFTILAFSAVVMTDSSPRTYFGFLMVMVCAASLA TGINQNGVFAYVSGYGREEYTQAIMSGQGVAGVLPCIVQIFSVLAVPPKEDSIGKDQD PSMPQTSASTSAFIYFLTSTGVSVIALLAFLYLLRQQPSSTQKSTRDDDESIADDREH SKTVSLWTLFVKLRFLAFAVFVCFLVSMVFPVYTAEIQSVNDPASSRIYDPSVFVPLA FLIWNLGDLAGRMCVAIPGVSLGQHPQMAAIVAIARVIFIPMYQLCNVNGQGATVKSD VFYFLVQFFFGATNGYLGTSCMMGASHWVVADERPAAGGFMSLVLVGGLAAGSLLSFS VASG PEX2_097700 MVFDSLAMGSWCHALAVPSFWSLLSGLLVLLCVYYVGWIIHTLC FHPLSGYPGPKLAAITPLVHLIWDIQGKQHSTMKHLHDKYGDVVRIAPNALVYRAAPA WKDIYGHRKKGQKVFVKDPALYAPTPNGVNAIITANEDDHSRMRRLLTHAFSNKALRE QEEILQMYASMFIEKLKGLMGSSVSQNIDITCWFNFTTFDLIGDLAFGEPFGCLSAST YHWWVRIILDAVKASAYLKVFWFYPFLVPLVRVLVPKHLLQKRQASFDLSVEKVRRRL ALGATRPDFTSYILKHAKDGKGMSPEEMDANSAVFVLAGSETTAALLSGVTYYLLRCR DKYQRLIREIRDAFEKDADIKLSTLVDLPYLNAVLTEAMRIYPPIPSMLPRIVPEGGA MINGQYVPGNVSVSISLYSAFHAASHFKNPEEFVPERWLNESDEYSNDKKEVFQPYSY GPRNCLGQHLANAEMRLLLAKLLWNFDLELLSESLSWTDQKSFSLWSRPELMVKLFRA GAGSAL PEX2_097710 MHFLAPLIPFLLSATTLSQAAPTGNSIKTDTTNITQINASKLPV GAIITHCTTPGTIALTFDDGPYIYTPQILDTLAEHGVRATFFLNGHNRGNIDTSPEIV QRAWMEGHQLGSHTWNHPSLDTLPYEEIVHQMTILEEAFLRILGFFPTYMRPPFLRHT PVVLGAMTDLGYHVIGASVDTKDFENDNPDTNWISFEKFKREVDAGGTIVLAHDAHQY TVEILVDNMLADVERRGLRAVTVGECLGDPPEYWYRAGR PEX2_097720 MAGMFKNVFGSQPKPDDDFADFVEAPNPSPASLLADSTVVPAAN TLAAQAVPYTAWYRVWERTSPSDFKQEAMIMPVILLIVLFHLWGTRKNRRRARDWAQA HGPSLQKEFAVVGFDGIARPAPVEGEITVELANPESLLKERSASEFAAYATGRQNVAF LDVNIKMPKRYNPITFVMEYAFSFFFESWEPPVEKYEALLYAFDGKEKDFVPVLAKDS VPVKVPSSTYDGFIWAVVHKSHMRKFRNDRYDASITFSKDNPKLPSWVTVMTESAEIS DTLLTPELIQAIEQAGNDFEYLIVTDQPVDRPTKIEETIPKKRIQLSANLASSASGYA STLPLFNQFLRLSDKLVASAHFRGEVMRKVRNVREEEIKKLRRVDEEEKAEERRIAAE KIKKDERERILRGLSAEEQRKFLDREAQKGQRRQAKKSTRKG PEX2_097730 MADASFYTRAQDLLTAALNKSPKPPIIVDDIHVTELNLGSIPPE LEILEIGDLAEDRFRGIFKMSYTGDAFLTLKTRVQANPLNTFLVTRPTFGSPVPLAAA TPLTIPLQITLSDFKLSGFVILVFSKQKGITVVFRNDPLESLKVSSTFDSIPFVRDFL QKEIEAQLRILFMDELPAIIHRLSLRLWVPEYRTGEEMNDETDNTAKSRSEGPGQDPL ASPPQDPVDSLGNALNESEIASLSLDSSVETHSLFSQKNLLRLAALTDSQRTLSLFTP SIQEVVYRAWTSPTDTSDIHSSVISPLSPTLSRAHSQMGSMSSLHETASTVSMQSRPS MSSQSFSTSTYGLSLGAGRHSKAHARKRKKRVVDLRRPKTTDDVMSVSDESVVTESSR PPSIASAPLPIVNEPSDDPVTPPLSPEADSHLPVIPERHLASFSRPTRRDGDLSYSHE TIRGPKTEDVEATPRATVRGYPQEKAEPGPSSSQRPQLPATVLPFSKDENANESVDSV LVERLAGEIARRMREDKLMTNACSGFWSRQHEDSPPPAYGH PEX2_097740 MEPKQPKPKSVAQRRVYGKRKANAPRAVFDQGSPARETKSKTQH VDPVGSLQAKLAQVTIDDDPTPQPDNHAEPPKTKSEEQYLTEIPSNESSPSTIEDTSD TPTTQSSVESSLEDTKPKQKQCETMVEVRICPQAPENLPQVTSDSPTTTSESTSEDRE KPSTSSDRRRAERKKIPASRRSSGVVHDSKASEYVSPILNEALSPIAAQGIQKFDSWA SRSANMFDVAKLAEGSYGEVYKLHLREEVCKTAVSKSKLAKLKSYGDGVFKVVPLRAK SGLGSKKFTTIDEIVAEVKMLKYLDPIPGFARFREIHVVQGRFPESFQNAWDHYKKTK DDCMNPNPSNKRAYPDTQLWAIVEMDDAGCELEKFAWSSIFQIYDIFWGVAMALARAE EYAMFEHRDLHLGNVCIRSTREDGCIDPPTEHDVARQRSSSGFGISSLETTIIDYSLS RADLLLTDDPAGLTEVASSDLDKKQLFDAIGQDEDEIMQRNTYRYMRATLYTGCPIET EKVADIPGIWAEYSPRTNLVWLLFLLQSLFKNRKPEALPAQPQRKALASCSPNKMTPK PETAKGKDQNMVGSLVKERQTKEMQAGISRLKQTLDDRLNAVLELLDLEHGHEDMCCA ADLVAYAMDSQWLGEQDFF PEX2_097750 MPHTSHPSHRKRPTPKRTQVTDDDGWTHVASGGNVRRVMRTRPR GTTEIKESESGISSIHPEEPTLTPAEAPGRLTLSELQAQFQTHRERWEGSESWTKLTS VLDERLKRAQEQASTSDATSSAPARCPVDAIVCIGLGSPSGFLRDGWVDRRSVSLYQL AALASIKDQVACTTSSNLKVYAQDPVFNTLDESLLAALNITVIKHPEAFSHITANTML FCPGAERKHLELLLPSKPWLLFGGPLEHADSDGVLQGYVDGAGSYCLPVFEALEHAFW NMRLYWVEDVVGEE PEX2_097760 MSFTQSISRWASVLLLLCLGLAHAHTVITYPGYRGNNLHTNGTV SQSNGLGVAYDAKNGSLIYPYGMEWIYPCGGMPRSTNRTKWPVSGGAVAFQPGWFPGH ATALIYINLGFGEIPDNMSHPVVPPFQIVGPTNNPYPGTVCLPQVPLPANISVSPGDY ATIQLVETAKHGAALFNCVDIEFAEDGDASVETVTRDNCFNSSDISFQYMYTTSSIGS GAAMLEPPKLSAAAVVPLLLAVAFGVYM PEX2_097770 MSSEFHAPEALRAALSALGNALGDEKYALVGGSACTALGSERAT QDIDFVVLRGQTPAVRNLLRSSPDFEVEARTYHTWYRAEKPVEIEILAPAALFRETFD ETTEVITLGNLKVLKPALLLNAKCGSIPNRASDAKKRTDAQDIIFLLGYCAENSDHLP KASEVPNASKDLVKAFIRKYGGEESWIRAGYDLQMGCFTRN PEX2_097780 MPHHESDTDRRYKPCQVLSAHQVPYVIWFEDTLYHFGVPTVMFN LYLLVSDLDEAAELLVKAGWALDSQGPRKIGNAKVELPQKRLVSPTSKTIIILLPAEE WKFPLIADSPSGDAPLTADSHENVSFPPLPGFLDALIESWLDCPSDDAMLLLHLACQI SYLYAHVHSLKQQSFAEQMKYEHRQFHFDVLAGMETGTIQFRKHQRAIRDALLQGQYE LRECSASRDNEDLFDTGRGGISL PEX2_097790 MVFGRRKRSASAHHQPLSTPAAQSAQSAASHAFLKSQPSSASLS SAAAAAALRNSTPTPTPIENVQTKRMVQRRSSTHSQINPVGGRRSASVSATLRRSSSN SSMSARTFRDQSPHRPATSSGPVGSGPAPIDVPPLPSLPTQFSPRKLPNRRAMSMEPS MRSPPSSPPRAGMRGVDREHARGSPIQLATHQRITSLGTVPELERSASRNSVNFSYPM GSRPTSPTAMSENNPMSLHDAIQESIAEVSDKYPKGKPRAQLSGDLEESPVADKYGPL AGTAGAAAHPVGVPKDSPSSNVRSGNVRNSFIEADALDHYTGTTVPAVTSVESEHPSS RVLPERWPSTVREEPEDGDTNIEDIPIRQGRDRTTSASPTTDHVEAAPVPSSPSSELQ IGQQPHRQSSSPGRTARFSRLLSVAGAGDQVHQPPPRSVSPVKSALKHPRGSSLSPDG RVGATGRVLPSNELSDGTSVASDEGSRVDTRKKPAKVSFDDEAEVVNIAASPPTSPEE YTPDFPSGGKAKSPMNWLGVGKKKSPAEFASDDDWDVVIKPRQVLPSFGSIRGSRGGP LKRSPIPDFSDNESSSSSASDMAPRPDMSLPNEYFQPRGLSALEQLSLNGSLPTSHSD TNKREDTVTDDDTEKQPYSSVSSKLSVPDIAVEPATPPADGTNQSFDTKRSSMEQYRI PGGFPPSSIDRTLDSTAKNTSAQAVASALPKLDDVDTEEESGDSVYSDAAEDMDGDGF GSINAIVDSRSAPRSAPIEENASESRDATPRPIGRAAIVDSQSQNVTGQPPEERSVTP TQDSVNRLAEEFPTSSVGHGLGSAYPPVPIQSQTPNVTAQNANVQAQRTMRPRPISVD VNGASRDPRWSSNDTSPRGSKAKPRPMSLGPTFHNLGGQTGIPDTLRRKMSNGSDSSS SFKRSSPRSDTHSMRRTMRSGAAGANVRVQSPTERNESHLENRPLSSGSSNGTMRKTL RGPTGGERYSFFSTNKKAPPRAKFTKAPPKSARASRFANSDGEDEARPQVFTSRFADS SDEGDPGRNKLRPVRGIPRRQGADDGDSTELEDSSEEGARQQAQPVLASQTMASAYGS RGKNAPNMSGMAAVAKQRGMSQRDLEEFIMAPPGGQKRGLLTRLGIKKPRNSEHGFRE AGVESPLERSRLELDQLRSEGMMNGNHGITTTTVSAGNPEPTRSSKLVKRNSKRQSTG PNSWPLHSNNTKEEEPLQPVVEQPYSAPSYALQSQDPVPATNGAGAAQNGSIVVNGDD DAHSASPVAKISEAPRDGPDPNNGGTSEVTNPDGHGLSAREVVIAGSGRKKRFPLLRK AFGLRD PEX2_097800 MPSIQGSTVLIFGGSSGIGYGVAAKCLTEGAKVHIVSSNAERIA KSVAGLKGESPGFEVQGHVLDLSTKDVERGLEQVLSAVGQIDHIVYTAGDSLALQPLD TIDLEAIQRAGHIRFAVPLLLAKLAPRFLTPGYKSSITLTTGSGSQKAFPGWSLMAGY LTGLHGLTRNLALDLKPLRVNLVSPGVVETPLWGAEGVPEDFKNGTTLGKVGVSEEVA EAYVYLMKDTNATGSCVSTNSGSLLM PEX2_097810 MAPNNAKEETEEAWGRHREELKSLWLEKRLTMKQVQDYMSQMHN FWKEEHQYSRQFKKWGFKKNRKENEWMFVASRGEKRKRDGKDFGPVWMHGQLIPEHKV RKEISRHVTLSSQYFGDLDPKTPDGILVGTPRAEVEAVYDGATDWPQNESFDFATLGD IPLAPSSYEGLTWQSDLQELIPSGFGIFSQSNELPAVFSPPGNFALEQIVSPRLSPSA VTNDHEQSLSSDRMIEEGLQIILTQFGGESSSSCSDLATALQSVTAGTEDAFQSASSK NYSNYLQTYVYLASNNLLSEFSTKKLVLLIAKTHSHSMLKALLESISTKIEIFMSTLL VSAAALGDTKICRMLIEAGVDLDAHSGLAMRTTALHRAISNYRTECVKMILEAGADPN LVIDSETPLHNNAGSRLSYQSTFEIMNLLLQHGARVNPPQDSARPTPLQLAVQSGHPG LVRLLLEKGADPNLFTTFKIGTALQMACTMSRNATIVELLIKAGADVDSYSGYKFHVR EKKDYMDSDADLSSSEMDEEDDDLLFGNSVKSPILLAAENENWEAVQLLLEEGAAINA GLKKRPSKILREELENLETPVLTPLQATVRAENITMTRMLLANGAHVNQRMEGKHGFT ALQIGATVGNERLVDILLRKGAAINAPAGVYYGRTALQAAASHLDTRLMSLLLQEGAD VNAPPARSGGRTALQIAAAAGNIEGVRMLLDAKATVNIDPSLTGGVTTLEAGFMATDP VVKDEIIHLLLRAGASPGAHQIGQEQYAPLHSAVRRGDLSMVRRLLERGVSPNIGFCD SEAKKKTPLQRAAFQGNDNIVQELIKHGADVNASPYWDGGHTALQAAALMGHESTVKI LLCFGANIKSEVASVNGVSAIEASVRGMNDLITRLLLENEPDAISSDPITKCRIIGIA LESWKCDVSLLELLLKGGAYAGETASSVSIPFLQQAIHIHGFQLAECLVSAGANVNHY WKCKVDHLVTPLQSAVWMKHDDIVKLLLQRGADVNAPANENGGQTALQIAVSQNNHAM VKLLVSHKADVNGMPSPVRGRSALQEAASRGFVQLTQYLLDCGADPNLPAARLGGFTA LQGAAIEGKLRIVIMLLQAGAHVNAAPAIEQGRSATEGAAENGRLDTLHLLLKHHPDT EEFDIIRKRAAKLALANGHLAIGRFLLAYRKNAWRA PEX2_097820 MKWLLLITHLSVALAEASRKKPLVSPDDFPSTIRLKDLLKGTQQ LEDFAYAYPERNRVFGSAAHNDTVDFLYRELKKTGYYNVWKQPQVHTWTTANTTLTFD GESIKASAMTYSPSADVTAELAVVSNLGCSASDYPSDVAGGIALIKRGECTFGQKSVL AAAAKAAAVLVYNNEAGSVSGTLGGVTNELGPYSAIAGISDVDGKALLSAAAEGAVTL ALSIDSRIENRTTFNVIAETKGGDHNNVVSLGGHTDSVDAGPGINDDGSGIISNLVVA KALTRYSVKNAVRFFFWTAEEFGLLGSEYYTSHLDAEELAKIRLYLNFDMIASPNYAL MIYDGDGDAFNQTGPAGSAQIEALFENYFKSKKLSYIPTEFDGRSDYDGFISRGIPAG GLFTGAEGLKTEAQAKLFGGQANVSYDVNYHAAGDDFKNLNHEAFLINSKATAFAVAK YANSLASIPRRNATSTKRVVKKRAPRSHAHTKKTGCFHSLVEI PEX2_097830 MDAIMPAPTPVMGTDLVPPFLWLSHLQTLLFPRPGGQLSGRYYI HLLRPLVAQREPLLRNRFPWIVWNLNTVEAALIQVVGVSERTNGPVDLIHMATISRGQ NKVACRFVMNDNPTAYALVYQTKAKKDLAYARLQDLKGFYERVCDVTDDFPSASFPDN EPYEGTWQTVLESAFDRQTFTYREQFPSQDTPAQNATKLQSIP PEX2_097840 MLLRDSKCGALNKQDRVQLTPLMIPKSPDFDVKDSQFFRKFTKL PSPEEVRSQAKAQHLAGVCPDDRKAFSITGPHVRPPPVIFKDLGLFVKWGSAVSISEG QCLYALGQLLKDHVPVPEIYGWREDGDQTFIYMEYLHAQTLEQVWDKLEPDDRVSICC GLRTIFSNLRHLEQKPAESFIGNIAQAPLYDRAFHVESMPEAGPFSTVQEFHDWFTFL YRKPMRDPYSVPIEPFRLDLPDDSEIKFTHGDLHRSNVLITSSEPYQVLAIIDWEQSG WLPMYWEARKAQYTADRNDEWSKKYLPMILCQYTSTWDPWDYYTTAMGC PEX2_097850 MQLCRCDGAKQDRRQGDPDVRFDPEVAMAMVSVARHSRKDAQKS VNLDFDARVPIPFSVFPSSYRSDAVSETTQTRVEGEVNFDRTSRVEREDTRGSAPLPA PVHGKEEVEFRIQEERPRIQEEHPQYAQQSQQYPQQSQQYTQQYSQSQSQQYPSHQPS HNYAPEVELTRERYPSYQQPSVDYEDRSQVYDKVVETQIDLTERDYRERTSPSYDLDV SYDRRYRQPVDSAYGYQSESVDVSYDRASQPQTDSYKADDVYLREVQPRARYSTPTEV KVSQSTTRDTAPTRKMGYYDDEGQYHSFRRGVERAADRVMHPFSHSEHEESHGGEVAA PRENVRVVEPRNRGSAGETVPIPCHFIRVGDILILQGRPCQVIRISVSPQTGQHRYLG VDLFTRKLQEESSFVANPSPSVVVQTMLGPVFKTYRILDLRDDNRLVAMTESGDVKQG LNVITQGHLFKRISDAFADGRGSVRALVINDGGRELVVDYKVIHGSRL PEX2_097860 MTPRRSSRARTSQPSPALLQHTNSSSSSSNSLTRERSTRSNHKN PSPNESTGHRSQSIEDAEGGSKDLPHTRQRQRAQDDDDDPPREEDEDDLDDEEEEVTR CLCGQQEYPGLPSSRREALGRGTIKLESGQVPTDPSDPLSDDIGSMFIQCDLCKVWQH GGCVGIMDEATSPDEYFCEECRKDLHKIKDEPHGQRSSTYLPVAPEPVPPPPPPPPPP PAPAPAPDPAPASVVPEVPDVPVIPVGPIVPAVAPSPGPSSRASSRDISRRSRDPKSR NSESAAAHAKRRSTMNSRDAAYDEEELIRRAIEESKAETKSNADETDTHLGKRSRSDS VTNRERAKRPRTTSPSPSAVSRHSNIASHPPSDDETKPKPTVNGARRQRATSRGQLEK EPGIEPDEGETEAPEAANRRKERSTRRKGDESEHEIGSPTKTAPPEPEPSQASPNTPT PQEPTPVRPSTRKSGRPPARRGRVGRNQYTKDRDTNGNGDSGYMANSPRRGQSHEIGG DSPRVGYSSVNGAHLNGGESGRPSKPRHMHPQRTTMNEMKRRVAAILEFISRMQVEMA VASENSSTPTGNGDRAQGLLLKSMVDQIDSAMPSTRSDGGESGPATTDGGYGESSTNH ERDFKELSSVEMMDVLTRHLLKWQQEYGKFGER PEX2_097870 MASVDILHDDSNIEYVCSGTSDGVFNSSPDTPSTIYPDRLIRPL PRRTLRSRLSSDAADTLFYPPTPPASQIFYGVSADSEEAVNESKVYVQQTVETEMSPE VDAHEFETSIEVESGDEGGPMVVRRSGVVRRSSMSPPVSGNPHSFPHDTPQTKSSTGD GYDAFENTNNKKKRKIPTPGNLGGHHSALSPEFASMGLASSTPVRVPTPTDATGTYYG SGNPASPLGSGISGSGRGRLGRPTVRSSSRNPLSPNAQHGWLNARTPSRRDGLMSSPG PSGESPSDQGIISTAIANAATHSSPPRGPSNVSLLEKEKENTTPTKTQFTFTCESDSS KGMAMQRNYSIPYRSPTSPLGPASQKQRGVSTQRTQSSPVNHQAPPGTQAPAQGGEPT PVVPKKKRSPSSTYALSARQRKVQQQYTNFHHPPSLEDIWICEFCEYESIFGHPPEAL IRQYEMKDRKERKRLAEKKRLLEKAKMKGRKTKKATKNASKNGSQHPYQQGYDRASAD HSSAAGSGLPDDEYQGHEYDDDENSPIPPSAPASPSDLKPPLPTGNHPKIAASVQGIK GAADPGASRPA PEX2_097880 MSFLAVPLLKLGLNQAKKHKAKKDLQKYQNAGVYPPNYPQEQHP MSGYPAGATPGTTMHFESPPQEQSKSAKLTFMFFSGLRILQVIFGLTVMGMYGKDVHH DHSEKHTWHSKWVYALVTAFFAIITAAIHLILPFVMRKAKLGAGPGPALLLPQFAWEF VVTVLWLTLFGIFGKMYIGVHPVESSSSSSKTDTTTSALGDASKINRMRHAVWIDIIN LVFWVMSASWILIRWLKSRRSAVPGDAIDAEKGGQI PEX2_097890 MEPKLPQGLVAMSSVSPGEILEQDTLSNGIYKNLWQAYSTSYLA SKDETEHRLEHLFWRIWGAKGLSNRINTRTLDRLILRIKAPAVLVGQRVTVSPLPGTG DKEEKVNTILFHIALYQPHVDDSCKPINKNQSGCAAGACSLHPILKKPQPSPVETHKA TRLLLDTPLGTKITLDPSNSPTASMADELAPIPDQVGHQRRKNTQMDAESTLMPEKVG NQGSKKTSIAGDSTAIPENVGQQGPKKTYLTATRNGRGPRRRPVFNRRKSSQTSIPKT APPIRRRSEPSTKADGADTVYEDSYVELGLLQHLSFRDEEDQIARDLGREIAPEPKPA KPSVPLFDDEFLDEPSVVEAAKAAKAAPKTSPVPFPRASSLRHPILSPDDFCKITPSF NVMESHLDMPGGPQLVPPGDVEGDWTDIDALDSTLPILQPFKNLVSHHENIDQDLPDV QPAVLPVVKEASLLSSAMRGVKL PEX2_097900 MDKLPPEILPLIFAEIWDLYPESLRGLNTVNRIFYDTAAHFLYE TLTVRFQSNQEYEQAVANVLTLPTNANWYKHTRRLDIVCGPRGLQLSSNRKKAMGEIP IPEDYLNFGLVTKALPECDDFAIPKWELLVSLLAKIQHLVELNYAVANMFPQALLETL HQHHPACKLNIHDFQLSYLFSKKSDDACEMDLIQSPCLHGIRFTHWRESGYTRQEELA AEKIYKIMSIAPNLKHFYVDIQPPDPLDYRLSKAIAKGQGLDSSTMPFKMGELQSLSL RFRLSKEKVLQEASRHTDFSKLQSLDLDFVTDDEIPRAIASTYSFRNLRNLSIFIVIS LSKEATQLMFDSINPLTYLEIKSYLNSSPIEKILMRHGPTLQGLVLLLAPGRNRPGTP MPNGGFAEQVLRYAELCPRLRNLHIETHRTVGNEDEIRLYEAYGQFPSLESLILDMEC TVFPDPSNDSRLVETPEVVKTAFNLILDPALCLAIWDRIASTQKSGRLRKLELYPHSR RSLDRGAISNSLSIVTSLKRAYLVRRRIFDKQELPIIVEINVTGCLPKGPLRRPGWFE AVPQLDYAFGRDKHQFYKTWRSKWLMLPLQRLAVDLLANRRKRTREGGDGGREMKLAR TTAQ PEX2_097910 MPKYVLTGVGGNIGSVAASYALEIAPPDSTLVFTISNLKKLPGH TIESWEAKGVQVAEASYDDVNSLEQVFAGADAVNLISTWAFGRRHEQARNVINAAKSC GVRRICYTSFVGADDPAPVDDLPFLSRDHKQTEALIIASGLDYNIQRDYLYIDNIPNY FAPSWNFCGDQLLCNPHDAQESSVTPEARWLFNSHGGHGAYVSREYCGRVLAALLLGR GEPNTVYNVTGPQAVTDKEVFDWICISFGVKGQLVDMPDGELREWWVRRGLPVSVTEP SSLPIKLCVDDLLCCGEMVARGYMATTSNAVELLTGRKPVSFREALLKYKHVFSKVQ PEX2_097920 MARTLLQRMERFVEFINSGDETIGQEVVSESAVFHVPFSDTPLQ GLPGYMQILGMMRSAFPDIQWTLDDTVIEDNRVVAQFTLRGTQEGEFFGAPASGKKIQ ARAMNIYRFTDGMIVEETGLPDLFGIMLQIGAVSPPVAH PEX2_097930 MKGKARHKHAITASFFFNARGDGLEKSISGIYRSLLVQLLKGYT DLQVVLDDSDLLPRSQNDCPCLNVLKQLFANAVCSLGQRSFTYFIDALDECNKQQVVD MVQYFEDLAEQSTAKGVPFRTYFSSRHYPYIVIQRGIRLTLEDQSGHAEDLTTYVTSR LIIKEPTLIEELQPLILSKAAGVFMWVVLVVDILNKEYRRGRMALRMRLAEIPSDLSE LFKDILRRDNKNTEALLLCILWILYAKDPLRPQEFYHTLWSGLSLKGLVDDRIPDVTV LGTGTGVNRFSTYIISSSKGLAETTKSSQPRVQFIHKSVRDFLIKDKGLYKLWPELGF DCESLGHKKLKQCCSLYMNNTLICTSVSRLPLESNSKCRKEISNEYPFLQYVSQNILY HTNAAAKAIPQEAFLSSFPIPN PEX2_097940 MPPTSYYGINNGTQIGNNHGTITTEFHESKRPKTSHHKGLPAPR HDQYTIAWICALYIEMAAAQAMLDETHETLPAHAHDRNTYILGNINRHSVVIACLPEG QYGTNNAAIVMTNMKRTFTAIRVCLMVGIGGGVPSKADIRLGDIVVGTRVMQCDLGKI LRDGQLQRTAIPRIPHQLLGTAVSTLRSKHELGPSRVLSILQQKLEGQSAYSRPISPD RLFYATYNHTSPTDSCDGCDHSKLVPRSTRMLAEARIHYGAIASGNQVMRDGVTRDNI ARQLDVICFEMETAGLMDILPCLPIRGICDYSDSHKSKEWQRYAAATAAAYARELLEE LPVTESHTSIVSTTVSCKNEYQP PEX2_097950 MFKPTVARNAIKALNTARPAFAASHSNTGFTRPLSYTASLGKKK SNDPAKDPVLSATTKAPEGASSEKEGQFARTDESVQIEYPPDSEMPTQPVAQGRGGMH FKRTLAQFSLEKKVSVVTGGARGLGLVMGQGLVASGSDLAIIDLNKDEAESAAASLIE QFRKENPGLDDMPNVTAHYADVANPDSVSNAMAEVLAKHGQIDNLVTSAGFTENFEAI NYPFDRMQKLWGVNVDGTYLFAINVAKHLMERKAPGSIVMIGSMSGAIVNVPQPQAPY NAAKAAVRHLAASLAVEWASHDIRVNCISPGYMLTALTRKILDENPQLRDQWISLIPA GKMGTPEDLMGAVTFLLSDASKYVTGADLRVDGGYTVT PEX2_097960 MTLSSLLRITPRLTPTTARRTSRAISSATNTSNTPKSLDSILIA NRGEIALRVGRTAAERGIRVTTLYTDPDSRAQHALSSPFAFNLGSVSAYLDGDKIIEI AKKEGCQAIHPGYGFLSENSAFAQKCTEAGLVFIGPPYKAIEDMGDKSRSKEIMTAAG VPCVPGYHGHNQDPAFLEEQADQITYPVLIKAVKGGGGKGMRIASSKAVFQAQLESAK SEAMSSFGDDNVLVEKYITTPRHIEVQVFADKHGNSVALGERDCSIQRRHQKVLEESP APHLPDATRKDLWAKARSAAEAVGYEGAGTVEFIFDNDSGDFYFMEMNTRLQVEHPVT EMVTGQDLVHWQILVAEGAKLPLTQEEVEERIAQSGHGIEARIYAENPEQGFIPDSGR LLHVRTPATSDDIRIDAGFVEGDEVSAHYDPMISKLIVRGADREEALRKLAAALEEYE VAGPVTNIEFLKAICKSPDFIAGEVETGYIEKHREELFPPKKIEEEVLAQVALACLHR DIVSGARSSVGVAGSTVGFTPSYQERQLSFTETGGPGSTNGSTFSVRVQQTADDTFNV EVGGRVFEQVVSHLDPASHIVTSFFAHTRLDTTVIRDEDTIIAFQRGTQYRLTVPRAK WMEKALGLKDVTNSVLAPMPCKVLRVEVQAGDVVEKDQPLVVIESMKMETVIRSPQQG TIARVVHKKGDQCKSGTPLVEFAESE PEX2_097970 MMNPFSVLKQYIWESSPIPIKEDVFDPKVHLNYTPPSKKFTMED LRLNQSATASPIAGTVPFPLLSAEGVRAYRRALFQKDVIDKCASSPFPGTLVLRDAAK QSKFVKDFWTHPETMRIVSEAVGVPLEVVMPTEIGHTNIQVEGTTIAEMASNLKPEPA LEKIELSPEDRAYDPLKDASSIIPWHYDSYPYVCVLMLSDTEGMIGGETYIKKGDGEA QKVEGPQIGHAVMLQGGEVCHLAARAKGVKERISTITSYRSTMPNVYDSSYITNIRPY ADTKSLYPEWTRYRLRKLRDELTHYLDEIEKEEDPVQERKDIQNLIDQQIDYLQRTSR QMVDPEYTQGVLRKYGRPPYYDAPRIWETVQSLPDFEKLASAADDERRWQPESVYWMD LLRSVETIRLGKPLQSALGTAVREKTRKYYMGDELLRQGLNELFLDWLGYSGIWELYC KI PEX2_097980 MSLSSPSRPAVFPTGFPAGWPFNYVEPFLVNTSFSTESLSHVVF SRLDIHHGVIDTNMVQNITTRGTLSGWVAFPTWVFLLAGFDEASITRQLKTISWKHER LDTAAWKWKEYGGGNQIGRT PEX2_097990 MSDDDEYYEFEDEYMYEDLVPDMVDDLAASSYYEAALYEDPSID VEEYFSDWDYYSDDYHDDDATVEQSAERKKRTAIAATAPRRTKASSRPKAHIARIPPT KSPLVPDIASFQGVVWKTPALDRDQDVAILYEPDTGEKVALLENWREVFKSAQPALDR SRLKSRHVVESKPIDPSLADDEMFAGDGTDDESDSEDEMSDVILSDSSAVDAGDTGDA SNTTPDPDPDSFRSMKLSSPPKVVIPLKRGRKRKAVAQKDDTDEDTASPRPKRVALRK GGGDKATKHAAPPVRRSARQKK PEX2_098000 MASLTLPSLLRTSTRALRLNRIPALTPCFRSISTKHPTGFAPPS EEDLLELRERVQDFTRREIPADVAARTDEQNEFPVEMWKKMGDAGFLGVTANEEYGGL GMGYQAHCVVMEEISRASGSIGLSYAAHSQLCVNQLSLNGSTEQKERILPGLLSGEKV GALAMSEHSAGSDVVSMKTSAKEVDGGWLLNGTKMWITNGPDADYIVVYAKTEPELGS KGITAFIVEKTFKGFSCARKLDKLGMRGSNTGELIFEDVFVPQENLLGELNRGVRVLM EGLDLERLVLSAGPLGIMQAALDLVLPYTHVRKQFGQPIAHNQLIQGKLADMYTKLAA SRAYTYATARQVDNAAVEPGEMTVRTQDCAGAILYAAERATECTLDAIQLMGGSGYIN EIPAGRLLRDAKLYEIGAGTSEIRRMVIGRAFNKEYA PEX2_098010 MRVPSPLVLRSWGSRLGPRKYLQSTNITRPVYRTVASYTHPHHA SALSVLPTAVDTSSQEYRENSEQMQELLDRMNSLHTKIARGGNDKAREKHIARGKMLP RDRISALVDPGTSFLELSALAGHGVYGEDVPAGGIITGIGMVEGVNCMIVANDSTVKG GTYYPITVKKHLRAQAIAQENRLPCIYLVDSGGANLPHQADVFPDRDHFGRIFFNQAR MSSLGIPQLSVVMGPCTAGGAYVPAMSDETIIVENQGTIFLAGPPLVKAATGEEVSAE DLGGGQLHSSISGVTDYLAVDDAHALVLARRSVANLNYPTTTTPLQLENGNGDSLVVK EPLYDPNELNGIVGTNLRRQIPVHEVIARIVDGSEFAEFKRDYGSTLVTGFARIHGHR VGIVANNGILFSESSLKGAHFIELCAQRRIPLVFLQNISGFMVGADAEKGGIAKNGAK LVTAVACADVPKFTVVFGSSAGAGNYGMCGRAYSPRLLFMWPNAKIGVMGSEQLSAVM EAVGRTADPALKERIDRESEATFSSARLWDDGIIPPSQTRKMLGLGLAAALSGKAEPD VQTRFGVFRM PEX2_098020 MRPQREYHIVVLGAAQFVQNVWIESYDPTIEDSYRKQIEVDGRQ CILEILDTAGTEQFTAMRELYMKQGQGFLLVFSITSMSSLNELSELREQIIRIKDDEN VPIVIVGNKSDLEEDRAVPRARAFGLSQKWGNAPYYETSARRRANVNEVFVDLCRQII RKDSEGSRSSSDPNRKREGPNRHDRKREKCRPKNRRGPCVIL PEX2_098030 MASFQERAQHSIAQLDKELSKYPVLANLERQTNVPKVYVILGLV GIYFFLVFFNIAGEFLVNFAGFLVPGYYSLQALFTSSTQDDTQYWVVFAFLTVIESAI SAAYWFPFYYIFKFVLIMWMALPQTSGAQIVFHSFLQPVVGRFFSTNGTSSNLRAQAE AASKPHSN PEX2_098040 MQNQRSITLLQAEADNDDESYFRLLINGSVRYITIAQGIWSTDD MCFGPSLATILPEIPTGNWNDGLVTKHPETGEPYFARATRTSFPGVENTWHDTFVDYM DLGESRRLRTGVYEVKCPQFEELIVVKFARFDWEIGYLEGETCAYRLIEGCDISPRFM GHLTEDGRVIGFLMERIANARYAGPHNLELCRKTLARLHALGVKHGDVNRFNFLIRDS KAILIDFDTARKCDDSKLLAEELCNLQEALESSSEKGGGGYLC PEX2_098050 MAGMEPRKTPPEYFLEIFADTTHVRDVLKGVLNLIFFHRYFPSI RPVTFDVLDFTLPAINDADLETLIESRVSALVRQHLSSAAGGQDGQIVGGGGGVRGRI AVEFYEKKRRRSGHWFGGLTGKGEEEVCWEIWTLDVTIATPRTESERAKVRKAMGNML QKAALKILSVVNRDKDHIPPITTSDSNPFPYRIVVNPRADGWGNRIGLY PEX2_098060 MIGATRRWFQRNRKGLAIGAGVIGAGYLAGQYVLSKISEARERM SSDRIARENLRRRFEQNQTDCTYTVLALLPTAAENIIDALPVEELTKELQQKRAERLA RLNAGEATGSDLSSVSPSLPDDDRRSLSSFQSEGYVHASQIGDSSLNSDGQPRPKRNK TQLWNEVKITSVTRSFTMIYTLSLLTVFTHIQLNLLGRRNYLSSVISLATPPANTSTI SLEDHDDELTQTLGDDFETNRRYLAFSWWLLHRGWKDLMGRVQTAVEEVFGPLNPRED ISLAKLSELTLQIRKKVEGSTEDERRSQKWLSCLLPPAEEEEHVLRESGVEGVADPTS SQTATKLRHLLDETADLIDSPSFSLVLTLLNNEGFSTLIDQRCAADAFKAPTSAPETA LQSFDSIATVVPLAANSERKTKLANLLAVMTRQAHVIGNGAHPPNEYLVAMDQNVREL EAFSAVVYSSNFDLELLGAKSETVLTKEMDLIENETSPSSFSQVLVEKEVEADLKEEE QEIIEPQPHSAVPEDPAFNETEPLADSAFEEAWGKATDDGNTSPTEETQPRQ PEX2_098070 MPLHQGPPARKPLSGAQHGYGGYQSYDSPRPQLAPHLASPQTTH SRTRTTSSNVLPYSGGSPHYHGAPPPPPIPQMTLPPHPPSRRMSSTTTSTSSTGNHPT VHAGHGVSDIRRTASSRSANSQLGYVALMRRQKATVWCDRAQPEDARSREQALRDKKR AYLEVHGAGAGRAGTLASGKIRHGPKGASEFSPSNLVGAKVPVRLSANEVGDGDDDTL SSDGGAIHRRTGSGRSSLGSAHRYPSGYSRPQGTMGSNSTPPNEKTDLPEVSENTPAE IHEEEKSRLSSLHKDDAATTHSSEQEQEDFVGDMKAPSAASAAAEKAQKAAELRRRGS VDERTTTMTNVRLFVANPDSDSD PEX2_098080 MQSTPSFPAPPSSGPRKRSIHEVDDTNLPSPNAKRPLTEFAGEN QENRDPSIPSQPDDTTPPPVEIKRNLPPPPSVEIVMRRTSRKQNEAGAGGLTSPGTNT ASNPEPDAPASKKRKVVSAGTDSKQQEKEAKERQKAEEKAKKEEERLKKEEEKAKKEE EKRLKAEEKKKRDAERDEEKRHREEEKRKKDAQREEERKQRDEKKKAKEDEKAAREEE KRKKDEEKEKKTRSQMKLNSFFTKPPAPSASSGQVIIAPSPKKASTTVDPARETRVVQ SDYEREFPAFFLQSHTVVAPPHRFERDSDALKHVRESIDRSLRNETSIQTHPFRPSEV FQIFPFRRGRQPTSSVRDILLQLQISEDPTQPTHNQTTMPSRRPQELLREVTMKSLKF GEDIRPPYQGTYTRRVSELSTRRLARNPYHRGLPDTDYDYDSEAEWEEPEEGEELDSE EEDEGSDEGEDDLEGFLDDEDDALAEGKRRLIVGDLEPVSTGIKWTANGVEPEMEVYR MQSITDAVKFPIDPFSTAYWDKPKPVDPVPAKARPATAIDGFLIPAVPKTVAAGSALP ATSKAKRPFPPDQLAEFKEAVEGSDLSKIGLVEILKKRLVIMSASIGAYANPDGSRFP KVSKDTLKATLDQVAARVGQKEVDKKWVCR PEX2_098090 MSPVVALESPLNVGPGEFDGSARASPKVETAPANGHNPEPTTAS IIPAPVEDVPQISFEDFATRYHQNQRKQAQRKRLEKRLRATKVSIGVSARMVRVGLTA QRGLVEALRHDDKASFVALYHTLQDLEESCSSPASNDGQTDSVDGEPSLGTDIGRFPD FLHQLSPQSRTDFLEILRLVRSDPQFLVDRLKSLSSSQLAAFTSPATALDTNDPAFPS TSRARAQTFINRSQTQSAAFKDHAYAFERTDPLSILIFNVFAAPLDPGTSESSLRMEV WSSVCAQLISNGSSRYYPLIGQILSSWTTGSTWKARPKFELYLMDILQTGAFLLEPIA TPPGLDFSMDSLDPMRTDVAEEFFASAVDNLFRVLDDPDGGFPHAVLQFAKAVLRKLD NPETRSRFLEFLFLQWFFSKFLYRALTYPETHGLLLDFHIRKDAREKLLNQVGHRAYA QVFAVLRSMHHFSIPRPIIKGRVENMLSRFNDTMPSEASIDPSIPSLQAPLPHRRKSP STFLMMSALDVLTLLDTLFQQTPSSLHSSPASAGVHSWPSSPPPSVNFRPDSLTDSGF ARFKHDTSSKPTSHNGSIFSVETHSILAPENSLSEKAARIRFELTDLDHPNERLSLEH PSDEHWTIFSVAADGHGLTWSLLPDNRYETSKGPIIESDDDAHSTTLGLEENHEALQT AIIRLVKENRIQDADDYGFLSQNAEQSASMSLKQRFNEAMFCCHHDSDFVGAHYWWNA SQQLGQRGTPGSSRTTGDSWILGPMHENYSRSLIQSRAVIERCESDFVSLDHDLRRLQ TQVKDLAATFCKIRDKMWYMNDVKNSIRYEEAKHVAMALKTMIYSARLFNQFPEEQRS RYGARSLGGSLFQKPEVQVMNMMKAPSSQGGPNKLSDEQVELTQKWLSHNGIDNFCKG EERIHRFCYEVRMSINKLVGETMTETPVLWASELFQRERSKFEGYGSRTFPGMSMPST PRPSTTTSEETNYASHFYGANIPVPDPMSSRLSQDTPSLGRKPSIQSIISDKWRPQRD LPSIDMSSLGGSHGRAGSTSTGDTYSTFWSAPQRHPMYAASASSVYSRPPSMFSETAT RQPRRVERKTHGKTVFMDNLRQTLTSLLLSDLGSPVWSCGSETDAWFTNALDQKRIQV EMRRRAAVQKFYVDYEERSKHLGQQRGSLKGRRSRSLDNLRVSQHKAPHVEASSQLPQ ASFESDGQSAFSYKAVFHRLIEVFSRHGNPFVKLNALRDLRSLVVASLISPQDANSPT TLSSSPGEGDRMRNSPQLYRNIRHSFSEVRPNNLPQMDPILPSSSPPESVTYGSRRSD YSTPSEAQIVATLRDLILEVKPKTLFRDLQFISAFVPGDQLNKTDRGTAFLQFGLAAL SLKEEICHSMVEIADRIVFQELSRRHSPFASDFQSRPGHAIQDAAGMWIITAKEGNPV AQRELAILYLTHPELLPRVTLPLTLPRDTFKAEMMYRRDKDSKSDPQSMCLALHWMQL SASGGDTLARNRLREREEFDSIA PEX2_098100 MPAKAHSSDDVHHRPRGILKNSNSFQGASAPMAPISPPSVPTVS EPEETKELTLQNTLQNAGRRRSSSSTHPGSNSRRRSSASVHDENEPRLKWDEANLYLT EQEKTAKMKIDEPKTPYAPHYDPSEDDEQMRLDEAQETLIDAQGIVVDELDKSTKPTQ HRKGVSEDEIPDLELGEPEENFQDIAMDDPRVFRDRSMSMDSHKSEKHVHVGVEAVNG AEAPEHDPLLTTEEARAKHAHFEQQRRRHYEMRNIKELLAHPEDLDEEMEDTDESEPA PPPSMSQMPQMPERFRNNGQ PEX2_098110 MSMALFTDEMLFAFMVPLLPHIFENRLGLDTSLTQRLTSIFLVE GAFISIISSPFIGNIADRASSKKVLLLALLVLTLISVLCLSITTSLTWLFIGRFFQCI VSNALYIVGMATMAENIGSEHMGKIAGLSSTLTAAGTCSGPVIAGFLFGIGGYWIAWA GAALFLVVDIIMRLLMIEKPQKRRDTARNSNGSIGADEYIPSSETEPLLNGDHNGDQP STTEEIGGWRFYFCLFRQPRFTAGIVCYFVFALYIASFESTIAMHVRSAFGWGVFPVG ILFASIQGPGMVLAPLVGVLKDRVGSRVPTTIGFISVAPFLWFLGVAGDGRFPWATSG TRGKVIYSVCTTMIGCFMCLLMGVGTMEATETVDELEDLHPGIFGPYGGYSRAVAVTN MSWMSGLLVGPILAGFMVERFGYFELQCVLVVISLLASVNAVCNLSSASPQKKECDSD SGNEEL PEX2_098120 MADTADQNTPLAPVAPVAPVAPEKPEQTVEAVDKAVETPAPVAE NTEQTADKDEPASAPVKEEIAKNDTTAATTATAESEATKPEEPKDEKPAYLSKNPALD ELFNRLPTILGSIGHTEMWGVPLKDSDDIPTVNVLIKFLRANEGNAKAAETQLSKALQ WRKEVNPLALAESAKYSAAKFGGLGYLTTYEENGRPLVFTWNTYGAVKDISVTFADAD EFVKWRAALMELAVQDLKMKDATEVIEYDGEDPYQMIQVHDYMNVKFFRMDPSVRTAT KKVIEVFATAYPELLSEKFFVNVPAIMGWMFTAMKFILSRNTTRKFHPITNGANLARE FSPSIAAQIPKAYGGNGPELKENARFIPLVEDSEQETEDKGKSPEEPTVAEPIKEEAP SKEESPKVEAPQEETAKESAKADATKVESLKEEPAKDGAQ PEX2_098130 MSVWNPDNIRDVAESVGILNLNNDVTENLARDVEYRVAQVLEEA LKCMRHCKRTVLTTQDIALALRNLDVEPLYGYDSTRPLKFGEASLGPGQPLFYVEDEE VDFEKLINAPLPKVPREVSFTAHWLAVEGVQPSIPQNPTAADSRNLELVSKGPNANST LAAISGTGDVAVKPLVKHVLSRELQLYFEKVCSAFLHETSEDYRTSGYSSLREDPGLH QLVPYFVQFIAEKVTHSLKNVFVLTQVMHMAEALVQNQSLYVDPYIASLVPSILTCLI GRQLGGTADLVESFALRDMAASLLSLIAKKYSHSSHMLKPRLVRSCLKTFLDPSKPFG AHYGAIIGLQSVGGSEVIRVLVIPNLHEYTKLLSDGLDDAARRPAAERVLNALVAVLA SLRGSQPFLTNGHTVTDDLRSKLVDKVGDIIATRIVEAGEVQLAHIILEA PEX2_098140 MVYVGIPTNYTTSPSSFAATPSLTINYEATQDLDSTNAFEGPEK LLEVWFAPSAAELGAAGPEGLKKVPGEIWKDMLDLVNCQVLSVISSDEMDAYLLSESS MFVWPHKLILKTCGTTTLLSGLPRILEIAALFAGFPRATAPSSRGITVAAAPYRVFYS RKNFLFPDRQRGPHRSWRDEVRSMDRLFVNGSAYMIGKMNGEHWYLYLTEPGTVLTPP ASPKEEVDFHETETKVLNFPDANLRTDCDEHDETLEVLMTDLDEENAKQFYLENATAV AEKRHRNSEKDDGTDHLDVFSNTSDMDEETGGILPPELTTEGHALGTVVSESCGLSDV YAKSKFPDARIDAYLFTPCGFSANGVVPAPDGNAPTHYFTVHVTPEPQCSYASFETNV PHSQNGKATADIIEQVVAIFKPGRFSITLFETKPALDELEEANGQHDVAHAQRQALRR SNKMEHVEGYRRVDRIVHDLNGYDLVFRYYERNDWKGGAPRIGEKGF PEX2_098150 MSSPPPYQPTSNPLKRPSISSSTSQPLGKKQRMHPLRQTSFPTG IDIGDRSFGGTSEAGSVTGSFTGSLGGASADGIFSGAARGKKRGRKSKAEKERERERD DALSARAGDSTRFGSVDNEGSVRGGPSGGGGGGGGDDADDYDEEDEAELFGQQEGATD TEAEKKNLAILVDAFNPMQSERYDLFKRAKLRKETLRRIVNHALSQSVPASVVTTVNG FTKVFAGEMIEMARTVQAQWAEAHDLAARDAFEAEEAAAEAAAQAKAAGVTANSKTST PTPAGTPVPGSTSASFSSGVKKEPLDSTRTPTPSLPTHQNSTTSSPHPTPTPLRPKRE FRLPPNPHRGQLLPSHLREAVRRTKRDGEGGGVGYSGLSLENLGVRGSFTWNSGSAGG RRLFR PEX2_098160 MQYPQPSHLYTRLIDTLPTTFPWSSGDPSVKGDPKKSVKWIDGL RGIASILVVLTHLARAWDYDLFSPRDKENASPRVLQWPVLRIPWQGRLGVTIFAFLTG YVCALKPLKLSRAGDTTGAFETIAKGAFRRPPRLIFPATIALFISWTVAQFGGFIVAN RSDCWWCRYAAPDLADSFWKELIRLPMNFLSTWTTGYMAYDDHQWALLPLLLSSMLVF LVLSATMFVKFRYRVVIYIGMLLYFHQNAGKDIETFQMQAIYGILLSDFSYHTALKDW IAKHPRGRKMLTIPLTIFALLLASYPGEHPEWAGWSNVMLKVSHYIFPPGVNVGKRYT ALAIDMIILAIFFSPTTKDFLSSRLLLWLGKQSFAVYLIHGTMLRVVLCWMLYGISGQ PWKGPEPLTDDKRDDWLRIRPPWVVGISIPVWIGLVYLLAALWTAYVDPFCASMTQKL EKAVFVEDEKTPLPLQSIPMQTT PEX2_098170 MMGPVGLKGSGAAAAFARQRMTAMSRSSRSMSTLRSQSLRFPSQ RGQLKSALSGSAPWRMTPAVIGPAAVRFNSTSSDSALPGFALDGSLKDAVNIHDITTI PERIGYLKELGLDFGWGFTSTMEWLIEHTHIWTGLPWWASIVAVGLLTRVAMLKPVID ASENAARMTNAKSQTEPLRQKMVAASTEGNQQEAQIVRAQLKEINNAHGIKTWKSIVP MLQIPLGFGCFRIVRAMTALPVPALAMETAGWIKDLTIADPTYILPMIAAGTLCLSLR KGGESGAMPMMQTEAGKYIIYGFPVMSFAFMAFMPSALQLYFVASGLFGLGQTYLINS EVFRNWLSLSIAKKPSNGPKYSAVTQSTESKGLRQLLERLEQQKAAQEKARIEIPVSA VQEDVKISFIDRFYQKFGKVGSNLSKSISETMGTATVEQRIAKDHKKRADEYEQQRKD EDELMRRERNAARRKEHMQTLENERTKASKSLKNSQTAARNPNGRRGSRRA PEX2_098180 MASDAASLSPAELYDSAMTVPSDSENYSANHEMSSSVPSSASSL VMLYKPPSVWGLVRGAAINLFLPFVNGLMLGFGELFAHEAAFRLGWSNTKIFPNHRRS HAVGPGVEVRELPSERRRPGLRDTASLE PEX2_098190 METPQSYQTHTNATSTTASIRSGEFGHEQPNDYLDLPVREVNDT ADLREYTTETRTGEIIKPVKSNATGKIEDWKMVTFTIDDPENPKNWSKAYKWYCTMVV AFTCFVVAFCSSVITADVEGPMKEFGVGREVSLLVITVFVIGFGLGPMVFAPMSEIFG RRPVYALTLALAVIFVIPCAVSKNIGTLIVCRLIDGIAFSAPMTLVGGTLADLWKNEE RGVPMAAFSAAPFIGPAIGPLVGGFLSDNCGWRWLYWIQLILAFVAWIMITFTVPETF APILLKKRAQKLRKAENDPMYTTETELDPRPMGEKLRIFLFRPFQLLFLEPIVLFISL YMSVIYGLLYMFFVAYPIIYQGGKGWSASNTGLMFIPLAIGVVISACCAPFVNKHYLK VSAAHGGKPPAEKRLIPMMWACWCVPAGLFVFAWTSYPHLHWMGPAMGGFILGIGIIL LYNSANNYLVDTYQHQAASALAAKTFIRSIWGACTVLFTEQMYERLGDQWASSLLAFI GLACCAIPFVFYFKGESIRRYSRFAFHDDEEKAIKA PEX2_098200 MTSTDTYLAISGSVAFSRSRGHAIATSIGVQDVRAQWIHYVHTT QPLDEPQRAVLEQLLQYGDITDIPPTFESTDGQFDTFYIFPRTGTISPWSSQATGIAH VCGLQQYVKRIERGMKVSCLRNSAEDYKPEYMDTLHDRMTQIIGKDEPELSLMFSEHA PQPLKTISLQGGTKSPKEILQEANTELGLALDDSEIDYLAEAYGPNGAIARDPTDVEL FMFAQVNSEHCRHKQFNASWVIDGKPMPNSLFAMIRNTHKKHPEHTVSAYSDNAAVLE GPEAAFWAPDPATGQWMHTKEVVHFLAKVETHNHPTAVSPYPGAATGSGGEIRDEGAV GRGSRPKAGLSGYCVSDLLIPGLKQPWELDVGKPNHIASSLDIMLEAPIGSAAFNNEF GRPCIGGYFRTLLTEIDVGNGEKEIRGYHKPIMIAGGVGTVRPQHAIKHPDVVKPGAF LVVLGGPAMLIGLGGGAASSIASGEGSAELDFASVQRGNAEVQRRAQEVINACVAMGD NNPIKFIHDVGAGGLSNALPELIHDSGLGATFELREIDNTDRSMSPMQIWCCEAQERY VMAVGEESMNKFTAIANRERCGFSVVGRGGGASEEEKRLILLDRESKEYPAPIDLPLS VLFGKTPRMTRTVDSRKLKLPAVDSSLAKYLPSLTSRTEIVDEAIKRVLSLPAVGSKS FLITIGDRTVGGLTARDQMVGKWQTPVSDVSVTATALASGMKTGEAFAMGEKPTLALI SSASSARMAVAESLMNLAASDLVDRLSQVKLSANWMSAGSHPGEGAAIYEAVEAVGLD LCPKLGISIPVGKDSMSMKMKWKDQVSNEAKEVTAPMSCVISAFAPVGDFTKTWTPAL RSYEEVGETVLMFVDLSFGRKTLGGSALAQVFNEVGSECPDIRDVDLFRDFFDATQQL QEAGIVLAYHDRSDGGLLTTLVEMMFAGRCGLEIMLDNICASFNTKDVIESLFTEELG AVFQVRKEHEIQFRSCFATCGPPPGLIHKIGRVAQQPKQDLAIYHKASLVYRNTRSSL QQIWAKTSYHMQKIRDNADCAEQEYANILDNANPGLSWNPTFDPKDKGLPMMTSLSQY SPFANKPRVAILREQGVNSQAEMAFAFNTAGFSAVDVHMSDILSGRVSLSTFAGLAAC GGFSYGDVLGAGQGWAKSVLLHENTRKEFQEFFERPDTFALGVCNGCQFLSRIKDLIP GADYWPSFERNTSEQYEGRVCMARISDPDPSRPSVFFHGMDGTSLPIVVAHGEGRASF AGSRGISASDFVREGLAPVRFVDNASLKPTMKYPFNPNGSPEGIAGVRSPDGRVLAIM PHPERTIMNGIASWLPPNAESWGEIGPWGRVFYSARRWVG PEX2_098210 MDSAAQARFDLINSNLAEFLNPEIIQDVLKSGRNPRVYWGTATT GRPHIGYFVPALKISQLLLAGCDVTILLADVHAFLDNLKAPLELVEQRSIYYRNIITS ILQAVGVPTEKLEFVLGSSYQKSPEYVLDVYKLSSLISEGQAKKAGSEIVKQTGNAPL SGLLYPVLQVLDEQHLNCDAQLGGIDQRKLFTAATEWLPKIGYRVRAHLLNPMMASLS SGKMSSSDSPDSKIDLLDSAESITKKIRKAECFPKIVEDNGVLSLVEHILLPAAVLKG HGEFRVERKDAEPLVFTDIKQVHDAYAADILTPQLLKPAVSAAMVALMAPIHAAYTAS PEWQEVTLKAYPPPVVQKKVKKVKDRGSRFPGAKQEETAQPSEQEAAQPSEQ PEX2_098220 MALAADLSTLIDALITSVAKISPDQKGSVRVQNLKRRVQGGLRT GSHTRTDQFAVTKQLEGLQEKFQILNRDELAEALHIRLAELESNRTSWHPEILSLLLQ LSDRPALLSTVKRPTEGAKAPEAKETLSWSDLNAEGTAFSDEEIWEQVDFAGDSSDDD FSSVASDVSLPKPRPQSATTVDEGYTIPDDFFVSPEDGDLVASLEKAQFWRAENHPSL PQGEAATSRLITESQLARETIFMLQGLPTSIYVRLDDDFGVDRRYALAHSSSEALASL LQCFIEIGAKLNDIRCFTKLPQTIPYMQTFCRALEVRLLEFDRVLSQVQCKYLSAGST VSLIQLLVDVRQNSHELVLLSEMITKLADNSTDQPMCCLDSLYDLVCMLEALGDESTS QSLAELFFMCFKTYSSSIRLWMETGQVDASDSTFFVRSNRENENKDLRTLWHDWFVLD TGYRQQRIPQFLEPGVQKVFTAGKSMVFLRYLNAPPDIEAMRFEDLYSQDPSLLHVLP FPALVESAFEKLVDVDHSLSASLLRTELDQQCGLWTSLDALQHVYLAKDMSAVSIVDA KIFELIDRGRSWDDRFLLTEISRTAFSSVSVIDTSRILMRPASTPSRVSQNRTVRILE AISIDYGLPWPIANIITEDAIHTYQRISTFLMQIRRAKHAIVKQRIRDARITTPDDND TLVHALHHNMLWVLDFIYGHLTYLVISTATQSLHKDLSSAKDVDAMIAAHQSYMSSLE AQCLLCKNLSHIHDAIIDFLDLCVHFADLQAAHLLGDEQQHLESLIAPRTKRDSEDEF DSDDDDEDYDDMNHEHTLTVSFRDSTYSQQMKTVNNNFNHLTAFVTDGLKSLARADDG LQSWDILADRLEWRQNWRRH PEX2_098230 MAIARPIRMLGAACILLCLFLVFQLNQSSSEEGSKLVHGMKKDP LVDPTGEPVGNLWRADEHDYSPDSEKSARTNAALITLVRNEELGQLLSTMTDLERTWN SKFNYPYIFFNDVPFTEEFKQKTQAMTKAKCQYELVPKEHWDVPEWINMPLFHESAKV LEEQNIQYSSKISYHQMCRWNSGLFYKHPALEGYRYYWRIEPNVKFFCDVDYDVFRYM EDGNKTYGFTINLYDAPQSIPTLWPETQRFLAANPSYLSDNNMWEWITDDVARPEHTK MANGYSTCHFWSNFEIGDLDFFRGEKYEAYFQHLDRAGGFFYERWGDAPVHSLGVGLF ADAANVHWFRDIGYNHIPYLNCPNSPKCSGCKAGQFFQGADFLSKEDCRPTYFKYVGT H PEX2_098240 MSSLSIFRVATRAVRPTGFFRAPQLTRSRMQSPVTLAAARAHNF GTTSMLRSGHEDETYEEFSARFEKEFDGVQDVFELQRNLNNCFAYDLVPSVEVLTAAL KAARRVNDFPTAVRVFEGVKAKVENPDQYKQYLESLESLRVELGVALREELYPQEA PEX2_098250 MASEILKYVSAPTIDRPFGVHLWPLFDQAYEKVMGYPASEFNFT EGVTPLSTLKETSLMLITYYFLIFGGREVMKKLPAFKLNTLFMIHNLLLTTVSGILLV LFIEQLLPTLWRHGVFYTICDQEGGWTRPLIILYYLNYINKYVEFIDTIFLVLKKKPL TFLHTYHHGATALLCYTQLIGVTAVQWVPITINLLVHVVMYWYYFQSARGVRIWWKKY ITMLQILQFVIDVGFIYFASYTYFTSAYFPWLPNMGKCAGEEFAAMAGIGIISSYLVL FISFYIATYNKAAKTGRPRRNTGQKSLIDMKNFEIPSPAGTGSAKSTGRSNGPVTRSR KA PEX2_098260 MVYSLKKVTSALLGCHARGLFGDWKDMQVRALLSEHRRQFKKRT GKKKRKKERGFASSSGRLDVYSEDQHINLRWPWSDLKRFGYVNGAMVPNSSSVETHWV RSILVGQCRPVEANVKSLTCRKCMLYA PEX2_098270 MFEPTPSLSLHRLSRHSLQDRYESDEEAVSESDTGAQDLLSSPV GSRAGIFDSDLSADENSQYSDREEQILAPPTAKRARPVSSATIKRNSDATFDEDTYVF DPEEQLILELPPSDSPPLESSTFLQPSVYVWPKPQPANSRSRSASPSSVFSVEEADIQ VAKEVTIMEPGTRPTVVLINALGSRSMPSKPRPSHNRGRESSRTRSMLVRADSRRLTM QRLSDPANKSPRVSEKRNTMKQAPKPAPMPAPTPALEEDTQFALSATINRVSEISYFP APPRTLPTQEYRPRPRTSGLEKTMPPPLSLRTRRPPSMRSISSISIPTYNSRPSTPFS PEDGKPNNYTDVPSPLTRTCSPTSISPASPQPYISSKRVPSTYSVSNILTSRSPLMMR RMTRKHSASSVHSLSSLRSEVDVNGPSSSQISVATQPAPHPSADCHVVRKSSQRRHTR HASVLPSGRGFMGLKLGKKSHAKA PEX2_098280 MSAPAFLRSAVRPCFGASIARVGKSFLPVTSASQIRQATSFASQ NKRLSVCQPRWPSISKRTATTSLESPPSEPVSTTPYSALTVGVPLEIFPGERRVAITP QNVTLLLKKGVSRVLIERGAGERAQLLDHVYKKAGATMVDRESVWSQSDIVLKVRSPQ SEGPFNEIQALRKGSTVISFIYPLQNKPLVGALAARGVTSFAMDRIPRISRAQTFDAL SSMANIAGYKAVLEASNHFGRFLTGQVTAAGKIPPSKVLVIGAGVAGLSAIASARRMG AIVRGFDTRPAVREQVQSLGAEFVEVDFQEDGSGQGGYAKEMSKEFIEAEMKLFMEQA TEVDIIITTALIPGRPAPKLITKEMVAAMKPGSVIVDLAAEAGGNCEATVPGELASYK DVTIIGYTDLPSRLPTQSSTLYSNNITKYLLSMAPQEKSFGIDFKDEVVRGSIVTLNG EIIPPAAPPAPPPTPKPEAQSVAAKEEVALTPWQKATRDVTAVTGAMGTTLALGKAAG PVFMGNMMTFGLAGLVGYRAVWGVAPALHSPLMSVTNAISGMVGIGGLFIMGGGYVPT TIPEYLGAASVLLAFVNISGGFVVTKRMLDMFKRPTDPPEYPWLYAVPAVVFGGGFIA AASTGMSGLVQAGYLVSTMLCMGSISSLASQQTARRGNIFGILGVVSGILASLAAVGF SPETLTQFTAVAGTGALIGGLIGRRITPTGLPQTVAALHSVVGLAAVLTSAGSVMADI GDITTLHLTTAYLGVLIGGITFTGSIVAFLKLAGRMSSKPTILPGRHIINSTLLGSNM ATMGAFITLAPGSPAIAAICLGTSTVLSFLKGYTTTAAIGGADMPVVITVLNAYSGFA LVAEGLMLDNPLLTSVGSLIGVSGSILSYIMCVNMNRSLTNVLFGGISTPTTQTQKKI EGEIKQTTIDDTVEALSNAESVIIIVGYGMAVAKAQYALSEIVGLLRARGVNVRFAIH PVAGRMPGQCNVLLAEASVPYDIVLEMEEINEDFSDTDVTLVIGANDTVNPIAMEPDS AISGMPVLHAWKSKEVIVMKRGMSSGYADVPNPMFFMPGTQMLFGDAKTSCDAIKSAL EARK PEX2_098290 MASHDPVAGSTDLLAQQGEGEVDRAELKNQDDAALLRTMGYKPV LHRTYTLFENFSTTFAALYFVGGVRVTFSTGIAAGGNLAYWTSYLVTMVFTFITAAVI AEVCSASPSAGSIYLWAAEAGGPRFGRLLGFIVAWWSTTAWTTFCASNTQAAVNYMLA EITVFNIDFPSDASSVKFRAVQWICTEILLAMAALLNFLPPRYFRYVFWGSSAVVMLD FVLNVIWLPIGTAQTWGFRTTHEAFMTTYNGTGAPDGWNWCLSYLATAGILIGFDASG HVAEETKNASITAARGIFWSTVASGFGGLATIILFLFCAPNADTLLGFGGPQPFVPLY AVVLGKGGHIFMNVICIFALWLNTAIAIIAASRLVFAVARDGVLPFSGWVSRVNNGQP RNAVIVVWGVAALVTCTILPSATAFTSLVSAAGVPSAAAYGLICLGRLLCTPTRFPKP QWSLGRWSKPFQFIGVFWNGWVVAVLFSPYEFPVTGENLNYAPIIMAGVTILALISYF VMPESAWLPSDRITHFIDSKGATETVEEVGSTSHGESSTDR PEX2_098300 MEIRTEGAPLSDALDTQPTEPLKRVRRWHHRGFTGCSTCRRRHV RCDEASPACNNCIRLGRECDGAQGRMTFKVYGVSQTSTDSSPESSRAPKRRNNISTSS PESSDASAVSPDGEREDVDIKKTCNAMVAPTTLAQATTKFRFQDPITSISIAKSIQRN EERYLTHFADQVSTFLMIYNTPHNANPYRTHFPDFARSSPTMVNAMQALGALHLANTS VGSQRNRHFQQAMGKYGVVVKGFRARYSDPSQQLGLTDFATCLLLSLFEMMDSQHHNW AVHLKGAREIYNLLFYPQPGDSAREEQRVAEMNHPLRPFLVSLLSYLDVAGACAIPGG TVVEGNYWTTMGGGWEYNLGTPSLLSTIPDNPRLSELRQAWSSLMEVQASISTFASDK KTWMTIEQSDETYKDIFNQLVVWRAMTPPCLQLLADLDDESLAQYPYPDVLEYAGCIE AYEKATFVHLLQVAGAGRVGWINDWTYMDMLINRILLLAYKLPKDIGQLAFLWPLFIA GQETRNESEQKYIRQTMEELKRFGFRNVDKALEILEGVWFKRRSFPRGWIQTLEEVQT NILLP PEX2_098310 MIFQVLFGGLTLSTAIGSAANANVNTNACYGSNVISTPSEDHRP VPWGTPSVHFSSLNGTLTTCCDSLDEIRTALDDIDDQILDLLNQRAAYVREATRFKST RASVNVPSRNEAVLKQAEQQAVHIGVPVTIARAAIGAILNSSVPFEECIFDAYTQ PEX2_098320 MSQGSRLQGKVAIVTGGGNGFGAAIARRFGEEGAKVILTDVNVE GGEKVAAQNPFNLIFQRQDVTQEADWNAVLDLAFSKFGRVDVLVNNAGTSYRNKPTAE VTEEEWERVFKVNVKSIYLATKALMPRLIEQGQGGSVINISSTGASRPRPGLVWYNAT KGAVTNATKGLAAEYGPHNIRFNTVAPLLSGTGLFSMFTGMEDTPENREKFIGNVPLG RLTDVDDIANMCLYLASDEARFINGTEMVVDGGKCV PEX2_098330 MTSNFKSIPVLDLSLANSPLTRPKLLQELHHAFTCIGFLYITNH DVPQDVISDLKHALPKLFALDPAEKDKVALHNSPHFLGYSQFEFATELPDLWRVGLPL YERLKGPNQWPSQDPSLRSVIERYIHELTKLSERFLRLVAEALSLDSETLFSFLSEQH RLKLVHYPASDLSSDPNNQGVGPHKDSSGWWTFLLQASPPTVKGLQALNKTGEWIDVP VIENTFVVNIGQAFEVITHGVCKATTHRVLSGLSERYSVPFFQGVRGSLTKEEAVGTL RSHFAMQKMALEASEGASVDSAFLRGRYDTWGESQLRTKIRSHRDVGRVFYADVFHDY VNDDN PEX2_098340 MRFPRSLFFALPFLQSAAAQGGGMVGLGWSVENVTDAGLSEIFF QMDISGAPHEGGFYFAQQFGFVNQDNVGYTGLQPRENDHGLPVIHGVFSSFNEGTTSD DPNCSDGADGGAGVSCAVEIVSSYDHQYQLHIKNTEGTKWVGTLVDVVKGNSTQIGSY TLPAGSKGIQSSQLGFIELYGWNDGKKEHVCSDVPKQSVIFGAPISKGYKGSLSGPYE YGDCVGESQFEAHSHSDGSYSVTVGW PEX2_098350 MSDALPEMQYRFLGRTGLKVSVISLGSWLTYGGHVGNETALECM KVAYDAGVNFFDTAEVYSAGKSEIVLGEAIKKFGWKQNDLVISTKLYWGRANSANPDK PLNNNGLSRKHIIEGMNLSLKRLDLPYVDIVYAHRADRDTPMEEIVRGFNYLIDNGKA FYWGTSEWTASEIADAWRIADRLGLVGPVVEQPQYNLLARERVEKEYRWLYEAHGLGL TVFSPLKGGVLTGKYNDVSAPPAGSRLAESEDGYVKGLRKTVGDEIWQRQLDQVAALK PIAEELGVSTAQLALAWILKNPNISSMITGASRPQQVVDNIRALGVVEKLTDEVIEKI EVAVGNKPAVEARRF PEX2_098360 MQDFRLTAIVMACFALFPSYTNAFWRLPCRGRTGLARVDPIVDP GEVSGHVHAVHGGGSFGMSSDQESLLSGDCTSCAVTQDKSAYWAPALYFMHTNGSAQV VDEVGGMLAYYLLYGQNITAFPDNFRMLAGDPFQRNFTWPVPDPPKSSWSGAQASQAA LRQKALGFNCLNYQAAAEESLYRHFLPNKTYLDEHCTDGVRFELMFPSCWNGKDADSL DHKSHVAYPSLVMDGTCPEGFETRLVSLFYETIWDTYAFKGVDGYFALSNGDPTGYGY HGDFMQAWDSGVLQEAIETCTSETGIVGDCQIFDIQSEDEQRQCQFPVPSALKHENCE MHDDGLPGGMAIQWGPEPASMNAGAASTTTANLITLPTISIAGFSIDPNTLLGNLHLP SATEAAATTSFTSTSTPSPTPTPVTSTIIDPHTEEIIYIQREVVVLCDGNGETYSTST GALRTVSSTITTIMETSTAVSYVKKDTVPEPVEPEQAAGHARRNPHHRHGHAHN PEX2_098370 MAPRLRSALTKSLSRPLDNSAVFYCPSCAIWRRTLSTRANTSRS IDKSDTSRRIGRAQNTITASASRTFTTSSIITAKSVPPRFKELHAALEGVKDAALEQV NLSRLQFALRGLESETPLIRIAVLGLNDATSARKLVRLLLADPLAPREGWEDILDSYD ADSSRGLLIRYGEISQSIPNDLLPTISVPSPILRKGNLEILVSTLGSEPDHNAATFHA DTFLVPTITIQTSHSGRHNVVRYPVHHTLVCGRGVDGLLSYSTMIGRSDLKNEASSVR GAIELAVADQKSTNERLSLVDVDRASTALDKFRESVQNSSDYERGWNGSGVQPLIDWL ATFSEPSSGNALNPALVPLVESLIDAADASVIVRDAKALHDQTAGIAPEEIRSSLDQG VTTWAERAHSELRSSLEAGLASPRWRGLAWWKLFWRVDDVSMITSEILERKFLRRAEK EVIWTVGKYQQAGLLEEPSSSIPDSAKDSVMPPWPTQIPDLRTKLLTTTVPSLQALAQ SLVLFSVSTTTLTSALSALTYVAIPSAGVYESCTLAAVGLIYSMRRQQKRWDVARTFW EEEVREEGRTSLLETEAALRGIVRDGGKIVEDTSEPHARNCVARARKALEEVKV PEX2_098380 MDRAIARAVTDKQPVPEIDFTLHTMEDNTQVSTMERVVKEVQAP ALSYPPDDMFWSPEDPSKPNLQYLKQHLYREGRLSEEQALWIIHAGTQVLKAEPNLLE MDAPITVCGDVHGQYYDLMKLFEVGGDPSETRYLFLGDYVDRGYFSIECVLYLWALKI WYPDSLWLLRGNHECRHLTDYFTFKLECKHKYSERLYEACLESFCALPLAAVMNKQFL CIHGGLSPELHTLEDIKAIDRFREPPTHGLMCDILWADPLEEFGQEKTGDFFIHNSVR GCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMYRKTRTTGFPSVMTIFSAPNYL DVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKITDMLIAILN TCSKEELEDEAPTPVSPTENKDKDPISPPSMDPESSEFKRRAIKNKILAIGRLSRVFQ VLREQSESVTELKTAAGGRLPAGTLMLGAEGIKQAIHNFEDARKVDIQNEHVPPSQEE VIAKSEESRRVALERAEHEAANDAGLATVARRISMSAGSGRPRSRQRDPPKDA PEX2_098390 MVNALPYEIFLLITENLPMDRDVSLKADVNYHRCIYNGEAKPLT GGAKEGYVGITRLLLEHGAEVDLCNPDGGPCEPPSTLAAAEDKYGILCYPKEIQNYRS SDDESLDESLYLDNIFDVRVTEKLKDTRDYKAAVRLLGANSKNTKKASLSRVSPLMVA ARCGNL PEX2_098400 MQSPIITETTPEHERTDSPNELAKDDIKAELGSKEEIDPRGSDH VSRGFLPFLCFVPALQDPRHYTPGMKWVLTFIVAMGGLVVPLSSGVLFPCLIEIAEDM HTTVSVVNLSIAFGSLSVAITPLWWSYLAELYGRRLVYILSFFFLGIFNILAAISPNI GMFVAMRLLGSASSASLQAVSAGTISDIFKKQDRGKAMGAFMLGPMMGPMFAPIIGGA LTTHWSWRSTQWFMVIYGWAVFMVMVLFMPETATNLEENRREHRNDATSPSKEALHFL LKPMQAAKLLQYPPILITVYYTSIVFATYYLICVSIEDTFARPPYSWSSIIVGVAYVP GGLGLLFGAIIGGRWQDYIMARTARKEGRFNDKGELILHPVDRLGENCLLGGILFPGA LLWWGWTADKHEFWLVPLLGNFFYGFGGMILTNVTMTMLTEFTPKNSTIGVAVNNLMR NSLSCVSAVIAQPLFDAIGTGWSFTARDEWSRQMKAMLGE PEX2_098410 MRPPTATMFGVAPKISSSTLARINPGLQRLGNFMAQAQAYGTSD HSLSSVDPFTTTQSTTAPHNASPPQSESQDDALVLDNGVPHFISGKHWAWMAAELKDI QSVLAESQSSSPEPALEDVIWDADSPVASGDNFWPDTREDCYLLLNVFLANVDPIHRI VHRPSLARRFDTFVRTHYHLENDQPPVTTGSSGSMIPHSSNMDSFEPLAMSIFYAAVN SMKDTDVATMFDTDKSYLLNRYRTGTEVHLKRQKFMTSRIFAVLQAFVVFLTVQYRED DMGKVWPLTGLAIRIATVQGLHRDPLALPLGTMDVVQVELRRRLWAQIRYLDFRAAGD HGFAPSIHESDFDTRQPLSLDDVDLIEGVLPSAGLSDAPKFTDMTIYLLRITTVQYYG HIILMTHASRKRLRTNIGPVEEAETLTELQGLLSTAETLASELEKKLNDLVRYCDKRV SIQSMALDLKNHLQSKFWVTFWIQISRQDREKVINPTMRRNIFVDAVTAIENWCTIAS GKAYDPFRWHIYSHAGFCLILYVLSELRSPGFQSPEWADLRQRGLQLANSIYDIRGQH TTGAWPAIIWLVNRIRFQQGLDSGVDQPTGTGPSHNNHPMPMDNFPAAPPGSGGMDFD TTDFMDMVNLEGFDFADFASLDPMGFHGMLQ PEX2_098420 MRVSLPVHPVYKTRSEVATVDWIRYVTDILTPRIIAYNDTRESE IGFEWILMTEVPGKPLADLWQCLSIAAKSDLVKKFAGFSISLWRNQLRGIGNIYPPSV TANEKASAGDPAASGSPEHLVNAVPKTGQIVSLEFLWGSQARRNVNRGPFLSSQDWME ARLAFAKNDCQEILAKYPAGDDLDSDAEDGLEATTQTLALIEKLENIFPRVFPLDKYV PEPSVVFHDDLSQHNILVSEKGELTGVLDWECVSALPLWKACYHPTFLYGRPRDTKPN IEMYDRGNPPPGSAFWEHLHDYEVTLLRGMFLDEMRKSEPGWVNIFDMSHLRRDFDTA VQYFDNGIVRHIIEKWVEDVTAGNEDPQSLRDSLGC PEX2_098430 MATLKSQHYDFVASLVAEHFGIQQNGFTIEDIEKAKNNHVYLIH LEQPFAELWQTKKGVPKPYTSAIPVGTSKLVLRISKKNVNLEDSVRIQNEVAFLALAR DALSAIDASVIPRVFGWEDETSINPSALSWILEEFMEGDSLSPDEILALDHDTRQSLL YQLAQVVKAFQDYEVPKTVSMFGGLKFNDQGEIVNTISTLPCGGPFQTYPQFVRGMCT WQLKMSDRSVHLNGWRDIPGLRERLDDFFTRGLDQLLEEIPEQKPTLVHADLGLPNLL FNRTSNRLSAVLDFDFAHIGAPISEYLFSFFDLDGLLAGSSDPNGPLRGYLLEGLPFV EEGVEDKCKLLRAWENALSDAGAKKPSTIDKAGDIADIWWFSQELCVPYWFIDTFLET MTPEKLEELKAESASHLGRYLAQWGF PEX2_098440 MGDMGDLVSRPKKYNTELTDYSAVHADKTGPYAENLEVDALVVG AGFAGVFMLKTLRDRGLKTVIFEAGNDTGGTWRWNCYPGAGVDSEVPEYEFSWPEVYN TWNWPNNYPNYQNLRDYFDHVDKVVGIKKDCAFNTVVVGAQFDTNEGKWNIKTADGRT TKAKYLVLGTGFAAKRYIPSWSGMDKFKGIVHHSSFWPEEEIDVKSKRCAIIGTGASG VQITQAWGPEAADLKVFQRTPNLAIPMRKRDLTVEEQERIKPCYPELFRYRETNFAGF LYDWCERNTFDDTPEEREAFYESIWKEGGFRYWVAVYKDNLMNPEANKESYNFWAKKT RGRIGDPVTRELLAPQKMPHYFGVKRPCLESTYYEQFNRESVHLVDIKDNPIRRFTET GITLEDGTHHELDVIAVATGFDVVTGVMTQLGLKSIDGAELEKEWIPGAKTYLGTTVS GYPNMFHIYGAHGPTLLSNGPTTVAVQGRWISDAIAKIEANDIKYINPKIEATDKWKD RVVKLNNRTLFPTARSTYMGGSIPGKVYEPVCYSGGIPAYVTEIRAALDNWEEGFDIV KA PEX2_098450 MSLNPQVHSHICNIIDKACEDQKSGIPGTTVVVVGKDGKELLAH SAGKRGAESKDPMTLDNIFWIASCTKMLVGVACMQLVEQGILKLDDAEQTEGLCPELK SLKVLQPDGSLVEKKNGITLRMLLTHTAGFGYTFFNERLRQWSYPVGADEFSGRIEDM KRPLLFQPGEGWEYGVGIDWAGITLERATGLTLNDYLQKNVFLPLGIKDMSMIPNHDM RQRLAYMNCRDPDGTLRSRDHLLRAPLVVDLEDDAEVARVFNSGGAGIFAKPQEYCKV LAVLLNDGTCPRTGSKLLRKETVDEMFSNQIPRFPNYSRQSIPDAKPDLTNPIPELYP VAGNPPQGWGLTFMLSNGGATGRSNSTGHWAGLANLWWWADREKGVAGIVCTQILPFA DAKVLGLWGAVEAEIYKALGEA PEX2_098460 MLDETEASQEKTEAEVPDAFTSARSVSDRMPPYFSNSPNASTQP SLDLELDPMYHQMWLASEASQTSHTYSSLRSARSQSSRRPSDTAWMPLNQQSSHWTSS QRSLSPFSIDQQMITTSNCHLTSANLLQIYHDVLEYNLSCWLSEMTCPYQAGSHSTPQ IVPERSSSRSNTIYQRTIRLDRVAQSCNILQLTQAEDQAASKALHLAIMAFATQWAQG SRRHREKYLTSPLDNSEDDFAGGIAEEFDRILQNQFWDQAQRALQQIADLESYRVACA EFIFGLTQRPWNPGNRPPR PEX2_098470 MHALKYRCDALEKGLGKKCGGREKGVHGIEGMSSEGRETIGLLY WMAIMCDTLSSSMNERPVVILDQDCQHEGQKEIQKAENIEDPFGRNRWNLDLFLKGNL NQTHRTHWPCSYEAAAEDVIKSAPVKVLLFRHLSYLQNAVRKSTSQEQVEEIIRMTML LYEYWNRTHGAFFGELVQNYHAVMQRIQGWFLCISAHWNLAALMLADLLEFIDENSLG AEDTTHIRITSQVAMTMRMHSARELSNLARVGTPSTRIFDNLGAPQMSDFHHAVNEGT LLTEPWTMILIRAFTKACMVFLSDADESLRYSGPTLWHNSHDLERNLEQAEECMKGLW LLGKKSDMAREISETLSLALEELRNQM PEX2_098480 MKGPSNPMLDDKKSKNAHIEDVDSLKVADEKAQGDYSGATAKVD PAEIKLVRKLDFRIMPILWAMYFLNYIDRNAIANARLNNLEEDLGLVGTQYNTCISIL FVGYLLMQIPSNMLMSSKKFRPSLYMSGCMALWAIVSACTALTKSYIGLLLVRFFLGV TEAPFYPGALFLLSVFYTRKEIALRISILYTGNIVATAVAGLIAAATFATLDQHMNLA GWQWLFIIEGAVTFGIAGLGIFMLPDHPLTTRWLTPEERQLAHDRIKRDTVGNDESKG ALAGLKQAMADPRVYLLAFMQNMHLSACGFNNFFPTVVGSLGFSSTITLVLTCPPYVF SGICCIIIGITSGRYNERTWHITFSMGVAVAGFIISCVTLNTAARYVACFLFASGAYA VNSVILGWVSATLGQTPEKKAASIGFINVVANASYIYTAYLYPKSDGPQYLTGMSANT AFGIATIGSAWALRWWLQNTNKKISRGALPGAGDVLYAY PEX2_098490 MYLTLAEVVASDADCLIRNCDFPAMQDNPIRLTMFPLSSPETQE EEIRWMTNGLRLALEKDKSHYRKACADDRSPVGFAGWSLLNSSLTIVAVNPAYQRQGV GTMLLKWACDEADRNGRDGFLLASTAGVRLYEKFGFEKVGEVRTAKGPLHSMFRKAQN PEX2_098500 MATLDSLKGALRQKAIASAIFQKQPLSDIQYSAGFDILLRGPGW MTYQDFIIPQLSQLLAPLLDSRNISVLEIGPGPKSVLGHLPGHLRQRIRRYAAFEPND LLATRLQNWLSSTSETESPLPCLESPVDIYRVPFDLNSNANSGTSASTGTHDSDEKFD VILFCHSMYGMEPKVRFIERALEMLVEKPEGGIVVIFHRDKTLHLDELVCHRTASFPT GAVRVANDDDALDSFAPFIAGFIMQDVEVDKAIRVEWREVCRALGRRQEADPDHLVFS SPNIMAAFTRHATSLSELTAQVPLVEGGKTVKNREACLQYPAAIMRPTELQHVQQCVR WALQHGVSLTVVGGGHSGHCLRSNVVSVDMSAFNNVHILTAGNSGQEAGSDAAALVVA EAGCKTGDIVRQTMAAGLTVPLGARPSVGAGLWLQGGIGHLARMNGLTCDAIVGAVMV SVESSQVLCIGHVPSQHRPAGAVRPQNETDLLWAIKGAGTNFGIVVSVTFKAYAASMF SVRNWVVPLSGDGEAQSKLHDFDNLVARKLPQSCSADAYLYWDIGKLHLGVTLFESST ARYVSKTPLSTLTAVETILGPEDGFQMVDGVGLFETEMYMSRMHGGHASSKTSSFKRC LFLNRIGARNVAEILVAAIETRPSPLSYLHLLQGGGAIGGIPADATAFGCRDWDFACV ITGVWPRDQDGTEVARAAVRWVYNVASNLLPVSSGVYGADLGPDPRDTALAIKAFGPN LPRLARLKHKSDPRNVLAYACPLPKTPTKQKLIILVTGESCAGKDYCGEIWVSAFLQK GLIARVVSISDETKREYAAATGADLNCLLRDRTYKEQHRAALTAFFQRQVRDRPRLPE EHFLNVVYGAGDVDVLLITGMRDKAPVTLFSHLVPHSRLLDVHINARKETRQARRGCH GGDADGNDTDDNNDSRPKPKPLDYHPSLIFNNDTTGNEAVKRFAEDYLLPFLDEDFQR LANMVRPVPDFPRPGVKFRHVLNIAQQPGGLALCTSLLQTHFTGDWSKVDMIVSCETG GFIYASVLASQVDVPLALIREIGKLPPPTVSVLKSTSHISSISNSNEKRIGIERDLIP RGASVVVVDDVLATGNTLCAVLQLLSEVGIADVSIMVVAEFPVHRGRELLRQHGFGVN IKSLLVFDGA PEX2_098510 MYDSYRPHPLLAQVPLTVSPFINLPTSVTLPYTYKSVPSSLPPS VTIDPSSPDGKARYVISPSGEHAAHPDDVLAACHSLEQHLNVARANADQAITAWKESI KQRELAEKRRLAPGWLDREEKLLQPSRSSASPDAQGDAHPSLLDSSSPDQGSSQLPTM VPQNDGEELDRAFGGLGVK PEX2_098520 MEAIHSSPEASSFVPLAEHQSRTPSSFYSGPPVLYHHSQRCKIV ILERELLATPALNALRGQDAVNNSTASQDQQDSDEKEVAISGVDTWVTSDKLFLFSPA ASTGVSIPYPSISLHALQRLRVPDTDAEVQGLYMQVATPGAPSAEDEEECITMTVVLP ADATLQEPVDTETDTPTQQLYNAVSACSNLHPDPVEQGDEDDEEGPRFISAEEHDGVF QLGNGDLPPPVDGSSGWITAENMDQFFDADGNWIAAGEPPSFPLGPGAGTVRAREGEN GVEENGDGDETKWRKTD PEX2_098530 MLALPAIIAIAACGAAMFIALSVASVIVWVRYRKERHTMRLMGF TQRPGRHSRVLQSYPVDTLTELSRAEGSVLRAHGQLPYGKPSEWGQLTSRESLHRLKG DSVSSLPFTEKARSLRNSLSRSRSKRLSRSSHKRMSSLGTLVTLSESNQLPSPPPQAR MSISKEDVPLSAVEGVLELPAERTPTQTPDPNEEDTGFHLGMKPVSPGWPLPVRERSS LFAVSEGRNSSGMLDSTKNFEESPSRVRGGSIASQTAGMMPGHSVPPPPPPTTFPSDR FSYARNDSVMRLSSMSLDTTNSSILDDGRNGTRSADTDLTSPIFTSGTFVPFSAADVG VKDGRRSFIATNTSIPPMHNFPVRSSSTIETRHKSASSSPRRSLTTHHSGVSSNRFGG APRRTGSMSSSNPPSRHASLRSGTPVGRLGYQNSAPSWRSSGSHMSSSGPHGNQFHNS TGYENGEMGNDPFYVGSPGGGTLFHQVRSPGNVAKTTKPPSPMQRTSLSQKSNLPSAL KGGNPHRKGHRRQNCVRISIHPPMTFGSSTFSPTVEEEPEDFDRMEELDLRDIAINST AKPLPSLPLTTASPLSSSRRSKYGSRHGKPSLGSLGPLVEEPQPSIYDDSPCKKRTRA PSALGAHKPTFENNRALPELFTSLPTSRGNSLTHTPSPERIPSAWELSETPLPSHENS PLVGSPRRSAVKGPRNQPGGMPTRRQSTCGPLTSHHTPDSPLSPSRLSLVMSITGTEG SDWRRSTDSLQRARTDATNRSFRTDRVSMSSLDNELFPISGSALYGTRRSSMVKDHVA IFEDSNQTSPPQTTVQPPIGSFRTPESSPTRGKNNIPRSMPANQQLPPHMSSHQVPPT AASPRRGMTTPTGKGLGLGLGTATPASLYDGDGFLRE PEX2_098540 MAANPITKHGLFVHNNTTAPEHPSLMSMFSLKGKTAIVTGAGAG IGLAVAQGLAEAGANVAMWYNSNAKCIERAAEIAEQYGVRTKAYQVEITDAKAVEKAV NDVVKEFNGRLDVFIANAGVPWTQGPMVDGPLDHYRDVVAIDLDGTFYCAKAAADHWR RQKEEGTDANGNKLTNFTYGSFVATASMSGHIVNFPQMQAAYNAAKVAVIHLCKSLSV EWVRYARANTISPGYIATEISSFVAEDTKNIWRDKIPMGREGQAHELKGAYLYLASDA SSYTTGADLIVDGGYCAP PEX2_098550 MPEASLTFPIICLTLSSLFVVAVYNASIMQHLGTFHRRSILPVY QHIARKLINRHPTNQNPQTHMPEAEYEPINLDTKDEEEQHPSSLANSSMPTSGYHRHG QDIALDTVNTFIEMDSKTEIDGLSMTTSYAGGPYRPQRRSIFADMADFPTRSQSPSPS GSMASSDDYDSDWSNSAVDEAVFEPHNPVDDIPIWEFDLERPIYTVGQAQGGPVAWLD EVVEWTSQGVFAFVSPDIIEQRG PEX2_098560 MGIPTQDTNRVTKRKSTPRKNWTEAVKEKIVQFFTGKPLPSRSV ADKSQSPQRAALLDALECTKCEDLHIIPYMMNADIAAGSYITSEYPPFFASKSTRDDL ISPFDTDIVAHQSNNATFWEDITPTEQHLYYNKIDTILKKAEAQSGKSIDEASVLFAH YQVDFHIRQHYLAPRSNLNICRSKDDIRALTHWQWKCAVADETALAEFSDWGVGWVHE PSNSIGHQIAAWRMGERRREQHLAEVRSRWAVIQDERQKQRMRTVNIWFKGVHVRTYK YELCRPSLTEKEIKKIAREKGRSHEAYLKKFGI PEX2_098570 MRVTEWQGLVRVWVSLVAQRLFKKYGAFGKALRPIREDSWRFPR VPICRILHGLIACDLPKTESWKFWEPWGLITNENLVMNQGGASHNREICAWRFPPPFC PRYPKSNPTLRPLEPITFVVRLH PEX2_098580 MSTKVLLVGAAGETGGSIANGLLDTGNFEVIALVRPISAQKPAI TRLQDRGCQIRKCDLKAPEEQLIEALAGIDVVISCVGPAEQQDQIPLAKAAKKTGVKR FVPCGFITVCPPGGIMWLRDEKEIVYNQIRQLWLPYTVVDVGWWYQLAYPRLPSGRVD YAMTSGNDEIIGDGNMPTALTDLRDIGRYMAMIISDPRTLNKKILAYNLVSTQNKMYE LMEELSEEKIDRNYIPEETICSRVVAARQASETYPFDPIKFIPRYLAEYQLSWGIRGD NNPEYAKYLGYHTTQDLYPEFKPTDFREYLESVIRGSAKGVYTDRVISRKHQRHFPRT ESSDSLYTRIFPRTESSDSLMSR PEX2_098590 MFPRRRPGSRSSACELGPISAEPRTPQTTPEAHQNEHALGFTDI YGSLTPRQISIITIGSAIGTGLMVGTGRALSMSGPAAIIISYTIVGFAVYLVLCALGE VGSWLPKPYTVADQAVRFCHPALGFSLGWIFWLKYAVVTPNQLTAAALVVSYWVSAER VNPGIWITVFLSVIVILNFINHRLPSRIEFYVSSFKLVVMLGLMILSTVIALGGGPDQ DMKGFRYWSYPGAFGAKAHHDNALLEKFYITCSTMSSATFAYIGSERSGMTHFPNVRK ATSRAIQNTFYRIMVFHLLAITLLGMIVPQGSATMVFSSDSSHEAAASAFVAALYLAG ISVLPDLLNACILLFVLSIADYDLYLATKAMCDLAVKQRAPAFLSRTTRRGVPIYALA VCSSTATLAYLNVRQDSTVVFGYFVDMVTMLGLLTWISILVTHISFVRARKAQGIPDK ALAFRARFGLPGTCLALILCLFISVAIVFDSFSFDSGVRTFDVKSFIASYISIPVYIF LMVGYKLAVHSKRVDPKEADLWTDKIELDSERGEGHN PEX2_098600 MDHPTTPQHQKEDFRTFITRVNVKFGLEIPLPGIESPTVRERNT SLPSQIYKHIRPLFFNNKVDKRSLINNLEEWVRGNLPLAGPNQNHVHQTDADRRASQR HHSEEPAPPLSLTGPEKDMCMKQLLALMKDEEYFLANGRVVNMKKRLAANSFGDAKGL SPKKQRFDDDEDEDENENFHTAPNSPVKGSVLAQSPLGKNGDGSLQFPEMVQATFRGS RRVSGTVNLPVNSHQVIQSPARGSVGITSPAKSQVHSSLGRNDGPIQSSGNNKETFHS PAKYSATFPPPAKAQPAQGQGFHQPLPGKVVTKSASKPQGNVPTPTRKSTSTSSLAKT PGAAQSPARNPGSVQSPSKTPRSAKSPSQSPLKQMDLRSFGFGNSFNKENSPTEEDVE FRKPTLFEKLSAASDKSKRNNMTQSANTSFSTRMTSSVFMSRDASMAKSFDTVMTDMT EPMDTQSTYADSVVGYMASEEMQRSVDAAAISMMVNADPAETEYSLKQEFIDELQSYG PFSTEESFPATIPLRFRYELERIGRAWGIPFKQILVGDRVTFNTQDDFWSWVSGLGRR YGHPLPERSPRRTWDAAVGDFKSDKHSEVVVLSGDLDWCSESEPGIFKLRLNPLKPER TCRFHRRFGSDRFLTLTIPAADQPPSHQKQPSYPSALREGIASWLTRNDHHCLGRTWR AFYVEEVKTKRKAKGEPRFRVELFAIDGDDFDHGYQLPPVVAPPRQQSDNHTPMSVDA LLEWHMPKTANANQSNCKLFQRISLGLSKTFATVKLNPTQVLRLRDDPTRTVMNDGCA LISRALANQICDQLGITTATPSCFQGRIAGAKGLWMVDRHQSSITTMDDDDMWIQISD SQLKIHPHPQEWVDPFDDEKLTFEVVNWAKPLHPVDLNIQLLGILEHGGNVKEYIAKL TRDGVQSLYDDFLEVLRSNSPVLCRALLQKLTPYGEDGTGKARRLEQWVTSDAESIIR FCEAGFAPRDFFPLRVNIRRYLTRLLERHVEELKIQVPLSTYAYCIADPYGVLGPNEV HFGFSNNWRDPQGQFEDNLLDGVYVLVGRVPAHLPSDIQRRKAVWKNELRHFKDVIVF PTTGNFPLAGMLSGGDYDGDTPWICWDPTIVDRFHNSPMPSHEYPAEHYGLTKHSVPM ASLKSTEDFLESVFDFNLNLSNLGRCTVEHEKLAYDESVNYPKAKELACLLGHLVDGR KGGVHLSEQAWQEYRKTISPKERALPAYRNPERKSKSSNIIDYLKFNVAQSELCRILS GLNEAFPENDVQNQLDDDLMRPWNEADKASKSNSEYSQDLKDVLAEVRRSIDEQYKQW NQGHSTSTSDEFSPISRQAAEIAAALAPPNTGKHPLIHTWQNSHNEWRRLVASYAYRR CPNSRFILHAFGETLCQIKASVSPSRLVTNEVIACYRVNQKMVAHLTANEWPVDEVDD TDEYEDGEAIEAMLSF PEX2_098610 MDDLEAAQALEGLRSDFGQSPRTARQSLPITSPDPPPPEPLLSL LTSSHPLISSAINGSVSAYATSKSYSPRFKSGAEFIERNIGSPVVNTVGTVGRKTGVE SGLRWALQRRDSTSEDSKHINKRRKMDGNVSPRTLNAEKGLDGSAHPPRTRSSSDLSM DETLPPYDEIRSPHYEEKPGRRTEPTWQSRLVISTSGLGVAMSDDSLRSLQYCLTWLR WANGRLGKSIVALHSAVNEWESHKARSASDIEAGGSGQESASLLTQRIQAVKADVLST LKQVVDVVSKYAGGALPENARHLVRRHLTSLPHRFQVASTSQPPPDSPAASSDATVSA HRILVLAQEGLDMMAQVSGVVNDTLVSAEHWCERLGRKRPENGASSDMPEKQDESASD AQPCDMDVKQSLPENAIQDDVSMSGMEKI PEX2_098620 MALLPAPSEAVTTGKVIHATGYRNLSADELVDLAIESHIARIVK RSLVGGDESFFIADLGQILRQHRRWTQNMPAVKCNCDPTFLKVLAELGTGFDCASIEE IRAVLSLGVDPAQILFANPCKAPAAVAFAREVGVLRTTFDNIDELDTIKAHMPEAQLL LRIYANDESAFICLGEKFGAQLDTTEELLSRAWELGLNVIGVSFHVGTGATSPASFCK AIKDARLAFSQAERLGFHPKILDIGGGFQDDCFESMAPILRDAIRSEFPASITTIAEP GRFLASSVYTLVCRVISRRRQLGSAARSGVPDMLYQNDGIYGNFMNVIMEKEIMVPYL VKSKKARGFLNKPKPGDALQVTDHRYSIWGPTCDSTDCVVREVTLDSEVKVGDWLKYK NMGAYTSTTATQFNGFSSTYDTFYVNSETLPDF PEX2_098630 MMLLERFRKLLSTLYTTTRTWLQPSHISSYEIKVQQGSYIFPAE SEPHEATIMGVPSRCSLPPDQYDAVCNELAQLAGAITEFEPVRLYVRPEEIQLAESLA RTFVKDASRISIIPCPINHCWVRDTGPVYVRDTTGAFPNRRFAINFQFNEWGGKKPED DGVCWGQRWPLMDEKALQENTEFARWVIEHDREPSPVTQIETLIRAEGGGLVTDGEGT LLITESSVVCDMRNPGVSKAEIETELKRVLGIEKVIWFPGRRNVDITDVHMDAEARFV RPGVIAYSKPHAIAIDLWKELSTEIREILDHETDAKGRRLQIYTIEEPDPRGLVKSEH EELAASYVNFYFVNGGLIIPKFGDGERDQNALEMFQALLPERVIRQVYANAIPLTGGV LHCVTQQVPAMK PEX2_098640 MIGYLGTAMTLGFMSGPLLGGLVYEIGGFYAVFGMAFGIVALDF FLRLAVVEKRIAERWLCLTDGERPSQENGYIPEQPPYGALETITNSGQTATEISKSTC ALGKLLKQPRILISLWAVIVGALVVSAFDATLPVFVENKFHWNVLGEGLIFLPGAAAA IFQPFFGSLSDRFGTRVITFASFAILAPSLVCLRFVEENSPSHIGLLCVILGLIGICI DLSEPALIVEMQRVLDDMEAGDPGVFGSKGAVAQAFSLENMAHFGGLALGPMVGGFVE FRYDWKIMTLGLGVLSAVTAMPMLWLSGPMAEVSWSESADEEMEREPLLTE PEX2_098650 MITTPLDRRDNLILAPLTMKEKRSRRARKGNALHVLVTKSKKKS FLDEIGDVLMDELYATSHHNGVCVIDHLRSSFEAPPATSSCPSSASCSSTRTLAERVS NSVTSMDSHNSLQVIHSETRTQISFSKSNKGFSITKKEHYTDKANVFSLDDLNVLTDI ERLAAHYGQVAHMGILDRSYRFFMNKSRTAGLCFKIETGVTVVVGDPLCDTDMIAELL SEFRTYRRQHHWGIAFMGASESFLRDYAKPNGWTAIRFATERVLNPQTNDVLLEISGK RITTQNRHLLNLNKGGITLGIYAPAIHGTNTQLQADLVAVYDAWRADRNSAVSPQAFI TVYDPFALPGLMTYVYTYYADGTINGFAALRRLGSGGYHIDPCVAAPGSAKGISDLLL VAAMAMLHYAGVSYLGFGFEPMYALTREDIVGMPFPFGYLTRQIYRHAFHRLPIGGKK AYHMKFRPDPIQDSGLYLVFPSSIPGPRHLLAMTHMANISLRKMFLADLRRWVSCERL KAKIDVLPTDEKVDSTKPKANLAGHPNAK PEX2_098660 MIFELLVSILQSTAFRIILVSAISTLLYEAVRAPRVYPGFSLVG VDLKDGYSRALSMAREQWSTHGKEILDQGLHQASVHTIEFYVGPKFVLPNGFADEIRN NSDFDFGAAVSADFFGSYPGFDPFALDNLIVEELADETNKAVDELFGNPETWTEITYK PKLLHLISRISSRVFIGPELCANEDWMNISTEYAVDSFIAASALRQWSFALRPIVHWF LPECRKLRANLAQARRILKPVIHKRRNENRQHRDAGKAPSKVADTIGWMDEAAKGKPY DIEVAQLGLSLAAIHTTTEMVSGLIADLCAHAEYFEPLREEIASAVTDKGWSKKALQD LKLMDSAMKESQRHHFGDIERLPDEAAMHRMAVNSVTLSDGTIIPKGTRTMVGINQMH DAGLFSELNEYKGNRFLNMRQKPGQEHRWQFVSTSPEHLVFGHGKHACPGRFFAANEI KVVLIFLLMKYDWKFTAEDSKVDKSVGQGTDTDPTAKAMIRKRKQGLVM PEX2_098670 MSHLQPPKHELPREDLADSEKNKSGPGTYNADCALETLGYTPEL SRNRSTWNVVFMCFILSSVPYGLSTTFTYPLAGGGPANVIWGWVAVCLIILCVAVSLA EITSVFPTAGGVYYQTFVLSPVWCRRIFSWICGWAYTTGQIMITLSVNFSTTQFFVAS LNVFEKSPGVGICDHFEAYHVFLIFLAITLLCHAIPAFGNKWLPWLEQFAIFWTIAGV IAIVVCTLVLAKEGRHSADWVFTHFEPLSGWPTGWSFCVGLLQASWATSATGMIVSMC EEVREPAVQVPKAMVGTIVINFIAGLTLLIPLCFVLPDLNKLIASGQPVPLTLKSATG SSAGAFCLLVPLLVLGVICGVGCATATSRCTWAFARDGAIPGSKWWKVVNRRLEIPLN AMLLGMIIEILLGLIYFGSSAAFSAFSGVGIILLTLSYGCPVAVSLILRRRQDLKHAG FNFGHLGTFCNIVTVGWTLLAIPLFCMPTNKSVTVNTMNYAVVVFMGIVLISGVWYWV WGHKNYAGPPTEGVDAYAKES PEX2_098680 MSSPSDDERHQTTIDPSRLSSDHLSPSTAVVHPETASMVAEEDP AQRDPTRSFKSLKSKPHRCGFDLGNSWTWEIGSAGLSVVGIALLIGFLISIHGKPYVN WQYTASPNTIISIIAAITKAALLNAVSACLGQLKWRVYDRSSSAPLEYMQTIDEASRG LWGALKVLSRVASGSKMGILTFSGASLTFLAVAVDPFAQQILSFPSRQVQALNETALI QSACNYTADYLGADYMRISDQQTRAINSGLSLPDTGLQAQCTMEKCSYPTFVSLGICS KCEDVTERTTQKCVGTVLPNANRTVIDMNCTYTAPYEYSVTLSLNDISDGAIFDGKIL KELGAHFWAIYSRIPSPMADFEKERYKIFDIETPIVSFISIIQQEMIPYTSQNTTAWP PKPSFTECVMYWCEKEYAPSNFSATSDPTPVSRAQKLQIVIQDEDSNWMKNTFKFVPP PDLTPLSNKSSTYHISQSLSMDLVSQLGYLFNDTKSFSAYHMMVANNLTDVVKSLSTS LTDAIRSADVSSRISGKAFRAETYIHVRWPWIILPLFVVLASTILLLATTVSTRNTVL WKNSLLPLLRSYLDVEPEDNSIALRSVDEVTWN PEX2_098690 MTEELSGNDISVGRACDRCRKRKLAIDIHLSRVRSAVTGRLLVQ IADPQDQTAKHLIVLRGKSGPGEGKIDQIGKRLSRLNHLVESLLENPARATNNTSSKH PETCVVSRPKPLQTTSASPRIADWPVRKMPDEHTDDTMLGQSSFRAQSTFAIDLARTV VGTNQPTGSNQEVQTLLDMLRHIGTAFNERHYSSRRLFPLMTAAAPLKEYQMPPIEAA VALLRKSEVLASDPDIRNTNVEESGAQMSLGSMCQKNIEIALSKLTLYIQPSYGMTLA LGLGVTYAIHVSNPILAGKQFLFWMIYFFEKTLSVRLGRSSIILDRDIDMPSSKNLQT TNTYVMAYFYQLVKLAGLAGRTYEQLYSANALGASENVRIHRALELSQELHENHAEAR DENHLWGQSTSNIDEKGQIEFIAASDEVLRLSMLTLIYRAMPPGSSSRTTFGPECITS ARCALESHQTIVRAFGMQESPLLLSAYVNWTILFTPFTPFIILFCHCIETRDKEDLYQ MHTFLKSIECACQHSRTIAKHHHLFSVFYYVAVRYTELSSPSSAMEEEQIQLRSEVDA QLSALGLQPHTAYISSPNLHQNGLKDSLTMAIERNESDWVQDPWLERWFSFNQQMMGL LDGNDLPF PEX2_098700 MVALERSYDESTHSDSTTVAQSKVEVDIGLRESNVKEELHELAR RYSSQAGPSILFPVAAGGPLDPGSEKFNARKWANAFYNARNDASSNTPLRTSGVAFQN LNVYGFGTPVDFQKTVGNIALETGTLISQLLGRSKQRIDILHNLDGVVEAGEMLAVLG PPGSGCSTLLKTIAGETHGLYVDGNSALNYQGITAEQMSKAFRGEAVYTAEVDAHFPH MTVRDTLYFAARARCPKNIPGQVSRHEYAEHLRDVTMAMFGISHTKNTRVGNDFIRGV SGGERKRVTIAEAALSYSPLQCWDNSTRGLDSANALEFCRTLRVQADTLGTVACVALY QASQDAYEVFDKVIVLYEGQQIFFGKTTEAKGYFENLGFHCPDQQTTADFLTSMTSHE ERVIRAGFEGKTSRSPDDFATAWNKSQSLAQLNQDINAYMKRYPFNSEHYEGFLASRQ RDQSNLQRAWLLFKGDPNTTVTMFIMNLFQALIVGSIFYNLPSDTSSVPRRGILIFFL VLMNAADAMAAMISDLPYKILNCIINNIIIYFMCNLRREPGPFFFFLLNIFIVTLTMS MMFRLLGSLTKTIASALAPASVILLVIMLYTGYAIKVQNMQVWLGWLRWLNPAHYAFE ALILNEMVGRHFPCASFVPSGEGYDSVLPEQRICSVTGSPPGESFVDGATYLDTSYNY LNSHKWRNFGIMVAFMIFYLVCHLVASEYVASERSKGEVLVFRRKAMGQAKALTGKDI ENAISRPIQTAQTCSDGPAGLESTNSVFHWADVCYEVKVKNKTRQILDHVNGWVKPGT LTALMGVSGAGKTTLLDALASRITMGVISGQVLVDGNPRDLSFQRRTGALLRQPAKYS NEEKISYVDNVINLLDMQEYSDAVVGIPREGLNVEQRKRLTIGVELAARPQLLLFLDE PTSGLDSQTSWSICNLLEELTNSGQAILCTLHQPSAILFQRFDRLLLFAKGGKTVYFG NIGKNSQTLVDYFVRNGATKPLPHANPAEYMLEVIGAAPGAHTNINWPDIWRQSPEYT GVQEELQRLSSNRAPTTDRTSEKYEDAEFAAPLGLQFNVVTKRVFQQYWRSPSYIYSK AFLSIGAALFIGLSFLNAKNTQSGLQNQMFGIFIFLTIFSQLVDQIMPIFVSQRTMYE VRERPSKAYSWIVFISANILVEIVYNTAMSILSFLLWYFPMGLYRNARETGAEHSRGT LIFLFVFVFFMFTTSFAFLVISGLESAEVAGGIVGLITIMMFVFCGVVAGPNSMPGFW IFMYRCNPFTYFVEGFLGTAISNAKATCASNEFLRFNAPNGSTCGDYMQNYLDLAGGY LLDPGSITNCDFCQVAETNKFLAGVNISFANRWRNFGIMWAFVVFNIAAAILLFWLTR VPKSKKTKRN PEX2_098710 MRLVDVVRNNDPEERKPSIIMLTNGSNTVCNLGHIWGNFTETYA LNAPCEKYATDGSVSETASCQCCWDSSFLSEFIEWCPHNDLSVLDISTMVDTFKIGYN ETGSDQCSILSDPSQCVSSFNLDTGASTWYNPGQLPSGYPGTAPLSDTTDAGSLTQAP DPYTFSIFPSYITVITPAPYNKKNVEATSSGTTGGATAGATTGATTGTATETSTSSPS KGAAEKLLSPVSAGFGVWGLAMYTMLCTVLGAALIL PEX2_098720 MQFTLSAVVLALAGFSAALPAESMNNGLQHQNGTPRFPVPDSLT IEQAQAKCGDQAQLSCCNKATYAGDTTDINSGILGGTLSNLIGAGSGASGLGLFDQCS KLGAQIPIVIGIPIQDILNQQCKQNIACCANSPSTASGNLVGAALPCVALGSIL PEX2_098730 MTTIAFFGATGGCANVCLTYTLLNGYNARALARTPSKLTTLLLS QPGITQEILSQQLEIIEGDATDVESIMKTLIIDSNPKNAPNGACTLVPSIISGLGGSP TMAITKESKCAKTQMRMPALPHIQLSNPHITEQTTSALLAALAKIASDRFASFEEYRA VAPRVTVISTTGHLPGNKDVPFWFRPMYSVLLPIPHADKLQMEKLLDKEVELGDAGVL AAGVVVVRPSFLTGDHLVPVCEAGEGGEGAGLDKVRVGTAKAPAIGYTISRALVGEWM FKEIVKGGGEKWVGEKVTITT PEX2_098740 MDRIDPVEDPNGNPQETEPTGQPTESGPVRPAGPTPLRSRGDSR ARRPSIRLSRFPSIPSLDTTVNQPASQSEGQGQPSFEPQPIRSPPPNEEDEAWVAGRR RSNSEPNRGRWSSPPPDVLSGVATPMRMMPVTEESSHQSPTTPSPVAIIPSRETRSDS EPLDLEQGEAPPLARPAGRLRRTSQAALNRFTRNRATTVTGAAPTLSTQEEQRQNEYG SHVVDVLDVIDPEVSALSTLTNVQNSLFVPNLGGFINRMPTYTITRPQYSSDEDQGTS TDEGEPPKDDKSKQRPTLQQLQSFTSMSSALRGPKYAVLPEGHGLDGWTREDYAELND HVRHMLHSRRSKFKRSMKGFRQYIRKPLGFLVTLYATLITLFGLAWVLFLIGWINVGG KQSYVVNVIDNVLVALFAIMGDGLAPFRAVDTYHMGFIAHYTFLSWKLRRKRALPGLK DKNDLPSKPEKDVDVEFGAMAKEDEHEFSVLDARQQLRLMHHQNKFAKSHTFYKPHET MTHHAFPLRLLIAIVVILDCHSILQMALGACTWSMENPEKRPFALTTVILCCSITCNI SGGVMIMIGDRRTRKKDVVERLFRQQLTEEAMKKMEKKKVKDERRSAQIERSSAQIER SSAQIDRPVPYGGT PEX2_098750 MSAVDALADSVAATTLTDKPETNGATPSTQAADAAAASADEGRR LYIGNLAYATTEEELKEFFKTYTIETTSIPVNPRTNRPVGYAFVDIATAAEASAAIEA LSGKEILQRKVSVQLARKPEPAEVKEGAASGGEGASGAEGRKRAGGRGRGRGRARGRG GRTGRSRAVCAQTLSLLESTHIDTLQWMLTLPKAQDGQEATEAPVSETPLADTTNEKD TASKAGEARAARPQKQRGPPEDGIPSKTKVMVANLPYDLTEDKLKEIFAAYEPVSAKV ALRPIPRFMIKKLQARNERRKTRGFGFVNLASEELQAKAVSEMNGKDIDGRVIAVKVA IDSPGKEDDDINAVAETEETVVPATAAATAPAPTEENAAPAVTTKA PEX2_098760 MSRMWEVDPETRTKLLQISKTNGNDKCCDCGAPSPQWASPKFGT FICLNCAGTHRGLGVHISFVRSITMDAFKHSEIQRMELGGNDPWKSFYDEHPVTVSEG RTFEDSTIKERYESDSGEEWKERLSCKVDGREYVQGQEKKNNPSRSSTPLSLAGAGAG AASGARAGSPAASSIRSGDSQRVGVSSKKEQNEAYFAKLGNDNATRVDNLPPSQGGKF TGFGGGLPASPANERRSSPFGGFGGWGGGGGGQPFEDLQKDPMGTITKGFGWFTSTVG KSAKQVNDNYLQPTAKQLAESDFAAQARVHASTLGQNLQTGVRGAADQFNKFVEGEDG RGDGRRNRVEPERREFWDDFSSMGDQDTSGHRRTASQRSQRSDVVGTAAMRKSPTASS LANSTPGLSGAEAGESKNTTASATGKGKDEWDDNW PEX2_098770 MSASPWNGRGSVVALSPGGALQLQRFSTTSACQDEALDRTRNIG IIAHIDAGKTTTTERMLFYSGFTRRIGDVDEGSTVTDFLPAERARGITIQSAAITFHW PPGEVNGVSSPQAGAQNEQTPRSAYPHTINLIDTPGHADFTFEVMRSLRILDGAVCIL DGVAGVEAQTEKVWNQASTYRIPRVIYVNKLDRDGAAFGRTVREVSSRLGVYPAVCQI PWFEGGNGPFIGVADAIHLQGLRWKEGEDGRTVKVADLKQLEGEEPALAAELRRARIA LIELLSEHDEDIVEKFFECEEDHLAVSSADIIESLRRCVLDQTSRIVPLFAGASFRNM GVQPLLDSIVNLLPSPPEAPDPEVSIGGVKGGLRDLLSGDLIVEQSEKASAPSKGKQP KKKNLALQTSSKDAISKLQSCALAFKVVNDAKRGVLVYVRVYSGSLDRNSLLFNTNLQ LSERAPRLLKMYANDAVEVDSIPAGHIGVVVGLKQTRTGDTLVSYAGNKATPPEPLTS LQLRPIAVPPPVFFTSVEPHSLSEEKRMKESLALLLREDPSLHVNIDEESGQTLLSGM GELHLEIARDRLINDLKAKATMGRIEIGYRESALGTSNPITKLFDKEIAGRKGKAGCT AVAEPLDEGMESQEVDQDTLLVEIYDGNQIIVRAPGLQVDRSSRSEEETSPFLPPGMD LVTFRTAVQNGAVAALARGPQFTFPMHNTRVTLTINPATDLFGNETTTSALSSAARQA TAAALQDVQFGAGTVMMEPVMNVIISIDEASLGSVVHDISSSRGGHIISLDEEVPLAG TDASSTSASALEEEALPAIDHSRVYAPPDPFETPSVGVEIPVAATRPRTIIAKVPLKE MVGYLKHLRSLTAGRGTFVMSVDRFEKMSSQRQKAVLAELRGGF PEX2_098780 MDYSTISTDPNDPAGTSPWASPRVERGTFPTSNNDIPSSPLPGQ EQSPDVDRGRIVEPQSPDLSAQLQSAQLGDPDYPEHPPFGVHHSPHIQQQHSPIPAQY HSGARQDPRPPAPVYKIQAKITGLERTGKKDPILRFDVHTNVPKFRTTQYRDVRRTHS EFIKLADHLISANPEALVPAVPSPVTPAGAGTEEDEIRIKSAMQRWLNVVLSNDVLIQ DDEVVLFVESDFGYSPVLRMKQPATGVRRKMLKQFAPPPDDTPELQAARPTAKMFYLG SMDTSHKVDRVVKARRGLGLAEADFGVKLGQMSVQETHPGLGAAYRKLGKIVQTVGDY HAVQATAEATTLGEPLSYHSSDAFIVKETLTNRHILLRELLQAQQSARSKRAAADRLK VSSSVRPDKVDEAINALEEAQNHEDYLTKRTQRVTSNLLQEKRRWFDRTTQDLLSSLR EYTLRQIEAERRTLATLESVRPDIRAIDASGGLSRLGRESHPATRRANMASSQGPKGD SWSGVPRRNDAVGRSMSGSFTAPSLPDDDDEANGQGSSKGRNRASSQVGSIAEEDDDR LDARNAASRLATSTF PEX2_098790 MAPKVFLTGVTGYIGGDGLFAIASTHPDWQFSALVRSEEKSTQV TSKYPQIRTVIGDLNSSELIEEEVKNADIVFNFADCDHVAAAQSIVKGAQHHTPERPL WLIHTSGTGILTVEDQRAGTYGIERPKEYNDWEGVSELVNLPSDALHRNVDEIILGAG QQNPASVKVAVVCPPTIYGPGRGPGNTKSIQAYLLSAAVLKRKQGFLVGKGENIWHQV HVQDLSNVYLALGEAASVGGGKATWNNEGYYLAENGSFVWGDIQRAVAQSTFDKKLIS SPNVESLDGAQTNELLSVGTYAWGSNSRGNSIRARKLFGWTPQKPKLIDLIPEIVESE AKALGLLYSAAYFQRTILAGADILCSGLLQKRLHELQPFSVSFHRRNPSRLTRPPIMA PGYGHGGKRKRIDRSWSGESGSNDLRPSPHRPGALNMAHPTNPGHQSPTPREQNDTRD RSRRQSHRSRAGSRRGSHDGQNFSNSQHREPNAMSPPTINQPREPAEPVPYNGDPATS RPTPSPAPNTPASQPQPNPPSRAGSETITRQPSLPPPPYDYEYVTDNAVEEWTSVGSQ KVVEEGTAARLQQDLARLASVYQELIRSAIYGRLSPSNAGNAVKNIIGEESVSQDVDM ESDSGKSSTQGIDPRSLFLDTLSIVTDADTSNAALKPLVFATGIDPSLMRLQLDTPLL QALGLVRETFARMGIRKQTNLLYRQSNYNLLREESEGYSKLITELFTTSNNEPPSSEV VEDTFERVKAMIGAFDMDVGRVLDVTLDVFAAVLVKQYRFFVKLLRVSSWWPKEDTFY SLEQGRHHSGIPNWALPGSTGWITTDEERSTTMRANEERDSQFWDRVREIGLQAFFEI GRKPISEAEKQRSLSENNGSSFEEDATRSWLEETGTLPPKGNRVAAQLLGFKLRFYSS SARTKADVLPDNLIYLAALLIKVGFISLRDLYAHLWRSDDTMEILKTEKMAEKAERVK AGRPGGGINALMMAGALSDDTIPPSRLRDEPRVATPGKDQESDKGTPKAENELPDPSD QKVLLLKSLLAIGAIPESLFVISKFPWLMEAYPELPEFIHRILHHSLNKVYIQFRPLS STGDFPGAQYMVTSDQNSAKGQIGLTPPPARRVLRWAQLDKEDTNDGTDYRFYWDDWA DNIPICQSVDDVFALCSSFLNLSGHKIGQDASLLAKLVRIGKGSLIQDGSDENRTRWR DLCKRLLLPAVSLTKANPGVVNEVFDLISFFPRDVRYNMYAEWYSGQTSRLPDIKEAF DQARAETKDTLKRLSKTNIRPMARALAKIAYANPGIVINVAMSQIESYENLIEVVVEC ARYFTYLGYDILSWSLINSLGQKGRSRVQEGGLLTSRWLNALSTFAGRTYKRYSVMDP TPVLQYVVEQLRHNNSTDLIVLEQLISSMAGIISDNDFNDAQIQAMAGGDVLQSQTIL QLLDKRHESKTTSKRLLKSLTHTRLAGQLLVGIAQERLTCVYNETSSELKLLGNIFDE IHRILTQYLDLLRSNLSVDDFDSFVPDFSSLVTEFGIQPEIAFWIRRPSIARKITDIE ESKQEKERSASASKSNSDSKMDTTEDEEASPKSEGTPADSAMDVDKDETGITVPIEGH DAILVPAGNAEPLAANPVMQELIDDVKTALPAETWETIGAHFYATFWQLALYDVHIPQ KSYEDEIDRLKRRVVSINSDRSDLSVAGTTRKEHLKRQLTQLQERILDENKNHLKAYG QTRSRLQKEKDKWFAGMRLKHDALNVALLEQCFIPRLLLSPLDAFFSFKMLKFLHSSG TPNFRTVGLLDQLFREQRLTALIFLCTSKEADNLGRFLNEILRDLTRWHADKAVYEKE AFGAKRDLPGFAKNVDPEGVPVMFLEFEDFRRLLYKWHRLFSNALKSCLGSGEYMHIR NAISVLKAVVKHFPAVNWIGRDILNCVDHLSKNDERDDVKIPAASLIGDLNRREKKWM LPQAFYFVAAQPGSQASADAAGKPGTPQSATTPLNASAPEFNPTGSSISDGIAKQEQP SKAEVEDGEIEDAKMTDVGTGKGGEVKLTRTPSQAGSTTPSVVTGDTSQDATSGEQPP VRSRPHSRAPTSSRQPDIPKRPDIRQQVHPPVRPPPRHSDGRLPPRPEGLDDRRDRHP DFGPRGRHGGPDHTRSFDGPLNDVRGRLNEHLNERDREFPMRPPADDLMRGPPRDSRS TRENGWPMDRPGRMRGPGPNDSFHGRDPSVRGELMPDHMDRPVDIPRRGDQSRPEKDD RRPYPPRPLSPPRPADLPNRPERFPPPDDRRPAGFPSSSRIDDLPRGPRTDRQADPRD TAAGPDMTHGRLRQPEAPSDIPAGPRRRGGRNIPGQAPPMLSSSNATLPAPERQAPTG PARQSERRAPEQSAPPTQPAGSTSAPGIHPDRLRNLVNEPAAPAAAPSGPRGLGPQQP PRGPSGQSGPPGQGFGGERGRGDKRFAGLNNMLQQSGGGGGDRGANTPPVRGRGANRP PNNMEAPSPQSANRPPMGPAGPQEDLSRNRPTSSRGGDLIDDAVPEAGRSGPTSNRNR ETEPTREKEAERRDGSSSGRNRRDGRRNDRERSRRSDVGGGGSRDEKGTGEPRETLRR VQSSREELRRRDRRDRPDGPSEMTGPTPNTSETHEGEGRLRPPSSMEAPPPPPPPPPP PMPEGNERRFNSGDRGSRSDNRDRERERRGDRRDRDHQREGGSGSGGSGHRKRGRQGP DDGTDGGRGMRMGNDNKRPRRGA PEX2_098800 MARIDVEKAIEELTLGEKVALTAGKSNVHSQIYNPKTNLIPPGR DIWHTESVPRLNIPALRMSDGPNGVRGTRFFNGIPAACFPCATALGATWDADLLSEVG ALMGDEAIAKGAHIVLGPTINTQRSPLGGRGFESFSEDGVLSGTLAGHFCKAMQEKGV AATLKHFVCNDQEHERLAVNSILTHRALREIYLMPFQLAMRICRSQCVMTAYNKVNGT HVSENKAILDDILRKEWGWEGLIMSDWFGTYSTSDAIVAGLDIEMPGKTRWRGEILAH AVSSNKVAQYQLDERVRNVLNLVNWVEPLGIPEGAPEKALNRPEDQALMRRAAAESVV LMKNEDDVLPLKKDGSLLVIGPNAKIAAYCGGGSASLAPYYTVTPFDGVSAKAKGEVK YSQGVYSHRDLPLLGPLLKTADGKPGFTFKVYNEHPSAGGDRQVVDELHLLESSGFLM DYVNPKMKSMTYYVDMEGTFTPEESGVYDFGVTVAGTGQLLIDGELVVDNTKNQKRGS AFFGTATIEVIGTKELKAGQSYKVLFQFGSAPTSDLDARGVVEFGPGGFRFGASRQVS QEELISNAVEQAKTAEQVVIFAGLTLEWETEGYDREHMDLPPGSDELISRVLEVSPNA VVVIQSGTPVTMPWAQNTKALVQAWFGGNECGNGIADVLYGDVNPSAKLPITFPRRLQ DNPSYLNFRSERGRVLYGEDIYVGYRYFEKSDVAPGFAFGHGLSYTSFARSDLRIDTV PEQTKYTESGEPVTASVTVSNTGSVAGAEVVQLWIVPPKTDVGRPVRELKAFQKVFLQ PGESKTVQLVVEKKLATSWWDEEREQWISEKGTYQVLITGTGAEELRGEFDVGKTRFW LGL PEX2_098810 MAQRLEEGQQDPLHIFSEFDDIERQQLIAKMIHDDPIFSYVPRQ NLYALWFSDINVLRDMANARTEEQKYMRETDLWRFSIYDIAGVASEGQSTEASLRKRT RSRSPTVPSPESTSTVRERDPWSPWDECGTKEEKKEIVGVSLSESGIRDGYQCVVTKR GLPLIESAYILPKKLNGVQAQYLKRSNCWFWLRGFWDQEKVDKLHSLLISEGTMDMER LYNQITLETQVQTYWYHAMCALRPIWVSEDRTEMQIAFHWLPLKENLPGAAEHVRSDF VPIMENPYQDPKYRPRESPGRNNIIFHTETVAIIPSGYVFMVKTDNKKERSPPSMELL ELRWHLSRIACMQGRSEHEDGDYESDGDSVFV PEX2_098820 MERNLSAKATKIRHRLTFTRSNSARNLESTLASPIEDSPSSSRP RSATNPESPTYDEPTWDSRDPDPVRGYTFTDEPGYFRPASPFIDRQEIEEDLEADIKH ACAMLSHNIDRGIPTGLSYGSTVPVSTDRQNPAGQPNVDAAPSSLKQNVILLSAPKPI SLKAESTKIHDSGVGMSLNSPSQPGRTYGNSVSRTNSSTRFYNKQPSASPPHSSSSGS RSRSHSLATTIEEDNQMERSYSSSPAPFPYSPPQFNSKWPSKPTTLDSPVSSLNESDT NTNANKPDTFSPAISPQEAPSLGGTDRPWIHASRDIQRFTDEEKPTATQAKNPKPVAR FYSSCNQTIGEFNPCEWPTKNFRENRNPSIYSEFSLCSSLGRGTVSRLETGNSRLGGS TNEENLPGGYPYQTFAGPNRGMSYSASYLGDESKHKERVYSVAMASSPPKNNRRKKAS LLLRKLTGLGTRKEDNGNEVC PEX2_098830 MAETSSAPTAQYQRVDSQPENPFAQLIEDQSIAIIPSFKLESGV TLYNVPVAYTTRGTLAPTGDNVLVICHALSGSADVADWWGPLLGGPGQAFDVSRFFVI CLNSLGSPYGSASAVTYKDGKAENGYYGPEFPLTTVRDDVNIHKLVLDDLGVKQIAAV VGGSMGGMLTLEYAFFGKDYVRAIVPIATSARHSAWCISWGEAQRQSIYSDPKYDDGY YAFNDPPSTGLGAARMSALLTYRSRNSFESRFGRNVPDPTKPRNINGTEKLPTPPNEH WAIHNDGHRGGTTSRSESRQGSPAPVNEADVQFMDPQFSGTKTFAPEVDTKPKLTASG RPRPPTYFSAQSYLRYQGDKFVKRFDANCYIAMTRKLDTHDVSRRRGNPNTDTEDTVL EALGRVEQPALVLGIESDGLFTFAEQQEVAAGIPNSRLRRIDSPEGHDAFLLQFEQVN RHIVEFFHEVLPDIMAKSGADGAAAVASVTKLTKSSTFGEAEVEDITAW PEX2_098840 MVKTKKSGDTLSSRLALVMKSGKVTMGTKSTMKTLRSGKAKLVL ISGNCPPLRKSELEYYAMLAKTPVHHFNGNNIELGTACGKLFRCSAMAILDAGDSDIL SREA PEX2_098850 MRTLILFASLGFAAAENGLNGWLRYASLPCSGHCHSDLPSSIVT LNVTETSPVYVAGTELQNGLKGVYGKSLQVTHNKCKTSSSVIVGTVDQYREICGAVKD IPELEEDGFWLDTKGENVQILGQNERGALYGTFEYLSMLAQGNFSKVAYASNPSAPIR WVNQWDNMDGRIERGYGGPSIFFKDGQIIDDLTRVAEYARLLASIRINAVVINNVNAD AALLNSTNLDGVARIADVFRPYGIQVGLSLNFASPQTYGGLSTFDPLDASVIEWWSNI TTQIYDRVPDMAGYLVKADSEGQPGPQTYNRTLADAANLFAKEVQPYGGIVMYRAFVY NQLNESIWTDDRANAAVDFFKDLDGEFDDNVVIQIKYGPIDFQVREPASALFANLFNT SMAIELQVTQEYLGQQSHLVYVAPLWKTILDSDLRVDGQPSLVRDIVAGKRFNRKLGG SAAVVNVGTNTTWLGSHLSMSNLYAYGRLAWNPADDAQEILQDWIRLTFGLDRKVLDT ITRMSMESWPAYEQYSGNLGIQTLTDILYTHFGPNPASQDNNGWGQWTRADQTSIGMD RTVANGTGFAGQYPDEIAAMYENIETTPDDLLLWFHHVKYTHRLHSGKTVIQHFYDEH YTGAETAQTFLTQWESLHGKIDTERYNHVRHFLDYQSGHSIVWRDAINDFYYNLSGIP DQAKRVGHHPWRIEAEDMKLEGYKTYTVSPFETASGSVAIVTTSNSTTGTASTKINFP SGTYDVAVNYYDVYGGQSQWTIYLNNREIGQWVGNSEDTFSHTPSIYLDGHSTIRVKF RGVKIQKGDTLKIVGMPDGTEPAPLDYVALLPGGIVD PEX2_098860 MSDYSSLKVADLKAECKKRGIPQTGLRLKQHFIDKLVELDSQTN QDTDEAAAPAEESTESQPSAPDAVTQEKSQPEQPENEQLEQPRENSISQPEETTAIVS VSDQIQDGQIQADESAQDEAVSAADVKSQKVEEVAKPVEEAQTETTAPGNQDPAAVPD QPPMDEAAQVAKNEEPIKPQEKSISTAQISEVDTGKSTPLPVEEALEDTRKRKRRSQS PAPTLEEIANRKARAKETTPRVLLKNDEGLSDLKSEGQKATEEHTDKQPIETQADSHK TPTKQDARFRNLFPPAGASSQPASPPRDITMQDADITPALHAATSALYIDGLMRPLQP TALRKHLASLASTPETSDSDAIIDFYLDAIKTHCFVSFTSPAAASRVRSAVHGTVWPN ERNRKNLRADFIPDEKIKEWIETEEKSRDRAGAAARWEVRYETSDDGTTATLAEVGSA PSGRRESGFNRTPPLGPRRDIEHFGRRPSNAPLAVPAPPSRPGQGFKPLDELFESTTT KPKLYYLPVPRPVADKRLDQFDELIQKGTFPRRGGDEMRRITFEGDDKFVDIGPERFG PGPRSGPGRGRGRGGRRGDSWRS PEX2_098870 MAWPVCNTTETESIEEVALWDGGITFHVLALLVGGACAIIACVV SLALITLHATHYSKPTEQRHIIRILFMVPVYSLVAWLSIFFYRDSVYFEVLGDCYEAF CISAFFSLMCHYIAPDLHSQKDYFRGIQPKAWLWPLSWLQKCCGGERIWRTPRSGLTW FNIVWVGVFQYCVMRVLMTIVAVITQAFGVYCEASLSPAFGHIWTIVIESVSVTIAMY CLIQFYHQTSQDIKQHQPFLKILSIKLVIFLSFWQSTLISLLVSEGAIAATDKIALND LKVGLPELMINCEMAIFGILHLWAFSWKTYVLTNQSSEVTDFYGNGKSAYQGGRYGIR ALIDAMNPLDLLKAIGRSARWLFVGRKSRMLDPSYQPQNESLGLQPSQEGRELTQHGT VYLGAGTAVPGSRSGRYGNTPYEEGEVLLANAQPNPEIALTGTSPYASDHDDHLQNPS RSSRFYEQSPSPYQDSSLHSHDNLHSDSPVHPYPTDGPLREQVPMPMPDPYHPPPPYS GNHHA PEX2_098880 MDAFVTGEARPLRRGESSHLPQASTSNQPNMTASTNRINYYSVP HQKFLYIPLQPTVMEPPKCLVSQKSSDSGLHIQLHPLVLLTVSDQITRHVARQQHGPI IGGLLGQQNGREITLEHAFECPVTCGLNDEIILPAAWFGERLQQFKDVHKNPSLDLVG WWSTAPSAGPNDAHLPLHRQILQDYNESAVFLAFHPSQLQDSSSKGGKLPLTVYESVH EGETAPDASKDMQVDGEEPVLNIRFRELPYSVETGESEMIGIDTIVQASGTASLNATQ EPTKLAQKTEQTESNKQSSQAELSQEEEELIASLSTRLNAVRTLESRVSLIKSYLSSL SEADFSSDRSKDNTSATKLSHPILRNVNSLLSHLSILSPSEQSTFTTEVLSQSNDVLL ISLLGQLGDNVKAMRELGRKSAVVQTARHVTTARKDPAMLQRSFNEEFYGQGGRGGPG SGMYQ PEX2_098890 MSVPSTFDSVRSHLAQVQENPSTALDIPLIDKLKLQLVESTDPV VPATLLSQISVLLPILQEDPTPITTLGIRATAYFTFTDLQSIDPPINLVAGFKAPSPP INLLALSLLAKAGQKYSEAAVVAGDSDLVAALVELWLSTTSGEVAQTALDTLWALLEV DVANHLENEEYENTGDEGHTGQGLLWRRVFTDKDVYGLLFGLCSLQSDAPGGLSKRER TLAQGRLMTLLVKAGKLRWDIISTSQVPEIETKYQSSSLLHFATCHMVQVSDVLMHMT LLNFFRELLEIDGPGLVARSSVQSTSTFSSPALDFLVEHKLHSKVLTYYLDESKLDSV DLLYLSGPIMAYVARYADMYPNHLLQNPSTLLDGIISRINRSLVIPTAQWAHGEVPTG HLAILASLPRVLLVDAGKHGANPVLAIPTNPPNGEALDVLAKIFHGPQRAGLSDSMNL NTSGSTPTDWDREAAAARILYFLYVNQHPTFWDNVVGAADVLVMKDIALSAINFMKAI TTANWKPSPSAPANTNPSRFQLPSEEGLGQLSPATNGFFPTSGAWAVLTPPALTTLLP YLFKPPRSYADFVGGGAGDSQSVVWKVATAKHDVLVALHSRLQETDGQVEGFEDIMRT LQQRVNEGPWGPVQSGGAQVLTAGL PEX2_098900 MSRRGAPKGKKPPGTEFTWDADPGGEPDTAPTPLYPKYTVPFAR KLSPAEQTQVDYYRELRESFHEGPYYSVLDASSSNAKKGSAARSNFDAFHGMPTYSGR YQKKRRTLPKITGRPYFLKFFPRELWQTLQPNFRPDASLDGYQAQASAAGVKRGFEDE EDEAGPAKRVAGGEDEDEGDADADEAGLLDGDDEQEEEILDDDFSEDDDEMGGDYNAE QYFDDGEDDMGDEDGGGGGDDDF PEX2_098910 MGDTQTPRPLKRSVLILYGSETGNAQEVAEELGALTERLRFATH VSELNQCKPESLFSYTLTIFVASTTGQGDFPLNARSFWKSLLLKKLSATFLNGINFTC FGLGDSSYPKFNWAIRKLYKRLLQLGANEIYPTGEADQQHPEGLEGTLLPWMTDFRKH LLDRHPLPAGQHPIPDDVQLPPKWKLQMAGENGSVPIPQAAVANENQAFTDEYPGLHH LDHDSRPIPHTLCAKLTENRRVTPQKHWQDVRHITLTVPDFVSYVPGDMIAITPKSSS IDVQTLIDLMGWNDQADQPICLVPTGDIPSPPPIPNLDSYPNLTLRALLIDYLDVKGI PRRSFFSTIAHYTNDERHKERLLEFTNPEYLDELWDYTTRPRRSILEILHEFDTVKIP WQHAIAVIPAMRARQFSIASGGKRKQTADGNTQFELLIAIVKYQTVIKRIREGVCTKY LSVLRPGSTLQIQLQPGGLKSSVQQLTAATVLIGPGTGIAPLRSMLWEKAALVQAYRE QNPGVSPPIGPTILLYGGRNRESDFFFEKEWTELSKLIPLQVLTAFSRDQQRKVYVQD TVRENFPLFFRVLHDMQGSVYICGSSGRMPQAVREALIEAFQHGGAPSPGQPFTRSQA EGYLIGMEQIGRYKQETW PEX2_098920 MINRLDQPAPAVISNDTKDISKVNIEDADDTLQALENYQSQLGM PLSPEEDRRILRRIDICLIPVLFLSYLLQYLDKQAMGYSAILGLRTDLHLVGQDYSWA SSLFYFGYMVASGIVALLIIRLPVGRFMSAAMGVWAAILMLTVLCKNAHGLWVARFFL GFTEASIAPSMSLIISMWYKKSEQPMRQSAWFMGNVFGGLIGGLLGYGVGHISGIAAW KALFILFGGLTFVWSVICWFAIPNSPMGAWFLPIEDQVKAVERVQENMTGIKSHHIKR YQVIEALLDPKTWLLALFQFTQTVPNGGVGSFASIVVEGFGFSTLDTLLVQMIGTGFQ IVFVAISAIGSTYLKNTRTYWMTFNTIMSLIGTVMIRQINPEHIWSRFMGYCLIVAFS ASFPLTMAMITSNTAGFTKKTTVTAVVFIAYCAGNIVGPQIMFAREAPSYSSGFAGMV VCFAFSAFITIILRFYCIWENKKRDSMQIPEEGFGEDGEPVGLASLNLTDKTDREMHQ FRYVY PEX2_098930 MHLIKGAIPQDRAITYQQKARQWLKSFGNNELDYENPETWLAKN LPVQSTINTFSAYAVAHEKFMWDARMEAGVIEAFSKIWGTEELLVSFDSLNITFPNRK DVSRMGAWEHVDQSPFRRGMHCVQGIINLSTAGPDDGGLVVYPGSHRLVDEFFDTQSD SSTWSTKDLFWLDQTKLDWFKARGCSPHKVCADIGDLIVWDSRTIHYGSEPAETSQTI RTVIYAAYSPARFASTETLQCKSEVFSRYGGTTHWPHDNVVVRKTFAMLPDGTRDPRD REEPLEPPQISDRLLNLAGVKSY PEX2_098940 MSGLRILVPVKRVIDYAIKPRINKAQTGVETAGVKHSLNPFDEL SIEEAVRIRERKGPIKVEDILALSAGGPKCPDVLRTAMAMGADRAFHIDVPDKGDGGL EPLTVAKMLQAVVKDQNVNLVLLGKQAIDGDQGQTGQMLAGLLGWPQATQASKIDIKD EAGTVEITHEVDGGVETLRAKLPMVITTDLRLNEPRYASLPNIMKAKKKPLEKKTLAD LGVEDTRRLKTLKVTEPAPRKGGGKVEDVDGLVSKLKELGAL PEX2_098950 MAPNRWEKTKSISKKGFDKAWDTVDKLGGPVNRLSHKLGAEAFW PMTLDKEADKAARILRSFCKDGFYAPDSSTGTDEYGKINRPKGKQRVIQKIPTQVLKN AKGVAIFTTMRTGLWMSGSGGSGVLIGRLPETGAWSPPSGIMLHTAGIGFLAGVDIYD CVVIINTYEALDAFKKFRCTLGGEVSAAAGPVGIGGVLESEVHKRQAPIWTYMKSKGL YAGVAVDGTIIIERTDENERFYGERISVDQILSGKVRHPPRELQTLMQTLKAAQGDSD VDESLVPPPGETPGDMELTEDGQPPFGVPAVDDPDPYGVKALEAEGLFIREAGTKVRP SHETFEFRPNLDSPIYSTFSRRSMDSSPRNSWRASVQSARSYASVDRGTQTEDAPLTE PTSVSRASSRSAKGSSIGRPPMNTWIENEDPHWDTIAIHGEEEQVKVRQLEGKEAEDR KAKAKACEDAHEDPEFEDEDEHYEIHEVGNATVTPRESVPPSPTKVEDQTTDGETKPT SPSFTRARLVTIPARTPPALPPRHPRHVPASGSSRASTSPTGRSYSSHNTSPTDVTEA AENLEQLDKSPHALPSSEKAKTSPEVPVTSDDEEFVDTKSEPVAEKDEFQSANGESEI EDNIITLEDHTATETTEKGSKTNKGDEQEVEPEQSPRLEKSPDVPKLAPNIEDTPEVE ETLDKIEAKLEAEIEQPEGQKSEKSYSHVLADREEHEESPGGTKVGSTDATLPHARAQ PEX2_098960 MLALKFVLLILWCLPQFLVTKISVASAAVGMVSTMALLLLSHYD HARSLKPLSLICLYLLGGIIFEIAQVRTLWLFHPISYSIAAISTAALVIRSLLLVLET SENRETLSPQYGHISPENLTGIFSQSTYWWLNGLFISGFRDELSLDDLYPLDKELLTK SLTSHGDHRWKEKPTRRLALFRNIIYDLKWTVAAAVVPRLCLIGFKFAQPFLINDLIN YVSDNGSSELSGVKYGFIGATVLIYVGIALSTALFKRQSIRMVTMTRGSLVAMIYEKT LRQASGRCQETHSTTLMSTDVDRIVTGLLNFHELWASPVEIVIALVLLSRSVGYPSVA ALAVALVSVLGSSYLAPQMRQWQKVWVQAVQERVSFTSVILKELRQIKMFGAESDIGL KIHRLRESELRQSKPYRSMIVGVNVLGALSTALTPVTIAVYAATKLKLGLETPSTDIV FTSLSLISLLTNPVVLLSVSWTRFTSATGCFDRIQEFLQKDSRANEVLVRGEWVAPEN ASGTKLNSVSAQSRPLVCMADCSFSLGLDSPAILHGITLKMQYASYTAVTGPIGSGKS SLLEAILGEMYLREGSLSVSSVKMAYCKQNPWIFNGTLKANIIGESHADEKWLDEVVH ACDLGIEATTLPLGLDTVAGNSGAQLSGGQKQRVALARAIYARPSLLVLDDCFGPLDT VTSRTVFQQVLGRAGLARRIGMATILVITAVQHLKEADNLIVLSKDGRVEGKGRFDEL ARKNEYIQSLSCSISSEDNSIEEESEESVSRLEEVQEVKQKARETAERETVPNTPRGG GDISLYTYYIRSFGWWVFGLTLVFASLFVFCISFPQVILSWWCASAPQKNYTYLGSYV GISSIAVIAMGAFIGFSQDMSLLDMQLPVAFGISLQNVLTCIAQGALIATGSGYMSVV IPFCIVAVWTIQAFYLRTSRQVRLLDLEAKAPLYAQFLETTEGLTTIRALRWQQGFAD QNAELLDKSQKPFYTMYSIQQWLQVVLDLLMAGLATVLVTLAVFVSKKTSSGALGVAL VNLLSFNATLTSLITNWTQLETSLGAIVRVKQFVTDLQLQPARNEIACPEGWPWEGRV EFRKVSASYGGHVSTPVLRSVSFSLEGGQKLGICGRTGSGKSSLLACFFSLVTITSGQ IFIDGIDISTLPEEKLHSALVPISQNPLVIPGSIRENLAMGITSTIDDSAMVSALKEV GLWYQIRDHGGLSANIDATNLSNGQRQILCIARAVLFPGRIVIMDEPTAGFDEHTERL ATGLLREKLKGRTIISITHQINTVMDSDLVMVMNHGTVSELGAPQELLSRRDMFWQLY STKTA PEX2_098970 MAGLIALGICGLVIYIAAKSIYRLYFHPLSKIPGPKLAAITSGY EFYFNVIKGGTFIWEMERLHEIYGPIIRIAPLEVRIKDSNYYDEIYASSKRRREKSAE SVARFDLKDAAFSSISPEDHRKRRSHIEKHFSKQAVSNMEYLIYENIDKLNHHFKRAF EFQKVISLDAGFAGLTSDVIHKYIYGFNSGNLDHDDFNMSVRDGMNGLFKFSHLLFFF PVFQTILNSLPLWLLEKLDPFVHALVSQKLDLLRRTEEFLQNKPSTSKSRSVMEVMCG PSMPEDMRSAARLNNEGFAMIIGGTETTTRTLVIAAFHIVENVSIKTKLREELRTVMP TPESRPTCRQLEQLPYLSAVVSESLRVSIGIASRSARAAPNEALVYKNHTIPAGMLVS ESNYFVLTDPEIFPNPHTFDPDRWLRAAAKGEHLDKYMVNFSKGSRICLGINLAYAEL FLVLAAFVRRFDMELFETSEKDIAFARDFGTPHPDEGNCRVRAIVTRLME PEX2_098980 MPSDNHVKAGLSLIEDKSKVLGLTIGTHENVQPGTWFPRKAAQE PPKLFFAGANPTSTYMVVGLDIDAPFPSFGILGPILHWIQSDIKVTSEGALEFDAPFV ANYIGPAPPPGSAPHRYIFFLYEQPADFDPTAYAPADGKKLGNWNRMRYDLDAWAEEI KLGPLVAFNYLTSN PEX2_098990 MTSNKPVDKPVDKLVDNLKGLVIGASGAIPGYQHAEIKRMVERC GAKFASMNIRECTHLVTTVGNVKRKLKKINRAREVEGCELVNIDWLIKKIKKNTPKGV QKEILQHEGKKRQRDASLGDDEGNPSKKTKDEEQINLKRLIDLVDEKYPTPSSTLSVY QDDTGLIWDATLVRHDVKRQVEVLRIQLLVDRKSQKFHTWELSYQFESSEESTSIGDV GSFDSAKHTFETKFKSYSCLSWEDRHAASRSKGWVFLEMHDREIPILSSEASPLPASV ENVLKIIFTSGNLNNYVNLLHNHGRRILLGTTVDKKRLLIGTAVLGKLMELTNPLPTL DHNYIKKRLCKIYESLILNNGTLSDANDTVRQELESLDLLLKLRDASEILEKKSHSSS LAMSQITQVLGLATMIPVKRNSTEFKMLQEYIEKTSSPSHSYQFNASHEIIGIFRLQR PGEAERFAQWEMANFANIGDRRLLWHGSVVSNFAGILSQGLRGDGIVSIAGKHFIPGV FFADMSTKSAGYCRQQGEALMLLCEVELGKSSAIATRCVGNTVHREWRDAGYIHSDLK GCLVPDVHAGTKTTRESSRYYHSEYVAKNPAQPGICETTPGVRSYSGYVHLPPGSLDD VNVDQDYPINTFFWFFEARHNPKNAPLSIWMNGGPGSSSMIGLMQENGPCLVNEDSNS TELNPWSWNNYVNMLYIDQPNQVGFSYDVPTNGTFDQVQGAWNLSDWVGVPKQNNTFY VGTTASQDKSRVANSTENSARSLWHFAQTWFTEFPHYKPHDERVSIWTESYGGRYGPS FTAFFQEQNEKIKNGSIDTPGESHYIHLDTLGIINGCIDLLVQEPTYPVMAYNNTYDI QTINKTVYDHAMDAWNRPGGCKDLITHCRALAAEGDPQMHGNNETVNKACHNADRFCS NNVEGAYVEYADRGYYDITHKNPDPFPPSYYLGWLNQHWVQGALGVPINFTQSSDGAY NAFKSVGDYPRSDVHGYLEDLAYVLDSGIKVALVYGDSDYACNWIGGEDASLLVNHAG ASSFRSAGYTPLRTNSSYIGGQVRQHGNFSFTRVYNAGHEVPAYQPQTAYEIFYRALF NRDLATGKINTARNSSYTTEGPASTWHIKNKASDSPDPVCYILALESTCTEDQIASVV NGTAVIRDYIVVDEKLE PEX2_010640 MPLRNYGLWKATPVSYIVEYEEDDNKSPHLSLYFRDKGGLTHHS GHKSRRAGKGNKEIPGLFRAAINIKSGDKKESRLAYWVNHDYKNHPIVNSLIDLSPGF HPLEKTEPNPVGLRLDYIRSNLFNLKTGRILPHDVPGSNNDMIDVLEPEVKQAIDSKA DIYLFGEPFGDRKGIHNVHMNQGNVEKFANDDGVFQDGGLLINYPLSGRWVGVFIGFA SQAVHTDNKTGHAISSETWGDYLGRKKRGADLTEDSVIINEALMRPESNDPRARRRSV ILTNPKDHRISLASWKIKNSAGESQTLPRNAVLDAKSTQAFEIPDVHLSNLGDTITLL NEQGLKVDGVSYLSRQGFDRLPVAFAH PEX2_010650 MPPETFISRSPRPAIRTPIFWANAWTIAETGTQAGFDWTIVLGI LEDVFNGVEGSSLIVPKTSDSIPKKVVVASLFFEPDFWTTATGPWKEM PEX2_010660 MPEYHQQTPIDSSDESQIYLTYEAATSQLRRKLTRKGKEERFQY QLISIVFNLIAITQIILGAAITALGPSAGEHVLAITILGALNTSIAGLLALLKGRGLP ERLRRNSIEIAKVLDIIQERTILLRYGNTQTSNDGISSHLQEAFRAYTSAQQIIDGNQ PDTYTVGKKNHNSVAATDMNGSSSETTVTNEASGKRRQIDEEMGNVHAV PEX2_010670 MTIISINGNNLDPQAQLPVLRALHLDSEDAKRSDYILVQSAEPL SSEQEEEIEQLGVDIQQYVSKNTYLCGFKGTDLEAIRRLSYISWVNVYLEMFVIQSSL KSPAETTTLSSLPTVPKNRISHTVDLLLHKDVDPRSARTAWEIAAAAHADPDTLDTGS SKIRLSVQNQYLEGLATLDSVKSIHPVYPARLFNNRAIEILGSPFQINGTQYEGEGQV VAVADTGFDKGSTVDTHPAFSNRVNQLYALGKRSGKSDDPDGHGTHVCGSVLGDGFSS TMGGRISGAAPKASLVVQSLLDRGNGLGGIPSDLNLLFSPPYEKDGARIHTNSWGSSA SRQLPYDPPGNEIDQFVWDHQDMVVLFAAGNDGIDADWNGIVDPKQIGAEAAAKNCIT VGASENYRPDIPITYGRRWPSDPIGKDLMADNPGGMAAFSSRGPTLESRIKPDVVAPG TAILSARSRNLVNAETSYGTSQDSGWWFLAGTSMATPLVAGCIAVLRETLIKNGAQKP SAALLKALLINGAVELPGQYVPSESGPSPNSNSGFGRVNLSKSVILPSQADSGYREGG PLAQGSSEETVINIPNEEDLLRAQGRSASSTHSNGVLKVSLVWTDYPGATLQNDLDLI VVSSDGTEKHGNMGDKPGFDRSNNVEQVEWKNIPYGNVKIIVRAYHIFKRVYPQPYAL AWSINRPSD PEX2_010680 MEKVDTYQPVGPKDKTVPILRAFYAYLPENGRVNFLHHLQSLQT NQQLHDHAQSLIDGLVAPLRSLRSTPSISPHLGMEDSIENVASESAGPMRREARLKTE CLARDGSRCVVTGLFDEDSLDTSNNSPSTYTDCVHIIPFSLASWSTESEGYAKDIIWT NLIRHFPTIQAINFNRENINDTTNAMTMSSELHRSFGRFDFSFEETSQLHKYRIQNYR PRLRLHLPRTVQFNWYDQRYDLPRPELLKVHAIIARIFHASGAAEQIDKALRDLGEHC VLAKDGSTDISSMLAATTLGVLGSRAGNVQQTRAAFPDSKTSAQSVQKNVTNVDRRLG EEQATYEEYPLRY PEX2_010690 MEVEGVATANLSWDLSKGPVPPPLQNKLVDHCLLELLQYANGSN HAILRLVFKHPQVGASSRAPANTQGVRMELEVQDEAGTGPVEGQLVVKTFTYTGTHRN AAVSHMLPVKGQKAVRHFINIAQKVKLTPCDFNSLNSDTVGCRDFLSQFIYHLDRGNV LKLPNDKATSVYDLFNWKYSLSGATRVPTVIYYAAFNQNYQHVNIPGCVYPAAAGAGA AGAAGGAKWAKGAGAAGQ PEX2_010700 MKDNELSTDARIIKLFEPFTLSSVMVVRIACSGLALEGDMVLKL FDRRFATQLRKDEKLRPWTSDIERDYHQFVLDGGASQFITELNNNGDIAQQGETWNSS QDEAYLYDHLSDLYETEVQVYDTLRDMQGNDIPQLLACVTIPGCSLVEMEPASQYIDV SGILLQYIEGFPLTDIADHTPRECWQSICEEAIQILHRIGDHGILNEDVNTRSFIVKK DTRAESGYKVVMIDFALCNFRKDYVDDVDWSEGKAIQDEEGAIGLIMQDRLEGGFVYR RSNRYKKPADYYE PEX2_010710 MSCPECFNGHVHQGTPRGEITTLHGLKAYTTKPSNDVPHRGIIV IVPDAFGWEFVNNRILADNYADKGKYLVYLPDFMNGHAAPVSMLSNTKELLRTDGLAT WLIKPYYVACVMFQIIPFKHHNSFTASWPIVRDFFKSVRENEGSELPIYAAGFCWGGK HIVNLGFGDDTASNGKPLLNAGFTGHPSYLDIPIEIEKILIPISFALGDKDMALKPPQ IKQIQQVFENEAKSRTGEVKVYQGAGHGFCVRADLVLDDASKQADEAENQALAWFERH PEX2_010720 MTFNRPLPISGAWEHPDAYIEALLRFSTTSVLFMNLCGGVHMVD FLTREPDLYTEILPKDWIYFFEQHDIQNIIRLLLREDIDHLRGAGEPSVDQSSRTWNG GEFPPQSLLEYISNVRLLTLQREMCISSSKRIDLPKQVAMHMNRKKVHEVECFSQHVA SLSDTVSKRRGEPVTHIVDLGSGQNYLGRTLASSPYYKHIIAIERKHQYISGAKGMDV RAKLAKNPNAQYFHKDKTSSDGHNGTLEVTVSEMTDTSQPTIEASQVSDVPFFEMLGE ISLQPDELLRSPTKSPLREKLPKPEIHTRGTLSYIEHEIQDGHLEPIIDHIIYPPPAE VSDEKGKEESDPRLMVVSLHSCGNLVHHGLRSLLLNSSVVAVAMIGCCYNLLTERLGP TTHDLPILQSLHPRLKETGTSYDPHGFPMSKYYENYRSPGATSGMKLNITARALAVQA PYNWGVNDSEVSFTRHFFRALLQRILVDRNILPRPSMPEEAFSDGTYPEARTNSIIIG ALPKAAFKSFTAYIRAATIKMSRDPIYGSQVQEHIATLTDEEIHCYETQYLHARKHMS VVWSLMAFSAQLVEAIIVVDRWQFLREQDSVKDCWVQPVFDYSESPRNLAVIGLKQ PEX2_010730 MKFPPQPATVYELDQYGNQVLHSKASATRDRISKRNPTPYSLNG TQHRSRKAQDWIQGKSTCSGSLNEMVRAYGQNPTSHMTIFTSSQDTDSERNEVPGCRF RKVSFLDETKVIGFSAMNGNQPCIHLTAFITKNDEYHMDPKIYVEQRIYEGMHDKIVV HELSFGGRIQVDKEYVFRACGDEDRILGKRDLRLRIVSLEQESFDNYLRGHTTYYGYD IKDYIYVDDESISKESPHTAWRNMSHAPYKISLNANDLEILRKAKQEDRETVDVLSGE DIELFRLHFAGAGHTTEDKMSAGDLDLRVIYRP PEX2_010740 MHRRNSKDQGPYPIPSNSTGNGQLQLAEGETIDLGAFCEWSNQI AALEPSLVEVSGLTNTTTSLPPSILYNSFFQRFEPILERYNNEFCKIPLTSDLQMNPF RYHKTIVSEPIFLVHAVMALAGHHVESPSAHNHRHTALKLLRESLNVSGNLEDGFFML DAIIILFSFDETQSTHGYWSTHLKGAYALIEAYGGIEAWTTSARAEAQIGLLLWWDAI TSLLSREECVFPYAYVEAILSNPDDREWNFFELCGCPHSLVTLVIQVVRLGAEKRQSS SMRYVTFDALAISQIEQDLESWHHISPAAFVVGSEEDIQQDQDCMHCSEAWRHGLLLY IYRVFQWELGSPIPMHVVRRARVIVDHVVACRDEAMISRQALLPLFFAGCEQRDPSTR QNIMQYCSVWNDRTRYQMFGSAIPLLEEVWAEQGMKGFENVWWGHIVDRRHNSTSCYP LQMRICFG PEX2_010750 MTTSMQYFSSLEGTKVTLESPPELLEIWQIVKKLGERTSASTER DIADGLGPGYAAGKFLCLSTSSKAQAKVAFMRIYKQIPIAGTEFQNDTMRAAQAVEPR EHAELTALKAFKEQDCDIVPKLLGKLVRFGYRPQFPGSSKIIYNWATGNMHMSGFRRT VRIDTNKKWDDRIYVNYTLALVSTEMDAYFPVKSTDVYHDDKGWRFSRQESLTRSAIP AIMTPPYLQRQQIAPKADGSTADLGNIPITLDELEIDGYPVSGSRAEGPCFAQEIVIV RWDGEDDPEDPYNWPIRKKWQVTGIGLLASFVCSLNGTILTVAHTAIGEEFHISDATF PNSYWLTTSWAIGAAVCPLLLFPVMEDFGVRPVLLTTYFFFVCFLIPVGLAQNFATLV VVRFFSGGCVPLISDAVAGITSNVFHGDRARSVPICLYVTIYLAATSLGPVVGASILQ FLPWRWIGYIELIWTAALFPILVVGLPESRGPAILLARAKRLCREGKNAYTTEKNDQV TLPRLILQSVQRPLYMLCTEGVVFVATVWAAFSLGTIYLFTQSVEQVYGELYGWDPIQ SGYIQVAIVVGEILGCGFCISTNSWYYASATRNTEVPGTPIPEARLHSSIIGGFFGVT GGMFVYGWTSYASMHWMAPTVGLAMVGFGTTAVIVSNANYLIDAYSKYAASALGAVGF VENISIAFLPLAAMAMYTNLGFQWSSSLLAFVSLALVATPFVVLKWGKEIRSYSPFMQ EAIVERRRGSATASSV PEX2_010760 MEIDKKTPVDDTINEKDVQIGTITELDEGEVFLRQHGFNQEAIQ ALLDDEPRNKALVRKVDLVLLPLLSGTYMLQYIDKSALAYSAVFDLLSSTHMSSNQYS WLASIFYFAYLVAEYPWNILAQKTKLAKVVSGNVIAWGAMLMITAACSSFTGMAICRF LLGVFEAPITPCFMLMIGMWYTRAQQPFRAGVFYSCNGLGAMVGGILTYGIGQIDTIA VWRAIYLILGGITLVWGVVMLLFLPDDVISSKSFTLDEKALLIGRARLGQTGIMNHKI KWYQIREALIDPQVWILFFFTLLNEVVNGGIASFGKLIIKGLTDDSATAVALGIPFGG FQIFYVLGGTYLASRIRNFRTVVMFIYLLPTILGASLLWKLDHKTYKVGVLFGYYIIG AYVCSLVLALQMPATNLGGYTKRTTSVALVFLAYCAGNIIGPHAFLAKEAPIYQTGVK LILACSSAQAALAVLLRFVLARRNKQRDAAAAAVGQLESSTDQSAGADLTDFENPNFR YVL PEX2_010770 MTADTKPPSVTLVQGHLVGTQLKDSFPQTVDAFLGVPYALPPVG DRRFRPAVKVSSSSDTIDASKYGPAAPGKALLSGGPKLVQSEDCLTANIFRPAGSNDT GKLPVAVYIHGGAFNRGSATMHNTASMVAWSEHPFIAVSFGYRIGALGFLPSTLSQKE GLLNLGLRDQVHLLQWVQENIANFGGDPNNVTLFGLSAGAHSIGHHLLNYDEHTSPLF HRVIIESGAPTSRAVRPYNAKVHEDQFADFLQEVGCPADLAEAEIFPFLRSLPSLTVT NAQTAVFDKYNPSLRWAFQPVIDGNIISRKPLEAWESKAWNKVPIMTGFNSNEGTMYV DKKMSDASQFREFWHNLLPELSSSDLDTIEKLYPDPAVGSTSPYVETREGEGLGPQYK RIEAAYGHYAYVAPVRQTAQFASSEGAPVYLYHWALPRTVVGRANHADNMYYETYNSA ITGISESQKELSGTLHAYLTSYITTGDPNAVPGRYGQRPEWKPFQPTDTKVMIFGQGN DELIGGDIAPPAKCVADDWAREETEFWWSKVPISQLA PEX2_010780 MGFAAGLSSLVLLALIAAPASLAISVQGSSPGVDLGYATYQGYY NNTYDLNIFKGIRYAAAPVGKLRWQAPQPPPVNRTSIQSATEQPPLCPQSGAAKLPEI YGFNSALGNEDCLFLNLYAPPGAKDLPVLVWIHGGGYGLFGAEYDPSEWINTNDNGFI AVMIQYRLGAFGFLSSEGVHKNGKPNAGLLDMRFALEWVQEHIGKFGGNASHVTIAGE SSGAGSAVLQSTAYGGREDHLFNNIIAASPYIAALHQYDGEVPTSYYQDFVARAGCYN WGNISEAAVFDCLVNADTDTLQYASANVSISGAWGTWAFQPVVDGDFIRELPSKQLLK RKVSGKRVLSGNNANEGVPLSPPFVNTTAAFLSYIRSTFPLFSRGDYSRLMNIYGVQT SSPQDNATRYDTLGDRGPTALNQSEMATGLQQTVFDIYAESVFDCPSYWLADAFTGGH AKESWKYQYSVTPAYHGADLTAYFSIGAITPSHGLIRAFQKIWGNFIISDTPVISIIE ARGGADNSTVPEGANGEISWPRWNGSSPLLMNLNTTGGTMVYDKVTDHLSYWLQEGPG VTNEFSLADALKWEGGRGKRCEFWRSVGPRVPA PEX2_010790 MEILPEPNAHPSELKVHEVQYTMADSTIASLTTTSKSVISAENA QEILVEAETPETTETPNSKDTTEVKSELSLADGSRTDEACCSNTEVEESVSTAIPDNT KGQASIVFVDTFGTRWTFPYEESKTWDGTKKLVKAVFATYSTEWLADYLDEDDDLGET FSITKTKPEQSNVLPNYWESLVSPGWEFKLEFTFDVLSSIRRQKRLAEEEAAEQADKE AKETDVVEELSKVSYVATYLAPDSDGDYQRRNTKRLNDKTIFTKVSDIQIPKSVIEEH REVYCSNKYATDPEDDMFDTISSPVLYIHSPILLNALNAIIDCQSRPDRIPRVEYRVE GIESDLGQGRLVYPFTDLHHYRERLLQYREEVGEVHDSEYTTTCQEHIDILVEYLNGL TTIGLENAELLWSNDVPKTTFTSLWLLLKPGTDVFVQEQGKLNAYVIESFSGGVQWGS PNARSRPYIVNVWNLNFDGQILSRSVKEVSISIFDGQREITSLPLFPIKFHVDEDPQN PLRQQLVDRGKRFVKMVKTPTFQEYSGPSRLQGNRTFKQTRVVVDHTSQPWRLNEIAE EKHAHLPIAEVYNVELGQRTREPKCLCKTCQSNSLRQHGIQRRIFDDYDNIDLSSVST LTDHQYLLCWSHVYAYVLKDRVWDVLEVSNLTEPKIHKGIIDTLVMKPESNKQMIKAV CEIFGGTYKQAFSSDFIQGKGEGQILLLHGPPGTGKTLTAESVAEYTGRPLLNITAAD LGHEPIPLEQNLLRFFRNARHWNAIVLLDEADVYLETRSAQDLRRNSIVSIFLRALDY FQGILFLTTNRVGSFDEAIMSRIHVQIGYDPLDEDSRRQIWDGYFKKLSKNHNNDGQE IRCSYDAKEFVRKSDDLRALRWNGREIRNAFQTAVALACFQAKQEGNPIPELTDDHLR QVVNMSHNFKSYVKAVRGKEEDYAYAAGVRNDTTRSSGRSDERLKNVDIPNF PEX2_010800 MNITQEFSRPDLVRRFTAELEADSDLASQVKSYQEDLDTEVNAQ EKLRMDRIYYGQAPIESSFKGLELARQTLQSKCDEFFKSLDEKLEAEKSKSGISRLLH RNATEQKLASHIRQRGELTVNQVTSIINEVEAQWTESHSKAATNFVKICQRFDAHKQI FQCFPSQTSYTSALCGAITMVIQASVNYSTIAEKLSGYVVELSESIAVCTQWLDLYDN PAMQERLSDIYTQYFHFFIKVATWYMKPKPSKWLDSFNSNFTTGYEDTTEMIKRSIRL IRDQAMVENAWQLNRLDHSFSSFEKRLAAWVNEIRNEKNDAGGTMTTLMLQMTERMGL WEERFERMQLQGQNDTGRIMARGATPINESEIAEIADVPRGLSRSKAKLVCQHLQPHI DQMGGSDGIRLALQTGRLVAEPSIIQPLGNWAQATSGNALKLWIISPFETGPQTSAQL AALGVIWTAIRARAQFVSYICQRPRYGTIPEFHNVEDKAGVMGIVYSLICQLLQFQPL DDKVYLQPEMLDQLTQPGERWTTGLTLLRYLLENTPTLRYCIVSEINLLEGGARDMCQ EFVDLLFAHARNADWPFRILFTTSGQSRALDGTVEKESKVMSHSTFRQMKGRLVYRDV QMSE PEX2_010810 MNTFYTTNTATRREHENLFRYTSGRWLWDEEEQLCDRYKAFNIT ELQNLAAKAIEADGCVSIAKLVEGGFNKVFRLLMDDGKTDLARIPNPNAGPSFYTTAS EVATMEFARTVMKIAVPQVFGWSATAQNPVGSEYIIMEEATGSQLGTVWGKMTPDLEL NIMRDIVSIETKMLSMSFSQYVLV PEX2_010820 MAGFNPVLKINTMSGMERRFPTYGIPALPPPTSPPQHQPPPIGT PFLSYNETPGNSIRPSNDPRVSLSPRDPYNIESPVRLPPIHQATAGPPPPPHHVHRLS DPYPMNWTLPGREEALHDPRALPLHRSAGPVFNYSLPHQRSSSITSATMDPVPRHLGP VEFPSPIQMASGNSPSMTRVEPPSTEAEGHDKRPIKRRKMALDDMVNG PEX2_010830 MSGSPPSKPSEPSAEIKPRGHPSSPIVTAERSIVPALELSQGPV NEPLPRLGYNEQGPVYAGSPLPGAQGAPSCPLPTLDPTHTTGRSLAQKSTRRTKAHVA SACVNCKKKHLGCDPARPCRRCVLAGKSVSVEKFP PEX2_010840 MPRRAASPAESEVEFDITKSLFENDSDSDGGAKPPPKKKTQKPD TNQDLDFLNEDDGDAAFIANSQASANRKGANLKGRTVKKGGGFQAMGLSMTLLKAITR KGFSVPTPIQRKTIPVIMDDKDVVGMARTGSGKTASFVIPMIEKLKSHSTAFGARALI MSPSRELALQTMKVVKEMGKGTNLTSVLLIGGDSLEDQFGMMANNPDIIIATPGRFLH LKVEMDMDLSSIKYVVFDEADRLFEMGFAAQLTEILHGLPTNRQTLLFSATLPKSLVE FARAGLQEPSLIRLDTENKVSPDLQNAFFAIKSSDKEGALLHILHNIIKMPTGETYIG GRLRQEAENPTRKRKRSDVRLPSGFKESPTEHSTIVFAATKHHVDYLYSLLVEAGFAT SYAYGSLDQTARNHHVQNFRSGISNILVVTDVAARGIDIPVLANVINYDFPSQPKIFI HRVGRTARAGQKGWSYSLVRDKDAPYLLDLQLFLGRRLVLGRQYGTDLNFAEDVVVGT LPREPISRTCEWVQKVLDDDIDIYGQKQVSQKGEKLYLRTRNSASAESAKRSKEVVSS DHWAEIHPLFNDATSEREVERESMLARIGGYRPHETIFEANNRRGGKVADNEAVDTIR RLRTSVENKRRRADAKAEAELAALGMPTPGEDGEVEDEDIPEQAGENMSEASDDELEV TFSAYNSKSKQGEKKDAAAAFQNPDYFMGYTPQHNDMAEDKAYGVHSGTNSNFASDSR NATMDLNGDEGKRGFGEARSIMRWDKRHKKYVARQNDEDGSKGSRLVRGESGAKIAAS FRSGRFQAWKKGKRVERMPRVGEAETPTMNNINSRMSSGRFRHNQDRAPKRADPLRGD YDKMKKKNDAARERQHDKLGGAAYGGKSELRSTEDIRKARKQVQQRRDKNARPSKRR PEX2_010850 MDGNYNNYSSSFGGGGGGGFMPGETNSPAGGKTGDRDNKTLRPV TVKQVLDASQPFPEAPFQIDGADVANVLFMGQVRNISSQSTNVTYIIDDGTGECEVKK WIDSTTADNMDTDDGKAPGDGKTELQLNGYARVFGSIKSFANKRYIGAHSVRPLSNIN ELHTHLLEATAVHLFFTRGPPGSAAAGGNAAGGDAVMGGADNYGGGQNKALASMSLVA KKIYNLLKTEPQDDTGLHMQVIASKLNMPATEVARAGEELLGAGVIFSTMDEQTWAIL EY PEX2_010860 MARNIRSVDSAEHQSPFSRSFTSSSPIAASAIARDLADYSDEDQ TPTDEASDTSTARPPGSYTSTNPRSLAGSYRRPSYFTSVSHGTVVPWTGEQQALSQSE REQVIEEERHLLSDNHVIAPEHAYGKSHGLQRKMSGLLSAAIGQGSQSRRPSGSSRRS ISRDPETDAASEITALLGGGPADAEDSEMDLEEIDRKWGEAVAAGLIQTTWQREAKVI MKYSLPLMVTFLLQYSLTVASIFTIGHLGKEELGAVSLASMTVTITGNAVYSGLSTSL DTLCAQAYGSGKRKLVGLQMLRMVYFLWLITIPIMVLWYFSEHILAKIVPEKEVAEMA GLYLKIALLGTPAFALFESGKRYLQAQGVFSASLYVLIFCAPLNAFLNWFLVWKLQWG FIGAPIAVVITENLLPLCLFIYVYFFVGSECWCGFTRRAFQNWGPMIRLALPGLIMVE AECLAFEILTLASSYLGTSALAAQSILATISSITWQIPFPLSIAGSTRIANLIGATLV DAAKTTAKVSFWGAAIVGMFNMILLSALRSYIPRLFSSDPEVVEIVAQVLPLCAAFQL FDALAANCNGILRGLGRQEVGGYIQLFFYYVIAMPLSMGTTFALNWGVMGLWTGVALA LALVSLTEAIFISRANWNRSVEEALKRNELT PEX2_010870 MSPTVTQVGVVRASFNPLINPVHSKPVLVEEHIESQHHIFLSRP YFGALLFENNSSDARDHCANERTFLSWLRLSMYLAVVSLAIIISFHFREQPTGLERRM ALPLGIIFWLLSLTCLANGFANYSRTVKKYARKAALVQSGWKTQVVFTVVGTVILGSC ILFLSTDPAQ PEX2_010880 MSATTTITSPPEITADNVATLFPEVDTSLAREVLPTAQTNTARG SELEGYDEEQVRLMDEVCIVLDNNDRPIGSASKKICHLMTNIDKGLLHRAFSVFLFDS KKRLLLQQRATEKITFPDMWTNTCCSHPLGIPGETGAELDAAVLGVKRAAQRKLDHEL GIKAEQVPLDKFEFFTRIHYKAPSDGKWGEHEVDYILFIQADVDLEPSPNEVRDTAYV SADELKAMFEQPGLKFTPWFKLICNSMLFEWWGHLGTPALDKYKNEQEIRRM PEX2_010890 MAPAPIDSRIVDVVVPKKDTLGLPGPARERLEKAGVDLSDGYPY RPSRPLYIDDVYNVRDYDRAHVDPGSRADPEKKALLSAAKEVIPLTRHIGTEIVGLQL KDLTDQQKDELGLLIAERSVVFFRDQDISPQQQKKLGEWFGEIEIHPQVPHVPGIPGV TVMWPALQETERPASFRRPGGASRWHSDLVHERQPAGVTHLHNDTVPTVGGDTLWASG YAAYEKLSPLFRKLIDGRTAIYRSAHPYLDRKNPEAGPQHVEREHPIVRVHPATGWKA LWVNRAMTDRIVGLDKAESDVILGYLFDVYEKNPDIQVRFKWTPRTSALWDNRITIHN ASWDYEGSQPRHGTRVTSLAEKPVFDPNAPTRREKLGLLGPEEVAELEKLASLLELK PEX2_010900 MSESIRVAVIGAGISGVVTAGHLLAAGIQVTVFERNNASGGVWL YDKRAPIEAQYPSPRPSASQEYVKDAREGSERKILLHAPPGPCYESLTNNVSTPLLET KLNTWPEGTGPFVKHHVLKDYIQDTSSKAGVDNITKFGARVTRVHKDGPTWTVHWTTL SEGQDPVENGESATFDSVIVASGHYHTPLVPNIRGLPEAKAQWPEKIFHSKSFRRSEG FKGKNVLLLGGGVSSLDIATDISGTAHHIYQSTRNGAFDLPASALPGNASRIAEVEAF EISTSTSASTEHLPLTVHLKSGETLNDIDTIILCTGYQMALPFLDEYNDYGASAAEAN DKVLVTDGTQVHNLHEDIFYIPDPTLAFVGIPFYTATFSLFEFQAIAVAAFLSGTAQL PSTESLRTEYENRIKEKGLGRSFHSLKDQEQPYVEKLIGWVNTGRVERGLSLIEGHTQ TWITERVALGERLKLILEGKLSRADVLKSPLEVGVTA PEX2_010910 MSLKSPAEADIDPATQIVQKEPKRKWVSYIWDTFDKSPEERRLL FKLDSAILTFASLGYFIKYLDQININNAFVSGMKEDLGMYGNELNYMQTCWTIGYVIG EIPSNILLTRIKPRYWIPAMELLWTVLTMSLSRCNTPTQFYVLRFFVGLAESTFYPGM QYIIGSWYRKDELAKRSCIFHTSSGIASMFSGYLMDAVYHLGGRGGFKGWQWLFIIDG VISLPVAISGFFILPDEVNLAQTRMKLEGRQNREPYTKSKLKKIFTSWHIYLLTLLYI CFNNGAAGSQPIFQQFLKNSKDPVYSISKINSIPTTTPAVQVVTTLAYAWISDTVLNG SRWPPVIFGACVNIISYVSLAVWDIPMGWKWTCYIISGAGYGLSGLLMAWAHEICSED NEERSLVIGSMNEMAYVFQAWLPLVVWQQVDGPEYRKGFITVTVLSVILIISTFGVRF LHKREKLRKGNQTQEAETEDSSSDSLGMTPIETKHIKS PEX2_010920 MNQNLVPSITSPRREDIRSRETSSVYSSPVSPSSQGGISPIGLG ISRCEIENAFGQLRVFPPTPTLPSQLIPGAPNPTFALSYDDYSSGTCYQPVYNGLINS TSETSLGFYSPPAMSASPSYNSTMEVVPGQNVFPSQMTDIWMHTPCSGPTTPSDVTPV TVANGAAGQWDQTVFPDACISSTMSLLPVNNISYLGAMGEEIGYDASPGQIINTGQVV PQPRASAEGTDDVANLSQRERGSSNDRLVSASGLECPICGAKFTRRSNCKEHQKMHNP EWKNNHPCDDCHKTFGRSSDLKRHMNTVSRKTKGGQEADTNAVL PEX2_010930 MSMDSHMDHTEIPVIETDEPLFDVLPKLFNFISMAVGDMAYTFE QMLYGSQGHRLRQLVHALADDTHNPFIIVALMILKWDFANAAEDDWGLNESRGAACEF VAWQFLCHLNQRETIEFLLEELPTPRRGSANIIEFGAGSSGLASIQGDTSQTEGETTP LLPNSSFYRLFGGKRTTETQSSGTSQDTHRHEETYAAQRYSKFFGLNAMEIATIAHAK RFLSQRVVQRVVDGIWKGEIVFWDSLTVNSTKKPHIFNTRTADPYSRLRVPLYRKIFE AAFFMSFLFLYYAVLVERRQEAVGIFEAVMYVWIVAFAYDELSGIIDAGVVFYQMDFW SLWNLSIIGVGIAFVITRIIGLAKGNDPIIELSFDILSLEALFLVPRICSLVSLNSYF GSLIPVLKEMTKAFFRFFPVVVVLYVGFLTTFTMLARDRLSLSTMSHLLVKVFFGSSV LGLDTASEISPIFGYGLMLTFALMTNTLILSSLISLMSMSLEGVMRHAREEYLFQLAI YVLESSNSRRLTYFMPPLNLIPLLCIRPMRLFLSAGTVRRVRIVLLRATHLPFIALIW AYESKWRHSKRQTSLLPPMSARGQGTSENPTGPRCQDPHHPSLIETNRLGPGKAPSVD HQAARELDMGQVGQVPQLADLIDTIERLRTQVEAIAQQY PEX2_010940 MGGGRFMKSIRARQPNGLVFIKVIMKPFPTMELEPYIKNIMRER KLLSDVPNALSCQRILETATSGYLVRQYIHSSLYDRMSTRPFLEHIEKKWIAFQLLCA LRDCHSLDVYHGDIKTENVLVTAWNWLYLSDFSSSFKPTFLPEDNPADFSFFFDISGR RTCYLAPERFSVAGEEPSESGVNWAMDIFSAGCVIAELFLESPIFNLSQMYKYRKGEY SPEHSQLAKIDDPDIRELILHMIQLEPESRYSADEYLNFWKGKAFPEYFYSFLHQYMS LMTDPSSGRTDVDAESANRGEADERIERIYLDFDKVSYFLGSNSRGVGALSNAPVSKL TGNTLPVRLDLPNGETQILTPQSQSDEGALIFLTLVVSNLRNTAKASARIKACDILLA FAERLSDEAKLDRILPYIMVLLTDRTDTVKVAAIRTLTQLLKMVQVVSPVNAYLFSEY IFPRLQPFVAASSSKSSAMVRAAYASCIASLAQSSLRFLDMIQALRSDTRLAALIPVG FEPRWTEDATYHNLYDVARVDLLEYFEVHTKMLLTDTDASVRRAFLGSVSSLCVFFGN LRANEVVLSHLNTYLNDPDWILKCAFFEAVIGVAAYVGSLNLELYILPLMVQSMTEPE EFVVERVIRSLAAMADLGLFQRSTTWDLLNTTVGFLGHPNIWIREAAVKFVVNSAKFL SMADVHSILTPIIRPFLKINIVVFSDTQMLDALKRPMSRSVYEMAFLWASKSEKGVFW KSPNREGMFSLSEPGSFSPRVGQRNPSYNMASQSRNDEDEQWLGRLRNLGMGPEDEFK LLALKDYIWRVSLRQSRETDVHATPPLNDIVSLTQHGVKPQTVFFDKEIKDQSRAMSL DVNDGNVEDPRPRTIADALLDASTTIERMPSAQRKHLRSKSQLSKETRRASHVPILDG NDTSSLSSSPVASSPAGGPSSRPLSPPNFDKDQRLSSRRPSPRQDGSLTPNNTDTKSL SDRVHKKSSAMSLLSRKDTAKAYAETGTSSANAFGKVDAPVQRGGTPRPLTPLLSNEH KTTQIMSQKYRANHSYGGNDPAVLRLLDNVFEENYPTDLFDLGPYVKDVDTRQPIRSA TDQEPSKIWKPEGNLVATFGEHSAPINRVVVAPDHSFFATASDDSTVKIWDTTRLERN LTPRSRQTHRHATGSRVKALTFVENTYTFVSAATDGSIHAVRVDYQKVSQTAVRYGKL QIVREYQLPIAEDGSPEYAVWMEHFRDEGQSTLIIATNTCRIIALDMKTMLPIYTLEN PVHHGTLTTFCCDRRHHWLLVGTTHGILDLWDLRFRVRLKAWGLPGWGTINRIQLHPV KARGRWVCVSSSGSHGNEITVWDIEKFRCREVYRATPLGVGNNGPNGAHHPSRAPHAY PTLVVPSDYEAWRVDGDRPEGMLSRFATNGPEPGTPSSNNPAGDRLGIWAFAVGLNAP GEDKDSNTKCGYIISAGCDRKIRFWDLARPDLSSIVSGLDVVSDGSASGKPHYEQSQP GPSLLVTTEHLPSSSNTGSSRDTRKGASPRPPRSTVISLQQQMLLKSHLDIIQDVAVL RVPYGMVVSVDRAGMVYIFS PEX2_010950 MVHSLRDHGSFVRPSTRDRPTTRGEGENSLVVPSRTSSLHSRIT QPIPSTLSIKPAQRTPKTLTHAYMVCGVGREPSQWVKAPAPAQGKIGHMKGAVGQFWL PEILGSSPRLEQDNEIARALHSAMRACFPHDVEICTGKSQPHCAHHAFVLQQDSSHTL YGIALRVWSRADEKRAETIRELRKKTEPDFYDNPDETYWIPYCLSFLSRYPLYDLLGD YLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGFQN FAMWPLFTCLSIPNIVGVIEAAVSPTRRIIFVSHYPAMLTVAAETIRYCVRVYEWSGL YVPVVHARHVKELVQEPGPYILGITAECRTLFNAPSDALVVDLDRNFVLTSSPPNVLN QGQRTKFINRMTQSLNGDVSPSGVPPHLRTAYAGGKLIPAGQIIVMRGEVESIEEPQW WNQDAVMGVMDHVCEKLGRNTGMKAIFGGSVKKPLMTKVSMRHLNEIVRERNQYSRDA MEAWQDFINLKGRMDTELSKVTKRNNFLVEELETWKQQFLKFQAFAEQLTKETSELKV KIETHKRESRRLTGLIDQQKDDVVRLTLRLSGTEKQRDDALEALVLQQEIAEELERER KRNQKEISALSHTNATLAREREDAQRVVMHLRSLINGQSHHMEHIVRSIGSRSEITEM VEQGYEDAPEELKEDVSVAESKESIRTAMDVNGHNTLVNDMKPELEQHLLNLGNDHKT LARLSITDVADRYLRDKTDAIADIIRSISDQCAAAVEGLHLAQDAEDEEEAENSKLHQ NDRLGSDYDNMDGRSTRAPSEMSDADNSSLHPDNRHSSIPPTPELVHNRSSTSMSMIS SSTFPERSSQQYGPGDIPTRIVEDDDEHAHETENVDDQQTERGTLSKQNSEDLMRPTP TRVI PEX2_010960 MSAAAKQRLQALSKQLVEGIPSEGTFEDIPKIRHVADDSAGPYS RVKDKVVIVTGANSPNGIGRASAHQFANNGAKAVYICDFSDTHLATHKRELESLYPNV DIHVRSFDAADEKALSTVIDEAVSKYGRLDVFFANAGVVGQPKLFTEIDGEGFLNTMR VNALGVFLAAKHAAPAMKITSASKPYPGGSIIGTASVAGLRSNAGSTDYSASKAAVVS IAQTVSYQLAGTGIRMNAICPGLIETGMTQSVFDRARERGTERKVGQLNPLQRGAVAD EVARVALFLGSDESSYVNGQAWAVCGGLSAGHPVVPGKLA PEX2_010970 MQKRHSGSSHTTTDLTSFPSLSPPDKSPTLARGLTNTLFSGENG EESNGGGTGRGRKATLANLTTGPSHKSGRAALFADSVPTHDIPGALHLADDAHIERLV AGTGAVKMVRQFARDLAQRDAEISALRQRADARERELKRMLRDVSVSNQDIERRLYQL ENPPGDRVSDAESTHSSDYANQTHSGLNGLMSQAMEDTVGSRAHGGAAEAQATIRAQR SDNDSRSSGATDNRRRQSSIRGWQEYLFGSTNTSRKTSRASSIMSDVGELGEEEAERP RVPSNPAMRRKALDEQLFQPPDGPARNGLAQRIASTSGNGDDASIHSRKSSRSLGSWT VKLFAGNPQSSREASDAESIHNKTKPQRPDGDRSASSTLSGNSKGSMSAVAALKKINS NVGMSTTGRSASGSSVQGKMNPPASRRAAAGSVSQGVASETTDRGSTNLGPVEMDAIL PMESKPPTLTPIYNNSQPGEFLTDRFGFIYDQHRKKRQREANTTKSSIHRLSITETLG TLRTDASDHEDDPEITKLRQGASEASRSLPDSPDDPDSGAVAIRRWQDYLRIPSRPTE LLSHTPSAGPIVSLTTAGENRSHSSSVSYDKDGALAVGSSAQPSASTSAITADSPEFS GLSSDQLKDTASRFTVAEMEPVKLLLEQLTDLHDSLQRDRTVRWNEFLRKVRAERRKE GEAAAAAATSDRSLAAVATPEVSLADGEVVGIAGLGNKGKVGRAKWREFRLLVLGGIP VALRAKTWSECSGASAMRIPGYYDDLVHGVGGSDPDPSVVAQIDMDIRRTLTDNVFFR KGPGVGKLKEVLLAYSRRNPEVGYCQGMNLIAGSLLLIMPTAEDAFWILTSMIEIILP QHYYDHGLLASRADQVVLRQYISELLPKLSAHLEELGIELEALTFQWFLSVFTDCLSA EALYRVWDVVLCLNVTSAVNASGSNSSSTKESNDKTAKVAENLASGSGGGSTFLFQVA LALLKLNEQQLLTTCSTPAALYTYINHQMTNHAISIDGLIQASEALRNVVRREDVVAR RAVALQEMRELSSGAGN PEX2_010980 MAAENTPPDFVNRMEKVPAPYLSEQYGYSKDGLSCAMIAWMLDL NVRAAIKKREFQRTYQRMCLYIKHMERLMDQANIKYDAYTGAEDGRRMKIARETFKPQ LKARFMTTAPYPVTAPISTDGELCRSVSDAQTFEYKLHQLEILRYMERIDGPALTDFE TSTRQPRPETG PEX2_010990 MNYERMSAEIPTLYRLSRESKEADLLNGLLGWVRNDTFGKVAEV CALWGAIVQANKHLAKVDRTLQARTIEHEHFNLKEVLNSELKQAKEMMAAIPGGRRAT TVTTDEDFSLAIKRLKYLREYK PEX2_011000 MDPNPVGMLDVPVDWTLQAGFTYAPTMPLPSTTSTSPGIHGLST PIAPSARPISGPLTPDSSSAIGGDGYLLDIYYNYFHAAHPILPPHRLLYRSVLPTYLE QVMKFIGAHFTPAASSHTYRSTVVPLVQEQNVSVEKVQALLLLAIVLHSDNERGEAGV CLAAAVDSAFELGMHQGTFATLASNGDPIRAESLRRTWWELFVIEGLMTALGVQRVYR TNQVPLEVPLPCEERIYHDALPPPPSPTIAQFDERVFAEEERDFSSYCYRIESIRILG RVVAMQDMTEGQQDHVEALDARIGSWGHHLPESKEELLRPDGSVDEIMFQATMMLNGA AIYLNFPRSDLLSSPAVAAEVICGHHGPISVPAFSHNEHAMKAAKAARELSRLAAFRL PVIKHTPFFICALTLSSIVQLATCSVKAGQMPDPSRDRLALTIGVFKSLARTWAISQS IMRQIKAVARDVMDLGLRPTMDSLDLTTVLDNSQFWVDQSPS PEX2_011010 MISDDDLYRLAIFLGSCAMMMIVLYHFLDVNSSEEEPESAESTS QIKAEATVPAVSTDNSPASGKGN PEX2_011020 MSSGGIDGFDWLQTFLPADSQPDHDPNSNNEAYQTHPAFHDAEE VARAAVMHQQAQTSYSFMRSTHPATNYALGPSQTYAQASGGQYGGNKHQQTSNIQFNH PISGYSAYPTDQSHSMTHRPNPSVPSQQHSTHAHQDAYSRGQGRTENQPTYGTGCAHI TKLVSRPLGTSKFKPPDVHPTAHSTRDDGGISKNTDAGCIAKSFLQPLYNFIPQYSTT LSFHYSARNPTNTPSSKNTLNGGKATSIAKTISKPLYDPSPEYPATIRFSCSAKTLAG ASSSKDTYIGDKAPSIAEPKSNSHSSIDTEIYTPLVSPKGLKTSAARSTLEPRQSIAP ATGTGGTSNPSTQGPATNFARSNPTPTGPLLDNQDQVPSAVPTAPDRVHSPEKSHPVS TRSPSVSFTPVSASAPPAPPAQTTIAPHNLDKDWSGGKGGNQGKAAPNSFYQASSQQL PISQPNGQSTPAVSSAFASFTPPAASDSGLAYNSSHNSGPRRGTFSDSSVAQQPVAEN TQSQVHPLPGQFHHQNKRWVPATENSNDYMPPTKIRQLDNGRTQTMTPPNYPSAGTSV PGSQVSIDYARPRGPGKILKNRDDLVQPIRQSDALPKHSYDPATIARDVLIAVGRHPR EKHLNHHLEALRRNFTRIDNNADLATFRWDLVDAKQPETQVNRMPPIPAPYMPPPHPE PPQATWQSLSRDPASTPNHVITRDYAPMPSRDTPPNRQGAMPERIDARPPPGGLSPWG TLPFKPPTYHTSQHIFSSNLPSGLPRIDPVPASPKLSSHQSVPFSIPPAPQPQPQPQP QPQPQPQQRRPSTTNSVAPPKPSPANKQATPKFIAKPQPQEQTVKSQPDSGRTVKSPE ARRLPQPQVVIPLSPAKMPAKKKPGRPFKDSANRPDRPLKNPANSIEVAIHRDQPVHY QIFPCKWEGCAAELHNLDSVRAHLIKVHIPHSLVCKWKVCGNKTPMAAADMFMHLGRE HVSQMAWEFGDGPTVPVTAENQTNHPSVLGDRSARKGTMVLPVDELQVKAFSKAHGKS TEKTKAQALLEAGRHWKQQVGPEMDWSDRRLSTPARQSKVHCGEMAFVEEN PEX2_011030 MVSPPGIASSRSKSRKAHFQAPSSERRVILSAPVSKELKEKYGI RSIPIRKDDEVVVTRGSQKGREGKITNVYRLKFSIFVEKIVRDKSNGQSVPIPLHPSK VVITKLHLDKDREQIIERIAKGREAVKSKSA PEX2_011040 MEDVANQCGVGEEEIAEMMQDPTDPRWREGDLVKEYVFCQNSVQ QYLDTVEDMNEELAKIRDLTAIDGANSQAKPLDKKSHRRQWKKMILVLKKDDITRHLE EAGRLNTFLARLTEQNQPITTTRRVSRRSTKHYVRIHAHAIDLYEIFQNKFPASSSCN CMLQHDVNMKLEFRSAKTTARGLCFHAIFTSSIVFPSRNWREMEMEPWKTNENKLCQD TEHHAQVRFADPPSPTASIYEDISDLCATIIGPSSSRDWLGFITSEKGRQHRIRSMDY HQRLLDFKRIETVSLAQVLRDKSFRQEQRSRLGLKLASSVMQLHTTDWLTDFWSKDDI LFLRSLDGTVDFDGPLIRRSFETRNMDLTSISQNLPRPWLNASIPCLYSLGIVLLELW YREIFENLKNEAERKMPPEFSDPLAARRLANEMDSGPNFKNSALRCISGLDALYTSLT EEKFQNEVEEKILSPLEEDLKFYCNIRSIEDYINNFRPAQVVLRWADSAALREMPAGT SSLLYSCIAHRTTILAEHSSPGSSSTVASSLASIILPKITHDKPQKLTFTHERLFVHY IADSPTGNQSDDGNIAEPNSHAPLSFVVVASAEQGRRIPFAYLLEMKRKFLATYEPST TEFASLPAYGCAAFNNELRSLLQAYNTAPPADSLASARREIDSVRDIMTENIERVLER GERIDLLVDKTDRLGGSAHDFRIRSRGLRRRMWWKNTKLMIMIVVVVIFLLYLFIGMG CGLPAWGKCVGH PEX2_011050 MESEKHTSTTSLIALCIRDFHTLLKSIPDEQSESRLKVQDDMTG YLRTTGFERLQTYIERFKTTSGISLKPFKEVSECPEFELLDCCLLYLAISFFSGSEES LTFDDGPAGSEVDELDVDDSDSDGFWDQIKADSDENSKLDEYMLDIQYTISSLYKFSL TLQNPAHRDRTAQASRIELGHFEFYDIQHVSDKYNIPRDSTLAQRLGRANTKRRQLLA YHKDHTEKISRYINVVVQKATEVPMASIKDTGTQSTSTRLTQDTTVSTIHHHDYDSGS DSAQTKFSTATSTAGDQAHVLTPPPPPADAVNTMQNQPFFCPYCHQTIQLEHIDEDWE YHVYSDLRPYICTFGDCVKANQLYDSYTEWSEHERQFHRREWICNLCSCAFKTEVVFR NHLEDAHAGVLPKDQQQAMVELSERTIFSAQQCPLCTKPPISNSSHFQQHLARHLQQI SLFVLPYPEPEENEQAARDEESNESQQVVVIDDEARILLKSISTNQESPGIDRGQTLS EGSKSDDQLEENKPVTEDQVDEELQEMEKNKRALGPENPSTVESMNKLVSTYWKRDQL EEAVKLLEQVLAIEDTKLGIDHPSTLTNMSNIARSYRSQGNWVEAWKLDTKTFEKKKL TLGPKHLSTLDTMDDIATSYEMLGRYEERELIARQLVDLGEEVLSPTHNSLLRWKTSL VSIYRVQGKLDLAEKLVREVIPACQASFGENHPLTLTSLANLASIYQRQRRWSDAEDL GKKVVRTSEIVYGETHPETLRFMSNICSAIRNQGRLGEAKNLGETAIRRMIKAGMDRQ LHMMVAMVDLSVTYYMQGLLRDAESLTSRALRLMEETLGKDHPQTIFTMLNLSSIYKS QNKLNAAKELVETVHARRERILGKDHPSTIEALGKMRRMSGRSRVKGLHRIDSLEHQL ET PEX2_011060 MELPPHPPGPTPRGEIETLLLLQQQQNKPDSDTTIESDTVTNPT NTPDTPTTFPTSPMDSQESLFNLTAMPFLLLLHGADTLVFIDPSPETIRARSPFPAQT VPHRIHSEKLLATGSAYFKRLFNPQMQIRVRKRRSLTGKLPDGIQYVLDLTPPTLEED AVIFLTELSCPMSIRTWASKQNMWSLPCSCVGGQDDLEPFEHLSQFSTTSPELGANGH SDHLESLRNDLIEAPFEPQDHFPESRPETVEQKGLPVEYSACRHREGIEHILHVLEGL NPKIDTPCKMWTFFALAKIFDVATVPAICDHIISWFYELNNTRFIELHPEVAYRVACG IRTPCLCRDAFVELVGDEALLYLIRATRFKPIGSMKDLVRSRISDILDDTEVQRIEYA SKSFGDYVVRCFLQLTGSEMPWLAHIVEFQKLTRHVQLYPADQGIIRQLVMTLKEFIR GRIYGALIKGRDTNRSFHVAPNLVRSENPYHRWGIDKGFVLQRLIGESFWGALALLDL YQDEVPRLESHFSIAEIGNGSLAFGTETAARIRQVSPREVRQMIHVFNQAVRTRAQAR HEEETLAARHAGGHVAMEVTINVRKSQSRNEVDSTSNGFFLNPIPAPTPNPCIPPVPP MPPKPDISADMFNEKTFKDEVHSFLRQYALEMLQQPGPSTMRHEVTDTLTCLTYNEFQ YLPLWAGGNDDETGGVFTDLIIPAMDVGGFSAPGPAVHIGSVASTNDSLSEIGLSDAQ STIYGASHNATYSHASDIMSVDSTDYSQFKPENQNHESHDDMQSEAYMEITEYDDEIS SLDLGLTTNDGEYETQSDGPVTVDMGSPGLSFASISENIEMEKVENEDNDTEFEFVDV PEX2_011070 MGFGTRVKLILDISKAQITPKNPPSLRTLRYASYTWAYFEIKQI EGNLEYQWTKLNIFTRWVASTNQTFLLLFDPKPSVQESILESLSDSTLSESQLRIPFW PYSHVFEIIADLEEAAVWAIRNQVRAIEKETNPDIAQRPNYRRMHDIARHAIHVNENL DVSVQNIETILKHYTLYMRPKRKESYFGAGEDIRNQLEFFRGYIANLRHRSVSNEKRL QNEIQLAFNTVAQASAATSVEIGRAAQIDNSAMKTIAFVTLAFLPPTFISSIFSMSFF QCGDNGWGMSNKFWLYWVVAIPTTIATVMIWQYWHKISPSLHHQPTVIPSPKEHV PEX2_011080 MFRLLAPQRGTLNTKEEIAAFRGGESGQTEQGETFGHTARPPRK GYQVPRGSV PEX2_011090 MFASLLRPKRHRGQVEQSPFSTPSPWFRTAHNNSRRVPRADESS DDAPELQEIDEEDMDQDWDEEEEEEDGPLESTPLLPMFSASHLDALPVYNITHAIRPL IASRCETTLTWDQLRSPQVSQFLIKPILQKIMSTHFSRATLYALMANCLQFEREVHLS PGNSGANQTRAMVSELLAIKLLREYSTRELIDALSYEFYPLQGQDQSTALVTGPQRKR VVAARVSCLEVAIRSQAKRFLAHPVVVQQLEAIWAGTVVFHSAADSLHRSVNMNQGGN LDYGATMDSNGDLATDSANKTLRRSVTIYNPRDASLFKLSRLRVPRYRQFLSTLSFAV LLGLFLAVLEQRSRRITSLEIVFWFWSAGFMLDEVVGFNEQGFSLYLMSFWNLFDVGI LLLLFAYYCLRIYGTFLTYPRSQFIADQAFDVLTATAPLLFPRLFSILDHYRYFSQLL IAFRIMASDLIAVFVLIVISCSGFFVSFLYFGDNKSPKVVAYGLFQMLMGFTPTAWAM WDEYNFLGRTILTVFLFICHFVVVTILITVLTNSFMRIVQNANQEHQFLFAVNTISMV KSDALFSYVAPTNIIAWLVTPFRNLMPFREFIRLNRTIIKITHLPILFTICLYEKTIL SSRVVQPMDLIEPTSQNETPAHDQKWQPSRFRGFSTSASVFRREPSVATYQKDRALEE VFRRPYSEDRIRGPPSTVHERQTNNVIKDWMQEIGSGPANGPDDGDSLVVDQQGMHRQ RPKFPFRTRLANQPRNFTETTRSVASNPEDRTSCVTSPVIRPRRTRPSISPTQKRHLS HHTDMEGDDELTSNDGDSNDDKPSPQGSTGETADSVGGAEKPSPKFYSSRPSTARIIS RRNSPTRRIRHTRNASGITMLYNPVGSPNEETEGRVTPTRPDADSSDGESVPIASTSV DQGTMKRHILVAPRSRNPTIGLNHMSVPEMDSVYLSDRPTGTRPRSSLLFDLGSDLGD NKAVAGGFTGPLPSSFQTQLGFAPPVIRREAPTQTQDMLSKLVLARMNNIEEGFREVI KEFKDLRRSESSRSPSRPDESRGATREKKKRDKKDTKKKSINSPGSRPGSGGSPLKGN GPGDDTLPST PEX2_011100 MSPNALEDPALPTSPHLGSVVGYPETLPNMDSVSGVIKPASIPV RGGSDRLAALELADGTVYQGYNFGAEKSISGELVFQTGMVGYPESITDPSYRGQILVV TFPLVGNYGVPSHDEMCELLKDLPKHFESSQIHIAALVVASYAGEDFSHFLAKSSLGD WLKSEGIPAMHGVDTRALTKRLRQTGSMLGRMLLQKSGSTPDVDGTAGVDWKSHFEET EWVDPNTKNLVAEVSIREPRLFTPPADVALKHPSGRGVRVLCLDVGMKYNQLRCLLAR GVEVLVVPWDYDFPTLAGKDFDGLFVSNGPGDPATLTVTTKNLAKMFEDARTPVFGIC LGHQLIARAVGAQTTKMKFGNRGHNIPCTSMLSGKCHITSQNHGYAVDASSLQNGWEE LFVNANDGSNEGIRHTSRPFFSVQFHPESTPGPRDTEYLFDVFINTIQSTIASPEALN LPVSFPGGAKADNILAAPRVSVKKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEEGIY TILINPNIATIQTSKGLADKVYFLPVNAEFVRKVIKHERPDAIYVTFGGQTALSVGIQ LKDEFEELGVKVLGTPIDTIITTEDRELFARSMDSINEKCAKSASASTIEESLRVVED IGFPVIVRAAYALGGLGSGFAENMDQLRDLCTKALAVSPQVLIERSMKGWKEIEYEVV RDAQDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNVIRHLGVVG ECNIQYALNPFSREYCIIEVNARLSRSSALASKATGYPLAFIAAKLGLGIPLNEIKNS VTKSTCACFEPSLDYCVVKIPRWDLKKFTRVSTQLGSSMKSVGEVMSIGRTFEEAIQK AIRSVDFHNLGFNESDALMSIKGELQTPSDQRLFAIANAMATGYTVDDIWKLTNIDKW FLTRLKGLSDFGKSMSTFNATSVPVPMIRQAKQLGFSDRQLANFLDSNELAVRRMRVE AGITPIVKQIDTVAAEFPAVTNYLYLTYNASQHDLTFDDHGIMVLGSGVYRIGSSVEF DWCSVRTIRTLREQGHKTIMVNYNPETVSTDYDEADRLYFENINLETVLDIYQLETSS GVIMSMGGQTPNNIALPLHRLNVNILGTSPEMIDGAENRYKFSRMLDRIGVDQPAWKE LTSIDEARGFCDKVGYPVLVRPSYVLSGAAMNTVYSEHDLASYLNQAVDVSREHPVVI TKYIENAKEIEMDAVARNGVMVGHFISEHVENAGVHSGDATLILPPQDLSPETVRRIE EATRKIGNALNVTGPYNIQFIAKDNDIKVIECNVRASRSFPFVSKVMGVDLIEMATKA MLGIPFQEYPPVSVPKDYVGVKVPQFSFSRLSGADPVLGVEMASTGEVASFGRDKYEA YLKALLSTGFRLPRRNILFSIGAYKEKLEMLPSIKKLHDLDYNLFATAGTADFLKENG VPVKYLEHLPDHEEEDMKSEYSLTQHLSNNLIDLYINLPSNNRFRRPANYMSKGYRTR RMAVDYQTPLVTNVKNAKILIEAIARHFPLNIIPADYQTSHRTVVLPGLVNIAAFVPN LVSPGSSDFETISKASIAGGFSMIRVMPVGVDSSVTESRDLKIVQQNAQGKALCDFNI SVAATATNSDQIIQMTGEVGSLFVPFNHLSGNINKVATVTNHFSSWPSSKPLITDAKS TDLASILLLASLHSRNIHVMSVTSKEDISLIALSKEKGLKVTCDVSIYSLFLSREDFP ACSSLPTVEDQKALWDHMSTIDVFAIGSLPFQLAGKEATPEAGIAEAIPLLFTAVAEG RLTVDDITARLYDNPKKIFELHDQVDSSLEVEVDRPYVFQNPHQAWSPFNGKTLRGSV QRVVFQGKTTCLDGEITKDAVKGADMSTHRIVPTSPVQKPVTPMARPESSLDRHASIS GTPARRFRALDSAVPAVGELGPPLYVASSQISPSLADMLSRSPFRGKHILSVNQFSRA DLHLLFTVAQEMRLGVQRHGVLDLLKGRVLTTLFYEPSTRTSASFDAAMQRLGGRTIA ISTEHSSTQKGETLQDTIRTLGCYGDAVVLRHPDANSTEISAKYSQVPVINGGNGALE HPTQAFLDLFTIREELGTVTGLTITFTGDLKYGRPVHSLIKLLQFYDVRIQLVAPKAL ALPEDVRQQIIASGQLVLESEELTPEIVASSDILYSTRVQKERFTDLSEYERLKNTFV IDNALLKYAKSHMVVMHPLPRNAEISEEVDFDQRAAYFRQMRYGLYCRMALLALVLAP PEX2_011110 MPIPSLPLFAAAEQHARQNPEKIAVIDTTKQESFTFVQLLADAA ALRKRIIEQLGLNEDLEERRIAFLVPNGYDYVATQWAVWAAGGVCVPLCTSHPVKELL YTVGDSDPSLIIVHPEFEKIAPSLREGCATDIPFFGLEPFSRNEAPTLPSFSPPFALT RRALMIYTSGTTSNPKGCVTTHENITFQASCLAKAWEYAPSDRLIHVLPLHHVHGIIN GLAASFLSGTTVEMHPKFDSKVIWERWQDHGSSTLFMAVPTIYSRLNDYFDAHIRGTE QEDAARAGARALRLVVSGSAALPTPIKEKFAEITGQVLLERYGMTEIGMAISCGLEIQ KRIDGSVGWPLPGVEVRLTEKETGRIVEEVDEDGMIEIKGGNVFCEYWRKPEATASEF TSDGWFKTGDVAKRDSSGAYFIQGRASVDLIKSGGYKISALEVERKMLAIHAIQEVAV VGLTDQEWGQRVAAVVKFREGTTPLELPTLRAELKNEMAPYKIPTVLKVVDVIERNAM GKVNKKVIVQKYWPDKA PEX2_011120 MKVILTGSTGFVGREVLSQCIAHPAITSIVALSRRDLPAHEKLK VTLIEDFMTYPDHIRNEIRDAEACIWTLGKAHMPDNDVARRVSLDYTLAAAQVFQETC QKPFRFIYCSGAATERDQTKPLWFMQEYRRIRGQVENELLGFVNDHPGFEAHIMRPAI VVARDMSLRSLVFSLGPSVKLDDLVGAMLDLALKGGKKNIWENADLNHVG PEX2_011130 MEGRGLTLRSKSRRQRPQISAPKPISGPLPPNTRSPESGPSAIP TRERAPQNDATSDLVKRRYSTRFNGAPEFDSNAPPVPALPTQVPGGRGGLAPAAIPRL PSPADPNSPAPKVDLNALRDPSLPVDRYVAGLLANASEEDIQKYQESLRKVKNRTSTD LQQNVYQNRTQFIKISKEAEKLKDEMRTLRTLMAELTTALGQTSVGNSPNPMSPMEDS FPKRNANRSSVANLEIEGSQKWLPAAPGRHIVLETGNWVELDSATWKPRRPVHIVLLN DYLLVAAKKRKRVDQSHPNHRGPVPTRLVAEDCWLLNDIDMIDLGANLGTGQAREEAL DRGIGRSISIRVGSKPFTYRHDDVSAKQELLATFRKTVEDLRRTMRSETEAASKPLES YGYMAGRHISHPKKPEAFELSDTPRDKSDLRIDVDGKQQNLRWVEGQVDELDIDIALQ RFEASVFSIERLRKLAKGLKGNTIAQDVINFKVDGRATRLAGILSRALVDKHSFPVAT KTHVTWLTRACIFEGDLPLYIFQISYVYFTLIKNTISIYQQCFPSVMSSSCIRWAKHH LDGFNALLSRQLSSVQRGTSVWQKCLDIVHDHAALLVEVGVDFTDLVARGLEENGEGS FDGPRTVQPGELAQAPSAVAML PEX2_011140 MAFRNTAIVAGTILTGVLAYAVYFDHKRQSDPNFRKSLKKNNKK VEQTVKQEAEAGAAARVAELKNALEQVKRNGELPTDLEEKESFFMSQVALGESLCASE GSQVEAAIAFWKALKVYPQPQDLIGIYDKTVPKETLEILAELIALDGGQSAGAKAGTD DNIE PEX2_011150 MISYTGLFASVCALASTAAALTPLQVSGKDFVDSKTNDRFQIIG VDYQPGGEAGFTTKLDPLSDADVCLRDAALMQRLGVNTIRVYNLEPSLNHDECASIFN AAGIYMILDVSNPLPGGYMDRAAPWTTYSAIYYKQVFGVIEGFKNYDNVLGFFAGNEV INEDAHYLAPKYVRAVVRDMKDYIAKNSKRAIPVGYSAADIRNILMDTTHYFECDLKN STSSRADFFGLNSYSWCGDSSYKASGFDVLTEDFTNATIPVFFSEYGCNDVKPRIFTE VQALYGVEMTQAFSGGLVYEWTQEKNEYGLVKVNDSNTVTTLIDYDNFQKQLNKLDMD RIMSSNATQANVKAEACSTSLIKSKSFYNAWDLPEVPSKVSDYIKNGLPDAPVGKLVS VSSTTIPQKVYDYTGKEITGVKFEVKSGANTPSSSSSSSSSGTTTGSSTSGSSSSSGT STPSNNAASPNGVTSLAIGGAGGFFMLLASLV PEX2_011160 MQPAPTAVSQHTPPIDSYPVIISPTQHGHDLDDAQVSDFLEREE IRDSADVPSMSTKDESDASLNEVDGRHAPPSADRVSQHENARTPPRQAANAGSLLVAS FGESQTSLETFPNEVLTHILSHLPPQSLSAITLVSRRFHALVTTPHAWRIAFSRFFPG PHAVEGGRRTNTDAADLQSDRRFFARLTALASWRSEYILRTRLMHSLARGKPAQFNPS KKHGTVRTASARHGSAIATYTSQLLFPVSHMHASFRPDKNPLFIHGAAEQGIASASDP STVKVGTWGVSDHQMFRHFADMFPGEAQYGLGSGDMVGMPNLMDLSQPYGMIYGEGCP QGRSYFISATEQRGRFLGASGLGSHPPLGIPAVNMITHAVCSVWIAKSSHILKMTQGL VGMLSGSSSGVLTAYSIGPHPTYERRHEPGQVTARWVLCPGVPIIGIAVDDQYSLKRY SGKRIWAVALNALGEIFYLTELPQAPEIPLTTKLNAEQLDEAAWKTGRSVHWEIIEAS RRVARPDPFNRELVDGSYSPRSSSDSMGLNEHQIAAETKEIENFLSFKPKHFRKVCES WDMQRDLKVDFAGDDGCGAGESVIIIARGSGEDTKASIRRFTRTASMSDFSSATPEPL LGTPEAPPSLFGGPVNIPSSSVTSSIPPSRSPEGMGSHSSKFEWRLSDFVFGDRKSTE VSTSALDTSTFTTLTADEDPLLAMSGSSTSSATSSPMLPHMDQPRSGLEVPGQRARYL AVGTCTGMVYVWDIRAPAAKSAEIINSISSLRIIQTDSPQVSSLAITSLYLVHGGNDG LVQAWDPLASSTKPIRTINSRFSTRARRRLVQAEASILGVGNNYYATGAICLDTDPTV LRGMVSLGTHLRYWSYSSSEADQYKSSKRRLRHLMRGGNGAGEGQRFNNSGRGAIEDF IEDERVDMERQKIADEKERAHLSARFGIDLLGPDIDEEQLLLYAQLLSEEACSGDAAK PTDSAPISSSATSTSFDTVGPSSLGPGDISSSSSPYQDPTDDNDDDELAEAIRLSLLD EQGVDQTSSIPIKYAKGVYPPRQPSPGAEEAEGSRQQEMDDLEFAIQLSLAEGKSRED DEEEWEEFPCLAPVPIPASPSGKGKGKARAV PEX2_011170 MPGVNEAETIRILISTDNHVGYNERDPIRGDDSWKSFHEIMCLA KERDVDMVLLAGDLFHENKPSRKSMYQVMRSIRMNCFGDKPCELEMLSDASENFQGAF NHVNYEDLDMNVAIPIFSIHGNHDDPSGEGHLAALDLLQVSGLLNYYGRTPESDNIQI KPVLLQKGRTKLALYGMSNVRDERLFRTFRDGKVKFFQPSVQKSDWFNLMSVHQNHHA HTETSYLPENFLPEFLDLVVWGHEHECLIDPKLNPETKFHVMQPGSSVATSLVPGEAV AKQVSILSVTGREFKNEPIRLKTVRPFVMREIVLSEEKGAQKLARKENNRTEVTRFLM SIVEELIEEANAEWLEMQGDRIDEDSDDTLEVPLPLVRLRVETSTPEGGSYDCENPQR FSNRFIGKVANVNDVVQFYRKKKTASTSRKDDKSIDESAVSHLSALDTVKVEQLVREF LSSQSLIILPQNSFGDAVAQFIDKDDKHAMEMFVNESLESQVKHLLALDRDEDEMDDE EREQSSLVTAMEKYRGQMETMFSKGVKKRTRGKKRFKPKPDGWDSEFDGVWEDQPGAL IHSDNEGGDPAEEEAGEDGTVAARGRAAGPTRGRGRGRGRGGTAALRTTKSKPTPETK TTKGRKKQTVSDEESDVIMLDDDDDDDSQALFVKQPRSTTTAKPRKAAPTTTTTTQRR GGRAAASPAPSSVTVGGTASRRAPARGKPAQFTLNFSASQASAPLSSRPSRSTRNMSV ISDGIDDDDDDDDDAFEEMPTTSRRRASGSASDRRQAIADFARHHPTDPIDVIIADFM SEFNMATAAGRRVDQAASQEHTAPAYEPSFLESLEPALDDLAKYGIKLAVNAGVTDTK GLYEVVIRMVEAKKLDLKIAWVSGDEVLSTVQKGLTSGSEFRNVYTGERLADWSFEPI YAQCYLGGLGIAAAFADGADIVLCGRVSDASPVIGAAYWYHGWQRDELDKLANAFVAG HLIECSNYVCGGNFTGFKALEHADGDGWTNIGYPIAEISAEGDVVITKQAHATGGAVT VDTCTSQLLYEIQGAWYYNSDVTAVLDGVHFSQRGVNRVAVHGVRSSPPPPTTKVGIT ARGGFQAEAWWFLTGLDIEAKARMLEAQVRQLLSPYSDKYTSLNFDLLGSSISDPHNQ NRATVPLRIVVQARHAEDLAPTQFLKPITDNIMQGYPGATFHLDMRQGFPRAIFEYYV SLLPQSAVQHRVHMPWKPTKSTQTLDISPPTNTRAYPSRQPSQPVTHSDGPVDLTRDF GPTTRGPLGWIVHARSGDKGADANCGFWVRHRDEYQWLRALLSVGKAQELLGDTGREN QQLAIDRFELPSLHAVHFLFRNLLDRGVGVTTTVDFLGKNVAEYLRARHVDLPVRFLN RGKL PEX2_011180 MSTDVINIAQLSNGGSSVHQIQHRIDILNKWNFPLGSKILEIGC GQGDCTLVTAYMVGDQGHVTAIDPAPVDYGAPMTLGEAQDKLKQSPIGDRMTFYQSNL GEFLDSSASEQVYDYAMFVHSVWYLPSADVLLPMLAALRGRTKHLLIAEYSLDIRGNI AVLPHLLAAISQAEFNSRANALDRDDNIQSIISPQMICALAQKSGWELEKEDIVESPE EQEDARWEVHRVLSEEYSLRSESSGDASRQGYATALVHAVAESTRAIGPSPKLRTLPT WLGSWV PEX2_011190 MQPSQCAAWPNADPALTQELLDLLQQAIHYNQVKKGANEVTKAV TRGTSELVVLAADTVPLAIVMHLPLLCEDKNVPYVFLPNKIAIGRACGVARPIIAVTI NSNDASDLAPQIEWLKAKVERLAI PEX2_011200 MASETSPPKSIEQTSAAAPQPKEKLFGRKFYESIGSPKYVVAPM VDRSEFAWRMLTRSFMPPNDPKPLLAYTPMFHARLFGEQENVRAKHFQPTRKAVGEKK DELFLDGNPAIDRPLFVQFCTNNPDEFLEAARHVAPHCDAVDLNLGCPQGIAKKGHYG AFLQEDWDLIYKLVHRLHTELSIPVTVKFRIQETKEKTLEYAKMILSAGASIITVHGR RREQKGHNTGVADWGYIRYLRDNLPADTVIFANGNILNYGDVETCFEATGADAVMSAE GNLSDPSIFSKPPPAGTEGREYWRGRDGKGGYRIDAVLRRYLDIIYKYVLEQPVPERK PLYLPSDPVDEFAETNDADDNSHEDGPLKKKQKRSKAEKGKKCHSASLGFMQGHLFQV LRPMIATHTNVRDALATSKPGDMAAFEHTLVLLEEAIKGGLQEYETSPEKFEAQPDES LKGSKAVIAEYGRPWWICQPHVRPLPEEAFESGAMREKGTKPPAKNENEEKNTLKETD TPSEGTVTPGDGAAITATKLTPDPLVSG PEX2_011210 MDASTGPGATLNLCLSEDGLYAAHVSGKGLVVHSNVASENKEVQ IARIKETPLKSLKYFNVESSPGAPDEEIASRRRLLSASDSRISVWQLTPLEMFAGIES VEPGALTAEFGADENEVLVFHAWNTKLSVHSLETGRSSVIKTPKFAHHLGFGYRPKTR QLAILLKPDTSDLLTVHEPRSYDLVNRTVLPTIDAQGLKWSPDGKWIAIWDIASAGTK VLVLTADGQLFRTYSGPSGVDDSFDLGVKQIEWGPVSHQAISEILAVGKVNGNVDLLR TRTFSSATTLSHVFQTDQECSNIWRERYTTATGDAEYAEASCSSALSMSPESAGPPRG VLTMAFSPDGCLLATVDTARQNVVWIWSLEGTPTLVSALVHEQSVRQIVWHPSTPQLL INTITNTLPAIRWWSPNDHPLIARVPIQRSESGKYDVRWVAGSKADSAFWFSSPEQHV IGYLSICDGAVEFELLNSVSSKNLS PEX2_011220 MNSGISDPSPYSRSGLDYIANLTVDAIAGIHSCSFPSRNEWKDS PHARSPITSKTIMLYHCVKSTAPLPVVATTTSFGEGPRSVAAEKVVAEIQSLGSAAIA IQADVRDVSQTVRLMDEAVAHFGGLDIVCSNAGVVSFGHLGEVTEGEFDRVFSVNTRG QFFVAREAYRHLNEGGRIILMSSNTARDFSVPKHALYSGSKAAIDSFVRVFSKDCGDK KITVNAVAPGGTVTDMFHEVSHHYIPNGENYTAEERQQMAAHASPLVRNGYPLDIARV IEENPAAVPPFSCFASAAVQQETSEAATSFWQARFESFKGTVFPQLPLDHPECRASQS LTFNVSMSTRRSAITQATTIQYALALLISKLRGESDVIFGTTVHGRTVAGCPDAEAVS LGPGAAAAASFTTLLIIHPEMGGDATDHPNKIREIEIGAADAYVDYLLVVECFPQAQN LSIKLLYDPAVFSTWEMQMMAEQFEQSLHALHTTEYPAAVVRDLSLLCAASVPAVLEM SRGELIDRRECLHERIFAKAHSWPDAEAIYRWDARYTYEELGSLVKRLAARLAPLLPA KAGQIVPICYPKSAAAVVAMLATLTAGHAFLLLKPALPPQRIRYMIEAAKADRVLCAS ATRYVVEDMGTQVVNFQSLWDHPAVAPDMGFFEVQPLPDSPAYCIFTSGSTGDPKGVL LLHRQVTSGLEAQVAAGLYERQARLLQFASFSFDTCIADIFGTLLSGGCICMPKDEDR LLRIAENINEFDTTTVDLTPSVARLLPPDEVPRLQVLRLGGEAMHQYHIQTWADRCNL QNTYGPTECCVQCTFVDRGPRSMAPAVIGKSIGCHLRVIDPQNYKYLMPLGAVGELAI QGPAVANGYINNTAKTEALFLLRAPWLETYDVNCPYPVYLTGDLVRFNEQGDLIFLGR RDSQIKIRGQRVELEEIEHVLQQDKRTDQALVCYPTTGVLALQLVAILEPSAPAVGLR ARGQTTAWMEPIAHKAAKFLPRHMVPAVFLVAYQGLLMSSGKLDRRSVQTWLEQLSVD EFEVLPAYYHASGEARDSSSTEARQLSNRSNPSSRGDHLPTFRPPPAFPFSIPSPASL DSITIIPFLRWINQNYSIRLEMTTLLQLETVQGLVSHLQSHQGGLSTKTERSDEKNED QETFETLIHQGVDQLCQEQPIISQRELSEKSALTRLVRKLAVPQMALVRRCINAAAGH RALPRRLNIRSLRSKPCRILLTGGTSLVGLNILTSLLKQFPRTRIAVLVRCNTAVDGK ARLIERASLLPPWRSDFAPRVEVWPGDLGPARLGLSPAQWESQLGGRGGNPAHYVHA PEX2_011230 MTGTKKEAAPRGDFWDRVVIKSADDNRSGEGMTRGEMLNNASIL VLAGSETSATTLCGMTYFLLRYPATYRRLVAEIRAAFAARDEITIVSIGHLPYLNAVF QETLRLYPPVPTHSQRVPPQGGATVCGQWIPENTSVGISMMGACLDPKNFHREREFCP ERWLDDAPAEFRDDKKAVYQPWSMGTRNCLGRNLARAEIRLIMANLLWTFDLELHQSC MGKGEWLDQKIWGVWFKKPLWVSLRLAREKD PEX2_011240 MTHGSGLDDAPNTTSTESKISICENRVHLLLAATGSVATIKIAN IISALSPHIHKLSIRVILTTKAKKFLAGQSAEQPTVSSLISLPGVEAVYDDEAEWGPE PWRRGIDILHISLRRWADILVIAPLSANTLAKLANGLSDNLLTSVCRAWDTDGQVDGK RKRIVVAPAMNTAMWRHPVTAQHIRVLEEQWGVGKDEKKTELGWFEVLQPQLSKVLAC GDVGSGAMLEWTEIVKVIEDRLGLSDLE PEX2_011250 MDLSQHIECLSQWPAHIAPGLPTYGALFLLATGGLVIACKLWTF MRVLLSLFVLPGKPLRSFGPPGSWAVVTGASDGLGKEFALQLAKSKFNIVLVSRTASK LATLSEDISKQFPQVQTKTLAMDFSRNADADYQALGELVSDLDVSVLVNNVGLSHSIP VPFAQTPAAEMADIVTINCTGTLRVTQLVVPGMIQRRRGLVLTMGSFGGLLPTPFLAT YSGSKAFLQHWSTALGGELAPYGIDVELVQAYLITSAMSKIRRASASIPTPRAFVRSV LSKIGRSGGSPTYAYSSSPYWSHGIMAWFLTSVSGTMGKLVLGQNKSMHESIRKRALR KAERENAKKST PEX2_011260 MDQYLAYLPQAEGFLPKWLFFVSVISALNSLQAYTTPEYTSLLY SNGKVPATPLSGRVFGTWTFLSAVIRMTAAYNITNPVAYNLGMWTYGIALSHFVGELV VGNASLKGRFLNPLIVASGSLAWMFTQRGAYLG PEX2_011270 MENVLDDISHRRYNPLRGSSILVSPHRTKRPWQGAQESPSKTTL PNYDPKCYLCPGNERAQGDTNPNYESTFVFVNDYSAVKEEQAAYEPSNQDELESRFLK AEPVTGKCYVLTFSSAHNLTLADLAPREIVPVIDAWTEIYTAHLSPSSPLAKNAPATK LPPISPSTSVTKPKEQYRYMQIFENKGAAMGCSNPHPHGQVWTTSSMPEEPAAEMDQL TKYRQQHGGSHLLEDYAALESRKQERVVFENEAFLVVCPWWATWPFETMIVSRTHKRA LVDLSEADKMLLAEAIAETTRRYDNLFETHFPYSMGIHQAPLDGTEEEIEASYLHLHF YPPLLRSATVRKFLVGYELMAEPQRDITPEQAAAKLRACGGELYRKKIDSTGN PEX2_011280 MNPTNPAVTLDSLPNEIFVQILSDFSTRSLLPLTSVNHRFHALV LRILHYRLLLSIPLKEYKLLLECFHPSSKLTEPHVFCKYLGTDGLSERHDGAGSLYDN VDTAHQLGRVMGLYSRFRPAVSNDDDDEEEEEEEEEERETNSSKFGFSPGRMLLLSEV VGFIRADMNTRREGHGEDAVIREVTLDGYEDFSQLCVVANLVQVRPGTLLLLSASTIE DGVVRLWRDWLRGQSRKTKKTNEIDCEGGSEKARTSSGEDILWVDMSKTVGLKIRVRP KAWDPSFPVLVHEDDRDDDSWVGYEVILQGQLLLLWRGSRVDLIELHIRSTYLLLAVE KSKEEQKIHQTNAMVIGAAPISVS PEX2_011290 MTTPNDGSEVTLPSKIPFWRLIFDQKVVTEEVMQFSYTGSGTED VPYAVVWIPADPRNPMNFRPIKKWSITLLVSFVTLAVSLVSSAFSGGMSQIMEDFGAS QEIVILGVSLFVLGFAIGPLIWAPLSEIFGRRHIFTISFMFLTVFNAGAAGAKNIETL IVLRFLAGSFGSSPFGNGGGTIADMFPASQRGIAISLFAAAPFLGPTLGPVIGGFLAV AAGWRWVEGLLAAFSGVLWLCIIFLLPETYAPVLLRRRAERMSALTGKVYRSQFDIDR GPAPLGKTLKTALSRPWILLFCEPIVLLFSIYMAIIYGTLYMLFAAYPIVFQEVRGWS EGIGGLAFLGILVGMVMAVIYTFPENFRYSKKCSQTTDRLAPELRLPPSMVGGIALPI GLFWFAWSNSPNIHWIASVAAGAPFGFGMVLVFLSVFNYLIDSYTIFSASVLAANSAL RSLFGMAFPLFTTYMYQNLGIHWASSIPAFLALACVPFPFIFYKYGARIRQRCTYASE ADAFMRRLAERNQGARREEPDSEKNDKTNSVTGVSDDAELSDTDTLSTVPSRGTIARY ASRASRQSGQSLHRVVTATQYEENPYDLDMINTRQSAISRKD PEX2_011300 MPGKIDAYVDCVSPYSYYATIYLRKHRKALQSHGVEVEFHPVFL GGINVGSGNKPPWTLPAKAMYSKFDSERACKYFGVPQIQTPDFFPILSIMVSSSLCLQ TISPSLTNQPQRCMIYIKSNFSREKYETTFLSLWEWMYYKGIDISKPERLAELLQSNE YSESEAKEILAAASSPEFKQALTANTQIALDKGAYGAPWFWVRNSEGKEEPFFGSDRF AFMWMYLGLPFQDISIIEKSRL PEX2_011310 MGISTFMDKIKPGKAESQFSSQAATPARADSTTVEKDNLTLDDS PVKYLTWRSFILGLCVSMGGFIFGYSTGQISGFTTMIDFKQRFAEYNAATGEYAFSNV RNGLIVGLLSIGTMIGALVAAPIADRIGRKFSISFWALLHIVGIVVQIATTDKWYQIA LGRWVAGLGVGALSSVVPMYQSESAPRQVRGAMVSAFQLFVAFGIFISYIVNFGTETL ESDASWRITMGIGFAWPLILGIGTLFLPESPRFAYRHGRTEEAHDVMCKLYGVPRNHR VVAQEMADMKQKLEEELAHGDAAWQEVFTGPRMLHRILLGVALQSLQQLTGANFIFYY GTSIFQGIGLSNSYVTSIILGAVNFGMTLPGLYIVEHYGRRKCLMYGAAWMFVCFMIW ASVGHEILNNNPSSHPAGVAMIVFTCFFIVGFATTWGPIVWAICGEMYPFKYRAVCMG VATAANWTWNFLISFFTPFISNTIDFRYGYVFAACCFLAILVVFFFVNETQGRTLEEV DTMYVLHVKPWKSAGWVPPEGIVADLHGPVTGGGKEGEAGTGEHQHHDDSQEIREE PEX2_011320 MKTTWKDIAPVPTHQEFLDIVLSRTQRQLPTQIRAGFKISRIRG FYTRKVKYTQETFGEKFQHILDGFPRLQDIHPFHKDLMNTLYDADHFRIALGQVSTAK HLIETVSRDYVRLIKYAQSLFQCKQLKRAALGRMATITRRLKDPLVYLEQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLRNITRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAVAHLRSAILYFMDLSEQCGYSVGDQIKLFHSIKP LFANKIVFIVVNKIDVRRPEDLEPEYQEQLQEILKAEDVEMLQASCTTTEGVTAVKNA ACDKLLAERVSQKLKSGSSAATPGSRLGDVLSRIHVAQPMGGLRETFIPEAVKDLKKY DKNDPNRRRLERDIEEENGGAGVYNFDMQRDYTLGNEEWNHDKIPEVWKGKNIYDFVD PDIESKLAALEEEEEKLEADGYYESDESVEDAEDADNRLKADLIREKRVLMRNEAKMR KSLKNRAAIPRSAKSKKLSEMTRGLDSAGYDNGAAGSRARAANQPRGRTTIREPTADP DAMDVDDNPHKALARAKSRARSTPATNRRLDGITTFSNRDKAERMAKLGQKKMNRMAR AGEADRHTTASLEKHLFSGKRGMGKTDRR PEX2_011330 MSDPSEYLSESMSSQDNGLRPLSNADDLSLGNPNNSDLARIMSR AGIQLANGFPIDDDEDDDDEVDEDYAAADQDDDATDFPYWFRRPPSHNRTKLDELHPF VQLLSASNVEDCVKVEEAFPEQERCSHDKFIYRLTRCPELSLGLFTLPLLAEGETKPR PTLVGHIIATRTSEPLVTDRSMRLPANWKNERWSVEDGNPVGHEEGGNTIAIHSLAVD PKHQGKQVGSTLMKSYIHRIREAQIAERIAIIVHDHLIPFYESFGFESHGPSKCQFGG GGWVDMILEFGPEPLEE PEX2_011340 MSSRTLNWSRTAKSSLVKQHLVRPGDPIAARSAQANLRPQIAEF SGTSESQDRLGHRAKEKLLDREFFLSLLNSASTKREAKSYLARLKASPAKSSQDSTPE SPKESISSSLPSGVNLGSFYGASRAVYDSPVFRQDTTPTPRVQDIPERLHLALIKIAT PQLLDDVVINGVAKTLSQLVRLGMACCVVVDPGKMDGPAARQTAIEQANRISAAVDEQ PDSKSFRLDSVLSISERGSDPPTVLSRKVLLSALRDGHVVLVPPIAYMEENPRAVTVS ANDAALALTKEFAGLSLNPSPDEDPAVTAESISTLQREVSLDRVIVLDTLGGIPAFKG PQSSHVFINMEQEFDQIKDELSQARNSLSGTHDFSKQPLSNGPILERNPLPTFVNRGL VPPGKPTASGQSPGAEVMEEVLDGHLENLRLAQQALAMLPSASSGIITSPQEVSYSAR SPQEAASDLSAVGTRRQRNPLIHHLLTDKPLLSSSLPPGRRGAPNGGRSTAFTPLTSH TTFVKRGMPLTILPNPYTKPWTAHIQPRLGLNDPTIDLPRLVTLIEDSFDRKLDVLNY LDRVNSRIAGVIVAGEYEGGAILTWETPPGVPDDGSEASSARMVPYLDKFAVLKRSQG AGGVADVVFNAMVRTCFPNGVCWRSRIDNPVNKWYFERSLGTWKLADSNWAMFWTTPG LVEDTQRFQDYEAVCRSIQPSWADKKSVID PEX2_099000 MTGETNATGESGPIKVSRAVAMVIAALFALACWNVLQILVLIFN TFQRRRGLYFWSMVIATLGIFLHSLSTFLRYFALAPNLPMCVLICIGWYAMVTGQSVV LYSRLHLVTNYDCYSRWVLGMIIFNFCALHIPTTVLFLGINLDVESFLGPFDIYERIQ LAGFAAQETIISGLYIWETMTSLRPVLVMKGRRGQRVVINLILVNALAILLDAALLTT EYTNHFDVQTTFKPVAYSIKLLLEFTVLNSLLVVIRTNPSVIEDVEQLHDDLHIDPRW SAKHHDNTAAFVTDPERSDQSDRGFQISPVRSHSSWGLLEHHVGPA PEX2_099010 MTKSKAAKRKRNAQVDLPKKLPKPVPNLTPPPDGVPLESTHLNA VVSDEELDITIETLAALAQYPSLTKSKACKDLRVAVYDFRQTCTTGVNTAEGANLTAR ITGALADEKYIEARILLAEMRIRGEQPKIGALCRWVRDLDVVSGLSTQPKGHDHVPPE RSVKEMEILGVLDAILRVSTPIDTNTNAVDSTNPIAFQSIWDLRPSTTPLPVYASVLD KSILEEAPKSQSALRIIEQTPGPLRKPPNHHPAILFTTTPNAVPLAPVGPSITYHAHP AVPGLGLVLNVLSADECKAIIAAGESVNFLPDAPLREDGDISILAHNFYWIIDTTFHD ILWARISPYVPPSINGRMVRGINRRFRVYRYVPGAEYRCHIDGAWPPSGILPDDTYVY DSSPEGKKQSSMYTFLLYLNDEFEGGETTFFMPAPREGTLNGYPVRPVMGAVAIFPHG ESNGALLHEGTGVRKGAKYIIRTDVEYDVKPSEE PEX2_099020 MDIRYFLEIFDLKHRHGSNLCSYHTYWRKSLSNKNFFYWLEGDG QKKMDLPLCSQDLRKNISVISQARKVELHGDETGLLRWAKNNELDEQVLFHGRWN PEX2_099030 MAPSLHPLIDNGIIAGSSSFSGGKLHCRCASDQVEITLNSNVAH NHACGCSKCWKPSGSLFSIVGVVPRDAVSVTANAAKLSIVDEEATIQRNACKECGVHL FGRIEKDHPFKGLDFVHVELSDEKGWQEPQFAGFVSSIIEQGSHPKEMDEVRAKFKAI GLQTYDVLSPVLMDLISTFTAQKSGIRFANL PEX2_099040 MSTQDPEKAEPTQATPTMAEKPLPKQSFMSKVRLRESGQTAAFT HPVSHIKSADDTVVDFDGPDDPYRPINWSFQKKALTTILYGMTTMGAIWASSIYSPAI PGIAEEFHIGEITATLGTSMLLFGFGLGPILWAPLSELYGRKKVVLVPYFISIMFSFA TAVSKDVQSVMITRFFAGFFGGAPITNTGGVLADIWSPQQRGAAIVAYAMALVGGPVL GPIVGGAIVDSYLGWRWTEYITGILQLLMLTLGVIFLDETYPPALLVYKARRLRISTG NWALHARHEEIDYSLKDMADKYLFRPFRLLVTPICFFVALYASFVYGILYLSLASFPV EFQETRGWNPLVGNLPFLGILTGMILGAIVNLLNQKFYIKKFRANGNRAVPEARLPPM MLGSVFFSAGMFILGWTSPKNIFWLCPVIGAASMGLGFFTIFQSSLNYLVDTFTRFSA SAIAVNTFLRSIFGGTFPLFATIMYRRMGVPWASSLLGFVGMALIPIPFVLYVYGPGI RARGKWSQPSVHGH PEX2_099050 MGGVKWIQIYRVYWRETCCTTILAIGVCKPTIPVNFGIVLFSRP RKCKPSSAIGVL PEX2_099060 MASFPAQSCCYQGFKHDGQPQGSVSMVDDFEVYTSHPPSKSTEN GILILTDITGHRVPNAQLIADQFAMNGYFVIMPDMFYGDAVPLNKPGEFDMQKWRNGG YHPERKSHLPSTVDPIVESCLREMRTKFNCKRIGAVGYCFGGKYVVRHLHPGKVDVGY TAHPSHIEKAELKAIQGPLSIAAAETDGIFSVEKRHVTEGILRELSLPYQINLYSGVK HGFAVRGDPAIPDVRYAKRSAFVQGIEWFNEHLNQDSLI PEX2_099070 MQSLATKELSFPEEALAKIQVFETDLAKPQLGLSPETYKNLLES TTHILHNAWAMSIKRPVQGFESQFRIMRNLIEFARDLSSLGGPPVSFQFISSIATVGH YPIWKQDPHVPEERLPLDTVLPIGYGDAKYICELMLDHTLHGDSRRFHTSTTMNQLPD LHGPLSWTPVDDVAGALVDLLFADDPYPIYHIDNPIRQPWQEMLSILADALGIPSGNR LPLDEWVALVRDFPANALDKDQNPATMLADFLEHDFQRMSAGGLLLDTTKSREHSQTL RAVGPVSPELARKFIQYWKSIGFIA PEX2_099080 MNATNSHPKDKLPPEKLDIAINSLIKSIEENVLDQQKLDEYDTL AICGGSKVSGDIXLDIAINSLIKSIEENVIQLLRPNTWLNSWTIYAAMSISDRPWYVR YGLSIPLYEDGSEKKKKAKDPLASWAKEIEDDRRKIGNAPNFSTSLVYYRPINHGDHF SLLEVNERKRMIRHYDSNASKDIINGTKTTWISKLAQKAFGNLGFSYEETVRHIDLLG FLL PEX2_099090 MASPKNMNIFREMTAQTFMTALFSSLGGIGFGIDYGYWSGMLDI AQFLKDFGVYDGKTDSYYLPSSWQSAGSGPPVAGLAIGALISGLVGKHLGRIKTFRFA SIISAVGVIIQSTAIHSYWQIMVGRLINTLALGILANAIPAYLAEISPLSIRGTLINC YQFSVSVGAILVTAMNWGMYQRPDQWAYRLVFIIQIFVPLTYISGSFFIPESPRWLVG QGRYAEAKMELEVLRKTTSDELLGREIELIIAAEEENKAQFGSSWSECFRGTNLRRTL IATGVQCLQQAQGSSFMGTYSVLFMKSIGVDDVYKISILTSLVMTVASGCGFYVPDRF GRRWVLIGAALVLGISMFTMSGVKDAGLAGNKTARNTALAFVFIWQFAMSIGWSSCVW IITAEVPTLQLREKTMTIACFIGFCVSVLVTFVSPFIQDPGYGNLGGKIGYLYGSFSF AAALWTFLFCPETGFRSLEEVDELFKNRVSVWDFHKYRTTGFGAEIAEVEHASQPKEA LLDKPDVEK PEX2_099100 MANPTASIDNPETWHLFAQLDREFLQLVDGGTGSSLENEEMIPC LAEFRSRRNRVFREKADNLLEETNGEVTEKEIFIPVRDGSTVRALVYRSKCGATKNMP LFVIVHGGGFRIGNAELESKACVGATQRYGCVSVSLEHRLSPEFKFPVAFEDCWDALL WLAKNANTIGADPLEGFVFGGTSSGAHIANALVHRARDENLQPPITGVYLNAAPTLAP QAMTDEYRDLSKSREALKDGHTLNSKSIELYDHEIQPDFSSPLWSPLLWPTGHGDLPP TFFQICGADLLRDDSLIYEREMRVRNGLKTKAIVYQGLPHVFWYTHPSLSASKRFEED TILGVGWLFGDK PEX2_099110 MQLRKSEAAAHYGTALQLLASAIQNLTQVTDLDFILATLWLMIS YEVAHGDGIGADLSVHLRGAALLLQGRLKTLCAMIHQSYPEHARSGGGGPGTRADEKY FGITRLSSQLLLWIAIVDGSAALNGINATFNHLLGESMFDLTQDETSSRLSGFTIIQR YSTMVYRDVWDSHYPQSELIEDLQCSQIFCLQAESGQLRYMLSKLTSIAPYSRNGAEF DFEKFFRTVEDVGLRYRELLTTASSLNLPKDGSQRRYVLNLRMVVPLYHAVVLLLYCV FGASTALNDKQRLALREIITLAYQACADEGNQALCKIAWPLFIVALETDDMLHRDWVL ERFEALSKEGENFRRAHKALSFTFSQRRSHQSRITYWQLIGHKEIEPFVLE PEX2_099120 MEQVNIQTNAPLLEERFCELKKSLIKPENKRKVIESYGRLLNSL ELVVAHIEKFGSSLVPEINFNEVKENGGLLPDAFADLVRERGCVILRNVVSEQQATAW EASLKDYVSRHPGVGGHPAKKPAAWNVFWTPAQVQMRSHPDILQAMRSVSRLWHSNDP SIPIDFDSQVVYPDRMRIRYPSDDPEQFPLALHLDSGAIERWEDEINRQNFDAIFQGN WENWDGWAADFRVNANTDLYQTGISCSTWRSLQGWLSLSHTNTGEGTLRLLPHLKASV AYIMLRPFFHTGEFDDSLPTFPGATPRNTQFFPTTEHHPHLDLERAVVGIPPVRPGDY VFWHCDLVHGVDQMNQGKNDSSVFYNASNPLTPANVDSLLATRDAFLEGDVPVDFMRG HGTMEREYEHEDHGARKENILTDGGLRAMGLLRLDEDEEGLTSGQREVRRIANHMLGL PEX2_099130 MAERVDPVVEASNKSPVFDTKQAGDDGIRRADGISGLYEGNVFE ATPESRRQIGVFSAALLIFNRVIGTGIFATPSTILTLTGSVGLSLFMWVAGTIIALAG TAVYLEWGTAIPRHVIQNHKSIIWKTCLIDLTLYRNGGEKNYLEYVYKKPKFLATAMF AAYAVLLGWAASNSVVFGQYILNAAEIEVGRWNQRGVGMACLTAAFLIHSVALKWGIR LQNALGIIKLIIVVFIIVSGWVALGGHTKAETPHNFTNAFEGTKGSGYGIVMALYNVI WSFIGYSNANYALSETKNPARTLKIAAPVAIISVGILYMFVNIAYFAAVSKEEMLSSG SIVAAVFFRNMFGKQAERVMSVFVALSAFGNVLSVIFSQGRIVQELGREGVLPFSKIW ASNWPFHSPAAGLLEHYLVSVIIMLAPPPGDAYNFLVNLISYPLSIVNFFVAAGLVHI YLTKEKNYPNWKPAVHATLPVTIFFMLSNLYLAIAPYVPPSDGQNVYEQLPYYLHCVV ALGLFAAGGIYYVVWAVLLPRFGGYVLVKESVVDADGWSRSVFTKLPQAEAVHS PEX2_099140 MCSSRRETSGSTNVQGREVLPTNVKPQHYDLTLEPDFEKFTYEG TVIIDLDVAEDTDFISLNSNEIEIHSAVVSSQGSVVDSKPEISFKKDDQTATIKFGQV LAAGSNAQLKLTFTGILNDNMAGFYRSSYKENGETKYIASSQMEPTDARRAFPCFDEP ALKAKFTVTLVADKSMTCLSNMDVDTETEVQGGAKKAVKFTTSPLMSTYLVAFIVGNL NYIETKNFRVPIRVYATPDQDIEHGRFSLELAAKTLAFYEKAFDSDFPLPKMDMVAVP DFSAGAMENWGLITYRIVDVLLDEKNSGASRKERIAETVQHELAHQWFGNLVTMDFWD GLWLNEGFATWMSWYSCNVFYPEWKVWQTYVIDNLQSALSLDSLRSSHPIEVPVKRAD EINQIFDAISYSKGSSVLRMISKYLGEDVFLQGVRNYIKKHAYGNTETGDLWAALADA SGKPVQSVMDIWTKNVGFPVLSVTENKENSSIHVKQNRFLRTGDVRPEEDQTLFPVML GLRTEKGIDEDTMLTERERDFPVPDLDFFKLNADHSAIFRTSYSPERLKKLGQAARDG RLSVEDRAGMIADSGALAASGFQRTSGMLSLLQGLDTESEFVVWNEILTRIGTLRAAW LFEDDKTKDALKAFQRALVAPKAHEIGWEFPENDDHILQQFKALMFGSAGMAEDPIVV KAALDMFARFAAGDLSAVHPNIRGSVFTIALKHGGLKEYEVILDRSRTAPTSDEKTTA LRCLGASEDPELIKRTLGLAMSEEVKSQDIYMPLGGLRSHAAGIEGRWNWLKSNWDDI YKRLPPGLGMLGTVVQLTTASFCTEAQLKDVEDFFASKDTKGFDRAVEQSLDAIRAKI NWLKRDRDDVEQWLSSNSYLQSKL PEX2_099150 MTNASEGFPPLPPPDPVARPPSPPPPPPEDLAAPPPPPDSIAPP PPPDDVPPPPPVETQKKQKLGWGAKKSAVTPLSVEDLIRKKKEADAATAKPKFLSKAQ REKLALEKRAQEVEAERQAKVRTNGTDHNGFTIEPPSVRPQASRNIREESVRHVPTGP RAMRDEPATGPGGMRKGGRQHNQYNRDQDMPPPASKTKGEKRLTAEDEAAALATLTKH RYMGADQTSNFSAKKKRKRTADRKFNFEWNTEEDTSGDYNPLYQKRQEANFFGRGRLA GFGDDVADDVARKYAEALATRDHEAGSARAKQMLEMERRRREDSTRTQIDKHWSEKRL DLMRERDWRIFKEDFNIATKGGSVPNPMRSWEESHLPKRLLELVDRVGYKDPTAIQRA AIPIAMQSRDLIGVAVTGSGKTAAFLLPLLVYISALPRLDENEWRKNDGPYAIVLAPT RELAQQIEIEAKKFTQPLGFNVVSIVGGHSLEEQAFSLRDGAEIIIATPGRLVDCIER RMLVLSQCCYVIMDEADRMIDLGFEEPVNKILDALPVTNEKPDTEEAENSAAMSQHQY RQTMMYTATMPAAVERIARKYLRRPAIITIGGVGEAVDTVEQRVEMISGEDKRKKRLG EILSSGEFRAPIIVFVNIKRNCDAIAREIKQMGFSSVTLHGSKTQDQREAALASVRNG STDVLVATDLAGRGIDVPDVSLVVNFNMATSIESYTHRVGRTGRAGKSGVAITFLGSE DSDVMYDLKQMLIKSPISRVPEELRKHEAAQSKPSRGPGGKKIEDSSGFGGKGGWA PEX2_099160 MADYQYGGSEEENAEIRNLETELLDDPDNFETWEKLVRAAEALE GGINRNSSPQAITTVRSVYDRFLAKFPLLFGYWKKYADQEFSITGTEAADMVYERGIA SISPSVDLWTNYCSFKAETSHDSDIIRDSRKFSWCRSHVFWIFQYPASLIVDGLFERG ASSVGLDFLSHPFWDKYIEFEERVEAHDKIFAILGRVIHIPMHQYARYFERYRQTAQT RPLSELAPAETMTAFRTEIESASSQPAPGAKAEAEIERDLRLRVDSYHLEIFTNTQTE TTKRWTFESEIKRPYFHVTELDEGQLVNWKKYLDFEEAEGSFSRTQFLYERSLVTCAH YDEFWLRYARWMAAQPDKQEEVRIIYQRASYLYVPIANPTIRLHYAYFEEVSDRVDVA KDIHNAILMHLPSHVETIISLANMCRRHGGLEAAIEVYKTQLDSPECEMATKAALVAE WARLLWKIKGSPDEARKVFHENQHYYLDSRPFWGSYLVFEIEQPTSAATESVQYERIK QVIADIRSKSVLQVDAVKELVQIYMTYLLERGTKDAAKEYLTLDREIYGPSSVASVRT GGNVAVHPTPAAGQFASVAHIQATPDDPAAAAQAYAYYQQNAANGATA PEX2_099170 MSVSKQTGALLENLPQRLVNFFARYPPQTHSAAVRRPAPANGEG YVPTKVESPYTPDRDAKGAPGEKKFGWTPSRALLVTSDELRNPFLPHKRFGKWEAPKY GLRQQADLMKLAIKYNVGELLPPSRKSPEFKETRRAERGLQVKGTGVGHKVKGHKWER TMESRLEDRRKAMEGMPEMVRMWKQRGHGRGWRQWPKR PEX2_099180 MAESTFQESVHPKYSQGYSADVDIIRDQEYPLLNDTTYLDYAGT TPYARSMIESFSRDLTSNLFGNPHSMSASSQLSTQRTEDVRVRVLRFFNADPDEFDLV FVANATAGIKLVADSLRDSDHRGFWYGYHIDSHTSLVGVRELAEMGYQCFQNDDEMEA EISKLAGNQSKAPRLLAYPAQSNMNGRRLPIRWCEQVRAATNESGGNVYTLLDAASLV STAPLDLGPSSSAPDFTVLSFYKIFGFPDLGALIVRKSAARVFERRKYFGGGTVDMVL ATGVQWHAKKEASIHERLEDGTLPFHNIIALDTALDSHERLFGSMANISAHAGFLAKQ VYDRLSSLAHFNERKVCQIYQSHGSAYGNPHTQGPIIAFNLCNSRGEWVPKTEVEKLA TVQNLQIRTGSVCNPGGTASSLGWTGPELRRHYSAGLRCGDNHDVLGGRPTGILRVSI GATTNMKDIDSLINFIEEFYVEKCPPIVALDPLAQDNEVVAPHFYIESLAVFPIKSCG AFRIPEGKRWEVKKEGLAWDREWCLVHQGTGAALNQKRYPRMCLIRPSIDIEKGVLRI TCGAIAAPDQVSLEISLGWEDTSLISTSFCPSSTKKPTTVCGDRISLHAYTSPVVSAF FSDFLAVPCTLARFPTQTTSRYSRMQQTPNTWKHRFRKLIMPGSFPPDFPLPAREGSQ TQITLSNESPILIVSRSSVNRLNETIKANNKHGSSKTVAADVFRGNIVVAERLARRGD VEQPYAEDRWSSLRIGPDQLRFDALDACQRCQMVCIDQFTGVRRAEPFSTLAKTRNID GKVKFGKYSALSPEELEGFDSELPDRRTVMVGDMVVPLYQDD PEX2_099190 MSPLTPEQTASYHENGYLLLRADEHKLVDPIELKRWTQEVQVWP RVKGKWLPYDEININGERQLMRTERFIDYHPEFKSLVCGEQLAEILKAVSGDNMLLFK EKINYKQPQGNGFQAHLDAPAYDHIGRIEHVTANIAVDAATPENGCLEVVRGSHKMQV EFAEGGRITSEWEHAHEWTSVPLEMGDMLVFGSHLAHRSAENKTDKSRSSLYATFHSR SDGDDLREQYYKHRMEMFPPEHEREKGKDYSKGYQTYGFAAPFTKVQDQTAATVDVA PEX2_099200 MDMNMNMKVPQTNQPLASVEEHTRATIKTLFQFLHAQGQGDYLG EQVTQLEHSLQCAYLATQSPKHGNDPEVILAALLHDVGRFIPAAEKMGKMITPDGQYI GRQSHEALGESYLRQIGFSEKVCTLVGAHVMAKRYLVATDQDYYDALSETSKRTLKFQ GGGYNTEQVREAQQDPLLEAKLSVRRWDDLAKKPNFKVPDLEAYEEIGYQCLIGSRSK FTLHSKEYTLPTQPTVVICVDGFDPEYLKSGIERGLLPTFKKFLDNGFHATAKSCMPS FTNPNNVSIITGVPPSTHGIAGNFFLDRKTGETKMITDDSLLRGSTILEQMFRRGVRV SAITAKDKLRKILAHGLKGAICFSSERAADCTLDENGISDVEEWLGQPAPCQYSGDLS LFVLDAGIKLLQEKRSDFLYLTLSDYIQHKYEPGSNEADNFMGAIDGRLQRLTALAPV IGITGDHGMSQKSNELGEPNVLFLEEVLNAKFGPNASRVICPITDPFVRHHGALGSFV RVYLKNITQLGSALSFCESLPDVEVALSGQDAAQRYEMPLDREGDIVVISKPHAVIGS CKADHDLSKLRDHPLRSHGGLSEQEVPLIMSTPVNNGSRAEAKDWRNYDVFDLVLNWS I PEX2_099210 MGSRWKGAVVQKMRWLEEAVAKIAAKVDMPELQASQAAPEIQDD SSSPPAEVINKEVITGGISTSSQSSQSKENYEPPQTWEVIMDPRGGPASIPASCVSEN GKAGLPNNLSTARRPDLISTGLISLRQAVALFETYHLRLDHFLYRILGDHISLDSVRI TSPLLTTAICTVAALHSHSLGHLFETCYGEYKNLIAAQTFSRHVNEDDIRGLCIGAFW LHELSWALIGNGRWLQSFDEAKLTKLAVRIASDINLYSGIYKALKGDRDGYLQARLYY LVYVCDHHFSIAYGRPPMSREGFIIESASRLLETEHATEDDARLISQVKEWSILGRVF DTFGVDVDTPIAPQTLPQLRRYSISLDTWFADWSESFKENQNVGNYPQKGVGFHFHFA KLYLCSHAFRGVPTSENSPQYMSPELEEIANSGVLSAMSILRMIVCDAEFRSFMNGLP LYFDTMLAFAVVFLLKVATKYAFMIRIDTGKILSLVTDTVAALRDITQPMHKHHLLVI ISEGLELLLGRCQMATHTVHETMYHPSPAQEQLPVFDATWMENMASFDFQSNFPDIDD WWLHYNISMGPPLCPEASR PEX2_099220 MASLRAKLRFVVTAGVGFFADGYLNLTIGLVVPILGYLYFQDGK VPTVDSDIIKGGLSLGMVVGQLLFGVMSDVWGRHTIYGKELLLTIFGTLMVILLPWNR MSAQSVTAWIAVFRVVTGVGIGAAEKSPFGSRAIQVLTVFSNIGLGNIAASIVFLILL KAFEGSIADNLSHLEWVWRLLLGIGIVPAVFTLYARLTITETLPYKQYVCDDAAGQKR TFKKQWEDFCQYFSHWKHAKVLFATAASWFFDIAYYGINLNQSIILARIGYASGTTPW KTLYNTAVGNIIIQAAGYLPGFYVGIFLPDRIGRVRQQFWTSIMVCILYAIWAGISTQ SAHTPTAGLMTIFALSQFLLTVGPNCTTFLIPAEVFPTRVRGTAHGISAAAGKCGAML TAFGFGTVEDAIGLEGVLGLFSGIMLLTALVTLLIPETKNYTLEGIENANLPRRTSDM ADRFHSREGSDTSLNNASIASPRSSTESRSSSTRNPLRVSANHQHRQSLSESLRGPPG SPRSRRQPSLPQSAIQSLIDNPPPPKSNDPAFVGRDWREISIGELVSPDDLKFVELDT GIEEATNILIDSGAAVLLIRETPEATSAVATFDYADLNSYLLLAAGLTHPDEAHQAFY EELAKKAHDGVPIPLRDVKKFGMEKEPLITLPASANVLTAVEIFGGGVHRVVVVNESD DQEVVGIFSQFRLVKFLWENGRSFPVIEELYPKALRELVIGSQEVISINGDKPLSDAL HVMNNEGMSSIAVVDSYLNVLGNISTADVKLLTRSSSLPLLQNTCTHFISIILSTRGL IEGKDSFPVFHVNPGSTLAHTVAKLVATRSHRLWVTDPLSPTSSGPPTPSQSSVHLPL SNSVSPATGSHTTNSPPPSPSVPVTPSAQPSQYTAPHLPTPNLPYTCPGPGVTVPVPS PISHSIPSSTLDGARLSGRLAGVVSLTDILNLHARASGLNPADPAESRSRRRRSSSSS QSVRRSGDIGRELFSRGGM PEX2_099230 MPLSDLDQDITPVPRSREENQERAFIAASRRKDRSLDARLESAN RASMLHKKRTGKAFHITKEIVEKEAMYEEVDERYQEKRILMLQRQNEQIEDQFKNHLL AAFAARAQFNASSIHSRRASHMTPRPSVNGVNGVNGAAGSRKMSLDLSNLRSSFSQGP GSMASPMTTGDGYVLSPTASYDPNAQSYPAYMSGSQTPYSDMFSASTGAPSGQIPAYM NQQPSAPAWNSQVPTWAPMQQHNITPAQTPTDTHAVQMWQQQMMQQQMMPDTASQMHQ FRDRLASAPELPLQHTVAPPIPSASISGPTGHGPTHGHSRGQSQPSNNFHNLNLLTQS THISHPQMAPSSLSSPKIEALSAGTHSTPDFCPTPNTPLSPTTATAHATMSQGGKVDG DGIMVSHEGLDPDFTDFSQFAFHLGNSAVPLSDRDQPFGFDDLLAVDDFTSVSC PEX2_099240 MAARDRFGVYAEPGASPLQRAIRNACDPQNYEPNLALNLEVADL INSKKGNAPREAAFDIVHLINSRNQNVALLALALLDIAVKNCGYPFHLQIGTKEFLNE LVRRFPERPPIRPSRVQHRILESIEEWRQTICQTSRYKDDLGFIRDMHRLLLYKGYMF PEVRREDAAVLNPSDNLRSADEMEEEEKEAQSAKLQELIRRGTPSDLQEANRLMKVMA GFDNRHKTDYRAKAAEEVVKVQQKAKILEEMLQNQQPGGALPEGDVFEELASALQSAH PKIQKMCEEESDDPEAVHKLLEINDSIHRTIERYKLVKKGDLDAASQIPKGTLGTTTG VSTNANNELSLIDFDPEPEPSSNSNGAGPSQGGNSLENDLLGLSLGEQGPSPGGGISL GSSSKYRGTIFPKAPPLTNIKVNFPSMSASSTPPAPSQPQQQAPTAFKPNYDILASMN SSRPVSQSPTPVMGASPQAQSTASPPPAVDPFASLVSASPRATSSPFQPPAQSQPTPA SSSLLDLVGGTGPSPQPARQAAPVEDDEWDFASALPASNALPSTNKIQVLNSQLRVDF AARRIPNQPRQIHVVAIFSNTTSQRIGDLHFQVAVEKSYTLQLRPQSGRDIAPQQQNG VQQEMLIDGIEVGKGNSVKIRFKVSYKLGGEAREEQGMVPPLGIA PEX2_099250 MVRHKKDNFARGGKKFNSNPRPRVPRGDEEVEGATSSRPPYKAA CWDMGHCDPKRCSGKRLMKLGLMRELHIGQRHPGVIVSPNAKRIISPADKDILEQHGA AVVECSWVRVKEVPWSRIGGKCERLLPYLIAANTVNYGKPWRLNCVEALAACFAICRR LEWAEDLLRHFSYGPSFLEINKELLARYAACETEEDVKRTEEEWLTKIEREYEDSRVD GGDDMWTKGNTNRLPARTSDDEDDESGDEDDEDEGSDEEEDEDKDPFAISDDSEDEEQ MAEIRRKILNSKSFKNPEEEETQQPEKISRPEQLYVDSDAESGSGGSEDEAFDNIINA TTVTDRTGIKAIQQRKGKETISGSFSRAEISAPKKW PEX2_099260 MDVMAILDLRVQNTKVARDLEEENQNANHLLLREPEWRQSSVNP QCLINDIGPPCGSMSPQHNDTLKSSQEQNAQLTHEMKKQLKDSQEQNAQLRHQMKEQG KIILDLRKKHEKAMGRFEQHTANITCELEEQAKKISDLECQKGKLVYVLEEQAKRISD LEGQNRKPVLNLEGQANRISDLEFQNDMLVRDLEDHINIASALEKRGTKIKEVFGAFA STMLSELEYQEELINNMSLDDGKFLLPSHNTLVHLVEIIEQWTADDEDSDMLFDLEDE TQFEYLYTELLSRFNEQSCKVKIQGMKLDRQKIMIDEQTSTIFELEAQKRKLAGDLEE QNENVAWLLECSGENSRECTSCWD PEX2_099270 MPDKDSSTPRVFLYRHGQTEWSKNGRYTGITELELTQDGVNQVN ASGKMIVGSGRLIDPAKLAHVYISPRRRAMQTFEIAFSDADKQALKDTQRVSETDRLA EWDYGLYEGLLTKQIRALRKEHGLDTESEWDIWRDGCENGESAQEVTDRLDNLIQEIR AIHKDNMHGENPSDILLVAHGHLLRAFTKRWLGYPMEFPLSLMLDPGAVGVLSYQHHS IDEPAMLVGYGYPLQE PEX2_099280 MPHHSPDDLSVAEAKKEVHEVSTPTSPTPTQEKRSSAFISFFSS FLGPKNPLVQPADPREHTVWLLDNTAYQPVNEETDENQPCWHAEVVACIFETEGRKDV GNLVAAIADHIGLDGEVGSDDETRRRIAERVQPFLNQVSPARTVTLQIPLFRPAQSHG LGPSDRNGIVSQTVDMGEVGIYDGAVVRPRLQNFGDEAVSMSMTFAAPEGWLVVSDID DTIKHTMTLEPTGAIRTTFADIPEPIAGMPELYRYVHAELFPAWFYLSASPYNLYPFL HDFLDEHYSPGTLVLRDYSWMDITGLIKSFTEKTQEYKVDRMEKIRGWFPRRRVLCIG DSTQKDPEAYAEMYSRYPEWIHAIIIRKVRDVAHMEEKNAPERFEKAFEYVPSHIWTV FEDPEELYDFVDGLGMEDQAL PEX2_099290 MHQDPNDTMQSDIPATQPIVEHEPPKDIPEPPTRSPEARKPPEP PKHTSGASGSTSEAIKPTSHPAKPSSSTLNDSPFTPLSMRALYYAPEGVDSDTPDGIP EGEISEALSPETSTSVIRQTGTNLVFDSAFPTPQPSAQQYLLKITTAAFCHDEIRLAR LLNPPNTTPQIPLHSLCGAVIGTPREDDEREEGPRFKIGDIVFGVVGYTRDGGSADYA VATEGELALKPDNITVAGAAALALPALTAWQALFRYAGLDPDVPGGLGEVDDEFGSGG NGMGRWLWQRQRRESVLGSKNYGYGHRKSTVAGNGGMLNDTTSGTGSGAENGRWIWQW SRRGSALNGNGNANANGTISGNGGDIDNIPPAAKAVNPAPNPKNVRRESLISLVSGNP SGKKTIPRAASTVDPNPKSTVRRNSLIGLIKGSTDAPATRASSTVDPTTSADANGNTR RGSLIDLVTGNASPGRRGSLLGSIIGSTNSNGNGKQKLPKIRVLVTNARDNDIGRIAV QILRADSLFPMPVRPWICVTCTQVEADIIEKDWEVDEIVIIPHLPSPDECNIEKVFRA RRWQPVDIVLDCAGGEIFRAAHAEGVVKDYGAVLTVVDGQVAQQSPLVPEKDLLGERK RGIKSRFVPVNPDGPAMERIAELVEEGLIRGKEITIVDLSRAADLLASGAAATAGSRR GGMVVVRVNNIACT PEX2_099300 MASAAGGLTRRRGAGRVAGADEQDDSRVSSPISRNGSAMDTRGP ETSFTSAENGHKIAFDPRDISENEERGKQPKLTLMEDILLLGLKDKQGYLSFWNENIS YALRGCIVIELALRGRISMQKDSSRRRFPLADRIIEVIDDTLTGEVLLDETLKMMKSS EKMSVNSWIDLLSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATH PVADGGAKDELHRRVRNICSNRTVIIPPSSWLPEDAEYRYLRSISMVCAAYAANVLEN ALVTMSHEARERAFAQVDELLAEYSQWPFGRKAGGSQAIGANLAQAVNEEINRNKDKE LQMEIVAACLSVFTRLDSLL PEX2_099310 MFRSTIVRSLKASAPRVIKTPAPFHIRSSPIAAQVPQFTPCFAQ GVRLYSAPAGLSKNEAEGRIVNLLKNFDKVSDASKATENIHQLNPFDLQINGASHFSN DLGLDSLDTVEVVMAIEEEFSIEIPDKEADQIHSVEKAVEYILAQPDAH PEX2_099320 MAATRSVARLIAYRRPTPFGLLGSTANFSSSMYRAASPAGPPQA GFRLPPPKRWDQDSESALDKASKYFLMAEIFRGMYVVLEQFFRPPYTIFYPFEKGPIS PRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKC IYCGYCQESCPVDAIVETSNAEYATETREELLYNKEKLLSNGDKWEPEIAAAARADAP YR PEX2_099330 MPRKDCELTALKERLRSHAQAFDGLLSLIPAKYYYGEEDTSDQW QRKKQTKEQAREAKRAKLNPEAAKTAKDVMDENARKRKREDGTLESDSSDGEMGTETP KEGLNRGTANIKKQKQVEKTDKPDTAKAAEAEARKKQKEEKKAQKKAAQMEKKKAKDA ARKEKSQQVKKPSTTPTEGSEKSATKPNGNVAKDTEASEDEEDDDHEDDGVVEEGFSI EFNVEQETPSSAPSTTDSPSLDASNPQSGTSSTSSTVPPSASTEAKSSEPKPLKHTPE ELKQRLQKRLDELRAKRHADGLNGKPARNRQELIEARRQKAEQRKAHKKELREKAKIE EEKKNDEAMARRFSPGGSGSLLASPRSPAESVGSASNNFSFGRVMFTDGQQTDLTGTN VREKPKTNGARDPAAQLKAVEAKKARLEGMDPTKRADVEDKDLWLNAKKRAHGERVRD DTSLLKKALKRKETAKKKSAREWRDRIDTVAKSKEQRQTKRDENLRKRRDDKGTKGGK KKSSSSGKKKAARPGFEGSFKGGGKKK PEX2_099340 MIRHGEKPRNPNDHGLTPDGVKRAQCLRHVFGQDSEYNIGHIMA PRVKWDGAHGRAFETVLPLANDLGLTVDTHCKRNKVKCVAKTIRSYDGPGNILIAWRH SRMGGIEEELGALEPIEYPDER PEX2_099350 MSGYDQYNQGHGQQGYGQQGYGQQGYGQQQGYGGQQGYGGQQGY EQQQQYGQPQQGYGQQGYGQQGGSSDYYSGQQHQQQGYGQQQGGSSDYYQQGQQQGYG QHDQNRQGGYEQQGAPGEAQDGERGLAGALAGGAAGGFAGHKVNHGFLGTIGGAIIGS IAEDAVKKHRHKDDQSPPQYGAPPPNGQYGGPPSNSGSGGSMMDQLGGFFKK PEX2_099360 MIIRKLCMRQSRQLLALSRRAPVSSRFQSTLPETPVETLQTSIR DEISRPENPLFEMVQAQSEATTPAQQEFTSDEPQRSNFKKLGTTVKSSYDPENVIRNP PKPSQISLEMLLAAGTHLGHSTSRWNPQNSRYIFGIREGVHIISLDVTAAHLRRAAKV VEEVAARGGLILFAGTRKGQKRAVVKAASLAKGYHIFERWIPGSLTNGEQILGHCETK VVNALDEELPNFKSDLADRPSLKPDLVVCLNPLENVVLLHECGLNNVPTIGVIDTDAD PTRVTYPIPSNDDSLRATCLIAGILGRAGEAGQLRRLKMAQEGRTSYTPITAQELRLD PFTGLAPGGEGQKK PEX2_099370 MATEGGFVIVKAFLPTVPLPANSERPAITTERLLLRALQPTDLE ALHVLRTQQEVMKWTSAGCIDESIEKTKSKLDPFLPPNDLITANCAICLKETGELIGI GGCHLYPATHGWPEIGYMLRTDAWGKGIATEFLSAWLRFWSELPRTEREVRVQKEMVI GEGVVDEHLIAITEASNNGSQRVLLKCGFERFREFVEVDDTKTVNLVAFRFLPKR PEX2_099380 MSSSRDNLPPLMNILTIGNGTTPEHARASTEKSPRHLYPSTPTS SLPQNSEDSIPPKEIDSQPQQEAYSVFAKREKHIAVFLITFAATFSPLSSFIFFPAIN ALSVSLNVSVEKINLTVTSYMIVAGLAPAIVGDMADMTGLAVQNSWIALFLLRMMQSA GGAATIAMGYGVISDIAPPSERGGYVGVVLLGPNIATAIGPILGGALSQAPGWRWIFW IPAIASGICLLLISLFLPETSRCIVGNGSRKVSALYRPIFSYHQSRNSSTMSALNEEE ITPRNFRIPNPLATLKILVSRDSLLITAIYGVYYMNFSCLQGSLSTLFIDIYGLSELN AGLVYLPFGVGSCIGAYCSGKIMNRDYHLTARAHNLVIDTNHGDDLTGFPIEKARFRS IWYSICATGISTAGYGWSIQSRTLFPSFYNLS PEX2_099390 MLEGEFNSMVELYKTSPNFVPKPYAWGQLSVSRPATYYLLCDFI EMGNKNPDPVQLATKLVQLHQSSKSPTGMFGFHIDTCQGSLPQQTAWNQSWVDFYIQL VRGAMALNTERNGNWKNLEQLVDRLITHVVPQVLGPLEADGRVVKPTLIHGDLWDGNI GTNLENGELYIFDASAYYAHNEMEIAMWRARFCKTMSAKIYLNSYLNRMGISKPVDQF EDRNRIYSVYMTLHESACHNGSNFREE PEX2_099400 MSNPRLGKWFPFKKDPKRTPPEETATQGLNITTAPPSATAEIVW SPIEITDPSQTATDLDRRPSLAETFRAGSTFGIPSRNHSVSTDRRNDPLGLTVVYEPE TSPSLDIIFVHGLGGTSRATWARGRDPQYFWPEKWLPLEPGIQMARILSFGYNASWAS TGSAPITGITDFAKDLLYSMKFAKGEKLEELELGKRPIIFIVHSMGGLVVKQAYILGQ NDDQYSDIVSSISAMLFLATPHRGSNLADILNKILTVSLFNHSPKLYISELSVGSQTV AALNEQFRHFAPNLDILSFYETLQTSIGPKKMMVVEKESATLGYPKEISKALVADHHT VCKFDSIQDSNYISVRNALKTLVSSIRSAGQSLFGEQGKTQLEHLQTLLAVPETFHDD LEFFHSRWTSGTCDWIIFHPSFIHWMDEAEDAPTMLWLHALPASGKSVLSAFVTHKLL EESICVYYFFRFSDESKRSLSACLRSIAFQLAKQIPAFCRALKNIPFATKTLEKTDFK AIWERIFVQLLFKMRFSTTIYCVIDALDESDNPQKLVELMQSVSDSLTRIKVILVSRQ TPGLITTFDRLSTVLSVAYLPLESTKRDIRLYVEREVEYLRAAPEFKARLVDKMVKCA SGNFLWTSLAMREVAECNTEEDVDETLGGIPGGMEQLYQRMERTIISNTKPRDRKLGQ RILMWATCSRRPLSLSELAQALEPDFLPMVEMSLLINRVCGQFVIVDAATDRLVMVHQ TARDHIVATDSELGVNLAEGHERLFNKCLSVLEDKHRQPRDLHLLPGNLKESLKGEFF YYAMTSWAYHLDKVSADSDAPLLLLAKFLKGNHVLDWIVALAQTRKLRVLVSSAKSMS LYARRKRGRNSVTHPMVHRLQELDLVESWATDLLKLIGKFGLHLTETPTSIYQQIPPF CPKGSMIYRQFKQFAPRPHSLAVKGLSKSTWDDGLAKISLQPATQGLIIGCAGDHFAV LTGRGVITLYNSTTFETKHVLKHAEEVSAMSFSRGSHLLVTYGYRTTKVWSVNSGEVM HRIQNPIGSMALAMVFSAGDTEIVIGSADRLLRVARLTDPVPTWSILHRSLLTMDTAL DRPVHNVPWRMSFNADATCVAVAYRGSPLCVWSMENATLLGRCMRSHEYAGNSWSVVD QMIWHPKSQEILGLYGGGQVFRWNPYTNSQQELEAEGAILACSPEGKFFTIGDSQGTI KLYSFHHFTMIYKLSCDQMITDICFSPDSKRLYDLRGPWCNVWEPNALLAGDESSDDS DLGSEASSIPTAIASEASAEVRAQITAIAVVFRGRYHAVGNEAGVVSIIDSSDGKHAT SELWRSSLELPIAHLDWSSDGRFLASVEMAGRVVVKEIHTGSDGAWAANQVFKATIDV SSEGVQQVLLNGNGAIVLVKNGSSVTVQPVMSTRSNREPFTITSPNTKWVKHPTDSKL LLAFSPSQVRVYNWNDLSEIAVMDLERTPLLSDIDRAVTPDTVEDHLQNEETTEIQRI CHTCSDSHLLVHTTNGRRETVTLLFQASSLSQFPTAPTISPSIETPMTIPSEIQRQIE IPLGILSHQRLVFLDKSHWVCSWSLGPNFTPDQVQRHYFLPKDWLNMECLELCLLLPN GAFLIPNNGELAEVRSIGLSHG PEX2_099410 MSQRIFNTGVLKSAWRQIKAVIEAELEEDHMQDRTRNEVTTGLY EYETLKGHVLPLRLVELLPGTRQEDITCRMYETSLDKCAGSYEALSYVWGDDSEKTPI QIDGRTLEIGPNLRLALFNLRLPDKPRTLWVDAICIRQDCTNERNKQVAFMDEIYRRA RRTVVWLCDEVKGETSAAISMLEALAEDAISRSHKRSSHGDGNGEGEKIVHLNNLPVS MIEKVGVTDPMFDRYQNDATAIHLLRNWDHLRIGVNHGLDLGIWTPISMGIMRDPIIT PFLSLQWLRLKRQTQDPKEPPGQVLLNLLFQCRFRQASDPHDKIYSLLGLIASTGSNP LVAPIPANSPLGIKPDYSSPVNTIYTHVARQMILVSNTLDVLGGCKGSPPTAALDPGF TLPSWVPDWSTAQSASPLLHDALGEQRTTHATAYSKALPQFIDTGNSTLLLHAHEIAS LTALASPLAHPAADSIKMTRDLDKWLDKMDGAVISLGYLWGLLGQVWKSLSNIYEAAM AIVPLLVTFAKWEAFATEVSPTNPSPGVAGSVPGPDPSPSNLITAPVYEVKELDIPAT PEEEPEDRLAVYWQTLCTGTYDTATAAPEMGRKIASQKLFYSWRASLAPFYLLNRWNA DRMLRPLGFVGYAIKTYRMFSEFPRFLEGSYGRRLGRADNGYLCLVPATAEVGDKVIL ARGGRVPLVVREDGGTGYWRLVGEAYVHGIMDGEAWEGDKCKEFQVR PEX2_099420 MIGSVFFIFNRLVEIVFLVPIIGMLAYFVNGYLKANQLTPPYIL VLFIVSVIAIFWALDTLIRFSTTKRSAIFVAFVDLIFFGAFIASVYQLRFIANADCAH WNGGSVWISLGPFGSYGQRTDNPLSLDVNKTCAMLKASFAIGIMETAFFFWTALIALF LHHSRTPTVVKETTTVRRRSHSSRRGHGSGSHSRHRSSSRRPAPYVV PEX2_099430 MEIPAKLPFSKRRLRPRVVISYIFDYVIIIVCAIGFAILNKVEP FHQHFSLNNISIQYPYAVHERIPMAYALCISAAFPLVLIIVYTLVIDGLFSHHKPQDV SSGKRKLRGPHRFKDRLWELNCGVLGLLLAQGLAFVITQALKTACGKPRPDLIDRCQP RAGSKDLFPGLSNSTICTGDPALLTDGFRSWPSGHSSSSFAGLVYTSLWLGGKLHIMD NRGEAWKALLVMVPLLAASLVAVSRIMDARHHPFDVITGSMLGVVCGFVAYRQYFPPL SEPWRKGRAYPIRTWGSDPAGPDAARLIGPKGDSSTALRNPEEERMDPDLPSSEHPRP DASTYLHASNPYGSNVYNRRPHDHDADGGAWSSSEDDVTNGYEMRQGHNMGQNLGAGH HAPQYDPSNAYVSQTQPLTANTGIHVPDPMAGPGRPLTDTPGRAM PEX2_099440 MRKFIALAAFAGGSHALVGRTDSCCFHLTSSGGASGQLGQLGDG QNRIGDNSLQPAQYCIDANGAITDSKGRGCILTPPTTQLQCDEGVSPTPGFSINAQGK LQYQNSPGFVACATGQNGGLNIYTTPNKMDVTGCVNVEVSADSCSGTGPSPGAPAPGP APAPAPGPAPGPAPAPAPGPSPAPGPAPAPAPGPAPGPAPGPAPGPGGGGPGPMPAPG PAPAPGPAPAPAPGPGGGGGGPAPAPGPAPAPAPGSTHTATTTITVTDCSCPTSGAPG APGAPGGGQAGPQPSAPAGGGGGGGVPQPSAPAGGGGGAGVPQPSAPAGGGGGGGPQL SPSIPGPSGGAGKPSVTQPAPGGGGGGGVPPGGSASVPVPPGGGSTQPGISISVPPLT GGGGTRPGGSPTVPAPTGGGSQPGVSPSVPPLTGGGGTRPGGSASVSIPTGSPPPTGP RATASTSAAVPTSTSATGSNGACPTTLSGTTLSGNYEIPHLIVAVDSSSPGTAAGSGL NGTVSSTISTVFNFDIPNADSGKTCSLIFLFPRLEDLETSSFSFSGDGNIDFAELSST ADSLTSFSNVPSVAKDLGTVTVSPGNSYVVSTFSCPAGQAVAYQMKNAGSTDLNFFED WNPSP PEX2_099450 MMTNKPCNANDEDIVDGMVGVGKPLSHPTSMSYYLQRIRLGEFC REIADCAPFGMSESEGPDYEHTKQIDGKICEFAGSLPSFFSLAYRSDELPKSDPRRSP GIIIQRYIINFLLNAQRCRLHFAYLSRASKDPTYDYSRKACLEAARMVIQTERQLSVE VIPFVAARLRIPGLLHCVCVAIIVLLIDFCGGGYPQEKDITETLEAFSILEKAKEESF LAGKLLETFKSALLRHGASGSAVEGNTTTKSTTQNLDASPGLACKSPAPTNMVHPTGS NIDDFLMDPTLPALDDLWQVFDDNVDPGTVDWNSFFAEPDTAFLSI PEX2_099460 MDSIIAQIKAIAQNTDEAGRLNIIQSLKRVKVELQSPQDTFLEL AVPGLTSAILRISADLGLLRSLAGTNQSLNVTQIADLTGASPPFLERILRYLAAVDMI KETGVNEYTANGITHVLADPKGEAMIYHGYDTIGPVMQAMPDFFAENNYKDVTVNTNT PFQKAHNTKLTSFEWLVQQPKHFENLQKIMTALQGSEWTEGFDLFNDEARKIPSKVSS TPQGSEKPFFVDVGGGHGHQCIELGKKYPNLLGYLVLQDLPEAVKDLAPIDGVKAEAY DFFQPQPIIGANFYYLRRIMHDWPDDKAAMILRNIRGAMSPNSRVLIDDAILPDTGAN WQSALADLAMMTFAGKERTKKQWEALAESAGLRVEQIHTYVASTYTAILVLVVQ PEX2_099470 MYIPQKRQNGQTNKNNIFIICAVSIIFAVALCVGIFFLLRYLRQ RHFEPKYLPGQFFKRKWKKWSPGSTSYGQVPNQTGGQDTSYRGAGTAPEMQATNGVRR DTSIRSIMTLPPYSQSPKPTEQVIAREGERGGMDMVVEYPETAEEQETRREEQMDSMY QIRLQRRQELADREARRRERREARARGDSARLEQLNAERRRAGTNSAVSASAILAEHQ ARERDRRISSVSYAELGRVRHDGSRLRADSHNSDNHDSDSRPLLQGDAVSSTAQSFEP SSVDSRGQSGASSFMSESHNSTELERLTLAPTTTQGSSRPLSQTDDGDLGMLNIPPPP DYEYLDWGDAPAYQSPVTEQNEQARQLPPIDRLPSIHVNLPSPMTVSPVTPTQSQFQS INIDGPPTPTERSPGIRMVSAGSTTSTTSTTSTTTTRGPPPILPDSTTTPESSH PEX2_099480 MATLNFALKNNTGSNTVYAYITGQALDNNNALFLLRSDGKTPYY PTSPSSTGTNLSADCAIPLGASGSTTTITIPHLAGARLWFARDRKLTFYLNPGPALVE PSVSNPSDANYNLVWSFCEFTWNNYQLYANLSYVDFVSMPIAMTLTNGAGATQTVKGL PANGLDLVCNALREQNNKDKAGWDKLIIQHNGVNLRAVSPNTGRTLNNSLFNGYYEGY VNQVWSYYANSTNNTLTVNTQAAAGDVTAKVASDILYFSVPGISYTKPSTGDIFSNSS GSFAVSGNGTKDAITARLAAAFNRSTLLINGSQPNGEKVSNYYKNSVTNHYSRICHSV NIDTRGYAFPYDDVAPNNGPDQSGSVFDGNPKLLTVTIGGGSSNSAKQSAIEEDATPV QQPVENAKKPSHFHELRSFVDKLSHRKSNT PEX2_099490 MSKPTLIFAAGAWYPPTIFDPIIKQLADYTCHSIAFPSIQQASS VVDLQPDIEAVRSITQQEADAGHDIVIIAHSWAGLPVSSALDGLSKSEREAADQKGGV VKLIFIAAFLPSIGEGLIGAFGGIAPPWYVRDEENGTVTASDALVLFFHDVPNGAEWV KLLKPHSWATQNAPATGAAYMSIPSAYLLTEDDRATPLPVQQVLAERARRKGAQIETE KIKTGHTPWLAMPGQVVDYIKKHARESSEGTGSSLQ PEX2_099500 MLFKRKTSKQNDTQKENIPGANPGWKSLFGFTTRRHLPTLILGS IFALVASLVTPILAIFLGNVFDSFTSFGAGHTDSKGLHSQVITNCFGMIGLGVAGWFL NGAYYALFVAFGAMQASVIRSQVFLELLKRDVEWFEAKSEGSGALLSGIQAHIYEMQM ATSQPLGLVLQYSCRSLASLGLGFYTSWSLSLVTLAGIPIFSAIIGFHSSKMKLSITA QQAELTEASKIANNAITNIDTVKCLNGQAFEHRNFAERIERSATHYLRQARLNSLQIA IIRWMMFGMFVQGFWYGSSLARAGKLTSGEVLRTFWACLTAAQSMEQVLPQMIVMEKG KVAGVALKSIIQSGREDKIVSEVKGTRYPEHCEGDIEVKNVSFSYPSQPDQCVLKPSS FFFPAGETTFVIGKSGSGKSTLSQLLMRFYLPTSGEILIDGNPMQELDINWIRNNITL LEQKSVLFNESVLTNIAFGRQDHAAVTKQDVKDPIELAMLENTIDGLPQGINTCVGPG GSFLSGGQRQRVAIARAKLRDTPILILDEPTSALDHTNRIAVMKAIREWRKGKTTIII THDMSQIMEQDFLYILEQGSIVKSGYRYAVEVSPGSEKYFHTKINGHLEAKSQNKRAL DDMDIPWETSSSESLDTLRPPTAFLGHRRSRTSWAQGHIPSSFRSGSLDLMTGRNSEY VVRTRDFPGSPLRLSFMTEGPSPQRDSKQTTIQIPLEEVEMIHIADQGFKSDPWPNEH TTKDLSETKRSRSSHGHRKKSKEQQLPKERMTPLSQIMGTILSTLTIRQRIILFLGFA AALAHATATPIFSYCLSQLFGTFYPGANSEHLTMTWSLAVLGVSLGDGLASFFMHYFL ELCGEAWMDSFRKNAFERILDQPRAWFEKDGNGSLRLTSSLDQNGEDMRNLLGRFAGF VLVAAAITVMAIIWSLFVCWKLTLVALSCGPVIYIITRGFEGTNGLWERRCNDANNIA ADVFTETFSEIRTVRTLTLEGHFHRKQANAIARCLLLGLKRAIYTGMLFGMVESTVIF SSALIFYYGAVLAASHEFNVNDVMMVFSILLFSIGYAAQLLSWIPQINTSREIATQLI RLAKLPHDGSHEHLGGLTVSKLTPIKLTNVNFRYPSRPNTTVLQNVSISIPPNSCTAL VGRSGSGKSTIASLLLSLYEAPASETGQPTVTLGGADILRLHIPTLRSQISIVSQQPT IFPGTIHENISYGLDQYSPLASSHSVRTAAQGAGIDDFISSLPRGYNTVIGDGGVGLS GGQKQRVVIARALLRQPQILILDEATSSLDPEGAEIVRQTVQQLVAVRQGLTVIIITH SKEMIEIANHVVVLDQGAVVEDGPYQALARMNGGKLHALLCDPEEVGG PEX2_099510 MSKPFNPEEAENLEDMEKQFAVKAVEHLMTYWAILEKVKGSQLR LTKQDDDIYESFMEAFPDFDPAGTLVEDEMKSKAGKEKWRNWMMKWDKIEDFNFGTMV RTRADAEYDQDTTIFGVRMQFYAVEIARNRAGLNDWIYEKAQKASS PEX2_099520 MAFLQMQGTAKLQQVTQLLKSLEKDLQENNLSTADRVQTLLQLR QHGTNPTNAEPIYSQNGINTLVQYGVEGETSDVRRAALRCVANALLLDPKMRQAFVDT GYGGKLAEKLKTEDSEDEMVTSRILFYATYNTTMDFKDLIKSHALGENVNYQLSRHAK QFPKSGRKPLSQMDELALSDTLKLIYNISKLFPDLAAEFSPSIPHILKIISRIDIPAK PLDGLVGGLLNTLSILDLEEKKGKFFESSPLFPTFDPNCNVDKLIGILDQAVSIYSPK ELEATTIPLLYSLIAIYEVAPDGPRKHMQSLLLPEDTDRSLPIGQSKTLSSKLLKLST THFANLKVTISELMFVLSDKDAEKLTKNIGYGFAAGFLAARGIEMPQSASEAYSDKED PETARNPITGQRWAAEPQDSGPPMTMEEKEREAERLFVLFERAKANGLINVENPVTQA LHEGRFEELPDDTDSD PEX2_099530 MVEILSARPPTPPRTASRIVIENTESPLAVQTPKESSFSTLGLG EAGPSSRSSKKVNFSPWPKYIKPPTFASAMKSAPDFKTIPPSPNSKPTKSILKATQTP IPVWSPNVNAFTAESLAMLLESVIQQLAGESMSSRLDAYMQFFYALRTYDGLPAGQKI AEKLNLITEFIQRDVNRDLANNSPFDTNLANQALKLSAAFVWHAEISTQLSDEFKIFL VDHSITCLHEAKAPKSVLTHYLSILSTQNFSPKIMTGARVARLLTVLQDVTKGISGNA IVSHRLNIYQRLLGQAKTAFISQSSLWIEHLIFGLLHHLKDTRSKAIALGFQVATEAG PNPTLSKNIRDLFDRPLEHDRKLVSEVRERMTRMMATVDSGEHVPQIWSIIILLLRSK RWKLEDWGHFKEWVLVLQKCFNCSEPVIKSQAIVGWNQFVFAVSPNESTSRYILKMLG KPVLTQFDRKKTDKSGSPPTQVALTSYYNLLYYTFRPSAPYQHLDIIWEEYVVVPSSG IFSSVPVLSDCLSRILTNLLWSTQAKIWIENRINDTTKMEAEELPAADSRWVRSRITS ILKVFENVFKASVWIDDAVGQSHVALAWNSLSSALSLASSKEITPSGESMQAVASVWS LLHRLWIAGPPSLNAETTDIFFERFRFLSMTMIVSIGSIPFTEKLLLRNADEPENIST HSPPNTTQESAILHLLRIISSAAGTIAPSQFYTHLVLGNIEAACNGRFSRGSRLELLQ QCAELSTLKTSAIPRAAQLSEVVWNATAQAAADALQSFPMESARGRDGSVSRDYENVI KILSFGLAFPSAFQEWSHLLETFVRVVRTEKGNQAVATMIVDPMAERLMNLSARDTYL PSTSLLGHSLSIPFMQGTGLGIDRSGIQAAGHAIFPHKLLASIAQTLHGAHDNFNASQ SHGLADFIEALTSFLGAGVPQFQSQILQCLQPSLGLWLKDESHKIHVDHGVDSRILTA CRALSSATINILQTSVSHDLPSLKIFEPILCAGLESSHVSTAKRYVELWGLTFGSQSF VIPATILEAVQTAESRVTATLPLQDGDQDTEMLPPPPPEDIMDQSLHQPSQDTQLSSP VIRTEDSSVVLKPTEQFHEPQLPSNLQKPMDSQIVDGISVSLRRKSHREMFSMIESIQ SSSPASTPRKLGFDTPPHLRKLHGESLTELPLTPTLAPTENEEGFIGSSPTPGTRDPT PAMNSDALISSHLPADLSRDPPSSPPEMQSRSPSPRKSRSKSARRRAAKARKALISTS GKQSTVNSPATSRLATENTDTPMSDAHDYDNENADDTPRANGRTPNRRLRSALGKDSD TAEFSPVPLLDSDKTPLQQPSRRSKSSSSSKKKRKQAQSTPADDQPQQATDVPSDILP DSFMDSSEETETQIASQLGQDLELAVDMDDRDHLEQPKLPDEPSIKKRKRDQEESPSS VRTDRRRSTRLSTVKDVASVESLQPDASPSQNAMSRPVSPAKSLSPTATRRSTRSSQR KDDDNFIADSVPPTQDSVAQEPTQDGETPRPSKRSRKSIRLEEQSVSTAIDSTPQSIP RDTRSRKTRSQQHAFDVSQPQPSSIPSEEHGDIDHLPPHVDLDMVPESLTTKDQAEQN TTLVSTEEATATQVSETEQAIEPVVKPDIDIDIDMDAVQQPDEIVEQTTSVATAGTQT QETPPESQPDINEQGIAQSLKNLLGEMKTTTLSPSAFREVDDYLFKLRCEAQNASTRH NTSA PEX2_099540 MLPSDLTPDSKSRIALRGVGGTDVLVPYLSIGAWSWGDKATFNY NPTRDLPHIHAAWAKLKSVGLTFMDTAQSYGDGESERICGTLFRDMPRDSFVVQTKWL PTPDSLLPLNLEYVDIYLVHGPIHPSTISTVAKGMADCVESGMARAVGVANFDTKEMI KMADELAKHDVPLSVCQCEYSIVRRLSEVSGMIRECKKRSICFQGFTSLAEGRFSNKY SRFNEPQRKRRFSSYPMNMLEPTINILKRIAEERRVSVPAVALNYSINKSVLPLVSVR DTSQAEQDMQALGWRLTKNEMKRIEGVSLQGSRSSFMQHGTCRNQRHAIAQLPAKDRA LGI PEX2_099550 MVLAQYFKKAVAWIAPPPKKSEDGRDQWPSRAAFLLAAMGGCAG QGNLLRYPSVVYNNYGLQWFIPYLLAVFAIAIPALILEIAIGQAYRGGTVIAFNNVNH RLKGVGMGPILISFVVVQYFTVNLAWIMNYFRNSFRSPLPWEGRIEEFYMGDVVANID PVVGNLTNGNGAVAHYTVYPGVALIGETVGWSAFIWFLIWISIFRGVGLTGRVVYWTM GLPIVTTIIIVGRSCSLENAGEGIRLLWATWRSDQLASGTVWQTAVGQVFFSTGIGFG YFTSYASYNSKHSNAVMDAVLICGSNVLFENFAAFAVFGVVGYLRRWPQDGERLGAFV VGFLTLPEAVLHMPGANWWAILLFFTLVVLGFSSAFVMLDAVATLAVDSGVKMSRPMI VTGLTIMSFLMCLPYCTQFGFYLLDGIDRWINNVALIFVVWSELVGATTVYRWHDVVG QTGLPAFVVYNFGYFGGQIVGVSVAHGISNPSAGAGAGFGLYIVCSIISVLIATTPDI KAASFWGRSPLLSRFWYIAFYSGNQLRRDLNGVVGGNGNWNIPRFWPILLRYVSAPIL AIVFSFAYPEFYTLRYDPMMIAGFILAHFCLLLILLGVVFPRYYDIFIPSHRRAEGTE VTTANQPKEQDSESGMPESILSHKSSDGIDTGPSTAVPKL PEX2_099560 MGNESPTPTALFTEAIVFWSIGLVIYVGRIVARIIANGSYKRLF LDDYVMAATFAIYTTLLVLIQISARYATNLMDPKDYDEVLADPKQVSDRIYGSKIVIG LEQCMLISTWGVKTCMLILYWRMTQNLKSNLYIKILSVYTAIGFVVIMVTYYAVYCRP FSQYWAMPVDNMQCATYQHYSITQAVFNISSDAIMFAIPIPLLIKAQLKRRRKVVLIG VMSLGLFTIIAAILNKYFNFASPLTTTYQIWYIREASTAIYVANLMCWWPLLRKLFGV KAFQYNSNRAQRPGNLDNQNNGSSGCDSNNSQSHPSFTSPRSWKSPFRRGNKNTPTPP EKYSGTKRSSTEPINRSNNEFMNDMHRVEAVPLENWTTNGQLRTDTWTTQGSRNSLEK QCDIEMYQKQHARSPC PEX2_099570 MVVFSRQTSGDTEKSPKGHTAMDEVRLESTLGHKQELARNFGLW SLTSLGIVIANSWASTGGTIVAALQNGGPMAVLYGLILVSIFYTTISASLAELASSMP SAGGVYYWSSVLSRSRGRTAGFFTGYLNACAWLLSASSMSSMLGNEGVAMYLLKYPTV KWHSWQVFIVFQIVNWTCCAIVCLGNRFIPLLNRISLILSMCGLVTTVVVLAVMPKKH ASSAQVWTEYHNNTGGWSDGVCFMTGLLNAAFAVGVPDCISHLSEEVPKPEINVPQGI MLQMLTAFVTSFVYLIALFYSIQDLDAVFGSNIGFFPTAEIYRQATGSNAGAIGLIAV LFLATFPTLIGTFVTGGRMWWSLARDNATPFSNYFAQVHPTLNCPVRATVAMSALVTC LGCIYIGSTTAFQALISSFIVLSSLSYFGAIFPHVLSGRGNMVPGPFYMGQKLGMAVN IVSLLYILVTVIFFCFPLVLPATVQNMNYTSVIVVGLMVLTAFWWVFRGRRQYHGPQY SFEAAERLATFQEGKEGRRSFIDLVGVSPVGIEYGNVS PEX2_099580 MERSNKPSAIGVGASLPQPTLSIKDNIIEASLPSGQSVTVHLYG ATVTSWKTGGQEQLFVSEKSHLDGSKPIRGGIPLVFPVFGPPPQNHVTSPLPQHGFAR NSNWEFLGKSSSEAPGRDSDQSDLTVKLDFGLSHSMLTDEFRAAWPYEFGLVYSVTLT TDSLETSLQVRNEGQQNFEFQVLMHTYLSIADISDIRVKGLESKTYIDKTLQATSHTE SSPALAITQETDRIYQQLDPAVPIVVASAKDDQSLFSITREGLTDVVVWNPWIEKAKG MADFGPDEAYKNMICVEAGSVSGWQTLEAGEAWEGGQSIKSRL PEX2_099590 MKCKQLARDIKSQQPSRGSLPAGIHQTFPDPEVTNQLVQIYFNS FESYLRILHFPSFRAEYEDYIKDPGTAKASFVVILLLVMANTTSLLDDAGLQQEWRAK ARSWIHVAQNWVSVPIEKDRLSLDGLQVYYLLLLARQVNYVGADLVWISAGSLMRMAI QMGLHQDPDHLGGMALLQKEIRRRLWYTILEMNVQAALDSGMRPMVTADDFDTRPPSN LNDEDLDNEMQWDSPKEMFPTPTRASFQCLLASSVLLRLEATIIINALQEELPYDRIL RLGEELASVCRNATVSIDHHKSVAKNLWPTEFPYSCCDHFHRRFLLCLHLPYAAKAAH NPMYSFSSKAGFEAALDIVSLLDDEIYRRLLLVGGGMFRDILTRGALLVFLELITQLE NESSTFVKKRNQARREPFLEDARNIVQYAQDRLSYGETNVRGYVFVSMAMGQVDAMLS DSSTKEAIVKSASESLEVCHGILRSTAANLLSRITIDPNVAGGIGCDAMAIPSVDDIN FDFMNDGNIDFELAGSWLVQQWEDRAWS PEX2_099600 MFNITILIFKFVRVVFVAVGNAINRKIASTIHRYRYNLVDPPKN IVIIGASFAGYHAAKLLANSVPTGYQIVVIEKSSHFQFTWVFPRFSVVNGHEHKAFIP YGPFLKGAPKGSWRMIQDTVLEVSPRTISLQSGVKLDYEFLVLATGSQASSPSRLNVN EKNEGIKVLQSLQNQIRKASDMVIVGGGPAGVELATDVKSVNPHKNVTLIHSRKTLLS NFGTKVHDVALEALEGFGVCVILGERVQTHSEDNGSVVLGTGAEIPCDLLVRCTGQKA ASDIIAELCPDAVSSSGGFVKVKSTLQIADDRFSNIYAAGDIVASTGPKNGRSAARQA EIVSNNVVRAIKGQSLTMYHSDMLIEGGIELTLGLDEHVVYLTDGLRDMLLTFKSKDI ALKSEACWKMMGSGPRSRASPPRRNQFPPPRRVGQSSCQPCVSLRGGRPHTNSELLKE LVDRTPRREPMLRFGIPMAGIALEFQLGSNIKRVEAMYIFMVGRIADAECDSRGRDRG IFPFCVTVDLADGHVRCANCHWDNYICSKAQVESSRTTSRYSGQGSRGLSNEGRAKIS EDILELGAAFNLLKQDNERIQERVASNSTAWTTLNTTTSTLVAQQGRILRQRDT PEX2_099610 MGASPQTQKNGQDRHEDPANVHLAPQDSDLIDHHVDFSSTESDT DLESDDTAIFESGSHRRLESAPLIANRRRFSSTNEDDDDNDHDGVLASADEDEEKPVT WSSLPKKGQLAILTLARLSEPLTQTSLQAYLFYQLKSFDPSLPDSKISAQAGILQGSF TAAQFITAVWWGRLADAEWMGRKRVLLIGLMGTCLSCVGFGFSRSFATAMVFRTLGGV LNSNVGVMRTLIAEIIAEKKYQSRAFLLLPMCFNIGVIIGPILGGTLADPVSSFPQFF GPGSILGGKEGVWWMQRWPYALPNLLSAIFIFVSFLAVFLGLDETHEIARYRKDWGRS LGKRLTRAWTRRPRHYRLLTRSRDDDSIYTDGSVGTWSTPSSPARSRVHPRPHKKIGF SQIWTRNVILTLTAQFLLAFHTSAFNSMTFVFLPAPRAPENSRQGLFHFGGGLGLPSS RVGLATAIIGFIGLPLQIFLYPRIQTKLGTLTSFRAFLPFSPIAYVLMPFLVILPRIP WIVWPSFTFVVGLQVISRTFILPASIILVNNCVTDPSILGTVHGVAQSISSAARTLGP FLGGWGLGFGLENNIIGAVWWALAVESLLGWAMLWSIYEGKGIERKKDVEEEDEE PEX2_099620 MSDEIPSATADPNTVQNSLPSNAEDRKAAAALSSLNTNEIATEG ASAGAPLTANQEALGRAMSRLEIAAGQGSGNGKLAEGPKIDEVAKKKAVKIAPANVTL LVDQLDLNKTKATELLKAHDGDVTKAMKAFIAPSIRT PEX2_099630 MSLSAVAPSPDNVSIWEQAYHDAWRHFQTCIVGVLDRLACYNPS IQDRQTTIERLGQLTVEVDNIRIAGQAMFEHVPGCQTVREGTLTYFADDFIEDCLELH FKLNMLSWRLMNRPHSDRTVYNRLLMLLDSTMDRVPE PEX2_099640 MQYKILATLFLATTALAAPAPADSTATASSTDTTDSDDSLDLAD VPSSIMSVLATAIPASWYDDLMDPASRSAIVSEAAAGTYPAWYNALPSSVKAWATSNF DDQIAGATATADSTATQTETADSTAVETGSSTAASQTSNAAETSSNAAETSSNAAETT SASTTSSGSSSDSSSSSTSSSPSSTHSTGGAPAPTGGIAMSVAGAAGVLALALAL PEX2_099650 MAEWSPSSWTQKPIKQDVIYEDLEGLKASLQKLQKLPPLVTTQE IINLKKNLRNVALGKAFVLQGGDCAELFDYCSQEMIEAKVKLLLQMSLVLIWGANKPV IRIARIAGQFAKPRSSPTEVINGVEMPSFRGDNINGFAPDLASRQPDPSRLVSAYFHS AATLNYLRASLSSGLADLHSPLDWGLGHVITPSIKEQYARTVGAVKDALRFMHTVGID KDRGFETADIFTSHEGLSLEYEQSLTRLLRHPVKAGSSTTSPETGYYATSSHFLWIGD RTRQLGGAHIEFFRGIANPVGIKIGPSMASDELVQLLDVVNPDREVGKVTLISRYGAS KIANFLPGHIAAVQASGHIPVWQCDPMHGNTQSTPSGVKTRHFTDILSELKQALEIHR AAGSFLGGMHLELTGEAVTECVGGAAGLTEDGLGERYTTFCDPRLNEKQALELAFLVA GFYREESQE PEX2_099660 MARAAVIPQSPAKRVARTSTKSTAAADAKKKAPRRATPAPRTVP SALDAESDDELGFITSNPTKPTARPPARPATKPKVTATVTARGRKASESLAEKSKALG EQTEATKKRVGRPRKSPLPTEAAATKPEVAPKTRGRPRVSATAKAPATRETVATSRRT RAAVDTANETKLNQIKIATNSTIMRSNLLRGPAKKKTVKFQDVSDSEAEEPEIPAAGR RRVATKGASAVVGKTGLGAAPARKPATTGPRGRKPAAAKKDATQPLSPKKAKQVAKSL SAYASSDGEDDELNIMKDDTKDPVRLVVHSPVKLGLENTGLSSPVRRINFTPKKASSF MDENGEPKLPTPKHGSDGIGLSSPVRKINFAPNRSQNAVADNGHLALPPGNSVIFSDS MIMSSPARRPAPSSPFQFSLRDTPNRGGSLFGGSVNPISAPDFTPGLTSPLKMSPKKG YLGASFSQSPFKASTSAAPARTPLFQSPAKRIASPFKNSIFSAHASVGPSELVDNDGT PSKTVDLAQSTTPNSSLRESLPDDTTFDKDTEMVEDVARDIFGIELSSEGKSSSISPI QKDIASSEVASDAFNDGNTDSLSAEVEAEAESESESDLDEDESEYLQDKARPEYEEPE TICFDVMEEANMATEDLYDQEPEEDLGPGASEHLEDQAQFDREEADTICFDAMEDAQF SGHDLHDQQAQEASDLEEGDDLQDESEFEYEELDTVCFDTLEEAQFAAHDIHQQQVQE VSDLEEGEDVHDENEFEYEELETICFDAMEDAHLAENDLYDEEVQAPDSAMEDTDYPE DELAALEREIEEEESQDPTPVPSQTMEMQELDMEEQPNELASPSRSPFKLTYGDEAQP MVDLEYASEDEREWQEAIHQPEEEEQVMRDESDVDDTESIMAGPEFATISSNPASPHE NNHAEDIETPFQKAQLIALPQSSPTGGESTPLALNCPANSENDHDSTLDAKNANNVII DAPLHEENSWTPNTQVDSPAFKAASLFNTPSVADQYQSPAEASLGFTPLAQKFGRWEQ NTPSQARSLRPRRRGVFSLVGPLDRNNTETPNSESVSYPELSKSPLAKTPSLFAELPL QPESNDTRISLEYEQTPRRSSIYEDHQMVDSPKISTDIFEDPDSEIIEQEHTSAAEVP QDQDQEFHLLDDKENCGPPILPSTPMKAQIKPDELRTIHTVSKVPLKAEGDVSPLKVS RKRGLSLSSTSPTRSSPRVRKPTFMALNDSVPILSPSRKTPRVSRSPTPKRRSITGRR SSVKAPVMTAPGTPIATASPAKKPRRSISTEQKALHGAVVHVDVHTTEGEDASGIFVE LLQQMGARCVKSWSWNPHSSLSPSDGVEPKDMRVGITHVVYKDGGLRTLEKVKKAAGL VKCVGVGWVLDCERENQWLDETPYAVDSSIIPRGGAKRRKSMEPRALSNVNGTLVRIS ESPAPSAGGRRSGVNPGAVEGFRKITPPTHQQQMPSTPPRQSSTDSYQFPATPGYNFA NLDAIGMSPATPGFLGNRSKLVQQSCPPKQSNRGLFPSAKPASIVLDDGQDEESRRQR RFRMEAARRKSLVYKPAVASPLVP PEX2_099670 MKTFALISIALSLPGAIAMPGPNPDDITFAGVQRALPNAPDGAA KLSSNETSWLEVRRSKSLSAMKDFFGHVQVGDYDVVGYLDKHSRNSSNLPNIGIAVSG GGWRALMNGAGAVKAFDSRTYNASTSGHLGGLLQSATYIAGLSGGSWLLGSIFINNFT TIDKLQTHTEGSVWQFGNSIIEGPDTGGIQLLDTASYYKDLAEAVEGKKDAGFDTSLT DMWGRALAHQMFNATNGGISYTWSSIAETPDFQDGNYPMPLVVADGRNPGELVVGSNS TVYEFNPWEFGTFDPTIFGFIPLKYLGSKFESGSLPSNESCIGGFDSAGFIIGTSSTL FNQFLLQINTTSLPSVVKNVFTDLLKKFDKAQDDIASYDPNPFYKYNEDSSPYAAQQV LDVVDGGEDGQNVPLHPLIQPERHVDVIFAVDSSADTDYYWPNGTSLVATYERSLNSS GIGNGTAFPAVPDQNTFVNLGLSTRPSFFGCDSKNQTGPTPLVVYIPNAPYSYHSNIS TFQLSTDDTERDNIILNGYEVATMGNSTLDGNWTACVGCAILSRSFERTGTTLPDICT QCFDRYCWNGTVNSTTPNSYNPAYYLSESKNMASVSLPTMLSTVVTASLAMLILV PEX2_099680 MAAQGTGLGSDSLAIHDVEPTMPSNLVTNVKAQQSIIPESYDLG ARDENPTTDELHGPNALRRISAPIPWVVYTVAFVELCERFSYYGTQVLYSNFVNHALP LPAPNGPPGSNHATGAGGPSSQGVSGALGKGVETASAINTFNTFWCYCLPLVSAYIAD EYWGRYKTISWSIGAAILGHIILVVSAIPPVITNTDASFAVFVLGVIIMGLGTGGFKP NISALVVEQIPTVNLKVRTLETGERVVIDPTITQSRIYHYFYMFINIGALMGQIGMAY AEKYIGFWLAFLLPTIMFLTTPFIMLWGRKRYRQSPPAGSVTYKAIKTFFFCMRGRWH YNPYIFWKRTHDGTLWDTAKPSNIEPRFRPKWMTFDDAWVDEVRRGFAACAVFCYFPV YWLAYNQLTNNLTAQAGTMTLKGVPNDVVNNLDPLALLIFVPLCDTFLYPGLRKMGIQ FTAIRKITLGFLLASLSMIWAAVIQYYIYKTSPCGYRANNCYTDDGSVNPSPIIVWAQ TGSYILVAISEIFAIITSMEYAYSKAPRNMRSLIQAINMFTNAISAALAEALITLSRD PLLIWNYAVFAILTFVGGTIFIIQFWDLDKEEDELNNLPEGHVQANENVEDQAVVEHA EIGASRG PEX2_099690 MSVSIETTTVPTAPMTAQPVLIGQKSRMPEFSLAGKVVLVSGAA RGLGLVQAEALLEAGAKVYALDRLEEPAPEFEHIQQRAKDLGTVLHYRRIDVRDTELL NSVIETIANEEGRMDGLIAAAGIQQETPALEYSAKDSNTMFEVNVTGVFMTSQAVAKQ MIRFGNGGSIAMIASMSGTIANRGLICPAYNASKAAVLQLARNLAAEWGTYNIRVNTI SPGYIVTAMVEKLFLEFPERRDQWPKENMLGRLSQPEEYRGAAVFLLSDASSFMTGSD LRMDGGHAAW PEX2_099700 MSIFALLPPLPPFLFSALVVSGLASKALHIALHFQSLPFLYFVL YSPTLILRDVLVIIFVRVLLRFQVPESRWQWLSTGLGGALSLITWGASSIQFGFFMQT GAEVAWAAGGSFLSDPAAMKILLSGISTVSAAATVLGLMAWLLHSHLYNITGLGLQAI RDLCVGGYKARYTLISAPSKSCMASIDIRSFRRIIPAVAICVSLLFLELTRPAVPYDH LSGALPLTLLDAFQKKSATVEGCREPARPFPLFTEDNGVSRPQFQPDSTLVEQWSRAT WLPENPPRGFSRWDLNPSDRAKKDNHYSFVCPGDEGAFYHPKNDPLMVTNLGGEIYEP LQKAFKEHSVEVNHVVLLTLESGRKELFPTQQGTPLFEGLLASHKKQDINEAIDRLVN MTPVAQQLTGEYATDSKGNKVDLSHSSWQTPPREGMGGLNVRGAVTGSSLTFKSVLGS HCGVNPLPVDLLEESLLEIYQPCLPQIFDLFNQGKSQSGKHLQNSSESESAALKNPWK SVFMQSITDDYDRQDILNKNMGFTHKVVKSTMQAPHAKHKAQGEEINYFGFAETELRP YVMDLFEEAANNKTRMFLSHVTSTTHHPWSTPEDFEKEPYMSDQGNINHDLMNNYLNA ARFVDIWLGDIMNMLEQTGIANETLVVVVGDHGQAFGEDNKDMTGTYENGHISNFRVP LVFHHPHMPRVDITANATALSIVPTVLDLLVQSNSLDERDSEIASSLLPEYQGQSLIR PFLSSMEEPPHRRDGDSDGNGDHGHHHPPPDEQPEHEGVDFQLEVRSHPDSPPKRIVW NMGLINAGGSMLSVMAADVPYRLILPLKDGFEYTFTHLGKDPGENHPIKAWTLAALIK EVKPKFGKESAHWLKDAELVGKWWVSEQKRIWGYREA PEX2_099710 MYLRAVYAEGQLPVLHQFIRDNPLGIITTAIKSPIYSFIQSSHI PFVLDVPPSTGDDDIVPTGILRGHIAKQNPQAKALMEALAEQNAAGNNKLELTDEVLI LFNGPYHHYVTPKFYTETKPASGKVVPTWNYSAVQAYGKISVYCNSKAEETGAFLQKQ IQDLSRQSEEGIMGYTGGDKKTAWNVSEAPVNHVELLKKNIIGIEIRVERLQGKFKMS QDKGEGDREGIVKGFEELGSEVGRGIADCVRERAALKDQRKVL PEX2_099720 MGSAASKPAKSAAGAASRRQYPKQSAPPPRGPRKAPKETKAASA PTPTPASKPKASPSPPRAPAPAPPSQGPTYHSKEQASSVKSDAIDMDGRDPDFAASLR SIGPVNPSPTFSNSSTFNRSKQTVFPHASNPALLVVTARQRLTEAAERESEHFGHAGH PGRSFLDALTIQQVLTMRDKQGMRRGDIERFLGLKKGVLERLGKDEIVSRIT PEX2_099730 MHLWQATVPFCLLAAAALPGAAATAWGFTDATVAVQAKGAGVNG GFKEQFTASKPLSKPISLFSADTLRITLTAQEDSSPKRPDQAFLLLKDAQTGLDISYP FNVKDNGKSRVELTQKDLPIQFLSLSSPVDAHVVIGGFGNSEAYDSSVFKLSIDHNPE EAVPTVETERYGKKAEIHHIFKDSASSPPIVITLTFVALVVAAIPALAGLWFFLGANI NHLPTALKSAPLSHAIFLGSLIGFEGIFILYYTSWNLFQILPAMAVVGAIAFVSGSRA LGEVQGRRLAGLR PEX2_099740 MPPKKGQRKSMPARINKAPTLTPSRRSPRVPPPSKSTNENVQPA KTLNLTSASPQDTSINIRFYTPSKPVTSNTPVPSSSSRGKSPETPSSRRRTFQSRPSR LSNVYTPALETPATNPGSRRTRRTAALETPKKEVSDIDFPESTGGPSWTIDQYMGSFE SEETVDGPSSPTSASDMRNSSRVRKPTMRAIESFESTKKKPRRKNMTVSTPTVETPAS TTPAPAAPPSTVATKVVKNNVSKQPMRKTRKSKRMTNLNMRKNAVLIGFDIDAKVTGK KLYDLTVSALSPDFTLPFNFAVFWKQQRSEYFRRQDEEKYGVDMPTTEATLPSDVDLD VEMIDQDLPAPVTAPSPNPPSTAVTNGTVTNGSSILSYKKQSDSKVRSDGWIQTGYVN SSGEEVALLPENSHPYYPAHTYGYEGLPFPPVRARTNQQAEADAAHSFAPLMGDRNLP FEAAAPFVTENVEEEKARALARTPVPAPATKKPRARKRRQTVAADAADEPAAAVPATA TTTAETTGGRKSQRRRRQTAPTSTFPSPTSPTSPTSPTSPPTTATQVQSSGPAAAVAP AAIEGEKPKIQRLRLTLKPAPKTEASGVEASASSPAVQSPSSPAPSRAPSSAQTSKRR RRRGM PEX2_099750 MASLKDQTRYRSADPKTRPPRPVQQPAPLRLPKGVDDIRKTKEY KAAARRWTSIMVGLPFVICTSYVLYDRLYGGKSPKRIGDQAQKN PEX2_099760 MMSRSQSSLGYMDSGREDSAPGASPSLNQQSASGPINLSGLVCN VRRTTGKEPRPLVGATTTILGDKLYVFGGRILSRSRPQLTSDLYELDLIRRHWTKVEP AGDVPPPRYFHSVCALGDNKLVCYGGMSPAPSTKHPENPAEPPSQSQSEVVVMSDIHI FDVPSRAWTRVAAHESPQGRYAHCATILPSSACFTSASAPLSAIHNNPESSTHQGTLG VDIDGFGGAEMVVVGGQDSSNHYIEQISVFNLRSLKWTNTSPLGRSCGAYRSVVAPLV GMDVSEIGSSAPDRDEHEPIQDSTESPGCPMLIYSNYNFLDVKLELQVRLPDGRLVER PMQSQASPPGLRFPNGGIINGHFVVSGTYLTSSKQEYALWALDLKTLTWGRIDAGGSV FGQGSWNRGVLWPRRNSFVILGHRKRSLVDDYNHRRINFSHVCLVELEAFGLYNNSCR TSPTSGYKSYSSSSVPASLQKKLMQLTSGGRPLSAASDELGKLAQSLPEMADMELQAV GGERISVNSRLLTRRWGPYFIQLLRESSEGGIADIGTLRPAQIHPSRNSSITITPSIG SDATTLVNQSVPSKSLLENLEVPSAHSLAPTSRPRVLYLPHTILTLQVLVQYLYTSAL PSAGTSLCTPQILCSLLQLARPYQIDGLLEATVERLHQVLDGRNAAAVFNAAAMAAGG GRGTGFNGGPGGTLEALNGAYTGHNGAAPTIGEKSNSQHGALTSDSSDTEHGAASASA ASSTGDLTNFARGLPSLRIDTSVSQNSRKRSAHRDREDSISNPSTATSASSASFSQSD SEWVSGDESRSQSRSTHHRRDTDAELRRPQRELWTGDLSSVIGLQKRGLRGLMEGRRM RERSSKPASTGSNSISMPQSAGSGDQHIPFQGVAS PEX2_099770 MIEPSDNEVAEEVTEEAEDIDVVAEDLEKGSEQGAKEPEKYSFC ACTGLRTGAHGRHVQILVSVEG PEX2_099780 MADRAAPLRLGSTAPNFKALTTQGEIDFHEWIGDKWAILFSHPA DFTPVCTTELGAFAKLEPEFTQRGVKLIGLSANALDSHEEWIKDINEVGSTQVKFPII ADADRKIAFLYDMLDAQDLENVDSKGIAFTIRSVFIIDPAKKIRLTLAYPASTGRNTA EVLRVVDSLQTADKRGVTTPINWLVGDDVIVPPTVSTEDAKKKFGEIREVKPYLRFTT LKDE PEX2_099790 MPPKRKSERAVSANESLATPSKRIRNNVDATPASAVSAPVVDAT PQTGEKRGRGRPRKYPESSIPKPASDAPKRGRGRPRKIVSDPEPAVVTPSGLKRGRGR PRKDSGDATPVTPKIKKKDGRGRPRKNPLPNGEAETTESKVTPAVNPIPETGRSFWLM KAEPESRLEKGKDVKFSIDDLAAADTPEPWDGVRNHVAKNLMRDMKKGDFAFFYHSNC KVPGVVGVMEIVQEHSTDESAFDSKHPYYDPKSKRDDPKWVVVHVEYRRKLEKQVTLQ DLKSHGQAGKPLENLQMIKQSRLSVSSVTPAQWKYILELAGEEPQEEFTKKESSEQES SEKESIEKESSEKESSEKESSEQESSEKESSEKEE PEX2_099800 MSDISVQLTAPNGRSYTQPIGLFINNEFVAAKSGEKFATVNPSN EAEITSVYAAGEEDVDIAVKAARKALKDPSWKLLPATDRGVLLLKLADLIEQHKETLA TIETWDNGKPYQVSLNDDIGEVTNTLRYYAGWADKVYGQTISTTPAKFAYTLRQPIGV IGQIIPWNFPLAMAAWKLGPAIACGNTIVLKPAEQTPLSVLYLAGLIKEAGFPPGVIN VVNGYGRVAGGALVSHPGVDKVAFTGSTPTGREIMKMAAGTLKNVTLETGGKSPLVVF EDADLEQAAKWAHIGIMYNQGQVCTATSRILVHDSVYEKFIELFKEVVLTTSKVGDPF SDDTFQGPQVTKAQYERVLEYIEAGKAEGATLVSGGVPHKNVGDGRGFFIEPTIFTNV KDSMRIYREEVFGPFVAIASFTTEDEAVARANDTTYGLGAAVFTRDIERAHRVASDIE AGMVWINSSNDSDFRVPFGGVKQSGIGRELGEAGLDAYSQVKAVHVNMGTKL PEX2_099810 MSEIQRWTVNEPYLDIPGTLLEGPFHDVVNNEFRFVDIWDHKLY RLDLTKGPDSLKVIETDASVGVTANIGPRSNQLIVGAKYGFARLDQTTGKLSYIHDIH KLWGEDGGKAERMRFNDGAIDSHGRFWAGAMNDPKIKNPEAEGVLFRLDPDQSVHHML APVTIPNGIGWNLTDDVMYLTDSPTGKIFAFDFDASSGAISNRRVHFDIGNALEPDGF AIDVEGCIWSAVYGGGKVLRISPAGKIIGQIDLPTRNVTCPAFVGTELFITTAKDDRD DDQLPQSVRYGGRVFRVDVGVRGVPKNEFRFQ PEX2_099820 MSQDSRRAGSLSRDVAQADDRASDNDRAFTSNRNPARRPPQRPR PQSWHPYGPVEPPLESMSSRPIGVHAILNHPQAKADLAALSREPLSLPGPSSSPHPQG NSPTTRSGYALASQPLSPRSHSRPLMNPASPSARFVGGGGRASGQSSVAQSPLVPHEQ LMGPRLPVTSSPLPIETGLRLIAPLTGTQPPMLTSLHSTTSLHSRRTSAGPGPVTNPN SQETSPSTSHSNFSPFGRASPAVTNVSLAHSTASYPTAPPYMTMDPLTRSIPATKGPR RQSEAPTRAGTPQGGPLPVGMIPCVLDMRSGSSSQAEKRKANSDASRRFRNRKRNEMQ LEQRLTAQQDEIQRNVETVRRQSEELRSLVQQRDHYRSERDFYREQLGRTMSLSTLPP RPSSPRSAQPTLLPASEPGTTTSWSGADAARSVSGTQPTSAGGPPQGRMIDSVQSQPA WPASPPYSSTPIAPAGRAVAGPPSGSPSVAGGPLPPLQGSWSRP PEX2_099830 MTQQTIILITGANSGVGYATTQVLAAHANHHLDVEDDTSIAQAV STVDEQFNRLDILINNAGSAAPNSSGRARLNQIFSTNVIGATLVSEAFIPLLVKSENP YLIHVSSGLGSMKLATDPSSQIYAAPWDEYRASKAALNMITVQMHKRLQSRGVRVFAF CPGLVRSNLRGEDEAAVSAQGRAGDPLESGKGILGIVLGERDGEAGGQAKKTLERNTE INNSTITKVHRVQTIKALQQAEQATGVCVPMDLPPSLSGLLEAFSNAEDVDRFERGGD NSGSFAPFIASGSKPISNQPHMNLTHNVPQTYPLDSYLTTINELLSPQTPDLILGECS QPFELQRTFQARLPNLSEESKDYLQLKGALRIVPIDLRNELLGAYIKYVHPLLPVIDL QWFLLNVMVEDESQFPSPLLHQAVMLAGSAFIEQETAIKAGFSSRKALRRTLFGRAKL LYEFETEPDAYTQIQALLLMMQWHGSGHGHKDPTYWFDIAYSTAERIGLLAGLETGSF SHKHRLWWCLYVRDRILSLGFRRPLRIPNSDVTMSLLESTRYYSSEPYHDLVLIMLGE SSAMLNWENQERMMLLFIQEIKLAHCVGVLINLLYQDAWSPSPSGDCVYSMLLKTWIQ NLPAPAHYFSPSLLHDCHTESPEIVLLVHQAFLYLLHLTAMSILHQAASSQEDGLQTT LISEMRGLTSQVNEILNELHDCRMLHFLPGSTITILIIILEASLPDLKVSDDIVRRQA MSNLYACEEAAGHLLQTYPAAEIVLSQAQNAQSSTFYPERSLKEPQSGANASAIDFAR RVFNEEEAGRTLTGASIPGDTGAPTLDNSLWHLTEVELPPEAVILALIDVYFDRMQWF ILLFHEPSFRQTARRIISQTSWRRQDLSPTMAVLAVAMIGLQSVLPDQSWPGHALFRE HYIDGEKLIHGLINEIRRNLLDISIDCRIEAVQVCLLVSCYYVYHSSPSIAWTVSGMG VRSAYALHLYTKSTQCESPVVDEIRSRCWNQAIVGDTFASIIYGRPSSIETGLIALHP LRNTDDLVIDPLLLKNEWISGDGTPTTTAGFFAMKYEIYNIIRHIISRFRRLQLRKET IEEDLLKIAEASQDSAEQLTCWRKRVPRLFDFEFWSCDDRLEQFQQQIEGLPQRTKYQ AETIILQAATLQLTYEGALIQACRPLLEQKITSSCSRSVVDAIHESLRVATAAALRIS RIPVLRFKHHFAESFASLQQFTAGVILCILPTSQPFTTAAHEAKAGIMRIIHASIAFG PHNRIAKQTAQLLTELLKVTIEREMSGALRVGLETNLPREISERGSIPSIQPARIDHT GSHSPSSREVYRDHPPTSTIHPPSHSRQPPGISQAIHDHSKINDRPVQTPVEPSECPS ANIFEQLDDTFGAFGELMFNLIPDDQSSAWNWGRTVP PEX2_099840 MRFSIATAFSALVALSVAYTTPDYTKDPSGNAISSPGLNEIVPE GKTYTIKWTPTTVGPISLVLLRGPSTNVVPLKTLAESIPNSGEFKWTPGSDLTADTTH YGLLLVVEGTGQYQYSTQFGISAAAGSVSISSSSSTVEATETETSAAASAPAASSTVI ESTVITTTICPETETTAAAITSVPKVIPTGVSPVSAASSTPLSSVRLTTSAIPTGTAS ASPSASSPVFNSAGRNAASFGVVMAGVLAAFAL PEX2_099850 MAHSNKAVTPLIINNESIETDIKFEVHAPATGELSGYCAGVSVD DANRAVDSAQAAFPAWRKTKAQERRDILLKAAEIMASRKEELIQYQREETGAGRPFSE FTFNLGVLFIKEFAGRITTIEGIAPNVSGEGEGAIVYKEPYGVILSIAPWNAPFILGT RAVALPLAAGNTVVLKGSELSPKCFWALGDIFRQAGLPAGCLNVIFHQPSDAPVVTNA LIAHPAVRKINFTGSTMVGSIIASTAGKHIKPVLLELGGKASAIVLDDANLEKAAMNC AIGSFMHSGQICMSTERIIVQRSIADEFRQMLAETTEKLFGKDAPALVLVNTAAVAKN KRLVADAVSRGAKLLFGDANASESVNTGMRPIVVDGVTKEMDMYATESFGPTVSLMVV DTEDEAIALANDTEYGLTAALYTNNLFRGLRVAKQIDSGAVHINSLTVHDESVLPHGG WKSSGFGRFGGISGYDEFLQTKTVTWQE PEX2_099860 MDYSTRSAPDPVHVDRKLLYTSLEERIKYLHSFLDFNSSDIEAL LTGNKYIKQLIPAVVNLVYKKLLQYDITSRAFHTRTTVDETEPEEEYLSEEAPKIKRR KMFLRWYLTRLCQDPTTMDFWRYLDKVGGVARRTPLNIEYIHLGVCLGYIQDIWIEAM LSHPHLSLRRKIALVRAVNKILWIQNDLFARYHSSDGEEFMDEMSAFNYGEDEEGYLG DKRILGSSSGSSTEDDRSSISSGVAPSINSVAPSINSVAPSINSVAPSINAPGSASTP SKVSACPFAEMSKNSTSSETKIWAN PEX2_099870 MHLAQSFVLSCLAVTGLALPSNDGPKHQENKARSAGYSLKEPPL TTPWTDKVGTNPWPEYPRPLLQRSEWKNLNGVWKYQNAAGLDAVQQPPFGQELAQDVL IPSCLESGLSGIQSNWALYSWFSTSFEVSSSWKGEQVLLNFGAVDYEATVFVNGEEAG FHRGGYFRFTVDVTKYLKFDQPNELLVFVHDPTDDGDYVIPIGKQTLRPSHIFYTPCS GIWQSVWLEAAPSNHITQLDLDANMDGQVNITVHSSAKETSTQAEVTVHKDGTTIATH QGPTNEPFQFTVPSPKLWSPDSPDLYNVTVKLGNDQVESYTGFRTISRGKVDGIERPL LNGEFIFMFGTLDQGYWPDGLYTPPSKEAMVYDLEMLKKLGFNMVRKHIKVETDLFYQ ACDELGLLVIQDMPSLRPLQSRRDANGNSVTILPDEKQQAEFARQLDVLINQFKSFPS IVTWVIYNEAWGQITEYYPEFELTERVRQLDPTRLVDSTTGWDDHGAGDFSDNHHYAN PQCGAPFYSTPSSPYDPTRIGFQGEFGGIGTNVSIEHLWNNQAAINTIDQTYEIDTTI EAWNYRSHLLLNELEDQVRLYACSGGVWTQTTDVEGEVNGLLTYDRRVARVDVEQWQA DIRALYDAAAARSNAAGESS PEX2_099880 MVPVLKKYKAAAVNAEPGWFDLQESVRRTIHWIDEAGKEGCKLI AFPELWIPGYPYWAWKVTYQESLPLLKKYRENSLASDSEEMRRIREAAKANKIWVSLG YSELDLASLYTTQIMITPAGDVINHRRKIKATHVERLVFGDGTGDTTESVMETEIGRI GHLNCWENMNPFLKAYAASLGEQVHIAAWPLYPGKETLKYPDPYTNVAEANADLVTPA YAIETGSFTLAPWQTITAEGIKLNTPPGKELEDPNIYNGNGRIYGPDGQNLVPHPAKD FQGLLYVDIDLDEIHLTKSLADFGGHYMRPDLIRLLVDTNRKDLVVHEDRVNGGVTYT RTIDRVGLSAPLDSSATEAQSESL PEX2_099890 MPSMSKISQKSLLGLSLMAALAQATPTVSLNFNYRGDKVRGVNL GGWLVTEPWITPSIFDAAGDAVVDEWSLCETLGADECRSVLSQHWSSFITADDLTQIA SAGMNHVRIPVGYWALKHLDGDQYVDGQLEYLDKAIGWARAAGLKVILDLHGAPGSQN GFDNSGKRGSIQWQQGSTVDHTKDALEALAARYEGDGDVVTAIEALNEPSIPGGVNQD ALKQYYYDSWGLIRKASQDTTLVLHDGFVPTESWNGFMSEFTGVWYVMMDTHHYEVFD SGLLAMDTATHVNNVCSFAKDHVVTSDKWTVVGEWTGAMTDCAKYLNGKGIGARYDGT FSGSQHIGSCEGKSTGSVDALSEEDRSNTRRFIEGQLDAYEKGNGWLYWTWKTEGAPE WDMQQQIAAGVFPNPVTSREFPSQC PEX2_099900 MAFAIEAQGETNPLNPQNVLNTLVLAASSSQQQVRTGTEQLQSW EKQGMYYSFLQEVFLDHSVPNEVRYLAIIQLKNGIDKYWRKTAPNAIKAEEKEHIKTR ALEAGIVEPAPLLALHNSLMIAKIMRFEFPHDWPDAISYIISSLRSSVQPGANPLQLP RTLLILLQIIKELSTARIQRTRHNLQSASPEIFQLLGGIYVDKINQWGAILEQGGAGE GELLETLELSLVSLKVLRRLIIAGFEHPSRSQEVQGFWELTHSHFSKFHSLVDGSTNL PESIHRAIEKHLLQMSKLHVEMAKTHPASFALLPDSISLVKSYWSLVVKLGEKYDSLG AGGEDEGKSLTEKTGLRALLLIRACAKMAFNPAQTFKYQTPEDKEERKQSVQLVKTQL FTEEFVINVMELLVTQFFRFRKIDFQDWEEEPEDWEKREEENADAWEFSIRSCSEKLF LDLVIHFKDLLIPRLLTVFYTFASTDNHNVLLKDSLYSAIGLAAASLEHHLDFNAFLE HTMVAEVQSQEPEYKLLRRRIALVLGQWVPVKAGELNINAIYQIFQHLLGKHDTLNDL VVRITAGRQLRSILDSYEFSPEAFMSFAPTILESLMSLVQEVESSDTKMGLLETVRMV VVKMEEHITPFSDRIISLLPPLWESSGEEHLMKQAILTLLSSLIQSLGQESVRYHSLI LPLIQSSVEPGSETIVYLLDEALDLWHAIIQATPSPASPEIISLLPSLFPILEGATDS TPQAIHILESYVFLAPQEILSDRFRFQIFVILESLLKSTTQQRLGVIPRLADLMLRGA ETVDGGSESTYGVISQSLLDSSFLASLLEGLYSAHEASQTSGPNRKSSPVVGVVESDY FSVLARLALASPTILASSVAAATNSSEEQSLTWILTEWFLHYDNIGSINQKKLHVLAL TQLLALQGAPLDPSLPPPPPAYILSHLQSYLSVWTDLITELADGGTDPNADYLVCWNA PAGSETAMPESTQEVESPEIVRRRDWQSGDAIHRFMIRDFVRHRLQEVIAGCGGAQRF QDEWLVNVDTEVVSAFGALGLL PEX2_099910 MPTTEELKHVGFNAERDLNSHQAKQGRRKKSDSTIESGVDEMVD RRFSQPTSVKYGPGSTASASDHRVIPDEEGGVRDDRNRLARASQFEGPGGPRD PEX2_099920 MKAVIAVVMGAAALATAQTATTSEPAVADIRAAAATTKPEVTTS DVKGLAFDRFYQVWLENIDYSNAASDANMQWLASQGILLNNFYAVTHPSEPNYCAAAG GDTFGMDNDDFNQIPGNVSTIADLLDTKSVSWGEYQEHIPYAGFQGYNYSNQETYAND YVRKHNPLVLYDSVVTNNTRARHIKSFDDFEDDLQNKKLPQWAFITPNMTNDAHDTNI TFAAKWERSWVSKLLDNEYFMNNTLLLLTFDEDKTYTNDNRIFSILVGGAVPQHLKGT KDDTFYTHYSSIASVSANWGLPSLGRWDCGANLFEIVANKTGYVNYEVDKTYLTLNQT YPGPMSIGDPTIGNTSTFIAEWPVPITDSNEKCSAGHGILDIVKKTYGHLNSTYDTKP YPWEAKTHYNDKVTATRASNATTSKTNSTAVSSSSTSTGVSGAGVSATAPMTTVVLGA LLAVAAYSL PEX2_099930 MSSTNFAAAQERVLERRRQREAEARARHATQQRAAPISPATVQR LPYPLNRLPSSGWRIWNSIKGRDGTRPAFRVGQVDAELLDEELLGLMKGQVGDALKYF GPQMREDWSHEILFALRAILFKLSIWDHNASYGAALQGLKYTDSRSKGPVYSSPTKWQ KSIYGLLTVGGRYAWDKWESWLVNQEGGYDEPSQDIRMLSRLTDMLSTSHSIAAFVSF LVFLVNGRYRTLVDRILRIRLTPPSAQASREVSFEYLNRQLVWHAFTEFLLFLLPLVG IGRWRRWIARAWHKMINSIRSSGDDDEDSEKQGELAFLPERTCAICYRDQNPTTTTES DAMAASASGGIVGSAQTDITNPYETVPCGCIYCFVCIVQKIEAEEGQGWSCLRCGEIV KKCQPWNGDVLEEARSQPGTGKIVGFAIDGEQDDETRGTDHHQADSSDKSSPLQEISA DEALQHSEQWSTIEKESTEDDGARDTIENGK PEX2_099940 MSVPNIILPARRPPGATLPFQDTPGTSTAHLTHQWLDLYDRGSK IFPGISAVSSLANLYALWALRDSPTPAPDIFGSSWSTTYLLAVGVTMSIAPFTITVMK DTNAKLKAHARRDDAAGAEGTESMVVSPQEKAKRAREDSEVLGLLQHWSKLNLIRSAL PLVGAGIGFYAAVSSWIIP PEX2_099950 MDSSNSTVPGTLSEAKYINFPSLPTNAKHPDGSPALNRHSHTIT KGHDFPGARAMLYAAGVPDKEAMAKSPHVGIASVWWEGNPCNMHLMDLAKTVKKSVLE QGMLGWQYNTIGVSDAITMGSEGMRFSLQTREVIADSVETVTCAQYHDACIAIPGCDK NMPGVVMGMARHNRPSIMIYGGTIGIGYSEHLRKPINVSTCFEAAGAYAYGTLRQPDD GGDQTKTQDEIMDDLERHACPGAGACGGMFTANTMATAIESMGLSLPGSSSTPAESPS KMRECVRAADAIKVCLEKNIKPRDLLTKRSFENALVMTMALGGSTNGVLHFLAMARTA GVDLTLDDIQRVSNKIPFIANLSPSGKYYMADLYEIGGVPSVQKLLIAAGLLDGDIPT VTGKTLAENVASFPSLTEGQDLIRPLDNPIKASGHLQILRGNLAPGGSVAKITGKEGL RFVGKARVFDKEHRLNDALNEGRIPRGENLVIIVRYEGPKGGPGMPEQLKASAALMGA KLTNVALITDGRYSGASHGFIVGHIVPEAAVGGPIALVRDGDVVTIDAETNSLSMDVS DEELQERRRQWTPPSPQITRGVLAKYARLVSDASQGAMTDLF PEX2_099960 MGSDAISALYDSLTSQSKPSSVAPVLRFFHSVCAAAQNASFPSV ASFFRGLYRNDNVNWGQVVFLTTCVIAMTGCGLLSSLVKRKRSGSKTSRAQRPAARKS STKSSKTLSSSEEDDYEDEDYDSNASLRHGTNPNYKAKEWPSDTQQQSSDAYETDPGI LRKFTTYNSYTTSVATYPSIRTFYSPHPHLARLPTKPTPVPLVVVVHGLGGSLAQFHH LLTSLSNVASCFGIDLPGCGLSKFAPTDWNAYTVEALSELLAEAIDQHRDRESGQEVI LVGHSLGCSLSALLASSTSSIGAKLKEHIIGLVAVCPRADPPSAEDTTKFRRALYVPS LIFDLWRRWDRRGGEQSASVMRMVGVAADVETRHLQVRFNKQSRTPVWRRMAWGTLPT YNNDGHPIGGLPGQQVWAGVRMPVLLVAGETDAVTKPVEVQKLLKFFGDASSHTTIDT SDSSIIPDASRVHDQMPTPVGNHVDENVFGVQVSEKYLEVGQRKRVVKSAILPAPASH ALLYDRATYRTLAGIIQDFLSSNVDKRLGLGWQLQHMNTSGKWDVKNLAKWKKVAPVS ERIANTFAALKMLREVDEEHNPATFSAKYHGRIHAVIDISHESPVYNPAELEKGGVHY YKHPTISKIPPTPDETRDFIALVNSIQKDIDEKMEQRTEEEKLLPRPVVGVHCHYGYN RTGFMIACYLIEHLGFGVQDAIDEFNRCRPPGIRHGHFIDTLFVRYCVGLKRAPTL PEX2_099970 MGGVTVRDVDAQKFISAYSAFLKRQGKLPIPGWVDTVKTSASNE LPPQDADWFYVRAAACARHIYMRKTVGVGRLRKVHGSTKNRGSRPAHHVDASGSVDRK ALQALEKIGVLETDEDKGGRRITQSGQRDLDRIAKTTVDEEEESDEE PEX2_099980 MSYQIAYDTTRAPPRGAALVAFMEDFYRTSDTESLHEKYVQSFT EDATLIMGPKEAKGASEILPLRQGLWTHVASRRHTPTQVYFGGDDELMLYGGVNYRLK ANPDNDVYVPWAGRVVFAPQKGDEEIKMQFYQVYLDTAAQSGKK PEX2_099990 MEEDAKMIDKVTECPYVVGNKITLQLEGRPVQATIVKTFEPWTN SCAMVLSFDSDLSALGIEGNVVLKVYDRRFSIEQRTFCKADPWTPEIERDLYKYLCSD EGLKFAIEHEKFCIKEREEDHDFMPTIIEEGTGEEQHPQNTCEQEGANENGHTNDNDD ANSKGTLFKLSMLSINLLSPLFHAYKMAFRGLLCAIRFFHTGVTAVLPWRHQKAIDIK PQGINRNDEANGNNSTNTYVTTEEQQPSNLDAAVEDAGESEEAAEEEWDYLGSELDFC FFKQFRYESETEAYETMKDLQGIHIPRIFAHTKILGPEYTQDQPISRYFEHPGILMEY IEGFPLPDIAEHAPRKEWQPIIDKAVEIVNDIMSHRILNDDTDVRCFIVQPDPANKLE SEYKFKLTMIDFGHTRFRRQYHPGEDWRWWEARDDGEGAIARVMERRLEVDHGGGYIY KRTPYRQMLVKDYMGEHCPQERPIPRDV PEX2_100000 MTDASNQSTTPSSNGFQVQGLLPERLIESSTTEHFVRKLKDVYS TPTQEKSGVSPFPMTSASRVTESHDTNFQSTTSDYTYIPLEYGNSQPKVLVKLPPHSY ALYLIGQFESFMGFDYHWYQKKRFRARINALYDPSQSQAIERTWLCCFSVVLALGDSY NDSVAPSFLIDNRTGFSTDDSGTTNLEQLPPRGIEFFKQGLLLLRPSYEEPTIEQVEA LNLITFYCYSLNRRKTAYAYAGMALRLAMLLGLSTPHNQMSLLEQEHRKRIWWTTICM DIMTCTELSLTPAAAFDEDSIEFPDNSQLSAEDVEEFSDPQYLTAQVKLCSIKYQIIK KISELRFGNAVEAHALIEPCLQALNNWRLEFSPTLEFTSDGGFTDGTLAFPPMRTIAS LLLRYNQCFILLLRPLLLKQLHDIIHGQVALEPRNDLASLNTQCLQAAKNNTAIQFAL SKCHKIAKFGFWESLHIFSSLTVHVISGFLKEKQAAAFASAWTNAPYSPVRSLLGEMA RVGNAASKDHEKMIQDIEDLFTEAPSNTGLTEGIEDPVYWPEYVNIESMGFDFDETFP SFGWS PEX2_100010 MHIESDKQTMSMARTRVEKDSLGQLELPAEVLYGINTARSLENF PLSGRSITTWPDFIYSFAIIKQAAARANSEIGSLTEKQADAIFAACEEIKVGKHDAHL VVDMLEGSGGTSTNMNVNEVIANIAAKSSHLALSNYSFIHPNDHVNLGQSTNDVVPTA MKLAVYRSMEKALRTLRQLADAFARKGEEYKSLLRLGRTCLQDAQPMTLGQAIGGYEA VIRRHVDQLDIIREQFLTVPLGGTAIGTGFGSNPGYKAAVFRHLSKLFNTQVQPAGNA FDGMQNMDNCARLSGELRNTANTLWKIANDLIILSSGPSGGINEVTLPSVQAGSSIMP GKVNPVIPIAVCQVAFAITGNDTAIAMGCQHGLLEINHFELLVCDRLLDSIHLLTGAT EIFTRRCIDNMVANEDVCRKHLLASSALATALVPTLGYAQVSTIVRAALAAKRPFLDV VIEKELLTEDEITSVIECSTHHDNV PEX2_100020 MSQLPQSENDVQNQMVTPESRVLIVMTGGTIGMQHSPSGYVPAT DFQESCLAGISLFNDGSPSSVLNVVMNRKGDIQTRTSLRTPPSAYQRQIRYTVCEFDD LIDSCSVGAKEWTDIAEIIFCNYGLFDGFVVLHGTDTLAYTSSALSFMLRNLGKPVIL TGAQVPMRELQSDALHNLLGSLVIAGHFKIPEVCLYFNNRLLRGNRSTKTSASEFAAF DSPNYPPLAVTLSSGTNVAWDLVRKPKETESFSLQKVLDTRHVACLRLFPGIRPEMVN AVLKMEGLRGLVLETFGPGSAPLSPDRALIKALACAVDEGIVIISVSQCLNGSVNPVY DSVASLSNAGIVAGLDMTTEAAMTKLAYLFALPNSTTRSVAEGMVKSLRGELIEISGP IFEHPNVTKSESSLVLSDLCQAISLNDAERVQGILNSQNCSSLNDSDYMGNTPLVSSP MSW PEX2_100030 MSEKKHDEPMESVLSQTDQVAGSCEYYHDAVFGEMAEGGPNYRN VEWLGTAILMMKTQIGLGVLSIPSAFDTLGIVPSVLCLAAIGVITTWSNHIVGVFKLR HREVYGVDDVGHLIFGLPGRAVLGSAFVLWYVFSAGSGMLGISIALNSVSSHGTCTAA FVAVAAIAACALGSIQTLGRISWVAWVGLICIMTAVLIVVVGVGVQDHPADVPVDKVW VSDYKVVGNPSFASAMAAICTIVFAYAGTPAFFSIVSEMREPRHYTRALVICQSIVSA FYFSIGIVVYYYCGSYVSSPALGSAGPLLKKVSYGFALLGLLASTTLFIHLPVKYIFI RIFQGSRHLTANTIIHWVSWFGLTFGITVVAYIIASAIPVFNDLVSLIGALMGILMTF QPMGCMWLYDNWCDGKIDKPVQWKLMVAWSIFVILAGTFLVIGGTYGSISNIITSYSG VFSCADNSV PEX2_100040 MLNPPAEHIDEKTAVTVLRKDEAQASDNKRFGETERDSADIVIV TGADVAAHLLPMRDDFDPTLTFRAAILGSGLAAFLAVMTQIYTFKPTQVDISKVFLVL ASYFVGNAWAKLLPRGDKFEVRWRRRDGQGKLPWWITVIKFINPGPFGLKEHSISVIT ATAAGYVTNATSVFAAQKLFYDLPLSATTVILGIISIGLFGCGLCGFMRSFAVWDVEA VYWGELPVVKTLQGLHWDQVENSKPLKYFWYAFTGMSLYEIFPAYIFPWLNSVSIPCL ASQKATGNKGAILTNLFGGATANEGLGLFSLSFDWQYITSSSTAIPLKLILHTFVGTG ICAIVMIGIYFGNGWGARSMPFMATNLLTANGTRYPVKEVFPGGLLDKSVIEKHGLSQ LTGSFAFGLFMANAAIGALILHCILFWGEGIWSVYQRAREGKHDDPHHTHMAKHYKDT PWWCFAGILIVSFVLGLVVVIKENITLPVWAYIVSLALGMFISPFSVVLFARFGNGIA TNNLSKMLAGLMLPGRPVGNMYFAAWSHNVVLSAGSVSTDLKLGEYLKIPPRIMLWTQ IYGIILGGFINYAILSSIISANRDLLAEGNGNSSWSGATMQGFNTKAASWALSPYLYK FGAKYELIPIALAVGAAAVTLHRVFYQFVPKIGRFDISEINLPQFIQYAGNIPNQPQT CTILSGLLCGLFTQAYLRNYHPRIFKDYSYIIAGAFDAGSLLIVFILSFAVNGAGGPS HPFPSWWGNNQKGNFDWCPVSK PEX2_100050 MSTEEGKTAQVSASSADGSDEEWQKSGQYKTEGTFEAREGHHFY RPIDSYEGLHRWDPNFQWTEQEEKKIVRKIDARVCTFACVTFFALQLDRGNIGQALAS TLLKDLKMTSNDYNLGQTIFLVCFLLAEMPSQLLSKRVGPDRWIPIQIVAWSLIAACQ AFLTGRGSYLACRALLGFLEGGFIPDTILFLSFFYKSNELPRRLTCFWISYTIAGIIG SFLAFGFLHITNASGSGAWRYLFAYEGLITGVIGIIAYFWMPASPVQTKGGLRGKDGW FTEHEEKIIVNRVIRDDPSKGSMHNRQAVTPRRFWESLKDYHMWPIYALGLVWMIPTT PAAGYLTLQLRSQGFTTFQTNLLVIPSAVIAIITMIAITWVAERTNQRLLWGVGVEVW NLVLLIALELLPERSMPWPRWAILTLLVGGPSIHPVVVALTSRNAGSVRTRTVASALY NMSVQLSGIAGANVYQAKDAPYYRKGNKVLIALAVVSGFLFIAAKFYYDWWNKKNSAK WNAMTSEQREYYLRENPVLTNKRLDFRFAR PEX2_100060 MAPSIAQAPTAEVVVPVKAAPEAAETKARVRRIIDEEGGNTTAS YPNYLPVFDYGEKYPPLEPFTHVEHGKDADPSFKDLLIEGSKIQKLTPTTGSEVTGVQ LSKLSAAGKDQLALLVAQRKVVAFRDQDLADLPIQEALDFGGYFGRHHIHPTSGAPEG YPEIHLVHRNNNAWELDEYLNTKNSSVAWHSDVTYEQQPPGTTFLYVLDSPEVGGDTA FVNQVEAYNRLSPALKERLHGLKAVHSGFEQAEFSLKRGGVDRREPVKNEHPLVRTHP VTGEKALFINGGFTRSIVGLKKEESDALLGFLLAHVGRGIDFQARIRWAPKTVVVWDN RVTAHSAIVDWTTGERRHLARITPQAERPYETPYVPEEGESKA PEX2_100070 MSERYNFRRSTRVQQLPPHRPRGSPQDPTPRIELRIEDLDLDKS YVDSWAPPKSRPKPRKSRPWAKWTGNDPFTDPAQLPPGWHMNEDDLETNDIDSQIERC HERIAENIMPHVFAQRLEEYTAAKNKKEQMRFPGSEELSWDTIQRVHALEAMKTDLTS TADECEQLPNIEALLEAYKSGELDWNNGLVTYWSKGVQISQPRPFDWDEFEAINSHHE GHKGFWTEGVMDILNIFKVTR PEX2_100080 MIINEKASVGDTELGSPRDSTEEHEVFKKTEDGVSFRGVGWFKA CIIFVKVLFATGVLSLPASLYSLGAVGGSLSIVAWGAFNTYSFVILGNFRKKHPHCHS IADMAEVAGGFFAKELTGILFLIGYVLVTGSGIIGVSTALNALSHHSACTVWWSLIGA VVIIATASVRKLQHVGWLTYAGFISIYAAVLIVVIGVTTRDRPAAAPQEGPYELGYAA INNPGFAAGMVASSTIFVSTAGTSAFIPVMSEMRNPKDYKKALYLCMIVVNASYLAFS LVVYRWCGQWVASPSLGSAGQTVKMVSYGVALLGLVVSATIYLHIAAKYIFVRILGNT RHLQANTVVHWVTWMSCTVGLGAISFILSETIPIFNYLVALIGSVCFAPLAMCLPGFL WLHSNSHYRKGTLMQKVIYLLHWGMVLLGIFFLVGATYGVIIQIIDAYATGMIGSSFS CLDNSNSS PEX2_100090 MPLPKYTYNGPIDHTVVPDLTNVEGKSVIVTGGANGMGEAMVRA FTAAGAFVTFGDLHPRGDDLAKELNAKSETAAFVKCDITDWDSLITLFETAKAKSPYN SVDIVIANAGISRASGDSLWNLDDPNGAPTKPNLNIVRVNMDGTFYTWKLAVHYFRKQ PDTEDRDRCFIMTGSMVAYIDSPGNWEYTATKYGLRGFMKTVRRSSWEQGIRINYVAP CWIKSAIRTKEYENWLIERGVEFGEQADCAGAMMRIACDKSINGRSLMITPRSIAKEG FVDVNKEDFSDPKDEYFAKKQASQLVIIEDKWLDDYKSWNKIQFNFFVGHAQYARIAG YENPDNASVQGKRPLEVPVPATPKGGFLVKLLASGVCHSDHSLLTSAKQRPWFQEQYP LGHEGCGEIVRIGNQVKDAGFSVGDRIATIAVPGCGSDGCPECSRDLAQLCEQAHHAG IGQDGFYAPYAALDLRAVVSVPEGIPSSVAAVATDAVKTSYYAIVRRAEVKSNETVFL FGLGGLGFNALQIFLHIGARVIVSDIRQERLEEAARWGVPRQDLVPSGKSVQEFVHEN GLQGKIDTTLDFVGTHQTFHDAQNIIRRGGKLLCVGTLDEENTVDMKIGIQKRLTIIF TYGRQWRDLKEVLDLISKGIIQPQVETAGLKDFPHILKSVCDGRVKARIALLHE PEX2_100100 MATSQPHIELPAGQEVVTVKMIVPVNFGPAIIKRFMEPPVPGVE TKKPGPVLTFLLEHSSGQRLVFDLGIRKDYQNYAPIVANYIPTTNYDIQVSKNVVDIL EENGIPAQSINAVIWSHWHWDHIGDPSSFPGSTDLIVGSGFKDAMLPGYPANPKSPIR ESDYAGRLLREIRFDGPDALRIGKFPAVDYFGDGSFYLLDSPGHAIGHLCGLARTTID PPTFVMMGGDICHYAGIFRPSKYLPVPPEISPHPYDTQSNEPFCPGSIFDDLQHTRGR NLTDTVYEMCFGHDIPLAQKTRDKLQELDCDENIFVIIAHDASVRDGVDHFPLSLNAW KEKGWAQNVKWAWFRDLEPFWKSKGL PEX2_100110 MSSIKEDVSHISNGEEGDLKRLDTAHGDEAIKVLAVYDGDQTWT PEEENKLRRKIDMKLLPVLCITYAFLYADKVLLGQAALFGIKDDLNLNSGNRFSMASS IFYLGFILGAYPVTFLAQRFPIERVASLAIIVWGTTLILTPACTNFRGLYAQRFFLGF TEAGISPIFMMIVGGWYKKDEQSLRMGAWYSCTGYVSIFSPLVNYALGHLGGSFSPWF YMYFFAGALTIICGVLIYFVLPSDPIRAKGFNDRERFIAVSRMRTNNSGVRNTHFKGG QAVELLTDIKFWLIFLYALCGMFANAPISTFQAIIIDGFGFNPLNSLLLMIPSGFYAG TMMLITTYLAYKFPGWRAYIIIICQLVTMAAALMLWLLPRSELGGLLFACYILTTTGA GYAVTMGLFLANNAGYTKRSLASSGLYIGYSLGNFAGPQVFRHEDSPHYNLGFIVVVI TAVIAGFLVFVYRILCSMENRRRDKTGTVEGFEHAYEDDLTDKMNMQFRYSL PEX2_100120 MAPSIATPNLPTSYVADGKVPAAFTAKDAKDLPETLLSHFADHI NGKATDNGPKSTANDRLKEHTVPFYDQYALNPRKLRIITIGAGFSGLVLAHKFQHAYP ELQDFVDHTIFEGRSDIGGTWLVNTYPGVQCDVPSHIYAFPFDPNPDWPRFYSSGPAI QEYIKATVKKWNLDRDVQLETQVVGAYWQEDSGKWKITVKHGGVERDEYCDILISGQG NLVSPSWPKIVGLSDFKGHVTHSARWDHDFDYSNKRIAVIGNGSSGIQIVPQMTKLPG TTVRNFIRGPAWVYYRVSPSKHLGRETEDTNPEFLEEEKERFRDPVQHREYRKGIIHR TNRAFRLFMKGERNETTVALASAQMAEKLNHDPVLCEQLIPKWEIGCRRVTPGPGYLE SFSKPNCSLTSSPITQITEKGIVTADGQEFECDVLVCATGFDVSKCPQYPLVGQNGVN LAQKWKDEPTSYMSVGTDDFPNYFTMAGPNCLCGHGSLVEALNWTGDYFVKIIKKIAT EDIKYMVPKASSVHAFGKYQDEIHKTLVWSGSCRSWYKRGTVDGRVTHLFAGSAILFR RLLGEIRSEHYDIAYNSGNPFRFLGNGFTEWEMKEDADLGWYVQVAKSGPEAYAGDDR SWIAK PEX2_100130 MASVIRPCLQHGVSNKTTNNAHQRHLNPEYTIVEQPIGTRRSLR IVCLGAGYSGLMMGIVFNERMKDANAEFVIYERNADLGGTWYENRYPGCQCDIPAHNY AYSFAANSEWPNYYATAEQIHSYMKDVAKQYEVEKYIRLQHSVESAIWNEEKGKWDLQ IRNGSILVQDECDVFINASGVLNNWKWPSIEGLDDFKGRLMHSAHWDASYDFTGKSVA CIGIGSSGIQIVPKLASVVANMDCYVRSQTWISPAPGINEPTANDPEMDKDYNFAEST LELFKDPKALRDYRAAIMNRRAENFNRVIADCDLQQQAQDLFRKSMTDRLGTTEKGKR AAELLVPSFPVGCRRQTPGPGFLEAIIQDNVELRWDDVSKITERGILTRSGVELEYDI IVCATGFDTSFKPSFPLVGRNGVNMAEKWEKDIPKAYMGMCVPEFPNYFCFVGPNSPL ANGSLILGIQATATYIYKWMEKMQTEGVRSFEVDDDVNEEYNQHIQKYLERTVWTRDC RSWYKRGTIDGPVIAIYGGLIFHLLEAIKNPRWEDFKMDRTPEAKINRFSYLGNGTTL REAKGGDIGATQTLDFDEFWNLFVLPQVHD PEX2_100140 MRFLTGLSLLSLIPSSFAVPTASNQPSNDLAHAEQPLIKLKPQR TSSRDLVNLDGLWKFTLASGANDTAQPWTAPFPRGALECPVPASYNDIFVDRDIHDHV GWVYYQRDVFVPKGWSKEQYFVRAESATHHGRIYVNDRLLAEHVGGYTPFEADITEFV TAGEKFRLTIGVNNELTHETIPPGKIEIGENTGKKIQTYNHDFYNYAGLSRSIWLYSV PRQHIEDITVVPDVDGETGLINYNVKVAKNSTDKIKISVIDEDGAVVATASGTEGTVK INSVKLWQPGAAYLYQFQANIVDGRGKVVDTYSVATGVRTVKVEGSKFLINGKPFYFT GFGRHEDTAVRGKGHDQAYMVHDFQLMDWIGANSFRTSHCPYAEEVMDFADRQGIVVI DETPAVGLNIGLLGVSGTEAPKTFSEENINNNTREAHKQAIRELISRDKNHASVVMWS IANEPASQEDGAHEYFEPLAKLARELDPTRPITFANVGDATFESDKISDLFDVSCLNR YFGWYSETGDLQEAEPALKKELRGWEEKFNKPIIMTEYGADTIAGLHSTLGLPWSEEF QQDMLAMYHRVFDSVESMAGEHVWNFADFQTTLGTRRVDGNKKGVFTRDRKPKMAAHG LKARWTNMTTSG PEX2_100150 MAILSDKDKETSGSVLETLGLKNEGPRDVQEGVMAENADNLQRH LGNRQIQLIAIGGSIGTALFVSIGSGLYHGGPGSLFIAFTVQCIFLAMVNNCLAEMTT AFPVSGGFIRLAGKWVDDALGFMVGWNFFFYEALLIPFEISAFTLVLSFWSDKITEPG PVAGVCIGVILVYGCLNVLAVKAYGEAEFWLSGGKVILIFSLFFFTFITMVGGNPAHD AYGFRHWNNPGSFMEYLDTGALGRFEGFLGSLWSACFAVVGPEYISMVAAEAKRPRIY IKNAFKTVYIRFGLFFIGGALAVGIVCAANDPQLVAVVTGGSSGSASASPYVIGMRNL GISIFPSIINALLLTSIFSAGNTYTYCAIRTLYGLALEGRAPRVLTYTTRNGVPLYCF GVVMIFPMLSFLQCSSSSSIVITWFANLVTAGGLINYIVITLTYIFFHRACKAQGLDR RSFSYFAHLQPYCAYIAFVWMIVVAIVYGYPAYKPWSVSTFWSNYTMQIVIPPLFIIW KVIKKTKLVKAHEADLVWERPLIDAYEDSFLDPPTGFWREMLQMVGIGRTKGGNDKRS HSVSQPRESAEGNSA PEX2_100160 MLLKRVARPPAAIMCRPVRPRWTPSFGVPSRTIHHPLRSVSKSL SSRSLSTTASAQIEGFHSQQENASIPLSETPSERRTPQTLTEKIVQRYAVGLPEGKLV RSGDYISLAPGYCMTHDNSWPVALKFMSMGATKVHRPEQIVMTLDHDVQNTSAANLKK YEQIETFAGQHGIDFYPAGRGIGHQVMVEEGYAWPGTMAVASDSHSNHYGGVGCLGTA VVRTDAASIWATSRTWWQIPPVARVTFTGTLPVGVTGKDVIVALCGLFNSDVLNHAIE FTGSEETMESLLVDSRLTIANMTTEWGALTGLFPIDRTLKRWLRYKATEAAMLDERTT RKRITHERIDELFANPLTADPDAQYAKQLYLNLSTLSPYVSGPNSVKIATPLNELVQQ DIKVNRAYIVSCTNSRASDLAAAAKVFKDAAKANPGTTPKIADGVKLYIAAASVPEQE AAEFSGDWQALLDAGAQPLPAGCGPCIGLGTGLLEPGEVGISASNRNFKGRMGSRDAL AYLASPEVVAASALSGVISGPGAYQVPENWSGVEHGFGTGLEPTTENELTNLLQQMES LIDRVESAGDEAKPATEILPGFPERISGEIVFLDADNLDTDSIYPGKLTYQDNVSKDD MAAACMQNYDPEFKGIAKPSDILVAGFNFGCGSSREQAATAILAKQIPLVVAGSFGNI FSRNSINNALMGLEVPRLVERLRASFAQPSAGNTKGRQLTRRTGWTLTWDVKRSIVEV QEGETGESWTEQVGELPANVQEIIAEGGLEAWVKGKVAESG PEX2_100170 MFIAFKAQNGEEGLRNPKHKRRSERLSRRGSTPRACTSCRRRKI KCDGEKPCEACRWYKKPELCGYTGPRSSQSQPEKVTIPSPDYKSTLERLFPDTAPENI ANFSRDKLLDLMTAGGSQSQYSQPQDSSIAAASLDTHDSALSGEMPGLESLHTIPGEQ LDEIHCASASESVGHISDDVNALSLSARHPTSYLGVSSIQAALKVIAWLHPAVNSYFA HSMCKDQDPQPTDSLPPSQTVPQPGTPPTEHQMLDAYFLNFQPFAPLIDEEAFRTTYL LGRRKDDRWLALLNIVLALGNITAAGADNHTHSVLEVVQALGLMGGWYCHYTSQPNLA YSLMGASLRMAVTLGLQREPSDIHSILDPKKSGIQEFKRRVWWSLCCLETWGHETLGR PSMDYFGPSITVNLPSRLDKESYFEVLPLTENVQFVKIALKIQESLAALPTLSHTEMF DLDSQLVRWWHDLPPVLKDYEPCPESLYAVRTVMRWRFYNHRMLLYRPTLLNYAMRRV PFMAIRVEERTAIQKCQEIAAISIQDISLTTQLNQMIGWNAVWMLFQATMVPLICLSA SVADNDSAASFEACKTQVETAMLTLGRMKPYGHTAGRSLEVISGILESNLQAPRASPL NASGDSLDPQSFPPPSAFNTGQQVARDRVSDWTATSFETLSSQYMWEYLSWDHSNLWP EIFDLNTQNEDAAMSFLDSSGT PEX2_100180 MVENTNSDQLVEKEGATDAMHQEHKEGVKVETVQGSLALDIARR TNPPNPWSAQMRKLYLFLTVAYLCSAVNGFDGSLMGALLPIEQFRETFGSGLVGSKAS LIQGMYTIGGVCALPFVGVFLDTWGRRCGMFTGSCAIILGTIIGGTANHMDQLLASRF FLGWGYSLASSAAPAYVVEMSHPAYRDILTGLYNCQYFVGAIAAAGACRGCLRFESSL AWRVPIWCQLISSCMVVLAVWFIPESPRWLYSHGRREEAWDVITKYHGEGSRDNAYVH LQIREYEEAINLEGSDKKAWDFRALVNSKAARWRLMCVAIASFMSQWAQAGVTTYYIA GLLATAGITDTTRVLDVNLGNTVLSAGLAYLGSYLGPKFRRRPMMIGASIACSICFAC FAATTGVYTKTLETGAATASIVFIFLIGACFSFGWTPLQAMYAVECLSYETRAKGMAM YSVFTNIALLVNQFGVGNAIDVIGWHTYIILAGWNIVQGVLIYFFAVETNNRTLEELT EIFDAPNPRKKSTESQQVLVSDTANQVVEVKGA PEX2_100190 MPFFKQPLSTEWTFKDRDDETLDAWMPVSVVPSTVQQDLIANKK LEDPHLGLNELKARWVNEKTWVYRHVFQRPEIPAGATVALVFDGLDTFASVRLNGVAI LESSNMFIGYRVDITEVLGLPNSKNVLEIEFDCAQLKATELRSKDPNHKWVGIFGDKA RLAVRKAQYHWGWDWGPVIMTAGIWREVRLEVYSARVEDLWPQTNLAPDHKSASVTAV AKIDAVNSECYVARFHLTLRGHEIASQEMPISADKNAQATFEVNNPELWWPHGYGSQP LYEVSVSLLCDGNELHNVSKKFGIRSAEVIQQPDKHGKSFFFRINGVDIFCGGSCWIP ADNLLPSVSAERYRQWIELMVAGGQVMTRVWGGGIYEDDAFYDACDELGVLVWQDFMF ACGNYPVWPDLLESVRQETVFNIRRLRHRPSIVIYVGNNEDYQVQEEMGLTYNFEDKS PESWLKSDFPARYIYEKLLPELVYEFSPGTFYHPGSPWGDGKVSSDPTVGDIHQWNVW HGTQEKYQIFDSMGGRFNSEFGMIAFPHMSTIEYFLENEKDKHPQSQVMDFHNKADGH ERRLATYLVENLRMATDLEVVQAETMMFGYRGWRRQWGDDRKCGGALLWQLNDCWPTI SWAIVDYFLQPKPAYYTVKRVMEPLTVGVQRAHHDWSVVHAEPAKQSQYKMWVASSRQ QVLYGTVELRFISVVTGKDLRAPAIFENVTISANGTTDITNGTIDHVAEPEPHVLAAR LLIDGQIVARDIDWPQPLKYLEFSSRGLEVKSQPGASAGKQLLVISSQKPVKCLVFEE RKNVRISDSAIDIVPGDEQVVEITGLNDSDPPLEYRYLGQ PEX2_100200 MQLISLLTSLAFVSAVVADNRGSYTVAGLGARKKEVLNAGGNTR DMAIAMLETDTMTTDYTYGDGKSGDGTNFGVFKQNWFILRNSASEFLGQSVDQVSNGA ILNSDLKKDIQARHDGEKHYGYETWFSGHRNGESGVNNPGTEDITTYINAVAWIQQQI ESDEKYQSDDTRFWVDVVAI PEX2_100210 MRLDKEEKQLEDISKDSVEQIEHVDPSDYVKDQSDLSSIEATAA SKTAWLISIVVSIGGLLFGYDTGYISAVLVTIESSLGHALTSNEQEMVTSLTSGGALV GAVGAGLTADRFGRRWPIWGACLVFVIGTILQTSAFSVAQFATGRFVVGLGVGSASMI VPLYIGELAPAQYRGRMVAFNNMSVTFGQLLASALGAAFAGVKGEAWRATVGIGAFPA LLLAGLLFFCPESPRQLVTHGKAEAANAVLLRIYPKSTVEQRQAKIRSIELSIQEVTQ AMNKESLWVTFKRIFTTPSTGRAVLTACTVMAISQLGGFNTLMYYAATLFSIVGFSNS TAVGITVSATNFVFSIVNLVLVDRFGRRIILTITVLGMSICMIVAVIAFRYIPIDTQT LVVESNNVGWPGTLVLVAIICYVACFSSGVATIAWIGTELIPLEVRAVGTMLNTVTCW STNIIISSTFLSMMKSWTPSGAFGFYAGICFFGWVFVVFFYPECKGMPLEAIREVFSD GFGVKYSKKWQKDHKYDVKAETMILGH PEX2_100220 MGSSSNPLVLEPATIQDVHGITEVWFASFTQPVIGQNFPNTPGM RQWHRDWHMADIQKSHCRYLRVVDPESKDEQGRPWIVAFGKWDLAMPDERGRRFPIWH ADSPYEQCEAFITELEQARKRVMGDEKHYYLDTLGTHPDYQRRGAGSMLVQWGCDLAD KDGVAAYVDASKEGVSLYQKHGFVDFNPPDADVAAMARRKVAPSDYT PEX2_100230 MGTRFKGDTKDPAVNVTTWVLLVTIIFSVSARLVTKIRLFKRLT TDDLLIIASLLLGIGQSIVVSLAVESGYGKHSKDVSSAEMEQVMKNLWAGSLLYLLSL TFSKLSLVVFIRSLTPSAKDKWLARGVEVIIYAWVVVVILGTAFQCSLPHTWDFQNGQ CFNLPIQLTWRYFIAISNIMTDLLIVAQAMILISSVQTTMGRRLGFAGIFLPRLFVTV ATLVELAFIKKGTETNDPTFQMCEITIFEVLIQCLSIVTACWGQLNPFLSWMRSNGLK LDGVEEPTSWSYKMRSQSQSQGRCESRDHNVKFENKTFPLPMRRDQILVTQDWEVDSK SSQTHITGELETHP PEX2_100240 MVAYATKSNTSVGGQVDRDNAHLQRMGKKPVLKRNFGILSILGF SCTILGTWEGLLGTFTGPLTNGGSGGAIYAYIFGWVGCFANFMVLSELASMAPTAGGQ YHWAAMLAPAGLQKFLSFITGWFAVLGWQSAFAACAFLTGKMIQGAAILGNSLYNALP WQGTLIVWGSLILALVVNLLGGNLLPRIEAVILVVHILGFFGIIIPLAYMADHNTKEQ VFLSFQNGGGFATQGLSWFVGMTSCAFAFAGGDAAVHMSEEVSNASTVIPNALMISVG INGCLGFGMILVMMFCTDSDLGGKLGKTTGYNFMGIFMEATNSVSGSLTMCAIVITIY VCSLMGLLAAASRQLWSFSRDRGVPGWRLWSQVSPTKQLPIFAILLTVTIAVLLSLIN IGSNTAMEDIVSMAVSGIYLSYLMVTVLLFYRRIRGDISRYNDNEDDIVNVPGAKLVW GPFHCPGIIGTLINGYAVIYVTIVVFFSFWPSTMDPTVGTMNWSILAIGGSVFLAIIY YALRARHIYKGPIVEVSL PEX2_100250 MEHLLPTGVHIIPSNLLDLRPDADIDFDLLHPQPVKGVKNIWLF WHSGYANMHPYTQRSVRAWHRRFTKQGWTVRIIDRQPGSKSNIAEFLDVTDPALFPRA FTDETLTGPYALQHTSDLVRWPLLLRYGGVYADVGMMQIGDLDTLWIETIANPESPYE VLSYTPSGEDHYSLCNYFLAALPDNALFTRCHRLLLALWGAGDGKTSTDGMHASPLLQ GVPLMGGEFTITEDDGTFIGPAEVSRLLTDYIIQGQVATAVMGLVDAEDNWDGPAYCM EHFYAIEFMEGSQLINELTSWNGQEAFDLLSLPMPKEGEEESGKQKKAREIVDACLSR SFGFKLAHGLILRVNKVTLGSLWRDNPGSDVIPGTYASWLRHGIAYWNQNKVPGRVAL SVIPPTKVGKLLM PEX2_100260 MPFKNGEMAIQELGSSKSKSRDAEDMAKQGKKQQFKRNFGFLSM LGFTTTMMCTWEAVLFANLTAMIDGGPVTLVYGFLFCWFGALVTASSLAEMASMAPTS SGQYHWVAMLAPKGQAKLLSWVTGWMAMIGWWANTAAGVYFAATVTQGLVVLNYPNYI AEGWQGTLMMFAALIICVLVNSIGAKLLPQVEGLILIFHIAGFFAVLIPLVYLAPHKD ASFVFATFENSSGWSNAGLTWLIGLMGTNLPFIGYDGPCHMAEEVINASIIVPWCMIG TILLNGTLGFAIVVAFLFCIGNVDDALNSATGYDFIEVFYAATKSHAGTSVMTAIPTA LVICASFGFLASASRLTWAFARDKGLPFSNFLAHVSSESALPLRAVGLCAFITGCICI INVGSTSAFNAIISLTTAGLFGSYEIAIVLMLIKKIRGEPIPYGPWTLGKFGIVINIA SICFLTIAIFFSFFPAEMPVTLASMNWSIVVFTGEFLIGLVWYLISGRKAYNGPVIEF DVNR PEX2_100270 MNSIIDWSLRPVYSPEQLKQYFNRIDLPQKYRESPVVKFGASVD NEAALVFLKVLQRYQVAAVPFENLNLHYAVHRYISIDAQKLFDKIVNSKSDRGGYCME NSTLFGTVLRTIGYNVTSVGGRVNEAVQPISTSRDWKGPKYDGWNHMVNIVVIGEQKY LVDVGFGSNGSHQPLPLIDGFEAHNVGDQSTRLIYGPITQHTRAGQFLWQYEICNAGG AWIPAYCFTETEFLPEDFTIINYYMSTSPESWFLFHVMCVRMILDDDGEKVIGDLTLF DNTLKRRLGATSEVLQSFACEEERLAVLEKYFHIPISKADQESIRQTTSEIL PEX2_100280 MDNGKCIDTFHFWTAISSVDILTELIICLLPIYIIKPVQVSFGK KVPVVVAFAYRITVIIATIMRLVFMRNAPNSTDMTQSAFETRITTQCALCVTLITACI PCLKPFLDAFDSGMLGIGLRKRTIGSHSDSYGNSYALTSMSRGAKEATRRSRYLEDEV EGLGTSAAAFAVTDPVKPQKLEEISHSMGIQRTDQWSVRREYIDTKPGSFGEGLEESE TSGSRVEHSL PEX2_100290 MTTQSAIIVQGPGRATIAEDVLLPELPDEYILVKTKAVALNPTD WRHIDFVPCTGATVGCDYSGIVEAVGPSVKKAFKKGDRVAGFVHGSNAVRHNGGAFAQ YVIAKGDLQILIPESMSFEAAATFGVGLTTVGQNLYQSMELPFPGDQANDSDDINILV YGGATATGTLAIQLAKLSGLRVVTTCSEANRSFMFELGADAVFDYHDPLVGEQIREDT DDALEFVLDTISTPQSAAICSAAISSAGGSYNALLDVPSARDDVDSHVSMAYDVLGEP YRMGTNEISPDSTNFEFGIKWWELAQKLLQERRIHPHPYQVKPGGLAGALAGLQILRE GKVRASKLVYRVDESE PEX2_100300 MPGRLTTNSAPTDMEGIAVIGMGCRFSGGATSIENFWQMLCEGR TGHGTVPTSRYEASAWHHPSHERKGAINHDSGFFLQEDPSRFDAPFFSITAKEAAGMD PAQRLLLEVAYETFENSGIPIDTLPGSNTAVYSGSMTNDYELLSTRDIYDMPHNSATG NGRTMLANRLSWFFDLQGPSIMMDTACSSSLTAVHLAAQSLRSGECGMALVTGASLIL HPNFTQRLSYMHMMSADGISHSFDESANGYGRGEGIGAVLLKPLSAALADGDNIRAII RGTGINQDGRTPGITLPSPTSQANLIRSVYERHGISMRDTSYFEAHGTGTPVGDPIEL SAVGMTLGQARTPTDEPIYVGSVKTNFGHTEGAAGVASLIKVVLCLEKGTLVPNAGFK NINPKIRLDDWRLRLSDKTIPWPDHLPQRASINSFGFGGSNAHLILESTKEAFQGNAE DREPAPHVVVFSTFDQAGIERAGQNWSTFLQRQQNAEQEFLLKDLAHTMLTRRSQLGF RSFAVANSQDQLRSILDKGLPKFPRASRKAQTRLAFVFTGQGGQWAGMGRELLRISTF RESIARSQDILSSLGCPFDIVEEIKAEAKDSQINRPDRSQPITCALQIALVDLLASWS VYPNAVVGHSSGEIAAGYAGGYLSHEDALRVAWFRGFFSQQIAQSERRGGMLATGISA ADAQKYLEELPPRSVVVACVNSPASTTLSGDVDFIDQLEARLQQDGHFARKLRIDTAY HSPHMEDLVEVVGNAINCIKPEDRYSGSIPMLSSVTTERVYPAELSGSYWVRNMVSSV EFTAAVTQLANLSESIKSRRRPVPIKWTALVEIGPHAVLKGPVNQILQSVNANMASLP YHSLVSRNQNALRTALEVAGSLWSTGHTIDLAAVNSSVDTTKPTMLADLPSYPWNHQT SFWHEPLETAQLRQRKHPRHDILGAAVDYQNALEPRWRNFLRLSENPWMADHVVAGSI VFPAAGMLVMATEAARQLADGQANIKGIEFQDLHFMRGVVIPDDERGLETLLQVSPHP GMPGWYQFGIFSLPSGGAWIQHAKGAFITRYENRDDDEHNSNWEAALERIKNTQTVAK MADVRQAREWLSNTGGLTVGPAFQTITGVSFCDSESRLWLSGVVTDTKQSMPFENESP SFIHPTTLDCLFQSALLSCSDALSSNNANIPVGVDNIYISNTFQPQPGEQFVVHTETK WRDGKSRSQCIASDSSWSQPWVTFEGVHLGRLPFNPNSQKKEEASSESRYSSIVWDEH LESPLVTSQIHDGSKNTAVQASSLQLIDWIKRLCHTNGDARALITASDTSTSWIENLQ ELIPSVGKRPCLGKITVASCADKQAEGGTPTENSLPGTHLIPLATLDELPSSSLAQDK YDLVVIDEPRVWEDKTSRAILPCLITILEHGGLVAIRVSDTDLNSAAEKLQRFDGLEI HSTTEDRKFIIVRRTPVSWTTDSEIYVLSPADNSNSFPVFEHLEKIFAIHNVRIVSVG LDQVATLAGKTVISFLDLARPWVSEWTEKDLKRLQELIRAQYVLWVSPSWAQGDVENI GSGATGGLLRTLRNEQWNTAIPHLLVDLEDWEDKFGLACGILQVMQLTTQKNSRRPDL EYRLANGRLLVPRVLETPVVDEAMHTLINGPRPVLSDLTLDPRPLELKFQDAGNARWE EQQLFKDELRSDHTEIKVEMATIFDLHGDQGKIPETALPMFEIVGRVTRVGTGVHDSA VGDKVLTLASANSGLSTTMQVLESDTIRIPANTDPTKAISTPLAYLNAYQILTQVGHL SSSSSVLLVGSISQTLQAMIDYALAMKMQVIVATDSLDTTERLRTRYPVLADRILGVH GSLETSVSRLTNGCGVDASICFLGGYPGRNAAKCLVQGGQYINLSSEMKLSALPESFI DSGCTFSSPRLQKTFSEKPGNLHASVRHVIDFMKQHRMLDRVESYSMFPISDLQGALK HCKATNARAIVDLQAPGKVPIVLPLPDLTGLPTEGTYILAGGLGNLGLALADTLVQSG ARHLVFLGRSGGTQPSQQLSLDLLRDRGCRIDVLRCDISQQGDIDHLSYKIRSKSWTV AGVIQCTTVLKDAMFENMTFSDWTQSTESKIRGTLNLHHLFSDKEGLTFFVALSSVAS VIGNMGQANYSAGNGFIDALMEWRRNHGLPGHSINIGLVPDASGLSDISEDQEQRRRR YKHLEGTEIMTHELQTLLRVIINSKLSLPSQIIAGMTDSLSRSNGSTGWVLDRKFDHR LCLAVEDTEDSIQTSALLKESSSLNTATEIVLNALSEYLADAMATTADAIDSDLPLSA LGVDSLKATAVQNWVSRELGAELSSFEFLGSQPAKALARKIASTSSFVSVPS PEX2_100310 MADTEKSDLCMPAPPAKAIVIDHGKAIAPDSEQIIVIDHDLAID PDLEKVIENESSQKVSFNGPESPGVIDLEAQPIEPRRKRFAHFRYVMFTVYRRLFTVV FCANLAVFLYVMISDRQLLALVDATAVNLVACGLARHPMMVNAIYRAVCSIPRTAPLS LRRRCAKAAHYGGVHSGCGTASLVWYIGFVAMLSKMYWTNSPISTETDAGFSAAPIAV AYIILVLLFVMVVVAYPTFRRRMHDYFELTHRFTSWLILALFVALVMIFAHESSKAEQ VTLGHFLVTLPAFWLLIAAIVAVAHPWLLLRRVPVKAEPLSSHAVRLSFDFTPISFAK GVQVSKHPLRDWHSFAGFPDPIPAGEKTADKNASGKKPFVKGHGHKRSWSIVVSKAGD WTADTIREPPTHLWKRGALMRGVGYGLRVFDRIIIVTTGSGIGPCLGFLGDKNRPLIK VIWQTRNPLKTYGQGVIDLVHEMGPDPIIFDTSRDGRVDMLPVVRQQVKEFRAEAVFV ISNPKMTQDIVYEFESQGIPAYGPIFDS PEX2_100320 MRSSRLSKRRRVKGMETLKDLIPSLPAAPLTSPLFTVFPAEIRN RIYTLALESEDVLTDDSSRSLYKQNAFYYRPGYKQPKRIQTALLQTCQQIYAEASLLP PAVNEHTFWFYRSPPHVNDASSPLNYFRKMTPKQRAQVQHLHLFTQQFFLEDNQSHIW DGLKIGDDGHNLRGECRIAPKKMTITLRHTDWWHWENNEPLGIDPFRPGRTRAADMGQ VVSPPAAARLWGNQFSSLPCLEELVIEFETIMRKRDQLDAIIQQAFEWKFPMQADKGL YLVADPKSKSAYTWSGAKEAELKRQRAAWPVDTEVRPESQSFQEQEPVPAAPALVPFD HQSSSGTNGEIKIGNNSQFDSNTEKFYVVFLTWRKQRVQG PEX2_100330 MPSPSQELENAEYRPAASGDLRSPCPTLNSLANHGLIHRDGRNI TADQLKDALQLIGVGVDIRHTLVKRAFTVHNETSHTGLRNPGQVNESGIPVIDLDQTG RPHAVEHDVSLSREDRALGDCVKPDPDLVDRLVQYPGNKESFAISDLGRFRKRRYAEQ KAKNSELNFDSSKHKVACGEAAIFQSIFASEADDRCYFRHIRRLNADAREACELRTGI LIHPTAEGSISSLKEEPASAFVLTSEAEARFLVMASFDSKVIDVPQMEQVLKQFDRLV QQILEDPTQRIQHIYDSTIEMDLTEQFHLARIGPVSLPAEEVYSSVKSTWIVNPNDQE CLVPPGGLAKYTNDGSVIIIRAKDAQIGQLKLRNKTLNGCAAITTVTQQKLQRVWSQV LDIPCEDILLDDSFFDLGGDSIRAIKLVSEARMGGLQLTVTTIFDHRSLFDMAEHAVE ARPSVVISQEYTPFSLLDVTDVDAFISKMTPLLAWPGWKIVDAYPSRPLQGIAVLGTV QLPRYSMRYELFYMDTVLDRNQLFQSCQEIVSRNEILRTVFVEHEGISLGVVVDDLPC RIIEYEIERDVEAFSQKLYDVNVQSRIPLGSPFLKFFFVRHVDGPSSLIMRISHAQYY EISLPILLQQLSAFQHSVLITVATLPTAACALCLARRLSLDDVIFGEVVSGRNIGLPN ADAIVGPLWHDNMLKARSSKGSVLRKLSIKDCTDWPETVDWFDSVVHQDVEHVEGLSF MAASSLMQTIYLHFEPLREIKVQAFPMGDILCIEVVTVESWSDFAVSLLDEKVDTIGQ LVNHVHSRIL PEX2_100340 MSLSSLPLVRLPEPYKTTYEFHVVSTEQKLLKFQLLRSPRGDEG IPPPKLLDHALLRFIDLAQPNSSSTPDEGNNSPWARAQRSPVTNII PEX2_100350 MRKALSNYPSRPRQHTVTTGFPEHRGWNKTGTLDQHRLRKIQED PHQIAVLAKFNNTFFSYHEIYWTKEDHLGAYYDAGGWDRGRHSLVGDERFRGPHRTIA WWSVLMHYIFLDDPWTEFVTGEPKYANLASLTYDHATGMNLAKLVDRPHKRALIHNRC TAKDVGEVLSEVKGHVELRNVSFRFPSRPDKPVLQNLSLSCPAGQQTAIVGLSGSGKS TVAGLATLFYDATEGTVMLDGHDVKDLNVRALRSHISLVRQEPCLLDRSIFENIALGL INSPVHTHLQPILTDHGEKAQEVMSLVEGAAKLADANGFIEKLQEGYGTLVGSSGNLI SGGQKQRISLARALVKDPRILILNEATASLDSATEMRIQKALETMAVGRTVITIAHRL STIKNSDNIIVMRQGKLVEQGPHTELMVANGAYAELVRLQNLNVESTQEEKSTRSVSP INQITEKVETCLSVADGIRDQDETADTSATQDSKSEEIGGVVDTQRSFSSTASSLGSM FRPYAFVLVLAVTGAVVIGGTYCASAVIFGNVVGKLSGCEEPQNIRDAGELYGLMFFV LAIIEFFANLVSWSLFGWMAENVIYKVRVLSLRSILEQDLQWHESNDRNPLLLLSLIT KDSNALAGLTGSVVCTILSILINLFAAIIMSHIIAWRIAIVCLAVVPLLLAAGWMRVT SLAQFEERHLEAFARAWANVRLAIGYGLSNFLYGLAYWWGAKNIIAGYYTQTQFFIVL LALLVSSQLWGQMFALAPDVSRAFHANRRLLNLLDLGSTKKLSAPIQTLPSKFSQSTS DVEYTATTREKVDDTRNGISVSLKHVHFAYPARPDTRVLHGLDLTVKPGQFAALVGPS GAGKSTIISLIERLYSPESGTIHVDGRDVAYSDISFRDSIAYAKLEEACQLANIHDVI VQLPDGYDTHCGPNGDRLSGGQKQRLAIARALIRKPKLLLLDESTSALDAESERLLQD GLEKASKNMTVIAIAHRLYTIRKADVIFLIEHGRCVEQGTHAQLIERSETYRVDALNQ TVDG PEX2_100360 MPGLEEQPPFRVLIVGGSYSGLAATLNLVDLCHGRKCRFNQDEN DQGPGTTIPVQVTIVDERDGYYHLIGLPLAIASNADASMFWKKFADIPALQSPDINHI QGRVDSIDCKSRIARIRQNTANDGHKIVEEKYDYMIACSGLRREWPSAPKSLTREAYL AETADSVQTIEKAVGGVAVIGGGAVGIEIAAEIKMLQPQAKVTLIHSRQQLLSSENLP DEFKAKALEMLKESKVEVMLGARVQDKVLGSDSAPAKYTLKLSDGRELIADHVINAVS QFASTSSYLPKDACDPEGYVRITPGLEFSADVPNKKYHYAAGDIVSWSGIKRGGAAMH QGHYAAVNIHQQMLAERYNTEPKLVELVEVAPMMALALGETAVGYFPTMGLTTGDEIR NMFFNDDLGYGSKLASFQPD PEX2_100370 MNMSFVVVPATLPDIRAIYDVWFAAFKGQLILDLIYPDTDLNDE AFRKVHTEGTLEYWKGLSMEHTFQCIDTDTGIIAGMATWQVYWRERTTEERQKPWIGW LGGDQRERAENFLEQLWEKMEKWIGPKRHTATQLQCILTIRGKGIRAQLMEWGMNVAE QLNLPIYLESTVEGIPLCQKLGFQTLSEGILFKPEITRVNKEVRAPLKVKMHTAVGNM TFEEWAEDKSPSV PEX2_100380 MHENTVTFDGKMLVTGYNVTQTDLSSVGGPKNGWITDSLFYEIE VKTNEILFRWSALDHIDQIPLDHVQPFYPVKDWGHNNGTYIISSRYYCSLFKIAKDGS VDWTLQGQAGGDFELNGISYQHNARIHDEAEDGFMPSIFNNANSDVQNGTDHTEGILM SVSLATREVSLVQDLHDQRDEIFSNSQGNTQFLPGNHVLMGYGSNPKIKEYTGLVS PEX2_100390 MALKETEIARDMGSDVPYASEKEPETAHIPKDTADGHGNSDQES LDQEAQAGVKGVQAAAAVWTKSHMILAYVIIWFIYFVTSIQEVVIRSLNPYVTSAFML HSLTAATSIMANIIGGLSKIPLAKILDSWGRPQGMTLMLIIWVVGFVMMAACDNVQTY AAAQVFSSVGSQGVSYCLTVFISDTSALKNRALMLSFATSPYIITTWIGGPISQSVLE GPGWRWGFGIFTIVVPIVVAPLCLLFFWNQQKAKKMVYGQWRSAMIICMIIFGGLLLI AFALYERFWAPVTFVPFNLLMDRTVFFGGLMFIFEFFNSMVWGSYFSSMLQVVWGLDV TEASYISAIYRVGSCLFCLLVGFLIRWTGRFKWLAVYFSFPLMILGVGLMIQFRQPDA GIGYICMTQIFVAFAGGTTVICGELAMMAPSDHQHIAVILAMLNLFGSIGSAIGSTVS AAIWTSEFPKALAKYVPAEVSVTKVYSDITAQLSYEWGSPARNAIAHAYGDAQRYMLI TSVCMLVVAWGCAAMWRDIKIKDLKQVKGRVI PEX2_100400 MILSASPEIKAELSGIIQAFLSNIGYEFRPLELYPAVEDEVKLH FKNHRFSDEFVSKIEPQIKPSVGIATTTFQTTPFNIQCTVAIFTTYCLIIDDSAHDLE FKNHLKRFSICLLTRQPQANPVLESMGEFLSSFHSIFGQFAGDMIIKDLLQFIAACYT EAESDHLQFPAGAHLFPSYFRLKVGVAEAYSFMLFPIAQFSEVECLRYCLPMIPYLTW GFNWINDILSYYKEIVEMDNCNFVANSARCKGLIQIKFMRELCDDTSDVIRTLRTLAT AHSGLSKVVEAFVSGYVTYHLTQTRYQMEDLDLAFVSDAREQFSASIASRE PEX2_100410 MADHDIEGNQEKHSNGESPPLPELQRRLKSRHLQMIAMGGAIGT GLFIGSGSAIATAGPVGALIAFAFIGSIVYSVMIALGEVATYLPIAGAFATYATRLVD PSLGFSMGWIYWFSWASTFALELTATGLIIQYWDDTIPMAVFIAVFWVVIIILNMFPV SCYGEVEFWLSSIKIITVVGFMIFAICINAGVGREGYLGFRYWVHPGPFRPYLIEDSG QDALAKFVGFWAILIKAGFSYQGTELVGIAAGETENPRKNIPSAIRKTFFRIVFFFVF TIFFVGIVVPSNDERLTSNDSGANADASPFVIAAKRAGVNVLPSIINAVLLTVVLSAA NSNVYSGSRILVGLAHEGFAPRFFLKTTKHGVPYGSVGFTALFGLLGFLNVSNAGATV FNWLMQIAGLAGFITWASLNICHLAFMRALAAQGIPRDTLPYKAPWQPFVSWYGLFFN MLIIITQGFTAFIPKFSVTDFFINYLSLILFAVLYIGHKVAFRPKFVKPVEADIDTGR CDE PEX2_100420 MEMTLEGSNSAETFPPWDGVARLHLPVTGNLAIWWDNYYFFFLT SFNTQLHL PEX2_100430 MSMSASANARPPPAGGPQSQASRRLLIFQETRNPQNVAETIYLP VNKLGLPICGDGPVMPSILELPLRVLRVFTDIFNQPKYKGWAVVSAGPYRDISEEGKF YAVVLEQTQNTSVHASHETHMGTP PEX2_100440 MESCGDCHSEIYPPHLPRGIASSLQQTPLLHKASNFANSSEHSQ DFDRILKSQLGIMYVGLSHLRSTFFGGVCGLQTGSEPVFQRCTGDRNALFVNGWTGWP DNANQGGVLSWFAGLSEKLAKFSADYDPLSAVAEGEYHPPAS PEX2_100450 MKDAIDTPISTNASVADLENARDHSSGEKPSDADIYLVEWDGPD DPELPMNFPFWRKSLITCIFSTLTIWVTFSSSVFSAATTVTSKEFHVSKEVMTLGTSL TVLGFAVGPLIWGPMSELYGRLRPLYIGYAIFIIFQVPVAVAQNLETVMLARFLLGFF GTSALAIIPGALADFWGPVERAIAVSLFSAATFVGPIFGPIVGGFIVDSALGWRWTAW ITMIPAAFFGTIAFLILPETYHPVLLQRRASRLRNETRMWAYHSRLDESTPTFSQILT KYLFRPLQMLFSEPILVCMTLYISLIYGILYLFFVAYPIAFREVRGWTSLGIAALPFL GILVGVLLGCLLVTIATRLWYAPKLHNGSVVPEDRLPPMIVAALLLPIGLFWFGWTSN PSISWVPQAIAGVPIGMGILMIWMQGLNYLIDVYLVVANSALSANTLIRSMIGAAFPL FGSAMYGRLGVDWAMSLLGFLAVAMIPIPIIFYFYGAKIRALSRFSPKL PEX2_100460 MPDSTSHPHLRPKAFIFGPQDLSFDIESFTKLCSQLHDHNWALD ALASLPKLWDNFAASDLAVQQSNTGKLLENLNTWVLSATAPEEAFPLPNVLLSPLVVI GQVIEYITLLRAAFPDLGEKEELPKPITEDTETLGLCTGTLSALAVACSCNVADIHHY GAVGVRLAMLVGATVDAEEALYDPERKSTSLSVSWNSAEFGDSFAHVLEEFPDAYVSV LVDQRRATLTASKKTAPALIKRLKQEGGHVTPIALSGRFHWKKHQNAVSSLLQFCETE PALKFADTPKMLLPSRSSTNGQYISTGKLHEVALRAILLEQSQWYKTCFIAYAARFII KDAAVICFGSERFVSPTIARKLGLRLTYVSEIDLASSRLPRQVLGGAQTPNLEDLPDE RIAVIGMACQLPGAGDHEGFWEILKSGQSQHKEVPEDRFSLATAWREADKRQWYGNFI ENYDTFDHKFFKKSPREMASTDPQQRLMLQVAYQTVEQSGYFRDDGPNRRIGCFMGVG NVDYEDNIACYPANAYSATGNLKSFLAGKISHHFGWTGPSLTLDTACSSSSVAIHQAC RSILSGECNGALAGGVNVITSPDWYHNLAGASFLSPTGQCKSFDAKGDGYCRGEGVGA VFLKRLSSAIADGDQVLGVIASTKVYQNQNCTAITVPNSGSLSELFTDVVRQARLEPR DISLVEAHGTGTPVGDPAEYDGIRAVFGGPIRSDILSLGSVKGLLGHSECASGVVSLI KILLVIQQGFIPPQGSFSKINPSLNAKDKDRIDISTRLKPWDAPFKAALINNYGASGS NASMVVTQPPKLKETLLAPLPEKNYPFWISAFDQQSLQNYARRLRQFVQGHVADKSLS LANLSFQVACQSNRSLPQALIFNASTKEELDMVLASAEKASTDFPSVQLPDPKPVILC FGGQVSTYVGLDQEVYDSTAIMRYYLDQCNAVCLSFGLQGIYPAIFQRSPIEDIVQLQ TVLFAMQYSCAKAWIDSGANVASVVGHSFGELTALCVSNVVSLQDAVKMISGRARLIK ERWSSDKGSMMAVEADLSDVEALLAKVKLQIGSEAGVAIACYNASRSFTLAGPTKGVN HAEDLLKNDPEFSRIRSKKLNVTNAFHSALVDELIDDLESLGQGIKFRKPTIYIETAT EQVSTSTFNSSYVASHMRKPVFFAHAVKRLSDKFPAATWLEAGSNSTITAMASRALGT PNSSFLAVNITSEGAFQFLSETTIKLWREGQKVSFWAHHRLQTAMYTPVLLPPYQFEK SRHWMDLKVLPKPEASAKVTEQPALIEAPKGLTTLVGYQDASQRSVQFRVNVTTDKFN RLLSGHIMANTSAVCPGMFQVEVALDALMSLRPEFQNRSFIPELHGLRHYQPLVKDDS RAVWIEAQSFDAEGLVWNWKLTATDDKGSGFVTHTSGTITFQAADSVQVKTEFEKLRR LIGRKRCLQLLDGNVADDILQGRNIYRAFSEVIDYKEIYRHVTKIAGSENESAGRITK KYDGETWLDTVLTDCFCQVAGIFVNLMTTKVDLSERGIFICDGIDRWMRAPNADANDA PSHVYEVFALHHCESESKYLSDIFAFDARDGSLVEFALGISYQKVPISGIRRVLSKAM PVGLQPQVPTVPVTVPAPKIFSHTPVAAPPLVNGSSTAVKSKPPTKKAPKAASVDIAK KMREIICNLSGLEPEEVKDNSDLVELGIDSLMSMELGREIDLAFKTTVDVTQLIDVTD FRSLVECMQKILGIEDAETNEKAVTNGNTHHINGTNGVVNGSGLLSPEEGGSLLSESA ILDAFRIAKEATDDFILNGQLGTYYNEIMPRSTELCVAHIVNAFEQLGCPIRSAAAGQ KLERVTYLPKHERFMNLIYGLLEDSRLIDINGSEITRTALPVPTKTVETMLEELLRDE PVHAAEHKLTSMTGSKFADCITGKEDGLQLIFGTPEGREIATDVYAKSPINAVWIQQA EMFLEQLVQRLPNTGEPLRILEMGAGTGGTTVKILPLLERLGVPVEYTMTDLSSSLVA AARKRFKKYPFMKFKVVNIESPPDSQLVHSQHIVLATNCVHATRNLEVSTRNIHHILR PDGFLLLLEMTEQVPWVDFIFGLLEGWWLFEDDRQHALQPATHWKKILTSVGYGHVDW TEGGRPESNIQRLIIALASKPRYDDAPKSLQPPAHVPLTDIAGNQEIIDTYIREYTKD FHALPIASTQQASIPALTRHCVLVTGASGSLGCHIVGYLARLPSVNTVVCLNRRSTVP AVIRQEEALKVRGISLDDTSRSKLEVLEVETAKPLLGLPVETYQKLVNTATHLVHNAW PMSLTRPIRGYENQFKAMRNLITLSREVAALRPAPFKFGFQFISSIGVVGYYPLRYGK SLAPEETMTADSVLSVGYAEAKLVCERMLDETLRRYPDRFRPMAVRIAQITGSTSNGH WNPVEHFSFLIKSSQTLKALPDFDGSLSWCPVDDVAATLGELLISDTTPYSIYHIENP SRQPWRKMVKTLARSLDIPQSGIIPFDQWIERVRISPASVNDCPAKQLLEFFDQHFIR MSCGGLILDTAKAREHSATLRERGPVGPGLVEKYILSWKTMGFLD PEX2_100470 MAETNFEAVDDTLHLPRILCFHGGGSNAIIFKSQCRRLIAQLRS DFRFVFAQGPFLSDAGHDVMSVYSQWGPFRRWLRWRPDHPDIRPEDAIRAIDDSVEDA KRQDDAKGATGLWVGLLGFSQGAKTCASLLYRQQIRQELLGRPFAGSDYRFGVLLAGR APLVCLDLDLDLGSSLPDVSQITDAKYHGPSRDVLRIPTVHVHGMLDPHVDLHRQLFE EFCAPESKRLVEWDGDHRVPLKYNDVSLVAYQIRELAMQTNAH PEX2_100480 MPVPTKSSFYLPAVDISPYLQDPNSDGARKVINDVRAACTSTGF FQLLGHGISPELQRSVFAAAAKFFALPTDVKSSCRNVGFRGYDPMASQSYEPGVLPDL KESFISGTDIPLDDPRVMSRRFFMGQNAWPPGELLPETDFRRPVEEYYQAMMKLCWAV LDLVAATLPYGPHVFDEFKENDPACPLRLLHYPPTPAPDVVKGRQLGSSAHTDFGAIT LLLQDDHPGLEVQDRETGDWIGVPPKSDAYVVNLGDMMSRITRGHYKSSIHRVINKNL TDRYSVVFFFDGNLDYKLRPLDRVEQDQDEENVLTVEEHMLERTTTTYNTKAK PEX2_100490 MLSHQMAPTAHTHTSQPTRQRQRTGRACEECRRRKLRCDALQPR CGVCVEAGITCEVNSQRQPRGPKKGYLKALRNRVILHQRRYLGWARSASKKISRRCLQ YAVWTLASLLSAQFQHLQDSFYQETKRSLEFSYLSGDSDNLVDTEEIQAWILIATYES MRTFHRSAWMSAGRAFRLVQLMRLHEIDSPTNPPVADADLIETEEKRRVFWMAYFLDH LLSMRNNWPITLNEHVVGLRICTRLPAPDMEFQNGQPVLGAFLSEAIMDVMPQTTSPF NECAILATICGRSLFQAQQYSVRFVYGDMAPNWTDQHQWLDNVLTNRLQILSQHYPSP TQVCDPMLSFAHIMGQASVIHLYKGMESIVWAVNEGALVLEYRRRALSAAQEIVKQAK GLIEFYFFKVQVHPLMPIPLLLCVEFLYSNRGSDAAFNSLLQELLQIFRQLKNPNDPT QSYIHLLELSCTNASIDLVKENSTSS PEX2_100500 MAETATGILKTLPAVCEYDWSCKDLSKRFTVVNPATGEPITVIQ AGNLDTVDGAIQASQKAFESWRWKTQRERSLYLLQAADEIQKHSHELAVLLCLENGKP VKDASFDITFLVQVFRYFGSIADKLPSEFFDQGNIYSSVIYEPHGVCVGILPYNWPPV HAGGKLAPCLAAGNTMVLKPGEQAPLTLMRIVEILQSVFPADVVQAVPGLGPEIPEAL INHPLVKMASLTGSTVSGSKAAQTAAVTLTPTVLELGGKNAFVVFEDADLELVVRDTI DGAFFNKGESCTAASRILVHKNLYPIFVTRLTTAVKKLRTGDGFDETTHVGPVVSRER QQEILSYIEQGRREGATLSAQGDLPTASHLSGGFYVAPTLFTDVTAEMSIAQAEIFGP VVTVGSFETEEEAVNIVNSSQYGLFAGVYSGDFSRAMRVTRKLDVGVVLVNNYFRGLL GTPFGGVKGSGYGREHWIGTLREWSRIKNVRFPSGLGPIPAWGGAVDVCK PEX2_100510 MSVIPPVGTPVGLEIPAKDVARGAAFYKAVFNWTFATSTMGFPA HKLQTFEVPGGIMPIGGAMRLVEEIPTGTGATKLYLYVNDIVAALEAIEKNGGKKASE VIPEGDKGLFQYFEDSEGNNFAIYTYNK PEX2_100520 MAFPPSAGFTWISKIHNDTYPTITATRCKQHGRAVFVTGASKGI GRAIAIAFAQAGASSIALGARSSLDAVETAVLDAAKSAGHPPPQILKLALDVSDEQSV SDAAAEVKRAFSSLDILVNNAGRVEKWVPLAETDPKSWWSTWEVNVKGTYLVTRAMLP LLLLGGEKTIINMNSIGAHLTRPGASAYQTGKLAILRLTQFTSVEYAAQGVLAFAIHP GAVDTELASRLPEDTKVKLVDSPELCADTIVWLTQEKQLWLAGRYLSATWDVAELMAR KEEIVQGDKLKVKLVL PEX2_100530 MATDKLVDFIQTPFDFLIVGGGTAGLVLAARLSEEPGIQVGVIE AGSLRLGDPKVDLPTGSGQMISNPDYDWNFESIPQAGTNGKSYHIPRGKMLGGSSGIN FMSYNRPSAEDIDDWASKLGVTGWTWSELLPYFKRSEGLEPVKPNTSCPVEPKVHGTD GPIHTSIGPWQPPIEESILAAFDETSRLQRPVEPYDGTHLGFYRSLFTLDRTSKPVRS YAASGYLAPIMGRHNLKILENAQVCRILLSDAPDGTPTAEGIELQHMEARFTVSAKKE VILSAGSIQSPQLLELSGIGDPSVLKSAGIACRVAITDVGNNLQEHTMSAVSYELADG IISVDSLLKDPALLQEHQRLYAENHSGALSGSVSLMGFTQYSLHSTETQVNDTVARIF DAPSIGSERFQQNANYQRKQQEAIAGRMRNSHSADIQFIGTPAYFNTTAGYRNCAKIA SGSPAGYNACYSIVVSNMYPLSRGSVHAWTSNPIDAPEIDPGFLRHPVDVDVLSAGIV FADRVFQSTSLIGKIGRRVSPPADLNLSNMDETRQFIRNHIVSYHHALGTCAMGQVVD EKLRVKGVRSLRVVDASVMPMQVSAAIMATVYAIAEKASDIIKEDYGFSTRASARL PEX2_100540 MNRLISLSTYLSLVYCAIQPAKDKDIFHPSNYNSEDIITRDVAV IGGGSSGTYGAINLHRRGQSVVVVEKEAVLGGHTNTYTVPATGTTIDYGVQAFWNISV TRDYLSYLGVPTTEYTGYKRTDVYADFQTGQQLSVTSSSNYTAYRAQLQKYPYLEYSW DLPDPVPGELLLPFAEFLEEYQLEDVGYNVYFGAQGFSNVLDQLTANVFKIFDGSFID ALSGGDVRGLHSNGEIYTKASAVLGTDILFSSQVIAANRSVNGTGVRLVVQTPTGNKL IVASKLLVSIPPLLGNMKPFDLTDSEFSLFSRWNYSGYYTMLVNNTGLPSGYRFNNAN AVGLCNIPKLPAPYQVTETDVPGIFYVWYGSPYAMEEAAVKDDVIAVIRRLQGTVHAN VSAVPHFLAFNSHTPFKLVVDADSIRDGFYRDLEGLQGYRSTWYTGAAVISHSSAILW NFTDALLPGMIAA PEX2_100550 MILPYEDERASQTPSPPQIAYLSHLNSRQSDSNGSMSAPSRQKK NFSRHAWTHDDVNVSRRLSDIGEELSPARSEGFGDSDEQDLASSPLLHDHDDKNRDNA AWSSSNSTISAGSRRASASRDVPEAHTGRDESPVHPEVARAQATAVVGAAGGSSVPAS NAVASAAAEGKGPGEEFSSAILSSEAERILENAKKRLNLMENNLTRARSTTPRTAASP SPSNSGYIQPMGMHQPVGGLYRSIARTDPKSSSLRRQSLIASQDTTNNRHSRVHSETN IPSDSSFANDNKRVSRSVSAMGASTSSSLHTDNRSFQYAPTRAYLTHRSSVSSTQSPI HMNDQGQQDQAAQSPVSTEDSSPDSPHGLGISSEEGSKSSPDGFSPVYSSFGPPSRAQ SQLQVRDLQYQMKGLHIKISSLKVKTQEDNLRRRSLQSLRTPSPLTAADHWYANALEL RDGQSSRGSNPRRDVSSENTRDVSNDAAADDRRRYDEHAAKNTPKHAGNRQGYEPADY ADDQSVAETMYEDAEEGDFDEDIDREALDEILREPLDDDFENDMEAFPDVPSHTDATP HEMREDAFDYEHFILHSALGNYTQQLRRVSNSSNGSVETTRPTYARHSRTNSNMSVST VATFATANEGERPDDEDDVDSVMYWDRRFNHELRHGHSHSHSQSHGHSHQPSPIQEVD IEGDRSETPRGPRYNNGDNTPVDPNNLSPQKLTGRSSSATAGSATPTSLVSSLVSTVR AASITPSVGGINDDDTQMLEALFASLGNVCMDLQAITTSADPDVKAARVLRRRLDAAR RVLEGELDA PEX2_100560 MAGLKRAVDGSEERNGKRSKTKEGSASKSKSVKSSSSSKKDSKK DSKSSKSDKKPSKKVQKEESEDDDDFDIDDVSDEDIDALDALSDDAEMPDVSEEEKPK SDKKSDADDEEQIEAPRNPNPNPNNNNSRESHIKQKALQQERKAAKPNADTVARSKKL WEQLRRKSHVPLEQRKKLIKELFEIITNRVRDFVFKHDSVRVIQTALKYGNLEQRKLI AHELKGTYKELAQSRYAKFLVGKLIVHGDDDTRDLIIPEFYGHVRRLIRHPEGSWILD DIYRTVATKAQKNRLLREWYGPEFVIFQDENDTTSDLAKIIEAHPEKRGPIMNYLREL INQLVQKKSTGFTMLHDAMLQYFLCTKPGSNEANEFIELLKGDEEGDLVKNLAFTKSG SRLMCLTLAYSNAKDRKLLLRFFRDTVKAMAGDVYGHQVILAAYEVIDDTKLSAKSFF PELLNQNEAEEARHEELCYQVNDLTARIAVLYPFAGERVKWLLPEADQTVLEEVREVR KETSKKDPAMRRLELVKAASPTLLEFIAARASTLLETTFGCQFLSEVLFEADGDKTAA LAAVAEAAKSHTEARDTAHAGRLLKSLVQGGRFNPASKSIEKVEPALNFHDVLYEQIK DEVMDWATGANPFVVVALTESEDFEKKSELLKTLKKNKKALEQASALVVEKDGKKKPS PASSGAKLLLSKI PEX2_100570 MPPFRNFLGRKSQPNGDSAVLDEDHLTPNQRPAPIPIRSSQDEP TEYKLSVVNDSGVYLPPSPPERSSFWRRYPGSNKPVNHRDLVDENEPFSISRESFDSY RRSFDISARSPIIHPDAGPSRTSLDSRFSRLTPSGTHSNSLTRSEIMEEEAFEEVGLN DEAKPKKKGFLSRFGDSSNDAQPPGPKSSTSSFGFHIPGRKRGQSAGASELASVKVAP SAPAPTDET PEX2_100580 MGRKPNQLILEFFHRGPKLEDASNRYQHTCKACGEVFPKGRIDS LTNHLVKKCQAIPLRDRQRVLLRLHELPDLTDGDSNKDSPSGGKGKSADGGFPNRQNF DGLNVLAEASRQVGASDVTKRGPGYTQSVTAGGKTVIVDPALEAEGFQGHSQGPDSKM EGTANVEGTPQSSNAPSIPALPSHTPGDHPASMSPPLGDTSMSPESTSNARQSQLSMI AASANEMVPQGMSIDSDNLSSDMKLGQWNQQLSTHEQLLFDSLQEHDPSLTAATQRAA SYPRPIAMNPNTQAKGFVNEFGNSTKPMKPKVRGRFTAERRREVQDVRKKGACLRCRM LKKPCSGDTPCTTCASVESARLWKHPCLRTRLSDEFELYNANLNSTLAYHDTNSIKNQ IKFEHYSGRIEVTHFEESNSFMTFSGLQGHRTSVSALDPQLQALGDDQFSGPSQEVYL LDADSDDIPAKLEMYIKKNASYFYERETSPFMKPTLLLAAELSQGKKDILLERVLELW VATHILVDTELTWKTYYNPTLPPNSLHSLSQPSDEGRLPIEEVSDPESYGLLCSQLRA AMEKRASQLSKFVINDLERRLLQRQKCGWFDTFIVAIILLNCVERTCWLFRSWDNENF SQRWPLDKRPPYYFNQSDRFADILEMLLRMRHIPPKATFRPDNGILKAVDGSDEHAVR WFDMIQLTPYFIEERKNAVFDPSDSRSLDCHHSANLLEPNNAT PEX2_100590 MKDLFPTKKSFFAALDRLDDSDDQDEEDKRWGSLFYADKELDKP NPNKVALSLERIPFPGANSDPISSCDQSKRSSPVAVPKPTPAKRPRTTGTMPTIKSGW PPQKKRKTNSAKIIPDDQQIFKGLIFFFFPNNDISPFRRLRIQRAQDYGARWSRNWAT DITHVIMDKGLLSSDLLGYLKLEFLPTSVALVNESYPSECIQFRSVLDTSHLRFRVNG TPTATEKDKSPVAEPAPDSLPLKQSRREKNQSPERCLSPVEEPTPNPLPNDFPETVPE SILNTVISPPAHEAATEEARETGNRERDALDDIIDEAKATSHLPLDPVEFPIDESAAD GSDIETSSSGEESSLKTRKTSTGEGKSKGQDKYDWTKGFACMQKFDPDTKLNNPNSRT IEILQQMLEYYTQTADQWRVMAYRKAINALRKQPNKIATRAQARAIPGIGERLADKIE EIVLTNRLRRLENANHTPEDLIIKEFLGVYGAGLPQASKWVAQGYRSLKDLLERAPLT KQQRIGVERHSDFAQRIPRKEVEAHGAIVRKAVQAVDRDMQVIIGGSYRRGALTCGDV DCLITKPGTSLEQIRTIMLGLVVPRLFNCGFLQASLAISSHQDGSKWHGASALPGTNL WRRIDLLFVPDAEIGAALIYFTGNDIFNRSMRLLARKKGMCLNQKGLYANVLRNQQVK LNGGRLIEGRDERRIFAVLGVPWRPPEHRIC PEX2_100600 MWKSAIPTLVADLPLLQTGRCLSCQFRNPATLFQLRTKPLSLRQ YSSKAEKPIDTKEAAPVKRNIRNEAHRLSTAIEFKQNQPQDGPQPGDADFVPPSLDRP IGSPQAPLEGQNTGVDSRSMRQRRDDFTNYDRHIKRRKELTKQVAKPYFREWSNLRFA EGKTFVSNPRLFKADRALYFPNMHGVTLASPKDPQNTTTQLRGSISVVNLFSSMWAES QVATFTGPEQNPGLVEAMASGGRLVQRIDINLEENRLKAFLVKKFMWRMRQKLAKEQH SRYFLVQKGFDQALKETVGMMNSKVGYVYLVDSECRIRWAGSGPAEKPELEGLNAGLL KLIEEKKTILRAQDPSRKY PEX2_100610 MASSTSSGWTQLRQQARSLETQTENLFHTYSQFASITKPPPSPT EEELRLESQLKDLLERRESVIAQLSRLLDSEATLTSSALKQNNVSRHREVLQDHRREL QRLTAAISESRDRANLLSNVRSDISSYRASNPAAAEAEYMLEERGRVENSHSMIDGVL SQAYAINENFGVQSETIANINRRIVGAAGSVPGMNYLIGKIGNKKRRDAIILGCFIGF CFLMLLFFR PEX2_100620 MSSKVKAGQLWGKNKDELATQLEELKLELNQLRVQKISSGASSK TQRIGEVRKSIARVLTVINANQRAQLRLFYKNKKYLPLDLRPKLTREIRRRLTKHERT QTTDKLRKRAIHFPQRKYAVKV PEX2_100630 MPLIMEDGINVDDLFGEPNSLELGLPATSPIKGLAQCLDEMRLL GCCQKIAWSRMGCIASISTDGLRVIVRHLQCRPSDGKWVLGEESSLAPVLEAHNGNQL AHLSWNETGSELAVVDCSGRISIYSISIALNSITGLRQASFDSGDDGNQVVGMMWLNS QRYVHAFHQAAKVNGRWAYSPFRRRPVGPFHPANKGALICVTRVGQIKLIYQNPDSKW AELPAELKNTGYSDRLLTHASMTATQAGILLSTYSACQKMCLYRVHIGWNPSQWEPAQ GKPPGQFPIPTFRLIHCKVDMPSNILNINRGPDHSDQSLPFLNSVYSLTRLEIMPGQF DSPAGSTPNPWILAVLSKPLHAIHDYPDQQGPPSVIVRWHLESATQTLHPKFDEVTSK KSNAQAKPKMELRRLEDVYCDKYIVSVDLVEHGTVLAVSHEDSSITCYDTRTMTVLNG LDDSTTVTCLAQAGFHYPLETSGLHIAFSPNACVAVTLDIDGHMQLRVMEHSFGSTEG LYDENNFSAAIAALTLAFSRGCGVDFNTDDILMVALGQLSPEAQITFISEVYRALPIN CNFTAEQDKLMSHPYIPRCLSLQAGLGFRGRLKRRNLSSAVPWASLQLRHASVLFAYF FQYNKGGQTESHDPDVLRMVLGNTKWTLDFSHFLLNEIFDMADEFEDVFNDPEAFTQK LKNSFSLPLLILLSSMSRAFLRFICRGLRGVHAGFASANPASLIGDSRIYYTEICQTL ESSPVRIDVYEKFLAGVDSAVKHAYQGAGFGDAERPGPEKELLVNARIPPVLTTAVAT LLRQTVPALKSEINRMAIYLGDYSWLGFGNDRRTVLYRKQREVDILKKCPLRPPLPLG NDGRVAPLKKRRCARCCEVSGDTNLPRSLLSFKMIAKLGLLRSCLCGGMWVLETDTGD LTVKTGGANGNGNRTSQT PEX2_100640 MRSSLKPTTVRIKTTSSPTLPSHSVRSNQFTSLQFNHIPTGNPH TFSQNKGCFCCGSPFNSFFFSPTHRALKCTSFIALPTPPNADLIVNFLQSVDFLPTTP LALFYPFSPLSQGLKRH PEX2_100650 MVDFNLDFSSDSELSSVPPSPTLAAQSSPEPAERYPTPSSQEGA EPAEGSARQARPAKRRRNPLPKERTTQYLDLSKSLYEQNDQHHLLVQTLRHQKDIVVI AGAGISTAAGIPDFRSTDGLFKSLQKKHNLKASGKLLFDAAVYQDDALTAPFHEMVRS LSEEVANIKPTAFHKMLARLGIESRLKRLYTQNIDGIETSMGPLATEVPLNVKGSWPV TIQLHGSIEKMVCQKCRYLDNFKPDMFMEADPPACEECTVHDKVRQIGGQRSHGIGRM RPRIVLYNEHNPDEEAITSVMNADIKSRPRVLIVAGTSLKIPGVRRLVKSLCTMIRSR KDGVTMFINNEPPSGKEFDNCFDLIIKGSCDEVAREVNLKSWESEDITPCVYESSPEP ILHSFNSNDVSVVVTPKKRPRADTGLVTPSSSHDEKPTKKPATKATKIKLENPASNGR SIEDIIKKGKPAARKPTSKFITKPAPNPRPKKAATTAASKKRGVPAAPVKKITSFSRV TKTSQSAGTSGTKADGKPMQPVPAGASRNNGPLPKTEQSEKADMLITESLPRPVASS PEX2_100660 MPPRKSTSSVTPADPDDSLLQQSPPAPQTDKPIFATEQQLKARA EAGASVEDYLLPRSLTIRLAKSVLPPNTTIQKDAVLAMQKAATVFVSYLSSHANDATL KRTIAPSDVFNALSELELDSFRGRLEQELEAYMEIKAGKRKPKKVDENGSTQDALGEG PTQADGDIEMVDNPAKRVKRDGDVEAPVGPPAGDDGDETQEEDVEEEEEDDESEDDEE EEEDRAPREDNLEHVEDLDREPGARRGPYDPDAEETDEDEDGPGSQLRDDLGLG PEX2_100670 MVTKSGFGKTSKRVPVRLRHKIEKSAVQKQRKQKKLAKKNPEWR SKLKKDPGIPNLFPNKDKLLHDIEERKRMKAEEQQRIREEARTRKAEGLPAKTGTEAV DIDENDIMDDDMDAEGNDSNPMAALLASARARAVEYEDNQSDDEDMEEDEDDDDEMDE DDEEGGATIDESAPPLVNSKSFSKESSRRQFDKVFKQVTDNADVVLYVLDARDPEGTR SKDIEREIMMADGGNKRLILILNKIDLVPPPVLKAWLLHLRRSFPTLPLKASSGTANA HSFDHKQLTVKGTSDTLFRALKSYAGAKQLKRAISVGVIGYPNVGKSSVINALTARLN KGSSNACPTGAEAGVTTSLRQVKLDSKLKLIDSPGIVFPNSGDKSSKKNKKQEDQARL ILLNAVPPKQIEDPIPAVNLLMKRLSSSENLLAKMLELYGITALFPSNGDKTTDFLVQ VARKRGRLGKRGVPNIESAAMTVINDWRDGRIQGWANAPVLPVVSADAPAGDIEPGVD TTKVVTEWAKEFSIEGLWGNGQGDDEEMAE PEX2_100680 MTLTTGSFSAPAEVHTFDGLLSDFDGTIVDSTDAIVKHWHAIGQ ELGVDPKTILATSHGRRSIDVMGLYDQTKANWDYVNEIEGRIPKEYGSDAVEIPGGRD LLAALETSGARWGVVTSGTRPLVDGWLEVLSLPRPKNLVTAMDVPLGKPDPRCYLLGR KQLGIEESTSIVVLEDAPSGIQAGKAAGFKVIALKTTHSLQKLQEAGADWIVEDLTSI SVKAVVDGQVQIEIRNAYQ PEX2_100690 MQGGYNIVCIPREEVTFECRLLNIITIDRTKKSDMGERFFFQSG GVPREPITLYSV PEX2_100700 MSYIHPSWNYQGHQGIPMDQHMAYDPSMVPPPMMHHPIDGYMYP HPPMEMIDYYHQPIMDYDEYTENLSRPRLTKEQVETLEAQFQAHPKPSSNVKRQLAAQ TNLSLPRVANWFQNRRAKAKQQKRQEEFEKMTKAKAEAEEAARRKSETLDQMSGSRKG SIAKEESEKSATPKQTPTSTSSGHAKTDSTSSRSKHHKTKSESAREATFASLQRALNA ACAARDRFGRRINPRSKNESVPEEDDDEEEALSPGSMPPPKTATPANDNGSLANINSS FSGWSGIKEGPASWGSGEHSENVGYSTTEQTSYSACHQSMAEMSNPSHSVQHNLDVYS NHLPPHREEWSEDRESRHDNLVYGNMQYPMSMQAPDISVTRRESSDALTASLEGIGIC TTGQSGLSQSVDRVEANCWKEPGKELDLAARRKRPRPAAIGTSGTRPLVNSTSMSSLS PTARMPNSGAGNSMRQSKSAQSLNSRYAGVRKASAAQRSPLNFTFADSGSIKKAEKML RPSLSTTSLAPPTPLTPQDLQHFMPASPTESNYCLSAHSTAHFFPTSQPMQVNMASPP ATPLDIYSPFPYQNVAPPMSAPAHVSSFPEYITCDSVPMPAHSWADTASISSPEYPAG LQVPHSATVSPMGYNTTIDHNGQAFGMESVSGSPSLIYSIEDTDISGSAELAERKRTE FMMHEFPEHDPHFGGHHLPSMQKPKAYTFANNTTPSNYPS PEX2_100710 MRLSTLGSALVGLAVAQASTINYSAVTGYFLQDEESTDASTFDY TAENFGLIDRAYPADKDYKKNESPTQWERFYNQVTNLNEHSPPHIEYKVLFLGRHGEG WHNAAETYYGTPAWNCYWSELSGNSTASWADAALTPGGVTQALIANEFWQKEINEQRI HTPDQYYVSPLTRTLQTANITFGDLDLPKHSAKFKPTIKELFREGISIHTCDHRRSRS YIHDLFPHWSIEHGFTEKDELWNGVTAETSGAQDVRSAQALGQVFFTLSKKKSFVSIT SHSGEISSILRVIGHRTFRLSTGAVIPVLVKAERIGGKAPATSSVPWEVSPHCTEPPV TSATACVCPSSAAPVTTPLATGF PEX2_100720 MNPSQAQSPPSSRLSAMALSSSPSVQLAAMALNSSPSERLAAMA LSSPPVQKPSRGVPTEFSYSHTSLFDEASPPGTPHLAESPSPTPSLPNTPSPLDRRLS NNLNHPPSRTQHDDARSYQPSGAFRYFAREPSLPYEAWETVFYAMLTAQSCEEFDFIY GDIYEHPATKKTLSNLRLVNRIWNRIAAPLLFRHIQAVVGYSAGRPLDSILKISESPH ALYVRDVRFGFVGSWLPGLDYDRYIDDLAAGALPILISRFQNIQTLRLQTPTVVDTFS EEGQLKPPMLAKLTNSLVHTLRFVPVPRLRCLHMSMPTTAEFARFFESNSYARNFTSV FGQIEELHITINDSTGPDGRRDPKWPRSVIKTKYPLDRFSPYLVQLISYAERIQVLSL DARTWFDVSDLEAESLTKLRSFRLEGVRISDETLRKIFIQARNTLLRIELVHVRLMAG TWEDVFTHQGLPPWKLTWLRVDGCGYSMTGTSTHGDRW PEX2_100730 MNGMDIIDGSGTIDPANLSNSVSVALPAHSHAIASPRGVKRSRT PDHMGNGHVERDQDDEEQSRRKRGRPPKTPRPSSSEQTIANTSIQTPQMQARALSQAN AGSPSLASPDSKNTPTKTLVKALPTVRDHTSDQLNEEGDEYIPKEFDEAGETKADPMG YPQGGREYKCRTFRVPLRGSKLFMLATECARVLNYRDSYLLFNKNRSLHKIIASQIEK DDLIQQDILPYSYRSRQIAIVSARSMFRQFGSRVIVNGRRVRDDYWETKARKQGFTED DLAGEKRPGAAKARDGSVSEPATNLLPALPHNDVVYSNSIEPLPHGLSLDTSESSMSI APLPMIHMSTTDDPRLRDYTAMPRARQELTGQPYHDRTQTSSAADLMNQAQHTSDFNK MLSIQRNFRQKGLDEFYSKPREAPVLETQSSTALDPGLSVSQPVQVSQVPSAGMPNPA HTQHMLPQQVPMQPNPMMGGQQNYAQQAHPQSVGQSPLRMPPNMQPNLMQQRSNPSMG GGMGQAPPYGYSPQQQQIWGQPPPQPQPSPLPSSAHQGVGMSQYGQQMSAAPQQSPSP LGHHPQQQQQQQHHHQSPRNQQRQSIPQMPQQYSQMQHPQAAQAQGMQGMGYPGTNPA GYPGMARAMYPPNQAHGGQPFMGGNPQQAGLAMNMAGNGMPGWPTGPGGPMQPGQPQP GQSGSPLGGGWSY PEX2_100740 MNTGTTQRAPKTPKPTASVPIVQNKRWPPMANRNALPYKLTHLS KEKLAREATAPDPDLRRCVAHFRLHCGSVSWTENDMKSRISSFDFEDTDEEDDVDEIN TELPVLKNRAAANETPVEITEQISIKTEPVAVAATPTSPTSPETSSEHGFLEKGRNCL EATSKHLWTGGSCMVSPIAG PEX2_100750 MKLVSSSLIFFFVVVQAYEDSLGLIKGYTSLSTANFDIKLVKDS QVLASLKPAGESFDFLPFDDITYRAANGQYHNGDITYRYRLSGSTQWIAGDSSKARKS LTSAKSDALAAAEMTQTLPTSPINILRKWIDVDGDLSLTFTLTNKGNQTLEIGSLGFP TEFNSIFSNRTAEDMVAKCSLTDPYIGMDAGYLQVNPTSGTGASLIVTPLLNTSTPLE AWRNLDEPNIDPLYYGSQTFEGFYEWQTHTKAYAENEWSGAIPWNKPSSRLVSPGESV TYGLRFTVVKNGVRGIQNALKATNTPAMLGIPGYVVPVDLTARLYVFHSKISDVVSED NSFKITRGTNGTLSLTPTGLVWGRTRVTIKYEDGKAQTIHYFITDSAPATINKLGEFT TTSMWFNNTNDPFHRAPSVMTWDHSTQAQVLQEPRVWISGLSDEGGVTYLASAMKQSG LANATEVSKLEQFASNVLSKTIQNSDFTVRKSIFFYEPAQVPGYIYNRALDWGNWWSW NKDASYATDRAYDYIHVIGAYWALYRAGRDNGSLLKIHTWQWYLAQAYNTTVTCFATD SGGDGLVGYSRLGLMGETVVGELLSDLRRERWTAEADSVEAAMKLRAEAWDTQDVPFG SEMAWDSTGQEGVYYWSKYFNLTNTATKTVNSILGYMPTVPHWGWNGNARRYWDFIYG GKLQRIERMIHHYGSSLNALPLLSQFRENPTDIHLLRVGYGGITGPLSNIRKDGSMYN AFHSFPDTLQGDDYSGDYGPNFLGIMLGSAVYVVDDPDVGLTAYGGNVATNGNTVTVQ PRDAVRRRVYVAQMGVYVTVSTGLIEEVSFNISLPTSLQLRIVPGSSDVSSVIVWVET PGAEDDYAVTGGQFQHARGGWNVKFVSGEANIVVSKL PEX2_100760 MSKSGIKLLLTTLTAVIGVMHKRSNAPEKSLVPNAELQATKRNA EQLLKDSEELHDRQHRLKQESRPDRQEQSSDPQQVFKDAATENTEHLVQSNLLSEKPW FQSNDASVVPLYISEATCAAFATRLCQSLKGTNTPTPHLPRSRYTDESAISSLLHIEV QWPGLVSAQLLVKTALGHIIPSFHLALKKDTLDMLHGVYQRGDFDNPSMKCKYFALFA IAQVFSTPHDLSDTSHVPGLAYFAKAWSLIQIVPERPSMIHIESLLLIAFFCQFLNRF HSAYLLIGNALRLGLSLGLNYNVPQSQNLHPVAREHRIRIWWTIYVLDRFWGSKSGFP VQIHNEDIHVDPPSISASETYHDQFSDGAYQVAAIELAKIIGDTTGEIYCRKISAETF LHREQRLLTQLKQWVRSLPEHLRLSTEKSNSKHTVQMHLQFNFCVILAIRPVLLHVLS LKMKDQTNQSMDSISPILVTLSEACIHAARHSLALCVNEWTGGSLAIFGYSFPAFLFS AALILVISSLLPLGDPSDLTSAETATEILRNLRLSDSLASRDLYERMQRVRQYLHDSS LYATSALSHGAVDNVANALPMTEELDSISSRPYQSSNNLQSCIGPLEDLGHPLFESNV PYLTTEMALHQPTMLDFLTQSHVDFGLLDPVEMFNDFDLAFSMSAN PEX2_100770 MHILVYDNTCPSRTGARQTIQEGSLSVHPIFQSEHSSFGAEVDG VDWNKPISADIVTQLIALQNKYAVLIFRNTGLDNSRHIAFSQQLGDELEVNPFFYGRE NDRLGEPLLFDVANIELDGSLVKMNSRRYHHSLGNALWHTDSTYHQHRSKYSILLSHG NPVEGGSWTHFADTRRAYSDLSQAKKDEIENFVVEHDLWHSRKLASPAVFGNPLPHEL AAKPPAYHRLVQTAPDGRKTLYLAAHAKRIVGKDIEESQRLIWELIEHCTQSKYVFSM EWLSGGDMVWWDNRQSMHRANPYTATMTARDVRRSTILDDGPLALGVSTAELD PEX2_100780 MTSVLITAGPYKFRARFESSAPKTVALFRTLLPYRQKLIHVRWS GEGLWIPLGETDFGVPFENHTAHPAPGQILLYPGGISETEFLFCYGGVAFASKMGPLA ANHFLTIIEGNENLKALGELVLWKGAQDILFELDDADRHGSAKL PEX2_100790 MLMSSDGSIVGHPRSHDLTKLLDKAVSRLLLRPTPSDVTLDSIC VLLLYAQWMPCSKGDDEYENSERQSTHHEPKTKSRYNEISAWVVLGLAERYSVLLGLE QSATSLFKTPNKVPSAEDVKRLRVWYNLLTCNFNLMLTSGLPASIDPSPSVQVAWRFV SHELMQSPGDLRVRGLVELVGIVHIAMSSCGDISGRQLQPSCLERLNIDLDDWEKSWF CHLQKTESHYSHLPFTSARWYRLSLNCASLAPLLSSPRAHQRSTDLSESHFRQALSVS LTAAAQIILAQSSFRADIVFSLDSQELSSFPDGAYNVDRASVSRLYYAVDSTWISHTF AIIFLCLCYLRGIIDENLQICSQDSSQEKGKTFPRLPSSSWSVLARLLRLAIGIFDSV CPPTTFHFAHDFQAIVHYAVALVLVSEKDKQHIEENEIDDMAIQSLLDLMNDSGVDWA GNLFGESLDFPELNMDGMLS PEX2_100800 MAPNFDLVVINATIVTASDISKCCIGIKDGKIQSLANSFTDDEL TGAEIIDAEGAYVMPGGVDAHVHLCQDLKTGPHGLGGECSDNFETGSRSAVAGGTTTI ITFATQTRAEEDRSLLKVAERYNARAEETGSYVDYGFHIIIVRNDADILENELPVLIK DWGISSCKLFLTYATQRLTDSQMLDVMFAARKNSITTMIHAENGDMIEWLTEKLESKG MVAPYYHALSRPPIVEGEATNRAIALAQLIQNPILFVHVGSVLGAANVRRAQTMGLPV YAETCPQYFHLTWDDLKRFHSPTCFENSKMICSPPPPPTKADHEELFVGLSNGTFTIY SSDHCPFRYDHPHGKPSGVLEHEASMAGEKPCSGEELQDLLERKEGAFRFIPNGIPGV ETRLPLLYTGALASGRITPQRFVELTSTNPAKLYGLYPKKGALMPGSDADFVIWHPEK TFSPFNLTNSMLHHNVDYTPYEGMRFTNWPRYTILRGKVMWANGKITGKVRDGEADRD ARSVADWLYE PEX2_100810 MQDEKTKEPAYNANEVPVSMGTAQEIGSNHGLQRQLRPRHLQMI AIGGVIGTGLFLGTASDLKNGGPAGLLIGYCIMASLLYSVMVALGEMVSQFPIPGGQF ALAGRFTSPELGFAMGILFWYNYIVVLPAEISAAAVLVTFWTPAGQADSTCSTGICNN AMWVGLMLIVVFAINYAGTRVFGEMEFWFCSIKVVTIIGLIITGVIISAGGGPNHEAI GFKFWHETGGFVQYEGIAGSKGRFLGFFSVLINAAFAFIGSEITAIAAAETANPQKNV PRAIKSVWIRLVLFYICSAFLIGLLVSPSDPSLSLASTAAKSPFVIAIQNSGISVLPS IINAALLTSAWSAGTADLFVSSRTLYGLATRGHAPKIFLKTREDGFPWVCFLFCGAFS FLSFMAAAHGEAGKVFGYFANMTAMCGMISWTGILWTSIRWHKGLKAQGIDRKTLPYM APLQPYLSYYGISICIMVIIFGGFGSFMPSFDASSFVTTYFPIPFFAVLFFGYKFWNK SKMVDYSEMDFVTGSSIEVIEKEGTQNLWQKISDRI PEX2_100820 MVTRGMVCAGSLGEAVHLDFDERLSLIRFIRETLDGEGLQSTPI VAGVGGLSTRETISLSKAAADAGADAGMVILPAYYAASLNTDMDQIIQYYVDICEASP IPLLLYNFPSNAGGQDMSSEVISAIMKKTTNLCGVKLTCGGSIGKLIRLQALISEDTT INETRKFPFILLDGLIADLTPWMQCGGHGTVSGIPNFVPVASMRLWSLLNLSSPTEAE LKEAKRIQGILARADVAAVPGGIRTMKYALNKLHGYGIAPRKPLLPLGEAEGDEFMKA LDEAMRLESQEVGTTAERESQEVAAEIK PEX2_100830 MSEQHHQKAPNHSRPLLYVPNTLWTRLFATTVVIETVLTVGIES WILMSLWDDLDNDSNANGKMRLQSFLGLYIFALLYELALSYDALRRRNTIQLVGLCIC NQGLFTFGLLQMREIKDTISSLTNKELGDRLQELYKIELILVPVFLGVGTMCMSFFTW KLRGEFSWSIYKNISADLQMKRRYFVYQVYIALLKFDFFFVFGSQLQILLVVSQAQDF DFIVNASVIPVTIMTLVLSAQFCKREKTKSLLLMMFFMLLIIGFLVLIILRIHSPSDN TDFSSFRVSLTLFAGVSALLMIITVVSSVMCILNFNKGLKAHVYHPKKRKSSVSLELQ SQETPTRFLLN PEX2_100840 MASRIVPNILDPLSSSALNKLHEPTPPLIKKRKRGDQEELNDAA GQLATSSIVIRAHSASLSDEPLILEPITALPRTRLPLSWLDDTPASRSDEQPGGLFTA DIPTLEIDLSAKAEPTVLAVRLMPNGGLYIIERVKRGIYSLSKLARWVYEGNLVVAAK GWHGTDAADVDSVAIDETGAVPDGFDWWQVAQIDEPLSDVEMSEQSTGLDIAVVFGPS ESDLGNSETSFVGVVEHRSHSLAPSKSFDAAEGSSFLLPESQGLGDITTIMDIDGVDS NVVDVQQSPEELLDGMRDHYMQALYVSKTSVAYFAKGPLTRCRTAFQARDSEQPHGST ELIESYREAILATKKMDLKYRETLPSAIRDAVLALSDDGAKSKKRKSKKKKMGKNGLY PEEEGFIHRWWKDRILNESSAQESSREAESKKQISDLRLRETQLQILLILEVMVLEMT IASAEKNSTNKEKDVNGEQNTSKKAKPKKPTDLNVLLELHLDRMCIWHAVTMEETSAA DTAKASSFSSSHLSGKKVESDAVRDFCTEVIIPFYAARLPDKCKLITRKFGVSGAISP AAKKTQSSSKSHRVEPGAEVKRQQPAPKPRRTLQRVLTDDKAAASHARHPGLTRSNTA PSQHNAKRDIEPLLPTVLSGSVRGGIQKAKRTENREVDLNAVARQHETKLRKVQMLAN QKKELDAAIHALRKPNRELVSKDLADDASKRVISGGGSSRKSRNPVRNPFGEGVQVMA TPRGNRRKDAVGGLPPLPRSLAPSRSFAGVENSPFGESPTTIPSSSRRAISFSGADSD PFNSHDNLRDGRSPRSSQPDGAIQETPTRPSSKLFQSDTIAARRPSSSTGKGLFRVPN LPAPRSSTQTMVPGSPIHSRPNNISTFTDNLSTSSRQFSQSLNHARTSAIMETPPRRQ APQNISTPTAVPFSLSLDAMVTDSPRAHSPSAVMGTPVKGAAAVPVTPEKSQSKSIYE QLGWDDEMEF PEX2_100850 MGSVPNSGTFLFTSESVGEGHPDKIADQVSDAILDACLTEDPLS KVACETATKTGMIMVFGEITTKAHLDYQKIIRGAIKDIGYDASEKGFDYKTCNVLVAI EQQSPDIAQGLHYEEALEKLGAGDQGIMFGYATDETPELLPLTVILSHKLNAAMKAAR NDGSIPWLRPDTKTQVTIEYAHDNGAVKPLRVDTVVVSAQHSDDVSTEELRAVIKEKI VRKVIPAELLDDRTVYHIQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSG KDYSKVDRSAAYVARWIAKSLVNAKLARRALVQLSYAIGVAEPLSIFVESYGTSSKTS DELVQIIRDNFDLRPGVIVKDLDLAKPIYYQTAKNGHFTNQEFSWESPRALKF PEX2_100860 MSKFGVIVMGPAGAGKTTFSNAIIQHLQTTRRSCFYVNLDPAAE TFAYEPDLDIRDLITLEDVMEEMGLGPNGGLIYCFEFLLQNLEFLSEALEPLSEEYLI IFDMPGQIELYTHIPLLPTLTTFLSRQGPLNINMCAAYLLESTFVIDKAKFFAGTLSA MSAMLMMEMPHVNILSKMDQVRDMVTRRELKRFTNVDVQLLQEKEEDDLTASANPMAA DSLMSGGSFKQLNRAVGQLIDDFSMVSFLQLDVSDEDSVAAIVSHIDDAIQYHEAQEP REPKDAVEVNYED PEX2_100870 MVLQPYLIEPLSSFLASYSSSMPDLLSIVLLGLIIIVSLKILEY AYRVVMFWVTLAFRLAFWGSILGLGWYVYSVGVENAGRDLGWLCGVIYGFVGDFQEKS KIAAAAYAGTPK PEX2_100880 MLFRFSTVWKTNRVPLSQLPRYSRGQHPTQPLHIDTAASRHGSA SYLRSVLEDDDDEDESPDYFDEVYEHQFMNGTPLHSRLDSEPFIPVLNSPPPTKRLSR RATSMALHAPMNRPPLHIDVDPRSVSMSMGAGDPRYSKAPGNKISSFFGWKAANNNAA TSPGGESSSTEISDSGRSAMPSPMPPLANVPFKPGSPYDSKTNGYGLPARTSLGASSL KENIFMSKMADIENELREISSELAGSIRREMDLEDLVERLQLEGPDINRRTSDYFSDS GTSSVRYASDAGKSEDIEKIRRAAEQERAQLKVELSQKLQDERSQRLASESHVQILES QVQQLRRERTDLSDLSSKTKELETALENTKRKLLEERQSKDNFEDLLTAMRVELEQLR NDRDQLRDDNRLLEEIEALKIENASLAQLQGGRFASIAEEGSSPRNSAFGVTRSSSVA RKPSGLARSGSLSRSNSVNNNSGGKSPETRESLVDKVHDIEVQRDALHRTLRSLLDRQ AYQAREYEKRTRLLEMELVQAQQSGPPRKLGYERDVRNLREEVNHLRMRAEDALDSKW QCEKNLAGLKMDLDRAEQETSSLRALLLEDTAAGAELMTGQEGFAEVVTTSSSLQSAF QQLQADQAEAEADVADSEQLASSLLRTEALGNKVHYQLQTNTSLHARLAAAIDKGDKD QQISVERINILQNHMRELEEDLLVAQNHSEEEMSKHEEEIRLLKESQHAQLSRMMNGS RNLVGLSPRPPSAPFDSRSPRLDQTTSGQGVPLTEVVQAGILERRVKDLEKLLRDADM EMEQVVGRMNRTQLDVAQLQTDRDDALRQTRQLQTEIQAERDALRGLINAL PEX2_100890 MDMDNVARPAINIWTFFALAALDIAVNAAAGPAAVATAAHVNGS PLTARAMQIGALAGVTKSGVLAFVEFAGFAGLPTPAWILLTLVASGFGVCVLVTAEVS NLVLGETPSALLIAGVVAAIPLAGECIGIYESAGGLYGSKPQTQLCIMGFDALGGYVF ARMAHNGGYDVCPYNVAAAAGAVYGVITGFFHVILGCVAGFTFYESTNGNYEIRNAFG TARGYNPNWQQNIGHGV PEX2_100900 MNSTACVIEANPDVTGVGLPTFKKRAHQLNHHPQIRISIYALCL GGSIVSYLLRVFAPGEDQEEFSRGVSSALGLQGLALLCTAIYQTVRGDLTLFHAICVV HLLAILGMDMVSKGRYAGLGPWRLYFFSAIQILALAAFLALNVYVWVTAPQFGSQPQC NKDTVYVVFGVSIKATSPVFRYIILGTLGAVVAGYALTFTCLLPCLCASVAYRRRHPD AGNRIEGQKRGWINWFVEVNHPVYQDRLEPPERLHGQALLNFTLNKVAYCSFCIYLIV SLEQTISRNDLDPEEKGWTFGQVIAIFLLLGVANELLNVLLASWDRRQAESSQQLVQF RPGTENSVHSSSS PEX2_100910 MAQNSQTQKPKWGVGSFFQQAVAGVESRLDHILMDEEVNQTSSV AKPTETQGGEAPPTKAPVASVSRSSSTARRNDRLQERLARAMVKQNASNLSSQSPQSR VSSPPASPVPSNGARSSMDIDSSVASVNSAAEDPKISHPNDDSNAAILASPRISYDSG VSPRVSTDISVADSIPRTSVDVAQSSTDSAKASQEVSDSQRNSILSDIAKGDSPRVSV ESPSEVPEENENSDIARLQAERKAAESQWQEEMHGYIERIDALQSKLKYLAKDAAESA KNAAASATPGSVQKQLLEKDEKIALLLEEGQKLSKSEMDHRTVIKKLRQQLTENTKSQ TEMKRKTEKLERDLVNSEARAKRAEAAEKRATESLSSQTKSTKDLEAVTNERNALSQT VQDIKSQLSRAVSRAENAETKANSDALELEKQRATELDEELCNLKIERDISEERSKRE IIELKEKIEQEKERARMLEAELKSEQSVLESKMESLRSRAEEASSGATGETQAKVLRQ IENLQTQYAAASENWHTLEGSLLSRLASVEKERDDAGRREIDLRKKIREMNHKLKNFE EELDNAKEAEHDLDSRLEERMEELQKLQQKLEKAAEDLVSTQKDMAEQKKICDATWAQ KLEEERTKWREQVNSPSQLRGVSPVASLRRSSTLEAMTPGMSDFRPPSRRSSTMAFTS PEIGTPPRQNSYPASFTQATLSPPHINTNPSILAAPSISFEPEEYFEDSGTPVTPSAY GGTQAPPSRGINDIISESTVGAGPSVQLVERMSATVRRLESERAGSKDELVRVTTQRD EARQQVVDLMRELEEKQLSDSRVQELEAELEDLDQRYQTTLEMLGEKSEQVDELNADI ADLKKIYRELVDSTMK PEX2_100920 MSLEPPTYLSSLQNNIRARPIPWEGAVRAGNITDDHLKKIKAVD KVRKDQRRQTIEGDLAGYTDLLAGGAQGKSVLDSASRRTDIVQYILVLASDLIQDVPS LANALVSHPEPYKPFLPFLQHSTNAEDPIPLLTSTFLTALVSHSLVASSKPAPRDNEA LPQLYTYLSTLTKNQDSGLQDIGVQGFSELLRTSRAREIFWAQRQETLAPLIDTLRAA AGAKDNVSNASTLGSSTRSVEPGLAGGVGLQLLYRVLLVLWQLAFEGALVGEELQESY EIIQLYIHLLRLSPKEKTTRLLVATLYSLCSSNRTTLLPIAVFLRLPALLTNLAGRHL TDPDLLEDLSALSSMLEEYTKTQTTFDEYAAEVQTGHLRWSPPHKNPTFWKENARRIV EESNGALPKKLAEILSKSWDNDKQVLAIACSDVGHLVKEVPERRGQLERLGLKTRVME LMVDQDESVRWESLHAWGGRAFSRSRFGACVGTGGMQRLEYSPGEFIYTTKTAVQSAS ARTGSDLTLSQPVDPFSSLSSSQKALLHHFINDASQITACHSGMQQDICRMLIPMALQ TPSLLYATTALSAIHIQALHNQSESVKSAPDIARLMALSLEHFRTELQNPSSKDPEAL VATARTLCLAEIHSGAINPNSWRAHIDGARALMRASDAAGEDSLRSTSGFRRYLDRWY WSIVSLTALTGNGPPIGDISDFASSDLMGLGGSPDYLDDYWGFSVDLAAVFRQIGAAA WRSHELEKATQGFVAGEIDSSIEDDAASLEFAIRQLMNRDSSSQPSFYPRAAEGLSAE SAQQLLLCNEAFQHSALIQIHRRLRKTPTTSSEVQQSVQRILECTAQIGPSSGLSPWV MLTTPIFIAGCEARGQDRDTVRQLLSSLHDTIRVPNVLQSLRFLEQYWSNQLSEEEDW SHFLERMQFDFIPY PEX2_100930 MQSVIRDAPFGQIVRLLTNNKYFQYPEEKPDFKLPDTWLQLLNS SDESNEKKIIQQDSTRSHEHSEPLSRASTQSTMQFTEARLEADEQHEIEKVKSIPIAP KKTKDGSILVDWYYTDDSENPHNWSNRKRALTTIMICLYTFVVYTTSAIYTSSTEGIM KEFGVSTLIATLGLSLYVLGYGIGPLVFSPLSEIPVIGRNPVYIVTMFLFVIISIPTA LVGNFPGLMVLRFLQGFFGSPCLASGGASIGDMYSLMSLPYAMMAWVSAAYCGPALGP LLSGFAVPVKGWRWSLFESIWASAPVFILMFMFLPETSSATILLRRADRLRKIHNTNR FMAQSEINQRNMHISDIAIDALIKPMEITIKDPAVLFVQIYTAIIYGIYYSFFEVFPL VYPTDYNMNLGQIGLVFLCIMVSCIVGIAIYASYIHFWMNRRIRRFGFPVNEAFLLPA LPASFGPMIGLFVFAWTARASIHWIVPTIGITIYGATVFIVMQCIFIYIPITYPKYAA SLFAANDFFRSALACGSVLFAHPLFGNLGVARGVSLLGGLSVIGIVGIWLLYFYGSRL RALSKFAISEAVE PEX2_100940 MRSLVLLSVAASVLGQTPGDTFYPPTLNDTSYISNSSIGTYGGI YEAPAHGPTGGSPYGTYDYCSMPHPRSEEYELPEALTNGSTKGKLVYLEYLQRHQRRT PYNILPGGENQAYQCDDVRPYLYAGPNSASGIQPMPMYAQTYQDPNNPFISGVNGTCQ YPQITIGGVQDGYQHGKDLWGVYGKKLGLIPAKPNSRVWFRSSESPLTQASAGAVLRG MWPHYKGALPLHQMASSVDTVNEGYSCPAISSTLSNLQSTTQWKEHITVTEELRSKLG TMLGATESSWQTTFDHFSDNFQGRLCNGYDLPCSLSNSSACVTMQMAEEVFRAGDWEW NYYWRTNPDVVKYIQVVEGLFIGEIISRLQAVVDGNSSLDYSHIFIHDGDIGPILGSL GIETLRWPAMASNIAFEVWETKDKKDGNSFYARVLYSGHPIRSIHGLLDWVPLSKLID IMSPYVPGDITTLCG PEX2_100950 MIWTSVVVAMALPLASAGLANFALQTVLDNATPIFGSYKKSTGG KAEWMKSYPDETLLVHMNIPGTHDSATWNYTQATQDALRGITDLNQVVVPVPDALRCQ DSPFIDMLDMGIRAFDLRYAFDPTNSTLIFYHSQALLSQTATVNDVLFGFYQWLDDHP SETIFLSFQYQGSTALYASNNAAVQLQLYDILTSPAARHYFMQTKDELGTLGDSRGKI TLMRRFDLDKLPDSYTASLPGLHFSPSLWTDNGPDIALEYNTAKNLSAYIEDYYQPLT PTGSSAIENIRWKYNATVENIRKATSHHPDSLFWTWASSNNIENNPPDWPRIMALGNG TGYTPAGGVNQQLVPFLKRQKGKRVGIVMFDFFEQPSDLIDTILDLRYTEMREM PEX2_100960 MASSAPFAKRSLSEQGPPGDDPLSSPVKRRRVNSAEDMPIETNI MPEGLGDLIPRTISETEASIERASSLRSSFRDDNLTIECNCSPLRGRSDYRVWRHKMS LILSRNLLLDLVEGKIGQLPRSHYLERQLDNLNEVAREIINTNLSPTTRLIVRNIRNP QKMWEKLEKHCKPSDWSTVRSGWLELQNIKYSQCYDIWEYVHKVDDAWRCICLDREDI LEKHEFARCASLMCSLDTPKWETWKMGFLSSRNINMPSWAGLVESLTSAEDNGIVSDL IGIAI PEX2_100970 MDSDQKFALHEAAREGRNEVVESLLNANPKSAFVKDDDERLPIH WAAAYNRVPVVELLVAMKDFDPDVEDGSGWTPLMIAASLKDAAGDATIDLLLRKGADV SIKSNTGQNALHFASSKANISTVRTLLANKCSARVKDKRGQLPLHRAAAVGSVPILKT LIEEGKSPVNATDGDGFTALHHAISEGHGPAAILLLKSGAEAEKRDSDGRLAIELVPD DKSGARSIAIPLNKILIGQESIPDKKKYMSAYLVGVAEKYGLYKHIRFNSMVEEARWD ELETKWQTSVVVSGQKDSEFSSSYILNSDFLVSAVGQLNLPRTPDIPGLGDFQGKTMH SARWDWSYDMTGKRIAIIGNGATAAQIAPEVARVASHLTIYQRTPNWVIPRLDQPVST LQKTLFKWVPPLHWRKRALQMEFREAFYTAIADGDSDLSHVIRASCTSAMKSQLPNRP ELWDELTPNYAPGCKRVIITDDYYPTLARENVHLETRGITGITESGIEVDGDEQEHDL IVLATGFRTVEFMNPIRIYGANGRSLEDIWRNGATALNGVVVEDLPNFGMFYGPNTNL GHNSIILMIEAQSRYLNTLVREVMQARQQGKSLALKPEPGALKSYNDRIQAILRRTSF ADPNCNSWYKRDDGAITNNWSGTVLDYQRELSKVQWQDYIAEGTGKDRVVSKTITKVS HAQEEILLSNFSLLVSAIGVLSVTGYFVASSRRLKAR PEX2_100980 MDYSSPHSADDAVRMSAKRTAELFGAEYLMVTPSTLTDGSIGVS YRRKAEYEDITELPRALAEKQAKATAGRTKRPKIQAQTPADGSGSSMSLVRRPSGTGA GAAAAGEQPTSLIQRPSATKQQRPEWHAPWKLMRVISGHLGWVRALAVEPNNQWFASG AGDRTIKIWNLATGALRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNKV IRHYHGHLSGVYTLALHPRLDLLVTGGRDGVCRVWDMRTRSNVHVLGGHKGTVADIKC QDADPQIISGSLDSTVRLWDLAAGKSMGVLTHHKKGVRNLAIHPSEFTFASASTGSIK QWMCPKGDFMQNFDGQNSVINSLAVNDENVLFSGGDDGSMSFWDWKTGHRFQSMETTA QPGSLEAEAGIMASTFDRTGLRLITGEADKTIKIWKQDEEATPETHPLTWAPTLGRQR Y PEX2_100990 MSDSDSDSSPQRRSARERAQWMTKGALVRDDSDDELGVEDLPWD WIYDTNEETTQEPETKDDTPAKRSRRRSARPARQKRKIVGARMGQFQCKVGDVILLKS PEAGKDWVGIITEFLEEDDENEEDEIVKSANIMWFASPDEFMSTRNKRRTDALPNEQY ITLDFNSNPLTSISGKGAVMSRSAFSAKYPNGPPKSKTELAEYNKCIVCRRGVNQVQG RYTDEFIWEQVYNEDNIQHLINWVRDGLKASRKRKAADDEYVETKETTAPTTPRKRQR LAATNATPQSQRKKALMTPTHKRIVVKKPLEFTPLGTRVLSPTHFDSPYRQARNLLHV STVPDSLPCRKTEFNTVYNHLSAAIMEGTGACIYISGTPGTGKTATVREVVAQLNGAV HEEEMDDFIFVEINGMKVTDPHQSYSLLWEALKGDRVSPSHALDLLEREFSNPSPRRV SCVVLMDELDQLVTKNQSVMYNFFNWPALRHSRLIVLAVANTMDLPERTLSNKISSRL GLTRITFPGYRHTDLMEIISTRLASVPGNIVDPDAVQFASRKVAAVSGDARRALDICR RAVEIAEQAADEAAAADEAKDETESLPPTPSKTPARRNKAIAAKAAGESAVAKPPAKG QPGRVTIATIKQAIHEATSTPLQQSLRCLPLSGKLFLAALLARVHRTGISESTFGDVL DEARRIADAAVAVAGAAGAGVKDFLLGGGPSARVRSLGHAAMSLMNSGILALEQGSGS KSVLGGTTIPTRGDRSSKVRLRVAAEDVRSAFREDAEAKGFGLGIEQ PEX2_101000 MASVIQSHENDWERLNAKSWELPNYGPGPDFGRGTIYRQEYDDY AVKKAADYDFEDNFEDVNYDSPAVDYPPHPPTLKVPATGRSLSSVPPLPTQSPIPSQS TFPIQAVITEPRAGRAQAPEGPIFNERGPNTSSSSTFARHPHHSVHNLKSGQRTHVRG GHTDPSRPRFQSKKGSTVSTPSQHRPKNPPIAPGHNDSHSKAKWRKGFSPDASYRLPY ECQVFQAKQVTSGEASNIDFRQKIIEDTGAYVRMSVGNARLVHIWGQQKQVVAAQNML QDMLNRLMQQRTLPRASRWAKIHAHSNTKVAHARSQENHEERLSEMRKLPKIATDYTL AFLWPSDGPSLHYFITARREILDFIRLKYDANIYIKPGIPDYLFMSGNDERDMCIIAA RFRELWERLMVQYETEIKLFLVAAPRTELMRTHVILQKSSQLSRPVLCGPELAPDVAA TWKTTADEIKANNKSIVTTRLRKALHVIPQFRGFLQMRAKFGSFALQQWRKPKDGISY EFSEFREMLTHLNTEGRLVPGIRLQQEEIFDRIMKSTFLKPWGKVQTKSLLDLMPRYS ANFEYQVNNDSVIRVEAKFSLPLGSQEFEVNGIRLFKSKQIGAPVDRQMPMQISMIDF ERSDWQLEVKALELCPEREMSPDLDKFMRSIRFQWNPNAKSIGCAPQRKARFSYGSPV KRFVEKASIQLQVKNSPHVFELARFDEYTYSAGHWSMTPKVSWGASLFNPSWDDILGE QADVGALEISDRCLSVFFPPPEGDQEQTDKQKTDDEICEEAEIRKTDEEEQLGAFMGV VQKIARMLANPGAEMPEVLETDLGSLF PEX2_101010 MSQNAPSSDGAPQGSVNLASLSVPQLRALQTRLTSELEHLTTSH TKLRAAQAKFRDCVRSINDGVTGNEKKGTDGRDEILVPLTSSLYVKGRLTDREKVLVD VGTGFYVEKTAPKAIAFYDDKVKGLEANLQELEKIVQTKSSQLRIVEETLRQKMLVEG APQAAAG PEX2_101020 MTRLFTSFSEEDNWHLAYAQRLTQNSREPLQFSSTSDMETFSAQ FLDQKFRWESLGIFLSAVSRAAIDISFFPPLFKTEKDQFVLRKLSTKLSDLALDIALS LDCLNDLQLVLQYENFIVHTHVDGDQSYHSWSRLGDVVSSMFALGYHENVEKTKPPIP TFLAELRKTAWATTYSADKNVAIFLGRPPRMSKRFCHFQVPLTPASSDQVWNTSHVED EVVRWKADTPICYRSGIRWATLCSALKEDILELLRSKQRENFNRKSSIIRQKAETQWA NLPPRFRLSGTLKECTGDAFERDLLASIRLDQLHVLFLLQLAFLDTLTEPDPSILDIS DQMLSLVVDTILLRDQLINSGTGLVWKIAHFGLPAAGIILLSMLKQHRTLTEGKSSWS KTILNLGIFVAQVQVGSIVRRGDPNYALISKATQTIERFLDSIHREGIQSPTQLPSHP GENGDWAAFFSQDLCDFETDFWENLAYHPSLLATDHSLPPIL PEX2_101030 MARVITDMRLNMSSRFEGPFWAAVGIDMTTNFGDQMAVLHLIRE IYNPVLYDCLQKGGHWNTAFKGRKAFDIRRRLTTRRNEFPALVLAHVPDSADLTERLF DILRYYRFTDPTWRFVDPNYPTAEVFPLPAPAGGLAPRPPLAPAPAPAPAPMPVLPLA FTTAPDLHPAIPISRVPLRSGPPTAARARARARARARANAPARPLVRAPVHAPVRAPV PAPAPSPAPAPAPSPSPVPSPVASPLPIPTSPVHTSPITLIQPSIGLLPDLPPVIAPG VLHDPIPDSPQPGYYVPASTEVPSPPQNPDLDPFTRTIEHTNRKRARDKGDEEEGMTS PPQKKRSIDPKLPKEKSEWTNFTTLSDSSSNDSRSLDVDGDLPMDKSYKPYKVQNTPV EPEPSVWSTMRDYLVGREPTPQEPDEEI PEX2_101040 MDEKSSEGAAPHYEHAIPANKELDEAANPDAPERRQAIGINIVQ NPLTRSSKGQAIIDARAYAESHNMSEYADLFGRAAVVARSPKEFTSAAEIDEEERTAL AYERDHKWHGPFMLWYSIGLCAIGAATQGWDQTGSNGANLSFPEEFGIAGKGKDEWIV GIVNSIIFLTAGLIGAWIVDPLNHYLGRRGEIFVTALCLTATPIGSGFAQSWQGLFAA RFVMGIGIGAKNATVPIYSAEMAPARTRGALVMFWQLWVVAGIFLGFAANVIVKDVKD IAWRLQLGSAFIPSFILAIGIFFCPESPRWLMKHNRHAEGFKSMLRLRAHPIIAARDF YYSYVIYEEELKEARGAGYFSRLWDCFAVPRIRRANYGASTVMIAQQMCGINIISFYS STIFRDVGYTEDQALYASLGYGAIQVVSTIPTLFLIDTKGRRTLTLITFPLMCIFLLA GGLSLLNKTENHAAMIAPVALFVYLFTIAYSLGEGPVAFQYSAEVFPTIQREQGMAWA VCINNTFAGVLSLTFPRMMTVMTKTGAFGFYAGLNLIAWFMIFCFVRETKQLTLEELD QVFSVPTKKFIHYELTVWLPWWIKRYIFRQNIQRPPQIIALGEGVR PEX2_101050 MDQTWSEWQHMIEDIGEGTAKPEVPSQIQSPPSGISLIPLLETA HHVFEQAFRVNVPLMLWPEAWFPGDHRLCPDWLRPWIKFPQHQDEMWNRILHASELVN ATIFPPQPTIQHTAQLLQWYPVHSEYTLKQFAHRALDDYLGSIVNFLEREDTLRYRFS VRGQLNFDRPRDCCVSVAKFGFEEPAYAVLYMPSFCLTVPELVAGLCATSPLKSFQKE PNTFEEYAIAIVVHAIVRIYSRMIGSGRRYGYIYTGEALVFLHIPLDNSGVVEYYLCV PAQDVASHGYDLHSNWVRRTALGQVLAFTLQSLAATPPSQEWQDAVWRGYRPLKDDYS ILMPQAPDDIRLHPAPQFMYENSFWARFWENLLRATIPTGHSEDSGLQPSESSDVCTP YCTQACLLGTFEKDVLDEDCPNATQHGVERHQISSQEICEMMNDQLRANRYRGFQQLH IVGRTCYLLKATLLSHGYTMLIKATSISRSHRINAELNNYKNLMSLQGSQIPVCLGIF SPTIPYWYHGIQMNYMLLLSWSGIRTDQHATLETSRFLEQEMRKLENKLEEHGAIQKD AAFRNVLWNPISQSFVMIDLEDLKWLTSSAESSEGHGSQQVQSNTN PEX2_101060 MNQTNIHIPSLGGTNAFTRTLNKEDVKICVAVEEAFIEAERCSE EKSKFCYRLGACPELCLGLFVENQQDPASPTLIGHVIAVRSPYTRITDGSMSMPENWR SLDDEPVFVNDELIGNHKYGDTIAIHSVAISPQYQGKKVGRALVRAYLEHLGRGCFGA SKVVLIAHDYLVNFYESAGFKSQGKSQSSFAGGVWFDMTFDLE PEX2_101070 MLSRLRPLSGRIVGRRLALSLRVQQCNLHVDGRSRVSNIWIPTG GISKKPVDGEKEDANDLLVRGGFLRQAYSGIFHMLPLGLRVQDKLERLIDKHMRSLGA SKVSLSSISSQELWGQSGRLTDGSELFRFNDRKDTPFLLAPTHEEEITTLVGNLTTSY KSLPLRVYQISRKYRDEARPRQGLLRGREFIMKDLYTFDYSVSEALKTYDAVKNAYTQ LFNELKIPYLVAAADSGNMGGSLSHEYHFISPKGEDEVISCSHCDHVYNEELADGKTH SSQESEQNTTPGFQTDDTLVEGGPTISTGMWMAISHDNNTIVRSWHPKFSMQDGATEP VERHVNSHAVKAVATAAGIDLDLSVENPLQRWTAHVKANKSSTHKPRVLDLYDSQVRV YQRPPLSDLLQEVGCTASDIDYSKLDRFPGTSNKLSLVRVHNGDQCSQCAHGSLTSHS AVELGHTFHLGTRYSEVLNASVSVNSALLDGSSEVVAKSSAKEKIVPMQMGCHGIGVS RMISAVANRLADSKGLNWPRAIAPYEVVVVSGKGLETVADQVYDSLAGDAAAPVDVIL DDRDKGMGWKLGDADLIGYPVIVVVGNGWKKKQTLEVQCRRLDVKEDVPLDGLRTFVE SLLAKL PEX2_101080 MATGKGKRSSGANENAPATSVSAPAAETLPFMPDESAFAGLRQK IEQRLKNQNQSTGKGKKDNTKPSAPAANASANKGKPSPAKPGRNQDSAQGKKRDRNGE VIAREQNKGGFTKQEKPKVSKKSNDDETLRQEILAMGGTEEDLDLLAGVDSESEVEGE SGKVDDDLRKQLSSMLEASGHVVPEDLADDEVEDVEEEIESEDDVEDSEDNAESSGPE SDIEEAPAPKTKQSESVVPKEYLKLNVLPRSDWYNIPMPSSAGDTKHMNALPRHLMER VHELANKLLQEENEEYAAAQRASASSSHKFYSTIMTSGTLSDKISALTLNVQESPLHN TKALETLIGLGKKRSRAQAVEVLRSLKDMFAQGTLLPSDRRLKSFTNQPGLVAAFQGA GGRWNEKDPLPGGLKKSELIVWAFENIVKDQFFEVIKILEIWCNDEIEFSRTRAVSYV YELLKEKPEQETNLLRLLVNKLGDPGKKIASRASYLLLQLMQSHPMMKPIIIKSVEEV LFRPGQSSHAKYYAVITLNQTILRLKEEKVAAQLLDIYFGLFLVLLKPSHQGKPASNG KPAPKGKYNKHGKGSKGKGDDDAAKGQAQDDEMREKLISGVLTGVNRAYPFTDSDSER MSKHLDTLFRITHSSNFNTSIQALMLIQQLTVSNQVSGDRFYRTLYESLLDPRVATSS KQSLYLNLLYKSLKNDLNVRRVKAFVKRLVQVLGMHQPSFVCGVFFMIRELEKTFTGL SSLVDQPEENDDDDEEVFRDVLDEDDEQPEQAPVVEAKKQNNVYDPRKRDPEHSNADR SCLWELLPFTTHFHPSVSLNATNLLEHNPMSGKPDLTLHTLTHFLDRFVYRTPKANAS SRGASIMQPLGGGEAVDRLVEAGKKTQNQIPLNTEAFWKKKADDVAAEDVFFHEYFTR VNKDKEKVRSKKSKDVDAEDQSDEGLSGDEDEIWKALVDSRPELEADEDSDDDLDMSD LESALGSDEDEEGADEEDSDGGVIFNDESDVPSDEEMAEFSEPEEAAPPAKSKKAAKK PSKEAEEDSDDFDMDVSDDDAFIDSDEDLPSDIELGGVDLEEPSKPADAAAPESNRSK RRKLKQLPTFASAEDYAALLEDEDEGM PEX2_101090 MARPNELQNPVSWDHDRDHDNSLELSDKSEQEPELKDFDIEGQG RRGSQHNVTAVDGSSTTESIGRQIEMESENTIKYRTCSWQKTAALLFSEYICLAIMSF PWSYSILGLVPGLILTVVIAGIVLYTSLITWRFCLRHPEVRDVCDIGQYLFWDSKIAW WATAVMFLLNNTFIQGLHCVVGAEYLNTMSNGAVCTVVFSMIVAIISWVFSLPRTFST LSKVATLSAFFTFISVVLAAVFSAVEDHPAGYSAAQGDPIVLVVPAKGTTFVKGMNAF LNISYTFIGQITLPSFIAEMKEPRDFWKSVTAVTIAEIIVFSVVGAVTYNYVGNQYMV APAFGSIGDDVFKKVSFSFMIPTIIFLGVLYASVSARFIFFRLFEGTRHKGNNTVVGW AAWGGILAALWVAAFIIAEVIPFFSDLLSIMSALFDSFFGFIFWGTAYIRMRAADHGP RFFMVRGIRGWLGFIFNVFLILVGFFFLGPGTYAAVMSVVNSYADGTVGGVFTCASNG L PEX2_101100 MSSVRILTRGVDLAAARAATLNLPRASIGSTVLRRELSSAAIVR PTVLRSLKSNAPVAAVASRAANQAVRYASSSSEPLSKTQLYDLHLEHGAKMVPFAGFD MPLQYADLSHVESHMWTREKASLFDVSHMVQHQLSGPGAIDLLKKVTPSSVDKLAPNT STLSCLLEEGTGGMVDDCVITRRGEDSFYFVTNAGRRTEDLAFLTAEIEAYRSKHGAD SLKWEILGDRALVALQGPLAASVLQPLINTANTPASETDLSTLYFGNCRELYLTLPDG SATAHPLLISRTGYTGEDGFEISIPTSGAPSLPRQVTELLLADITKSRFAGLAARDSL RLEAGMCLYGHDISTAQTPPAAALGWVVGRDRRDPATATFNGASVILSQIASPKTIPQ RRVGLTIEKGPPAREGAIVVDISDPANPVEVGVVTSGLPSPSLGGANIAMGYVKQGLH KKGTELAVKVRNKVRKATVVGMPWIASKFHRPE PEX2_101110 MGNMQKGMQQTGIARGSHTGQVRDEGGCVLVNAADTTPVEDYDV LQYINPLIGSANGGNVFPGASLPYGMAKAVADTDSESNQGGFAYEGGSVTGFSSMHDS GTGGSPSLGNFPLFPYAKCAGDDVNGCVYPKNQRKTKYKPDSVQAHPGYFAIELASGV RVDMTTAHHTSLFRFQFPKDGSTSPLILLDLTDLSNSRQDNATISVDSTTGRMTGDAR FAPSFGSGSYILHFCADFKSPAAQRDSGIFVNSRASAGVHDLKISRSINGYPLPGGGF VRFKSAPDNTVLARVGVSFMSAEQACAHAESEIPHFDFNATRQTAVEQWTEKMRPIRV SRTNIDASVLSNFYSGIYRTMINPQNYTGENPLWKSSEPYFDSFYCLWDSFRSQIPFL TIFDPSSVIQMVRSLIDTQRHLGWLPDCRMSLCKGYTQGGSNADNVLADVYLKGLRDG IDWDAGYAAVQKDAEEEPYDWSSEGRGGLRSWKDLHYIPVEDFDYEGFGTMTRSISRT LEYSYNDFAIAQMARGLNKTADAERYEKRSRYWQNLFKKDQASLWKGNDTGFTGFFQP KYLNGTWGVQDPLECSNIDQSGHACSLQNTAGETFESSIWEYQFFVPHDMHTLVTLLG GPTDFVARLDYLHDQNITYIGNEPAFLTVFQYHYAGRPAKSTSRVRTYIPDYFSPTPA GLPGNDDSGAMGSFVAMAMMGLFPNPGQSVYLLTVPFFESVSIVSPLTGKTATLRTVN WAQFNTANATGAAAGGNGFIQSATLDGKPYTRNWIGHDFFTEGRELVLVLGKEESEWG TKLEDLPPSLAPEVGVRGGDWAVSDPSWESLIENWQIDALHLDHHVRKAGNGHVDTEG LVE PEX2_101120 MGHVDSKQLRKPWIKTPLIELATLSKAAGCSSGGNAGLAAVIAA RDLGCSCTVVVPLSAKPAMIEKIRAAGASDVIRHGASWFECDAYLRANFIENEDNKSD SSARNIYVPPFDHHEIWRGAGTLVDEMIEQLPPRHNMPGRTDTSSSFPADAIVCSVGG GGLLNGIIEGLERHLPAYQPTTLSQKVRILAVETAGADSLAHSLRKGYLDPLPAITSQ AITLGALSVAQQTLKNVQSPPPGIEVTSIVGTDADAAMGVVRLCDELRLEVELACGIS VQVGLNRLREVMTDLTPDSRVVIIVCGGNDVTPEIITDYRQKLENGWK PEX2_101130 MASKRKQNVFILEVNSLDLSEVEIGFGLKKVNDINWAQFRTPFE IADCCQHTLNMLDDFRIQMPPRLGILLLDVHTKPPNPNSPGSPPIPISSKFEFSFQPF SRHGTRDTSLSGVPSYLLWYGPDVGEDLAVNSIIVEKKEGQSAAGVPQCLAYMGMFHF LLISSEGKWSQLDLNYSSHRQEIVETLAYFHTQASVLSTFCGSGTSENGSQSRVASDI QKSLHVQRTGTSVEDWSIFQVTNEIEDAQGEDDDDEI PEX2_101140 MISAEEQKTINELQANWIWVPNWTDSSNTNTAGKIVHFTKFVNL SSRPTKSVLYFSADTRYKLYVNGKHIAVGPTRSSPLIWYYDTLDISPYLKEGRNKLRF VVVRYFAASRSAMPFVRTALPGLTVIGNIETGQEVVDLASSKNWMASVDESIQFPMGL VDDVFLHISERITPTNPSLAVTPFAYKMKTLNGDIPSWNLRPRSIPMPESTPAVVNII RACDSVVEDSNWIAFFTKDRSLILPASSAHTLEVQADFHSTAFLRWAFKATKSTSRIK LQVTYSEGYELEPRSYPFFRSKADRLNASVGHIIGPYDEVTLDLPRGETVVYEPFWFR TFRLLRLEITTGPEPIELVSFDATQVNYPMAVKASWKEPGDTHSEKIWDVSIRTMRNC MFDGYSDCPFYEQLQYSGDSRSVGLFHYLLSGDDRLMRQAITNFAASVTPEGLTQSRF PSHVPQVIAGFSLYWILQVCDHHLYFGDTRFARSFIPRIDGILDFFDAHVDDLGLVSG LPQVVWQYVDWVTSWGATDEHPDKGVPTSGRKSNRHTYFSMLYAWVLRKVAGLVRDVG RPGHAAEYEARAESLIGAIQAHCYDGTFFTDSTADVADELSYSQHCQVFAVICGAAKT KDSVRILTESFTNERFSKCSYMMRFYAFRALALAGEDLYDSFWERMWAPWRGMLANNL STWEEDDVRQRSDCHAWGSVPIYEYCTELAGVQPVAAGSSKISFRPRLRLTEALEAKV ALGKHNLATVSWKVSGNEKIVELRLEKAVGVVSRLPGGKEEEHGVVDHLSFAFKA PEX2_101150 MDISKAGVENIENVLPTKSMGPIMRSREDDLGVWQCVRRFKTVS LIAMTAAFSASLDGYQINLNGGIVSNKGFIRQMASPGTSIIAGKYISAWGGVQSAGQT VGQILLQYATEKFGRKVAFYIIWLDIVISVFIESFATRWDHWLAAKLFSGMGVGMLQS TLPLYLSEIAPTQLRGFYINAYSFWFVVGQIFASVALQELSAKDPYEFRVPIYTQWAM VGIIIVIFTFIPESPWWLVSKGKIDKAAKILTRCNGNVEGYSVDEQIEVMTATIAEER IVAERNSEEGPWAVFQGRNRLRFFIAAWPKIAQQLVGLSVFNTYATYFFQYAGSKDPF MVTVILSCVQLISMLVTAVTTDKLGRRPLTIYPYAVTSASVLCLGIIGCFDYTKPSTS SLLIFFACLATLSTTGASAIGYAYAAEIPQQRLRAKTAGWALASSNLVAIMFSFCTPL MINNAPVSHWGVKTGFFFAGTGTLAVIIAWFILPEVTRRTPAEIDEMFEKRVNLRKFK GYVTEVQLRSNEQREDHEMVVTA PEX2_101160 MPSHNSEGLTGGDLLCKLLASHNVSHVFGYPGGAALPLFDALYR NDLFRFILSHHEQGAGHMAEGYACASTKPGIVLVTSGPGSSNLVTPMLNALLDGTPMV VICGQVATTAQGTGAFQEIDIMAIARSCTKWCTAVKKISDLPNAVHDAFYHATSTRPG PVLISIPKDIGAGSFEPVTSQDPLSISPQRVKQEKPVQEEVALRDISCTSNVQDSIDH IAKLVNDSERPIICAGHGVLSNKTGPALLSQIAEKSKIPVATTLLGLGCFDETHELAL HMVGTYGAPYANYSIQNADLVLVFGARLDERAVGNPLEYAPKAREAAQAGRGGIFQFD LNPEIVGKLIKPTQLVIGDLCDALPSLLSRLNKRDDREVWLDQIQQWKKKHVFQVPLK SMESHATPQQTMAELDRQTESLKHRVTVTTGVGQHQMWAAQRYRFRYPRSFVTSGSLG TMGFGLPAAIGAQIARPDQIVIDIDGDASFCMTMEELLTASQYDLPIKVLIFNNDVQG MIAQLQQSNYGGRVCFNRQANPDFVQLAQSMGWPFDKNKVQSETFNLMAFLPISALLM GLAASALSLTFNVPTSPPSNSSGQLSAAPVGVSLEFFTFPAYIQDVKSTMTCLQNLKD LTGTWPPMRIGGTTQDRATYDSLSTEAVTYTVASAGAAPETLTYGPSFISLAASYAGQ VIIGLNRRLDDISNTISAALLVQSKMDNLYSIELGNEPNFFVNSDPIANGASWTAAAD EASQVSWQDAVCGNLSATDIISAGVYFGTSPMSLVSLTEKEGDADDYVKDYCSHNYPQ SSGSYNLATLMGHSDITTQIKPYAAEISAAAGKGKPHIFGETNSATQGGGGISPTFGA ALWILDYVMQTVLMGTNALYFHQGTIGNCQYCWWGRYNIGSPYYGAYFATMALANADH IAPLDSQDTAYAAYAIYKAGIPVRVLLYNSDYYISTSGTRSSQTYTLSGLSSPSVTAK RLTAPYATSRVDQGQNPTVAGQTFVNGTCTIQGTESVETVTVSGGKASFTVTASEALL VYL PEX2_101170 MAANNLYQYSILSALMEGICQTGIAVKDTLTHGDHGLGTVSNLN GEIVIIDGEVYYFPSCGQIRKVEISDTLPFIMVTRFKPTIEKLLSSLDMDSLPGALEP LLPSRQNCFLSIRVDGLFNYIKFRIIAGQSKLRESLLELVKRQQIVSSSNVEGTLFGF WSPAFSGGFSVAGFHLHFLSKDKTQGGHVIGFDTREVRLQAAAIEEYTVKLPQNEEFN EKVIQGARDAELHVAEGG PEX2_101180 MPIHPPSATSTFEKDLQNRHLIYDYAAQDASGKPEKWRYEMWFE SSSRINYAIHGGPMAGRINFQTADYQCIRAGEIWQCNWLEETGTICSLVYDIPRKKIT TMLGFSKGHWENAEDAHGDKRNLRDLERWRGLAKIGIQTDRLLLNEQADILEDFWGKG DLVPCDMSLPTL PEX2_101190 MRGTGNAEVSGVTTATTVARTEPIGSTPHSELLGQDKEAMPHAH DVDSNTDSSLTSSLGYLDINLDKGRSKYIGQEHWHTVLSEISEVKAYFANHKEIDNSR ERVSMSTPTSAREGLTLLLGVVPPATDVELRAELPPMSTVLTLCSRYFNSPDNLVVIV HPPTFHQQLQRHWEDPSKTPIMWLGLLYSILCLGMLSYHKLGDEPSQWEGQTLRMAGE YRLRIVQCLITGDYTKPVENTVETMLLYVFGEYSWRFDVDLGLWLIVSLTTKIAFHMG YHRDAKWFTSLTPFQGEMRRRTWALLRMADVMFAHQVSLPHTIYSHHCDTQAPTNLLD EDFGPESPTLPPSRPNNELTPIAYSIAKVKLCQEMSDILETINRVGGQIQYEEILRFD TRLRGIFQTFPPHLKVVPLEGCRDSITVVMMRFSINALYLKIICLLHKKYVPRARENP QYAYSRRSAVTAASDTLRNLINLHQKSNDTDGPRSLEWFVNSMATKEFLVCAMLVALD LHYDCMAEVSNDEKVRETALFWTSEQRMEMLSNLEMTKDLWKGLADSSIEALQASKVL EIMLEKIKSPASTPETAEETRSAENSHLSGVNTCPTEPPDHSSAMMQSPLPMGMMAST EANHAAQTSGALDNMYFSSNLPGSNMIPNLTEAHEDLNMMGSSLQMFPNLMADEFAEN FDWNTFENYAHANWGGSNTF PEX2_101200 MSAISIQKGPIQLAGLLFTPTTSSEKTPALIIVHPGGGVKEQTA QVYAKKLATHGFTVVCYDASYQGESGGEPRFLEDPNERVADVYAVIDYLQKDESVDAE RIGVVGICAGGGYAVAATKADHRLKAVAAISMVNIGDSARLGWYGDEDSGKNTKLLEQ AAAQITAEARGAERATGPYVPPQLDDKTPYDLKEAHDYYLGPRAQHPRAKNTMLFLSF PRVITFDAFHLAESFLTQPALLIAGEKAGSLWHTEKLHKILDGKSKKVILSNATHMDL YDKEEFVEPAVKNVAEFMQANL PEX2_101210 MLPISILKGSITLAGLLFQPQNITGTLPGLVIIHPGGGVKEQAA SVYAEKLSLEGYVTIAYDAAHQGDSGGLPHFLENPNSRVTDASAVVDYLETLDYVDPD RIAVLGICAGGGYAAAAAKGDHRLKAVATVSGVNIGDANREGWLGTEDKAGKIMVLQD ITGPIQAEANGTKPEYFNYVPPNPNENTPYDLRDAADYYLTPRAQHPNAQNKMLARSQ SLLLNFDAWDFTDIYLTQPTLVVYGDLAESKWHSERLYNKLKGKNTGVSQYVIPGGRH MDFYDRPAYVDPSVKQIADFFRQV PEX2_101220 MIHSKEWQKALAIFLSFFISLSSFVNGLSDSNIKDVGAQASAFD LGGVELTQDRFLENQGRTLKYLKEIDVDRLLYVFRITHGLSTQQATPNGGWDAPDFPF RSHVQGHFLTAWAQCYAVLRDQTCYDRAIYFAAELAKCQANNKAAGFTDGYISGFPES EFEKLENNTLTNGNVPYYAVHKTLAGLLDVWRLTNDATSRDVLLSLASWVDKRTEAFS YDAMQKLLQTEFGGMNEVLADLYHQTGNKRWLTVAQRFDHAIIFDPLAANKDEFDGLH ANTQVPKWIGAARQYKATGQSRYLDIARNAWEINVKSHTYAIGGNSQAEHFHAPNAIA AYLDNDTCEACNSYNMLKLTRELWLLDSENSAYFDFYETALLNHLLGQQNPQDSHGHI TYFTPLNAGGRRGVGPAWGGGTWSTDYDSFWCCQGTALETNTKLMDSIYFYNDLTLFI NLFMSSVLKWPEMGITLKQSTTYPVGDQSKLEVFGSGDWTMNIRIPAWASSAELTLNG KALPDIEAKPGTYAQISRKWADGDVVEIRFPMSLRTVAANDNSSMVAIAYGPTVLCGN YGSQTLSSTPTLALDSIKRSGASTLDFTSIADGKNVTLSAFYDAQGYNYNVYWATIGS FHTA PEX2_101230 MFRRSLTSPLHSLRAIPLPSRLSPSRLLTYDSFAVPNRPYSRSY STAPSPSASTQPPKARRSRLRRFIGFTSIAVFAFTVGLTYQTQQTLSRIMATPTEEET LTAFIPIDSATAKIDNTIRTHPVAEALRANPEFTESRPHLTIPGPMRERNLTAGTLAG PGKIVVPPYVFSERGGKTMISLMYLGGDVCGHHGIIHGGLLATLLDEGLARCCFPALP NKVGVTANLNIDYRAPAMANQYVALRAETVKVEGRKAWVEGRIETLPSDGTEPVVLVE AKALFIEPRQAAALSSLYKVA PEX2_101240 MSTNITFHASALTRSERTELRNQQGLTIWLTGLSASGKSTLAVE LEHQLVRDRGVHAYRLDGDNIRFGLNKDLGFSEADRNENIRRIAEVAKLFADSNSIAI TSFISPYRKDRDTARQLHEAVTPGEETGLPFVEVYVDVPVEVAEQRDPKGLYKKAREG IIKEFTGISAPYEAPANPEVHVKNYELPVQDAVKQIIDYLDSKGYLPAKKE PEX2_101250 MSKPSKYLLLSLPTSIVASHHREDALEAVSTTVSPDNGSTTSFP IPEFKIGTLDALVQQADELSKLEASCQSVVAKVGDALKNILEDEAQIEQMKVVNDKPV DQYLRTFQWNKVKYRVDKPLAELIDLLHKEASSIDNDIRFKYSQYNQVKNTLSTLQRK QTGNLSTKSLASVVDPKSIIQDSEYIETHLVAVPAQLVKDFLKTYETVAPMVVPRSAQ LVASDSEFTLYAVTAFKKHSVEFVHKCREQKWIPRDFKYVEGGKEEERKEVERVGGDE RKVWGETLRLARTAWSEAVMVWIHILVLRVFVETVLRYGLPLDFVCALVRTQTTKQAD RAKQNLENKYSYLAGNAFGRDKKGRMQRDDPGEMHPGGEGSADYTPYVFYEFQFN PEX2_101260 MENQGAYPESPMEQDESYPCKGCGETLEEGKAFELAGNRWHIDC FRCSTCSTLLDSDAHLLLLGDGSLICSNCTYSCSSCNNKIEDLAILTGDQAFCAQCFR CRNCKRKIENLRYARTSQGIFCMECHESLMARRRKRKAGGTSGKKPAGPNVKLDKSLP SLPPHLLEEAQLMDEETSEYTGTPDPSRMAETPDLNDERPGSSVSNQQADSDNILLPS STYRSSRQSIPRNTDADGGELLIPLAFDPSAEGHSSRGHSQTRQEPSGDYFNQVQSEC SHGASRDGREYMQEPTSRTSLENHSPHIAYQEKGWERNDANPADKSPLPISDSSKLAK ESSTRSSSDIPISFREAGNFSGPTSPDSSRSKEFSSDSKRHIPMDNVDSAVARSSSEL RRLHDYGSMESPRSQPSGLPHLPKRGDSLESKLHQIQRKEVGSSPASSQYGDSPMLRS DTFEQPIQSSALNTSPLESHQYDGAGASTLLRYNSGGDFSMDEELSRIIGGDDTVGQN NESFLRRVSNSVRHGRSYSEKSVRVSKDLKNPRSPSNRSIAGTDVGTPIAGSQSEEIS WLRNELRKERLRVSELESAARTAAAVKQVNTELSEKRSTMVVLDAQREIVLRELTVLT DHMEAEKRGGPSGPLDLGKLSNHVLRELAESIQKLKDSYAPQIEELMQKRNDLTEELE AMNTQKEKSFQEFEQLSMKNAQLAELNNQLVHQIQELYKATEGQPRPNGLGISHNKQR STNSIEVMKPSFNEYQGSVSTAHISEDSEPATATVVPGPQVVSIRKGQPRKFNWKKGG QNVAKGVKGLKGAFMSSEGGQTQEGGGGIPRSQTQDPSRQGFGFFGNQRGKQGGKMSQ ADSVPVLAEAAPDALFGTELEARMEHEKSIIPAIVTRCIQEVELRGMDMEGIYRKSGA ASVIQTIREGFERSPFDYDISDPDLDIHAVTSTLKQYFRKLPNPLITYEVYELVIDSA EVNPMSARIELMQKSLLELPRVHRDVLEFLVFHLKRVVERHEENLMTSQNVAVVFAPT IMRPESLAREMTDVQKKNDVLKFLVENCQEVFMGMQG PEX2_101270 MGVERKIITRGNGSDSPASGDKVSIHYTGWIYDAKKANKGFQGK QFDSSRTPGRGVFNVQIGVGQVIKGWDEGVMQMTLGEKATLTISPDYGYGDKAAGKIP AGSTLIFEVELLKINNKSA PEX2_101280 MAFNRPGIASMSLGRPWVHDLPGKLMQAADHGFKGIELFFDDLD CYAQRVFGGDHHEAARSTRKMCNRLGLTIICLQPFSMYEGLIDRAESDRLIDEKLPKW FTLARALGTDMIQVPSNFLGPDPKTGAARTTGDRTVIVRDLQRLADLGAGAGFRFVYE ALCWGDHIDTWEASWEVVRDVNRRNFGLCLDSFNIAGRVYADPAAPSGCTPNAAADLA ASLQRLRTANIDPAKIFYVQLVDGERLTAPLDEKHPFHVAGQPVRMNWSRNARLFPLE ESRGGYLPIVDVARVFFEDLGYRGWVSLELFSRTLGETDPFVPADHARRGIDSYNKMA RILRWDEPTENISVPIPAPESPVQHRL PEX2_101290 MPVKVAIIGAGLIGPRHAQSVISNPSTELIALVDPMPTGEATAS NLKTNYYSSVASLLASPHRPDAAIVCTPNHTHVPVSKELLAGGVHVLLEKPVSDTLET GRSLLEFAQAPERAHLKLLVGHHRRFNPYVRATKAALEAGSLGRPIAINGLWTLFKPD AYFAPPTDWRASNERGGGVIPINLVHDIDLMHHFFGPIVRVTAERMLPQRPSPPHNAD EGAALTLRFASGVVGTFLVCDATPSPHNFETGTGENPLIPRSTTGGDSDFYRIFGSDA SLSVPDLTRWSYDASPEKSWNSPLTVERIPLPDDKAPFDLQLAHFVDVINGDAVPSCT GEEGLRALIVCEAVQKAMRSGQPVDIDVNLLAKSNN PEX2_101300 MSILKLVEDRPTPSVVYNWRIYLLAAVASCTSCMIGYDSAFIGT TLSLESFKSEFHFGEMSDSTRNLISANIVSCYQAGAFFGAFFAYPIGHFWGRRIGLLA AGLIFTVGAGIMLGANGDRGLGLLYGGRVLAGIGVGAGSNITPIYISELSPPAIRGRL VGVYELGWQIGGLVGFWINFGVDETMEPSHKQWLIPFAVQLIPSGLLLIGGCFIRESP RWLFGRGRREEAIKNLCWIRQLPEDDIYMIEEIGAIDQALEEQRRSIGIGFWKPFKAA GTNKKVMWRLFLGSALFFWQNGSGINAINYYSPTVFKSIGVKGTNTSLFTTGIFGVVK TVVTFIWLLWLIDHVGRRNLLLIGAAGGSVCLWIVGAYIKVAQPENNKSDSLSGGGIA AMFFFYLWTVFYTPTWNGTPWVLNSEMFDPNMRSLAQACAAASNWLWNFLISRFTPQM FTAMGYGVYFFFASLMMCSIVFVYFLVPETKGIPLESMDQLFDIKPVWRAHGQMVAQL REDEERFRHDVESAGINMGKVRDEQVEDTAA PEX2_101390 MSIEFAYFPDYAGNKSQPNQFSKNLLHNLKSLTGVAPKVRVGGT SQDHATYFPDQEENVQLIYQNPTDDQPIQINYGPTFFESYHTLGDIRYLHGLNMAQNK STQLLELSAAEACTSIGPQLHLFELGNEFNFAPGTYRPTNYSLLDYVEEWNSKSVIVK SAVQKACPGPFPGFMAPSFVLLDFIDDTTWTAEDLYNLGYDKDDLTKELCFHNYMGVN EPPLPPADLDLQRTLMNHTNIVRNLAEQITRSKNLAYLGLPYTLGELNSIANQGVNGE TNVFGDALWLVDFSLWAAVHGIKRLQFHQGLNYRYASWQPIESKGVPPTTRPPYYGQV MVASAIGQSKDTRIVNIPLSEDTESAYAIYNGDRLSKLVVTNLRAFNQTTSDRPHREY TFHVPTQHKSAMIERLIGPGSDALDNITFGGISYDHGLKEGKPVQQHANEETRIVNGL LTITVPDSSAVLLTL PEX2_101400 MASSRESQRSLLSEDSIDAPPQRSWNPLNNRRADSDYRYHAPSD STSSWSEEVLRDVGLGISNSSGDTIPAELPADKRHSTDSTATTTPNTYNIPETPQTPH AHSHVRCPNRATVLQRRLSWVPLTVLILAIYATIFSGIYLVIALRKPRWRNISIHGPL VPSTAALLSSFFAKTIELAYVTICVAFLGQVLSRRALMRDSRGISISDMNMRAWIMQP GSMIVHWEALRYSALTFLGSVALVATFVAMLYTTAAQALVAPKLSFSPIEPTILQGKV ATSFANTYYVGPNCETPVTVAMDSEYRNTTCLQIDHVGHAYHNYQQWITQWSQLVTSK NETSSQLRKRPQPTGSLWDNTTVTGSWIDIQDMATLSKKHSRMVNNITMAMPHGGIPA AAMNTKNNLKQPIDTSGEGKYNIEASVPSPAVNVLCAGMTKKELSPLVYASWPGGDKF NVTTWNSSPPENIPHPADWKNSTVVDSLFQFGPKYSQVSPIFGKYPLPYNTILNTTGV WPANAIYLLGATPPTNNTNMPEYVMCSLRAKQTGTCSTNYSADTSGASLSTNCENPSN GLQYDRRQGTYYEGVWEADWKNIASEWGNSLSLNAGISDGAASNARLLMQMMPRYDKT EETFTLDPTMPSIAEALAVMAGSTLILSSQNAPFVPFWNYSTENKNVLAEPVYQIFNA SIQAVGYASFGAEKWQGIFYVILVFAFLTSAVCLGFMIVEARGRQVTDFTEPQNLFAL AVNSPQTSRLEGACGCGPWGKQLKERWFIGMEEDDEHYYIRSKTEEKIPLLRKTVDSM PLEPMEIEDGGGRMLSPMVDEFRKVSKRHSFLAKLY PEX2_101410 MTGPKPLLKIEGDCSTVHSNTLYSYSPTGFQSIPLKENGEWKLL PSPEYKVTGPACVQGGIGGNENDEDKKAFYLVGGTGSSGNSGLQRFLFSTQKWETLDS TPMKDRVGHGAGYIPSTSQILVYAGNTDGSTQVSQSTLLISTVAPPFTVSSGLDQGAP ALYEPIILPWSSSEVALIGGSATNTAIFIYESTNKKGWTISEATLPTAIETSSLCALA SDSGTKVLEEFKMAASPNSVTSYLISSKGKVQNPVTAIGSSGKRGLTDSYNGTFAPTA SWSDYTLAQGSGLVVLASGQSNNSLAIFNQTSNAWVNSTELFYGKGEQHVLKPTTTSF TSSTSTSTSSSTSTSTSASTTPTSSSAPVATVGGLTDHGKMILGATLGSILGFGLILL IILFLLRREKQKKQGGQPGRGGDKKDRLSFQDQGIEPLTESAYPMARSPVPVAGASVD SLAIFSGNYRGEKSLKPPGNTGYGLSPQPKSSSPLSTIPSSGVMGASSISTDDNYRAG DDTGHGNQAADRMTDEGWGKYFEDGGATNFQSDRSTMSSVYTKSDYRGSAWPMSTLTP LNFGFLEQPKPLGHVLSGSPTTEHSSHNMGSRSLVIPESQSARISSADSISIASDDDR DDSKWQGAGQSSWLGRPPSSNYTTSFYQSSTHDMPWESSNASVADRARQSNARRSSVI IPDDIDELPIQGKKNNTNSDMSWLNLKADR PEX2_101420 MEASRGPPRVKNKAAAPIQISAEQLLREAVDRQEPGLEAPTQRF ADLEELHEYQGRKRKDFEDYVQRNRINMNNWMRYAAWELEQKEFRRARSIFERALDVD PTSVVLWIRYIEAEMKTRNINHARNLLDRAVTILPRIDKLWYKYVYMEETLGNIPGTR QVFERWMSWEPEEGAWGAYIKMEKRYSEFERARAIFQRFTVVHPEPRNWIKWARFEEE YGTSDLVREVYGVAIETLGEDFMDEKLFTAYAKFEAKLKEYERARAIYKYALDRLPRS KAMVLHKAYTTFEKQYGDREGVEDVILSKRRVQYEEQLKENPRNYDIWFDFARLEETS GDPERVRDIYERAIAQVPPSQEKRHWRRYIYLWIFYAVWEEMEAKEMERAGQIYQECL RIIPHKKFTFGKVWLMKAQFEVRQMQLQAARKTLGQAIGMCPKDKIFRGYIDIEHRMY EFGRCRTLFQKQLEWNPSNSQSWIEFAELERQLDDAERARAIFELGIEQSTLDMPELV WKAYIDFEEDESEYERVRQLYERLLQKTDHVKVWVNYARFETSVPGEEDEEEEEEDEV KPPSEEALLRSRAVFARADKLFKDKDLKEDRESLLGLWLAFENAYGSPEDIEKVKKQQ PRRVTKRRKLADDQYEEYTDFVFPADDQSAANLSRLLQKAHQWKQQNQS PEX2_101430 MSTAEELLRDFEDDEEDFDVGEGEEVDEEPEQQEDSKEGAGVSN DFDRSISTADELTRLHKVLRDYYSIRFPELERLVSTPIKYAKTVAIIQNGPFNDIKAL STSSENMAGVPLKSVLDGPSLMAIAMEATITKGREMTESELKPVLDTCERILKLDHER AVLTASIQSRMNQIAPNLAALIGAETAAQFLNQTGGLLELAKIPSCNLGAQGSRRSEG LGFATNHGVRAQGFLYDSPLLQEVPLDLRKQGIRIVAAKMVLATRADVSNYAKDGSLG EELKQQCYTRLEKLTESAPNAGTKALPAPDDKPSRKRGGWRARKAKEAVAMTELRKAQ NRLAFGKEEAEVGYGTGSGTVGLGMLGQQDDGRIRATQIDQRTRARLSKSNKGWGTNT PASGTASSLRGFGQGGASGTASVLQARGIRASGVGSSLPGAAGTSSTIAFTPVQGLEL VDPKVQAELKRKRDAEENRWFKSGTFTQAPNQNPGNSQSGENGGFKVPAIPLKRKADT GEGKMGPPPKR PEX2_101440 MTITTATPVLDNAVPTTKSLPDKGKDQKVPSLTQLVHHSHVTTE FDPLRFEAAASLQLKLQLEKQYPETETDTRLIASPYNSVPHLLDISALERQDRLFALA LAYLKPIRDDYATAEYTESFNWTEVYDLLKRFSQAENHTWKSQSYYVVIFRSILLPDI DSDRLYDLDAHSHQEAIASGGLLKYWFGAKNDKHRNLATCIWRNRNDARLGGRGPWHA QARAAATVMYEEIRFTTLRLDIEDGVQSWKLSDWKEDA PEX2_101450 MVGVQVDNLAVNDGPLTTENATLLRPSEPTLPLEELRKRYEEDG YLLLKGILPRKDVLAARDAYFSSLESTGVLKPGTAPVEGIFDPAKDHLDYPGIGAGHV GGNGKPGGERAAAFVDLALDAHYQDWYAKEFCNHPALYDFIARFSGWGKNTMNLRRTL LRNNLPGSKPIGVHYDQIFLRYGDPTSITAWVPIGDVKLNGGGLIYLEDGDKIGIEME EAFFTKAKQAGLTDEEAKSAFNSNMMSTGLLSEFPTEFAREHGRRWMVSAYEAGDAVL HKPHMIHASTVNNDPDNIIRLATDLRFCDSSKPYDERWTNSYTFNDGV PEX2_101460 MPQVILRASWLSVLVVALLAVSIVNAHPIQQTDEKIGNGQVVKL ESRSPRLIPDTQKYIHSLLQGLGLEEPTATATSTATSTATSTATSTATPTATPTASTE TKQDTENMKPTQTTQIIYSSSTIYTPTYSGDSAGYTHTVKHVHSNDKPIENIQIGPGW NADKKLTTEDLPVVFDTLYKELEHRLKNAIDSSDEIGLDGLL PEX2_101470 MVNGVIRKPGQDRQFYFFSGLKYATIEIGSSFNDKLIKGQYFVR GEWSTFKEANWGSADAVVPVPGVPNSFYVFLGGHYFRAIIDPASQKDSMQYSGVKTIE SEWKPLVDAGFDTVDAAIPDPTNDDILFFFRGTKSLKYSYSQNKVVAGPKPITTYWPG IGKSGFESIDAIFKTPNSNSYYVFKGDQYARISWTGG PEX2_101480 MPSLLGKEVGSIGYGTMSAILPQPPQLADIMLTMKLGMTWNAQP PSLEVCFETLNTTLELGANFWNGGELYGTPEYNSLHLLNKYFTKYPENAEKVVLSVKG GLKKGELVPDGSEENIRRSVDECLRLLDGTKKLDIFECARQDSAFPVENTIKVLAEYV KEGKIGGIGLSEVDAETIRRAHKVHPIATVEVEMSLWSTDILQNDVAKTCAELDIPVI AYSPLGRGVLTGAVTSLADIPHDDIRHHMSRFQEDNFKHNLKLINEVNELATRKGVAP AQIALAWIRTQSGKPGMPTIIPIPGGTTKDKVVQNMGGAQALTDSEMAEIDAILEEHT VSGPRY PEX2_101490 MASRDSPGSPLSSVGSLETSDHESMKHESRAHSPSMSNLPPSKR RRTGVASWDRQTPLSSAQDENIPPPSPTASISSDSSGDIPNSPSILTLLGTNQEDDYS GQSTDQVTICRWDGCDAGDLGNMDGLVQHLHNEHIGSRQKRYSCEWTDCTRKGQTHAS AYALRAHMRSHTREKPFYCTLPECDRNFTRSDALTKHMRSVHETDTMRPIDSISKVHG TPASTTGTPASKLQRIRLKLTQPREPGTESEPHTEAITIVPAPITAETHDPEETSMPE FGPELDFDASELSLPPRDLYRLLRRQIAWAGKEATQLQAEWETIRPQRERAWREKEAI FDDLIDAEIGLFSAMVGSVPPAHLTTSLEKLQQQQQQFQNQQDQLAKSAEVPTIEGST PEX2_101500 MGRSLCLLKYKQTIDESRKLRALGDRKNTEHGASDDPKAPAYWN IYTVLKHTINPGGRLPYLVIATHCHFDHILGIGLLPPTDSGPQHQNVDQRTGPITAVL SSAHNKSHISPWKQDFVYSPSTSINVPTGITIFHTPGHTPDSLTWYDKDSRLLYVGDS FYETKSEDTKECQLEGEMRAPILFEERSHLLTWWRSLDKVLQFTKEQNAQLEHQYTRS GPPPRVAISASHVTTTSPDAEPFLFSIRAFMSRILRGEVKTTPPDSGVLPFKDVMVYD DGPQAAASKNFEWIVWAPKRTIEEGVWEIPREELS PEX2_101510 MESSGMVIPSAQEHPSTSTMSNGYPISPVLDDTHADGDAVTQRE RSPSEPMESHPVSESSPEPDAADDSYDGASADSDAEDEDAVEDNSDYDANTYSRENSS SPQPDRGAKRKSSPVSETDLIRQNPDLYGLRRSGRARTTRHLADSSDSDSDDVAPRTK RRRKDPSQQPSKASRSATVSSLSESDSDEYGGKSARASKARRRRLQQTTSYEPSLNEV RFSTRTAKKVSNYNEDDEEDEAMFEEDPEDLPPNYWAESYAEDTRPAVDVVLNHRLKE GIDSKSHDLGRNDFEFCIKWQDKSHYHATWESNESISNFRSTRRVDNYVRKVLNEDIR LQYSVDAPPEDREKWNLDRERDVEAIEDYKKVERVIGVRDGDDGITEYLVKWKRLFYD SCTWEPEDLVSEIAQREIDRFLDRTARQPISDKNEINVATRKSFEPIHGTPSFLQNGE LKDFQVKGLNFLAFNWVRGRNVVLADEMGLGKTVQTVSFINWMRHVRRQQGPFIVIVP LSTMPAWADTFDHWTPDLNYVVYNGSEKARSVLRDYELMVDGNPKRPKFHVLLTTYEY AMNDSPFLGQFKWQFMAVDEAHRLKNRDSQLYIKLFEWKCQARLLITGTPIQNNLAEL SALMDFLNPGLIEVDVDMDLNSEAASQKLAELTNAIQPYMLRRTKSKVESDLPPKTEK IIRVELSDVQLEYYKNILTKNYAALNDGNKGMKQSLLNIMMELKKASNHPFMFPNAEA KILEGSSRREDILRAMITSSGKMMLLDQLLRKLSVDGHRVLIFCQMVGMLNILSEYME YRGYKYQRLDGTIPSAARRLAIEHYNAPGSTDFAFLLSTRAGGLGINLMTADTVVLFD SDWNPQADLQAMARAHRIGQTRPVSVYRLVSKDTIEEEVIERARNKLLLEFITIQRGV TDKEASEMQNKMARVVAEPNSTDDISRILKRRGQKMFEQTDNQKKLEQLDIDSVLANA ELHQTEEAEQIQADGGEEFLKAFDFVDIKVDDLTWDDIIPKEQLEVIKAEEKRKDDDH YLAEVIEQNRPRKRHAPTDTTDSREERKAKRLARAQVSIDDGEEESASLDPKRPLGEK EYRALSRAFLRYGDLDDSEDLILEDARLQTRDRGTVRDALHEITDKANSLVQESLDQL EAMKQSGRNPTKKEQKAVLFDHHGVKRLNAWTIVERPPDMRLIRSIIKALADFRTFRL PEATKLADYSCQWGAREDGMLLVGIARHGFGAWTQIRDDTELGLGDKFFLEEHRVERK TQRLQTEEKATKSPGAVHLVRRAEYLMSVLRNKYNNNATARRAVDNHHRNNKRGSRVS GSGSVSASPAPSSSRRPENPRKGHRESDRSRPRPHTHGSREGDRHSTPSHDSRPRSGL DTDRPRHRLSDASNDELRRRKGHENGGSNKEDMAFMFFKPIVANLKQVSMITAKNYPS KNERAQKLRLTLVKIGEFIRNTLEGSQSMPSLEVRLWDYVSLNYWPNKDASGAKLQQM FNKVMEASKASKASNGSSA PEX2_101520 MSDKLTRIAIINSDKCKPKKCRQECKKSCPVVRTGKLCIEVDPT SKIAFISERLCIGCGICPKKCPFGAIHIINLPTNLETQVTHRYSANSFKLHRLPMPRP GQVLGLVGTNGIGKSTALKILSGKLKPNLGRYDNPPDWEEILKYFRGSDLQNYFTKIL EDNLKAVVKPQYVDQIPRAVKGPIQQVQQLISARAALGNEDEIMDVLELRQVAQRDIG HLSGGELQRFAIGLVCVQKADVYMFDEPSSYLDVKQRLAAARTIRSLLRPDDYVIVVE HDLSVLDYLSDFICVLYGRPAVYGVVTLPSSVREGINIFLDGHIPTENLRFREESLTF RLAEAGEEFIADKDRAFAYPSMEKTLGDFHLKVDAGKFTDSEIVVMMGENGTGKTTFC KMLAGALKPDGLISVPKMNISMKPQKITPKFTGTVRQLFFKRIKTAFLSPQFQTDVYK PLKMDDFIDQEVQNLSGGELQRVAIVLALGMPADIYLIDEPSAYLDSEQRIVAARVIK RFIMHTKKTAFIVEHDFIMATYLADRVIVFDGQPSVNARAQTPESLVGGCNRFLKSLD VTFRRDPNSYRPRINKYNSQMDQEQKLAGNFVSFLPHRWGLSIPCISALLTVFHSTSW KKRTEKGVHNEHRRRRHKHDGRDHEQRRKRRRGDWKIMWLMGIPGVCGASAVRGKGVR HRVGPSPGADDGLSDDDGDDDDANGNSDPG PEX2_101530 MDPTSNQGSAIWQQAQNADGRVYYYNIQTKATQWTKPQELMTPV ELALANQPWKEHTTDAGRKYWYHAETKESTWEMPAVYKDALAQAPAPQAAPAAPSFVA GGTSFSQPQQRDREDFDRGDRGDRGYGDRRGGYGSYETSGMVAAPEYKSQMDPDYHSL EEAEGAFMKLLKRHNVQPDWTWEATMRATIKDPQYRALKDPRDRKAAFEKYVVEVRMQ EKDRAKERFAKLRADFNTMLKRHPEIKYYSRWKTIRPIIEGETTFRSTNEEDERRQLF EEYILELKKAHVEQESVTHKAAMDELMDILGSLNLEPYTRWSEAHAIIQSDSKFQGDD KFKTLSNCDILTAFENHIKSLERAFNDARQQHKAARARKERKNREQFVALLKELRSQG KIKAGAKWMNICPIIKDDPRYHGILGQPGSTPLDLFWDMVEEEERALRGPRNDVLDVL DDKRYEVTAETSFDEFNSIMSADRRTSKIDPEILNLIFQRIQEKAIRRTEDEKHAADR QQRRAVDALRSRIKRLEPPVRVTDTWAEVQPRLEKYEEYKALESDELRESAFEKAIRR LKERDEDAERDREAHSQSRGGRRDYDRGDREYRSGRGERRGPSGQSNRTPEMDAYEAD RRKAQADRERTYRKVSGISPSRDRRDERGDRYRSRDRDFDRPRTRDPDRREDERERLY RTRGDPRGGRDELDYGGGTGGVDTRSVASGDRRRRRDSDTESVASRSAKRYRRDSRER ERSKGPRAPRRERSAIPEEETEDAKKDEKAIHSGSEEGEIEEE PEX2_101540 MPGLEIPGEFLRHAILDTVVPHAPTLDIEAALSSALENGADDLP SVLSSIPQRSLLFFETILKHYLPRLEVKLDVFAVDPAESVGENLTPTRDIIFSGIVGG NEDPLVVFNEFEGDEGNGNHVYLVWNIETILRRPRIRIQHPSLLFIASASLNPADARR QEDSEDDYLPSLVPASTNMLQSLSTGKSFNHKEPFLPASRLLRVVPTTYNEEPIYNIQ QQHGHPFRVVPAASARIRYSRLSSYTAIPMTVASLDFEVTPYLACEVSFDKAKLHLSE GTIESLSDTPGLTLPITCRPRDDVTLVYKLTPEYGPETNPSSTALVSTLDISLEAIIL VDDDCKPRISMQWKTNVDFSVALNPTYGGPSPALQRTNRPTSLSVSTQVGVTPSHRSS LRERAYSVTDVGITISFSGPVRVQVGSVFSWDIFIVNRSSVPRKFALIAIPKRKRVDP RGHVARPSSSSITSRKEDQVAEAVTDDNVVHARQKSVAGQEAELISLSTDIRVGPLLP GTCHSTELKLLPLATGPLHLEAIRLVDVNTNETTDIRDLPDILADDQPRSS PEX2_101550 MVALKQFLQLGCMLVAVNADCNKKQQPVVDLGYEIYQATGANSS NAESITCAQGAATWQIRTSQYLNNYMKTGNVPNVSYSDLSAISSGGVEDCLFLDVQVP RKILDSPGKDRKLSPVLVWIHGGGFTSGSKNSFGSSKGLIDRSQVDGSDGVVYVALNY RLGAFGFLSGSSFEAEDGVLNAGLYDQRLALQWIQDNIYRFGGDKNQVTVFGESAGGG SIMHHITAYGGAAPALFNRAVPQSPAYFPYRSPHNQEKTFKDFLTQANVTSLAEARQL PSDVLVLANSNSIGVSHPYASAVYGPTPDGSLVKVDPKVLLRRGEYDQSVNMLISHNK DEGLVLVPTVHTNKEYEKLMKNILTRAKHSTIDHITKTLYPPVFDGSKGYTNNYQRAA LTAGDIFINCNAAALNSAFKNDSHAYFFNVSPSVHAQDTGYTFYTPNEKPSSYSLIDT GAVNQTVAFAVQDYILSLAKHGIVNSPVDGLSSIPAFGSQAKTVRLTADNITISRDPV INPRCQWWSLDLFD PEX2_101560 MSRAGQITDVSPLGRPLKFSFSGRTAPNRFLKGAMSERLASWSQ DDLSARGIPSDELVQAYTVWGQGQIGVILTGNVMIDPEQLETEGNLIIPTNAPFSGER FEQYRRLAAGATANGSLIMAQVSHPGRQTPYHLQPHPISASDVQLGGEVLGNTYGVPR AATEEDIEGVIDGFVHAAEYLDRAGYDGIQLHAAHGYLLSQFLSETTNFRKDKYGGSL TNRMRIILEIREAIARRVRPDFSIGIKVNSVEFQPNGFQPEEARELCQTLEKHEFDFV ELSGGTYENWKMNDEAKRDSTKKREAFFLDFAQMIVSSLTKTKSYLTGGFRSAEGMVK ARELLDGVGLARPLCQEPFLCRDILSGKVPGALVPLINQYDFGLAAVAACIQIRQMGN NYAPIDLSNQNWADAVTAAVAQWAERKQTDRSEAAVQPPVIAGYSVPLTRAA PEX2_101570 MICSAKRKVLDGLNKRYIYGRVPLLHTIIFLIEMAVAARLAAKF NTYYAERPVLTTMVTNAVLGGVADTVAQLITAFRTRRPQTGGDDFLSIEIPDFDKNKP LAVGELGYIRTTSPQFDFERLTRFMAYGFLMAPLQFQWFGFLSRAFPLTKKNPTAPAF KRVAFDQLIFAPFGLACFFTYMTIAEGGGKRALTHKFRDVYLPTLKANFVLWPAVQIL NFRVIPIQFQIPFVSTVGIAWTAYLSLTNSSDES PEX2_101580 MSLSNKLPVTDVDLKGKRVLIRVDFNVPLDENKNVTNPQRIVGA LPTIKYAIENGAKAVVLMSHLGRPDGKVNPKYSLKPVVPVLEKLLGKSVTFTEDCVGP QTEETVNKASDGQVILLENLRFHAEEEGSSKDAEGKKVKADKADVEAFRKGLTALGDV YVNDAFGTAHRAHSSMVGVDLPQKAAGFLVKKELEYFAKALESPARPFLAILGGAKVS DKIQLIDNLLPKVNSLIITGGMAFTFKKTLENVKIGNSLFDEAGSKIVGEIVEKAKKY NVEIVLPVDYVTADKFSADATVGAATDATGIPDGFMGLDVGPESVKLYQKTIAEAKTI LWNGPPGVFELKPFAAATEATLDAAVKAAESGSIVIIGGGDTATVAAKYKVEDKISHV STGGGASLELLEGKELPGVAALSSK PEX2_101590 MSNPTTQKADATEQAPPQAKPQVLEEDDEFEDFPVEGIDQALKR FPGATKLTLRHPDWPQEEAEQANGSTANDGSEHLWEESWDDDDAAEDFSKQLQ PEX2_101600 MLRVGSWLYGKKPAQSVDSLVELKDLHEAMTAATLILNDDVDGA ESGLSTGTSSFHNLGKGVVAFVRATLGFEQEIMRQASERLYEAETSAANDQTRSQQNA QAPNAFHSDIYAAGTEYALCQAIAQLMSAVVGVLNESLTESIKAFYRLRKAYIALDAI LKMEEKFMEQRDLRKTLLPTASDLSISSAACPDTKSESSSLASKKGEKVATVEQTELS SSMNGLAVSPRAVSPNAVTGTSTPVKHIHHDPDSDIFKNEIDVFIHSGANFCFGIILL LISMVPPAFSKLLSIIGFHGDKQRGLRMLWQASKFHNFIGAMAALALLGYYNGFVRYC DIMPDPTPGETDVEGYPQERLSALLAEMRSRFPNSQLWLIEESRMLGANKDLGKALDL LSTDKKSPLKQVEALCVFEKSLNALFLHRYDVCAEAFIECVDLNSWSRSLYYYIAGSC HVALYRQSLNDPKLAEQHAKKATLYMRKAPEFAGKKKFMARQLPFDIFVTRRIAKWEA RAKEWNVSLVDAIGVDPIEEMIFFWNGHSRMTNEQLEESLARLKWCESENNKTWSREG IEEKAILELLRAAILRSLHRHDEARKILLTRVLNHDKSLFKGHLKDDWVHPVAHFEMA ANFWMERPTYQALHGITASPKASAGSGEMSTVTERKQVSECKGYLDHAARWESYELDA RVGIKVTAGMEAVHKWETMHPTV PEX2_101610 MVSRIRSREPGQTAKFSHPLTHTKTSPDVIVDFEGPDDPYRPLN WSFRKKAITTVLYGLTTMGSTWASSIYSTGQAEISKEFGVSSEVATLGTSLLLFGLGL GPLIWAPLSELYGRKTAVLPPYFLAAIFSFATATAKDVQTIMITRFFTGFFGSAPVTN TGGVLSDIWTPEQRGAAIVGYAMAVVGGPVIGPIAGGAIVQSNLGWRWTEYITGIMML FFLAMDLLFVDESYPPVLLVYKAQRLRFESGNWALHARHEEWDVTLKEIGNKYLIRPF QLLATPICFLVALYASFVYGILYLSLAAFPIVFQEIRGWNQVVGALPFLAYLVGILMG GGINLANQKFYIKRFKANNNRPVPEARLPPMMLGSVLFAGGMFVFGWTSPKDIHWICP NIGAVMMGFGFFTIFQAALNYLIDTFQKYSASAIAANTFLRSMFAGCFPLFTTAMFHN LGVPWAASLLGFISVALIPIPYLFYKFGKRIRARGTWSRDSV PEX2_101620 MAQSTKCYLPRFASTVDGPKRPVSMKTRPTAAQHAQLLTAWNEG RLDPMLRATWALLLHYYIRSEDICFGYQHLEGDSRSPKSSVQRSTGVNISTIRISINE NDSPTAIVDKVRADSANHQVNGSSEAASEGYLPFNTIFMLRTYDPPASSSKPILATAL PDECQVRLHVKVLRGDISIFLEWCKGDMSGEQMKSIANIFEQLLAKVLSAENTAISQL NLFSENDWQRIHKWNSTPPELHDRCIHEAIHDQMLSGPDREAGVGPEVRVALCFDKSK WNIVAMLGVMKAGGAFVPLDPTHPTSRLQALIQSVQAPVVLCSQHLSDKLRPIAKTSI PICDDTLDPLSDPTESVNLASGVTSQNAAYVLFTSGSTGEPKGTLLEHRAFLSGAMVH GPGLRIYRESRCLQFAAHTFDASLAESLTPLIHGACVCIPSEEARLNDIVTTINEMRV TQACFTPSFIGFIEIESVPGLESLVLAGEAMSQSQLATWSKIKLVNGYGPTEASVASV LNSNVTPDTDCKDIGLPIGVRTWLVNPDNHDELVPVGCPGELLLEGPPLARCYVNNPQ KTNESFIFDPAWTTWDPESGSNRRFYKTGDLVRYNSDTGALNYIGRKDTQVKVHGQRI ELGEIENQLSKDLNVTHCSVFFPKTGFSKGRLAAVVSSTGLLAEQSDSDLEPLRLVSA SKKAELVPGIRERLSARLPTYMVPAVWLCVEALPLLPSGKLDRKGISTWVAGMENDPD LQNNASVAIVSTEASQPANDREEALAAVYSRVLNIPLNQLDLNEGFLALGGDSIAAMT CIGLCKKRGFSLSVQELLRSKSIRDLATRAKTIDHLTTYEEAVDETFNLSPIQILHFG VRKEGQGHFNQGILTRLNKPVDERTLRKAVETLVSRHSMLRARFTDTGFATASSQHIT RDIKGSYRWRMHTLGSMDEVKSHVADSQSSINCFSGPLLAVDYFLVKGQPNVLSMTAH HLVVDIVSWRIILEDLEDIILNPEKTGTSDGSLPFQTWCRLQNEHCKTLRVEHKVATD ALAATDFGYWGLKDTQTTYGDVDCASFEIDQGHTNAILLECHKALGTEPIDLFLAAML HSFGRAFPDRSLPTIYNEGHGREVWDPSIDISHTVGWFTILHPVFVSAYKSDNPIDTL IQVKDLRRRVSDNGRADFTSRVLPGQDNQESEHPHPFEMSFNYVGQHRDLQRQDGLFQ LVDQMAGEAGQGGGAADFGTDTPRFGLFEISAMVVAGKVRFNFSFNKFMQHQNRIHRW VSECQELLVTLAEQLPTLAPRLTLSSFPMLSLTYPILDKLLNDKLPAIGIESPDLVED IYPCSRMQQGILLGRKRDSSYYAVHDTFEIKATGSSEPNLDRLVNAWQQVVSRHAMFR TIFVENLTPRDPFCQVVLKSYDATPVFLQSPGESDVLATFDKEDPKDYSESAPAYRFT ICQTPSGRLFARIEMSHAAMDGNSISIILRDLQLAYAGRLEESPQPLFKDYMEYLQDA PKEASINYWRSYLDQAKPCHFPALTDGQQSAKALRSIPVNFGALNELQNVCEKRGITL ANVFNAAWGLTLRAFCGSDDVSFSYMASLRDVAAEGVQWVIGPVINLLVCRMKVADDA KLSDVLHQIQNDYLESLPYRHTSLIDIQHALKLSDTNLFNSGVSYRRLPSAKDAVSSD IECVEVGTIHDPAEFPVFINIEAMDTTARIDLNYWTTNLSDAQATNVANTYLRCLENI VSNIDGEIRQLDTLSEENRAQILAWNSKTPKPFEKCAHHVVQEMAKKRPDALAVTAWD GNLTYSKLDQFSSRLASYLITFGVGPGTLIPVCFEKSVWNIVSTLAIMKAGGGCIPVD TPESLALVEKWIADNVVQVALASPEKAQILEDAVPYVIPVSESLLEYLADEVLKPVAQ PSDIAYIALTAGTSGPAKSVVLDHTTVMTRAEAFATTMAIADVSRTLQFAPHTSDAFI VEAFGTFMWGGCICIPADIDPVNLATSINVFHVNVASITPTAASFFSPKDVPGLRSIA LGGEVVSQSVLDHWQTDDLQLQVLYGTCESSATSFHVFCSQDENEAALIGKSTSCVSW VVDPSNHNCLVPIGSVGELVLEGPILARGYLDNQSAESDKFFNNASWISGQSEGAKHR LFKSGDLVRYNSDGSLVFVGRKNDKNESALASELIQTQARVDSFLDHKRMCVVEKIQL QSAGVKTNALVAFVVSSDTNQVTFGDRVIQSSTPQLKEAICSLHGNLSSSLPGSKVPS FYIPVSSLPLTASGKLNRQALKIETQALSSSALDAFDIKRWNGSKAGHRVSRHASLSE ANIAFWKEYLADVEPCVFPALSHEASDNGRSTRTLNKQTAKIHAFSKLSGLPVETLFQ FAWAVVLRWYTGSDDVCFGYSAPSSETKLATEGITTCRFVVQNEQKLQETLEKAKKDS ETSALNVASLDAVKHQLGLDDIRLFNTSLIYRTASNLPKGSSREPTSSSPYQILVEAE VSHSHAQIHFNYTPETLSSFQVNHVMDMFDQVLDDLIAHNLQNRTVGDINVFPERSVR QIRDWNAASPPRVEKCADELIQQQALLHPRAEAVCSWDKNFTYGQLEIVSSRLARHLS SLGIKPETFVVLCFEKSAWAVVAQLAVLKAGGAFVSIDPSHPDSRLKMLIDEIGTDLV LCSSSLHTKVSKLCEKSFAVCQTSISQLSDSPLALPGVRSTPENAAYAIFTSGTTGKP KATVVEHTALSTTAIAMIDLLHMNSTTRALQFSNYTFDVSIMEIMMILMTGGCVCIPS EEERMNNLGGAIRRMEVTYISATPAIVNTLEPKNVPSLKIIITGGEKMPANHIDRWAD RCVINAYGPSEATVVATVGVKVDWDGNRVNDDPTSIGTSSNCRAWIVDPNNFNRLLPV GAVGELILEGSNIARGYLANEEKTKAAFFEKPAWNRHAGFQGVFKRNDRMYRTGDLVR YNSDGSLAFISRKDTQIKFNGQRIELEEIEQQCLSCLPEDSQVAVDVVVPEERTIAKG LAAFFTVHDPESNGGSSDQFAPTIPGADPLLLPISVSNMDAIQKLKSSLPGLLPQSMM PRLFFPIHNLPFTSSGKIDRRRLRAMVQSLSKETLKSYITFDNSDKRDVSSATALETK LVALVEKTLELEAGSVTADDSFFGLGGDSLSAMNLVGAAQAEGIALTVSSIFNSPILI DMAKTCVMSDGAAEVKKENIKTFSLLPSGVSLESLIDEVTDNCEVSKDLISDIYPCSA VQEGLLTLSIKHHGAYVAQPSFRLAPGIDVGKFKQAWQQTVADLEILRTRIVHTEAMN FAQVVLKDGPISWVEAESFDALPNDILHLPKQNGAPLTGYAMVQPRGSSDSYFVWSVH HALYDGWSIPLVFRKVEQNYFASQTTRGGTPYSLFIDYLMKKDMEESDAFWKSYLTDL SSTPFPHNKNAVADAMRAGNTQHHSITISRSANSVDFTIPVLMRAAWAIVIATHTASG DVCFGETLSGRNINLPGVADIAGPVLTTVPTRVQVDNAMLTMEYLQKIHQSTTEMIPH LHHGLQRIRQLNKDTATGCEFKNLLVIQPGDGELDNKIWIDEKRETSEDFFTHPLVVE CGVTKTSISFTVHHDELVINGWQTKRITEQFAHVLQQLIALPKNSTSNLGDLEVISPE DKAEIGTWNQRIPLTVERTVQDFIREKCDETPNAQAVCAWDGDLTYREFWDLASGFAN YLVSRGVGPEVFVPVCLDKSAWAMVTLISVLIAGGGYVPLDPSHPTSRHEEILADVGA NMILCTPNYTNRYSRVVKTVIPISKETIKAYGSLKSSIRRNTQVKPSNMAYALFTSGS TGRAKGIIVEHRNVVSSIMAFAPWVRMDETSRVFQFASLTFDAAVMETLAILMLGGTI CVPSEDDRLNDVAGAIRRLNVTWTFLTPSIASIIEPTSVPSLKHLVCGGEKMSNEVIT KWANSVHLMNGYGPTETCVFAVIDNAVATNRDPGRIGYGIPSTLTWIVDPDNHDRLTP LGAVGELALEGAPLAREYLKNPEKNAEAFTTDPAWIKDFTPAVPGPRRIYKTGDLCYY NPDGSVQYISRKDHQVKLHGQRMELGEIEHRLSEDTLTRHAVVVLPKNGPLKQRLVTV MSLNSVAADTKLISDKPCELVDEDELERHAYNELVEVQRSLENQLPIYMVPQTWALIK KLPMLVSGKLDRKKITAWVEDIDDVSYDRIMADYDRIKRGKTEEKPQEKEEKNASLEI VRDIFAQVLNISLQKVNVDRSFVSLGGDSITGMAVISRARKQGLVLTLHDILQSRSVK ELAQTASSKAPVARREEKSGEGFALSPVQRLYFQSSDSFKGAARFNQSITVRIARRCE GEVLKRALKAVISQHAMFRTRFSKSSDGTWQQKTGSEVDESFRFRVHSVGDTRAMVPK IADSQTCLDPLNGPILAADLFNLRTGGQVLFLVAHHLCIDMVSWRIVLQELEELVVSG SLSLEKALSFQSWCAMQTERTNTNDSTIALPFTPEKPNLLYWGMQDSPNVYGDIKMES FTLSEDTTQFILDGCHNVFRTDTVDILLSAIIHSFGRTFTDRKVPTIYNEGHGREPWE ADIDLSRTVGWFTTMAPLSVDSDARKFTIPHVADRKLTQKGALNDIIKRVKDTRRKIA DNGRPYFAKSLLHDQAADFPVPLEILFNYLGKMQQLERADSLFHHHGSVFDSSDFAVA GDMGPQTARFALFEVSAIVIKDRLNVAFTYNNKMQHESSIRRWILQCKQTLEKDILAL KTATPEPTLSDYPLLPINYHGLQMLTASTFRKAGIRNKDDVEDIYPCSPMQEGLLLSQ LRDPNAYMFHTVFEIKDAKSGKVNAEGVARAWQALVDRHPVLRTIFIDSNYTGGSFDQ LVLKNLSDNILRVECQDSQVEEKLAAISLRDRNAMRQSKLSHQLTVCKTNTGRVILKL EINHAIIDGGSVDVLLRDLTLAYERKISEGSGPRFSDYIKFIRTQSQSDALSHWNQYL GGVHPCHLAPSAVFEAKRELKGVMMNFQRFPELLKFCERSSVTLANLTLSAWAIVLRQ FTASDDVCFGYLSAGRDAPVDGIQDMVGIFINMLCCRVQFTDQQTLTDVSKNVQDDYV RCIPHQSCSLASIQHELGWQGQSLFNTTLSIQNHSVAGGAKDKGLSFDLQHAHDPSEY AVTVNVEIARGQEGILLRYWNDVVSDEEAQALADTIAKVFTGFIESPAATLSQSTFTS EAEPESLDWDRSQTTLADKAKSTGEAIDGSAIQKIIDERVHEIIAQMLREGKLTVPPI RTEGLSSYGDHIDAIPHSPYQLGIQGADDSGVCSATSRSSEDGMSADVERKLWNLWST ALGLSPNVVRHQDSFFKLGGDSITAMKMVSAAREEGLVLTVADVFNNPVFEDMLTTVR AANVTQQMLNVDLKSGGHREADSDLLNITPTTLGPSPSTESLEYLRPSCVDDAAVQSG ICPKIGVFKGGIADVLPVTDFQAMSLTATLFKSRWMLNYFYLEGNGPLDLRRLRESCL KVVDAFDILRTVFVCFHDQFFQVVLRKIRPSIFVYETDRALDEFTMTLQQRDREYGPR EGEQYVQFYVVKKKGSDQHRILIRLSHTQYDGVCLPRIMSAIKHGYEGTPLPPVTPFA GYLRQLPGTITPDHYRHWSDLLKGSQMTQVVHRKGTSMFQNVGAFTEIHRKIEISPTA LGNVTIATVMQAAWALTLAKLSAQSDVVFGLTISGRNATVPGIESTVGPCVNVIPVRV KLDEKWTAVDFFRYLQDQQISNMPFESLGFREIIKQCTDWPDWTYFTTSVFHQNVDYE GTIELDNNKYRMGGVGVNDNLADLTMVSRPSGERGLDVTLGYSEKGPVMPSFASKVLD MACEIAQSLVANPTASLPSASMLRSLPPQTIDDPSRPSDEHFLSAHLKSRSIAELLVH SDILSRSWHQVLPSRTPTAPVDPDADDKPAHQPNFQLDSSFFDLGGDVFNLAQLTWLL EQEGLKVRLEDLIEHPSFLGQMAVLALHNAKHQDDIPTELLATGAASPDPVARIEKKK TWSKAMTIARKLTGRNTVTTRA PEX2_101630 MQPPDAAQSLQWAAWLALLGLQIAQTALWALPAADSTKASVPAN VILTIGILALVLLSYAEHMRSARPSFILNTYLFCSLLFDIARSRTLWLRSVDTFNNIL AIIATVAVGVKVLLFVLEAVEKRHILKSEYTGYPPEATAGFYNRAVFWWLNPLFKIGF TGVLRVEDLFSLDKELSSERLLALFDEKWSKLTTKSPNTLQWESLKAVKWPLLAGVPA RACVVAFNFCQPLLLERSLSFFNSPVNKSTESIGYGLIGAYIMVYGGLAVSMGQYQHL TYRGITMVRGVLVTMLYKKASCLSLGETDPANSLTLMSADVERITQGWATIHEIWANS VEIALAIYLLKIQLGVSCVVPVCVALFALVGSLVGMSFVVARQAKWLEAIEKRISSTS GMLGSIKGVKMLGLQNSFMKFIHGLRISELDISKKFRTLLVYNMAFGWLTRIFAPIFT FGVYVGISSDPLSVSRAFTSLSLFSLLADPLLTLVMALMSFAGAVGSFQRIQQFLDKE DHVDSRNSSSQTTFLHDLAEKRALSEAKDLDLSSIGSDSVESLKRSSAPPSSNAVVVQ SGNFGWEADKDPILTDINITIPLGSFTVLIGPSGCGKSTLLKALLGEIPCSDGKVALA SSSVAYCDQTPWHMNGTIKDSIVAMSDYDPQWYASVIWACALEEDLTQFPRGDAAVIG SKGIALSGGQSQRIALARAVYARRKIMILDDALSGLDATTENHIFHSLFGPRGLLKEI EASVIVASSSVKRLPYSDHIVVLEAGGRICEQGSFASLSKTGGYVASFGLGLPDWEQN PKRFSDSHSYSSSMDSIQKEKETLIEEPENHDSGGDLGIYTYYINAIGWVPAIVFMVA MAGFVFCISFPSIWVKWWAQSDTAHPKQEIGYYLGIYVMLGCIAMLALILGCWQMIIT MVPKSGESFHRSLLTTVLAAPMLFFSTTDSGSILNRFSQDLQLIDMELPIAAINTVAT FFLCLAQMALIGVSSKYAAISFPIVLGILFLIQKMYLRTSRQLRYLDIEAKAPLYSHF TDCLQGLVTLRAFGWQHAMEKKNIALLDHSQRPFYFMFAIQRWLTLSLDMVVAGIAVL LIVLVVALRGSTLSAGYVGVALLNVIQFSQSIKLLVTFWTNLETHIGSIQRIKDFTST VESEDKPGEDQDVPPNWPSKGAVAFHSVSAAYRPSEPVLNDVSLTVQAGEKVGICGRT GSGKTSMIMSIFRMMELTSGIITVDGVDISRLPRREIRSRINGVSQDSLLFKGSVRLN ADPTGNHTDRDILAALKSVQLLPAIQEKGNLDTDVDEIHLSHGQKQLFCLARALLRPG NILILDEATSNIDTKTDEIMQRVIREKFCNHTIIAVAHKLDTILDFDRIVVLDAGRIV ENGEPYALLTEPKSHFSKLYASAMATEESD PEX2_101640 MAKIYKDSRVDLRPYSPTTVANIQIPTQETAIRRPRFSISSSDQ ELPIAKDEDEFARRHLATQGEIYFRKRRVYPRTFLWRVINDNKVLEIQCADLTKGGME VYEYNVTLRLDFQEQILPFGVELSDSEDHELINVFVITASKHLHTLSLRPEFFRRTSS IDENVRDWCKTCLPAPLAFSNPHRLHASSPLELFISLDNGALLRLTRRAGDDGSHWSP LTFDERTWGSSIRGLVKWNAPSSIKHDGRNLDLNVANAIATTSDETYVFAICLNHTLK IWNLATNKLAATKDLLGRSLQDPDLVPYTLNPAETSFMRVFNAERAMDGGHRFYVVTY SPFEDGKFKFWAVKGGLTSELIIEELFADDVFRPVDPDATGNMFWNIADFQVKSREEG KGMELWVLWRNHGLYQLYTLHFNLQTLVTDWASNWVSTTFETHRQELPPPLVVDDVVD PTEKWLQYLLQPNRYLPEVLETALAVYQEAIKPLSSTSSGGLKKSLPLAEQLCSTIAA AVSLRKFADEEMDYSRYTSDTDSKWRQFWQVAEDLNKRRFEPISLAYDSFTDMPWLLL SDSCAVVRECSITELFLHNSSSELSDGVPKMVDRWRHRNVSRELGPNFETASGLLKVA SDFRKRFSPELEGACRAALQAEIFSEPSSSILDRMENFRERSDFANQISNKSFDTLDA ALSEHMRTDCLSMDAFLAIIQTASLHFCGEDSELASTCFGARVLVSGAQETISISRQI FFDLLILVTFVDGEWEQTSKSDFDASDLFSMLVDLLREYEMMAWLSSNVRKCPDSASK SRVDPMQRYPLKDSSKTSSQRTASILEDLFVTDIKPQQAIDSPQSYTLTLGIRDVVAW ITREGEVNYQNAVAHIQCDLIAKNNIDLAWDFLRFQASTSWSTYVKGRLHVAMSEFDT AAIYFRKAAYLLSCGKPMGNLSDMSAELLDLLDVDCFHNGLAKYYQHILSIFEKVRSY SHVADFASLALQALDSEVWAEQDSEYPTVRDDLLSRLFTGALKTCQFDQAYSALARLQ DLKLQRTELSELISTILAVSGPGTAGLKQILRFPTSLVPNIASFIDEILVHLTRKQTT NVSWLDADNKIFPATPDYTRILQAYRIARSDYRGAAEIAYRNVQRLRKARDAPSSALT LKGREADDAARSPVEEDDAESKEIRHELLSLINLLACVDKSEAYILVENGPPISAAPV SPHERRPSTQADEDGNVSMEDVDAASPTPLGGKRRPSNAVAFAPTHGRGSSKSSAIER RNSIVSFEVPATNHLLKRRIIVTLEHLRREFQSELDRVSRIERGDWEFGLDEEKDVDN DETMVL PEX2_101650 MAFWVGWELWEKLSVVLALLIVLVLFYAGCVLGWNRWMMIKYAE TEAKEREREAEVYPMLHKDDIPFGARALERGVEVQGIWVSPTQSPCQPATPVASEPAS PAPGSLYRIADTPSSSVESPSSLISPKPMPPAARREVVSELDLASAGFIYEDHRPAGL HSRSSLPINPNAMRMSPAREELMIGLKDTAGSGKRVSFHTRLFGAASSPDTKDYRGGL DGADEDMDYVSAISESSSGFSSENKRSSRSMKRLRRRSSEEFRRRMSQIFNDNVEVGL PADQLEFNPALRQYQRRMEGEEQFEDNGLPGPGAPTPLSALDGVSGLTARDIKLFVDA GYNTVESVAYTPKRLLEQIKGISEQKATKILVEASKLVPMGFTTATEMHARRSELISI TTGSKRLDTLLGGGVETGSITEIFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCLYI DTEGTFRPVRLLAVAQRYGLVGEEVLDNVAYARAYNSDHQLQLLNQASQMMCETRFSL LVVDSATSLYRTDFNGRGELASRQTHLAKFMRTLQRLADEFGIAVVITNQVVAQVDGG PSAMFNPDPKKPIGGNIIAHASTTRLSLKKGRGETRICKIYDSPCLPESDCLFAINDN GIGDPSEKDLEDK PEX2_101660 MGWSPRWKVMLRGIFNAMISIHILLSLLFAHIATAQSGRSFGDS HGHSLNKRAPLAPDGDGICYTYTIQEGDTCAKLAQRYQVTTSNIETWNVGSWGWPGCA KIKQGDFVCLSSGALPMPVALPNAVCGPQVPGTRRPIKYSDLASLNPCPSTQCCAISG QCGTLSNFCDVSPLGSCIFNCGPKSTTKSAASKTTTTSNPTTTSKTTITSKPTTTSKP TTISKTTKAVMVTLTSIQMVPPPDKTTASVAPTATWQITIYEKGGCKGDYYSAQGHED QIVGGCIVLADNTDTKISDTTTSCRWWSDGGLNWGTCASSKLVNARSFFIKSGKCVIY SGKKCQNEDWVGETYGAFKGCQDGNTGYLSPRKDAKWGSLQCFEYKSYTT PEX2_101670 MKFSATILSAALLTTSAIAAPLTEQRAARKAARAASRAERISRP AYKPDTKELLKVNGTTQEEYSSNWAGAVLIGSKYTSVTGEFTVPKPKLPSGASSGEQY CASAWVGIDGDTCDTAILQTGIDFCIQGSEVSYDSWYEWYPDYAYDFSGISISTGDVI KLTVTAKSKNSGSAVIENLTSGTSVTHKFSGVDDGDLCETNAEWIVEDFESGGSLVPF ANFGTVTFSNAEAVSGSSTVGPSGSTIMDIKQSGKVLTESSASSGSVTVSYA PEX2_101680 MYDANMEGNTQDRFDPTKGGEQVIPVLATKKAVSSWVHLLAGAS GGMATAIVTSPLDVLRTRLQSDFYQPQNRGSDASQQSNQTSRPLQRSNHKTLRIISSI YRAEGWRAFFRGLGPSMAGVVPATAIKFYVYGNCKRVGAQLMGHTEDSALIHAQAAIC AGLATSTATNPIWLVKTRLQLDKTQTHAGGASTRRYRNSIDCIRQVMRTEGLGGFYRG LSASYLGSIETALHLVLYEQLKTRLNRSLEATEGPRTPFWNEVFHWVSTSGAASSAKL VAGLITYPHEVIRTRLRQAPVEHGQPKYTGLVQCFRTIAKEEGMAGLYGGLAPHMLRS LPSAIITLGVYEFVLRVTGA PEX2_101690 MWSFRQCVVFGMIFASGTLIGYDSGYLNGVLGSEDFIDRYGITD DSTGARYLKPETRSLFTSILAVGTMLGSVLAFITGNRIGRKGSLILAAIVYAIGVIMQ TVAPPPAAFSIGRIFLGAALGIISVVSPMYLVESSNGNTRGRLVSFYTLFLTCGNVLA CGISMGSSKLSGANTWRITIAFQLFLALVVFMGAIVAPESPVLLLKKGKPEEARISLA VLRNIRMNSEEMSQEYEEITSWVAEQSTHSSVQLIECFQGSNLRRQLLGVCMAILTIS TGITFWFGYGTTFFQQAGVSNSYLISLILALVNAIFTVLSTFLVEKVGRRSCLLWGGM IMGVAMLVPAVINMVSPGTTSGHNSLIAGTVIFIAAYAATWGSIGWIIMTEPYSQRLR LHQSTITMLVYWLSTWAIGFVTPYLVDATAADLGIKVCFIWLAMVVISIVWAYFYVPE LSGLSGADMDLLFEAGIPAWKSKKWSTLNGCGTEIVPEMESNVTKC PEX2_101700 MSHLKFYAYEGAGQQKQRDFWYSQAVRVGDRIECAGQGGWDPKT GEFELEINAQIDLAFANVERCLKDAGGEGWSQVYRFNSYHVPINNEALAAMVRNFKKY MPDHQPIWTCVGVPRLGEDDMRIEIEVVAHDPNGSKVADSS PEX2_101710 MPSTQSKGDENRGTAPRSLVHLLQARIKELEDILWLHSIDANTP VSDHTGRSNIAVNGPPANLAAPAVSHQVQAKFDAPLRTNGHLNFDDYGEAFYFGSSSG RAELLQPTEGGDEEPSLSLDTCPRFPHNMYSQAVDSTLEISKELQDHLVSLYFEWEQP WFQLVDETLFRESWQENGRWCNPLLLNCIFAMGSRYSDRTEVRSNPEDLNTAGQLFLE LAEVLLHFDLKSPSIITIQSLAMMAILYVATGSDAKGWLRHGMAIRLGSGLHHAGLSI NGEPSATSFYTRWSFTYFIELCEWTYTRIAPSRALHPVPNTRKDIDQALCA PEX2_101720 MLATLTPCVSLGGKISGDPFNSTVTSNGDLKRNATAALRIHDTL EPDSNLDKESLQYDDNLSTSTIAESPEMPGLPFPLIQRAVLTPEMGENLNLVLRKIAV REPDNGEAVIQILYSGICRSDASFSIGPGAGYPKQNHIAGHEGIGRVIKSHDPFIIGR TFGIRYLGKSCGSCTYCLRGLFTSCPSQLNVPKHITGTFQEYATVPTSCLVPLPDAFL RGDVDLALYTAALCSGSTALVSVRAAKPSLGDVVIVVGVAGAIGHLTGAIAKQIFGAR VIGIDLKSKIDVIQSQDYGDYSDILLSAPETNAGDAWSDFHSMLMQSCEKLRRNYGLR RAAEAVIVASSSFSAFQRLDEYVYDGGRIVCVG PEX2_101730 MSSSSDRAPVRDQAEDDAWFPSPYSLSQYTSPKTDFDGANYPHP YKGDKWKVLLIGSQERYLEMAGGKFFSTGNHPVEMLLPMYHLDAAGFDIDIATLSGDP VKFEMWAFPQEDKAVKEIYEKYKTKIRKPLNLEDVWGKGFTQETPYLAVFVPGGHGAL NGIPFSATVGKVLRWAHENQRFLVTLCHGPVCMLAADIDKPEGSKFIYDGYKIDVFPD SLDQGANVDIGYIPGKMNWYVGERLSQLGVTPLNRGITGETHQDRLVLTGDSPLASNN LGKLAAETLLKEVSRLS PEX2_101740 MADHNTYLQYKRDERHLVYWIIHASAHIIKKFPSHNTQDINLTG AISLSTLKSLSQLIAKHIDPIPTTIFRLFASVIDARKRTQRLYIQTTTSDPDPEIQKS NVSHQHWIEGLSEAFNLLGGETWQEGKKDAPDTFDEDEDAEDGAEEDTGIPEAAMHQK ARRSTQKPKKKGNQSKRGRKPKTKGKTAESASVSDLQEIPLESYRIIEGETGMITDYL MAVYSITEQLIRLRHELQGAWYSVAYQGMNTAVASSLCKVAIGMIKDAQLQIFVEFPG HDSFDTVMQTMTRRDPDKAKGMFGLAVTRLNPDGTLEQTPQSDIDVREEFLMYTYQDL FDFITDYQKTRSGKPTKAMLKCIQDWDPYLNLLRATKEQRLKWRRAFTINWLYDLVNV FSSVAVQRRTMRGQNIPLETVDWSRAGPWNEHRRLFGINEFAGDITHLAVQKPGTDIK SKILPHHVFQLQCIVESLTVSRGWSISVLTGHVLKPAAKGFRPRRDVDLFMDRDNKRF AKGFCSSVEILSQLFDEDAMIQGEPNRNRSIKELMSEFLMDFVDWLGESKYMHGLTAI PPSRFSNTNSNGLWEYCPFLCGAGLSEALELTHSMAMRIWGSVPEPICVSHLHNMLVQ KGLLSRPVGLWSSVAQLFQSAFFKDGKVPRSHFAKAFQALLGGRRFRRENLRSRAQRR RFARNAKDVHDLLDPSENRFYKERTLLQIFDRANWIPARIPDEEIPLPTGLALMRLAQ TKQTRDPVTGKVTLAESDLAKRVRSCGASNEDIVKILSTLPSPSKDPHTAQIMKTLQS TVPEGWTVGNAGNSHSKADMDLTTYLDLLRLDFISDICGELRPISSLNYIMILVRCYA LFMRIEDNLKKCRNPTWVQVHERNFKLTDQKRLSLTLFALAEADPEYLRIMADVFEEM RDGFLNNIYWDDFMDIHETLETFKSGDQVPFGPDSSTTIPSNHPIFNNRVPPVPALLD FPIVVHRVGTPDNGAFDHLDNQAITYIHITTETGLAPPEWQSGIGTVIVARKDKKDLS PEHYEAIWMYCDHILDYFGEGEGAPKHLFTRQAFERWFVGYQKEQLGFGRQEWTSVPP LYEE PEX2_101750 MAFVSNGSEYDFIIVGGGTAGNAIAGRLAENPAVRILVVEAGIP NPDQIEQITTPSKAFTLRGSKYDWSYKTTMIKRDDYERVEKPNTRGKALGGSSCANYF TWIPGSKPTFDDWEAFGGRDWNWDSCVEYLRKCATYHDDEKLYPSELSKIGTGGPVQI SHADLVPEMKPFRDALTEAWVSKGQPLTEDIYSGEMKGLTHCVDTIHHGERQGSFLYL KNKPNVTILYGVHSKKLLIDPATRICSGVTVISDTLDQEISVYASREVILSQGVFETP KLLMLSGVGPAAELAKHGITPIVESPHVGQHLLDHPIVPFVLEVKEGYSLDNHIFRPG PLNDKALSTYQKDKTGPASSGFLELVGFPRIDERLEKYPAYREAKAANGGLDPFGPAG QPHFELDFVGLFSSAFQWHYPMPEKGSHMTVIVDLLRPLSEGEVTLNNSNAQVQPNIN LNFFGNDLDILAMREGVRWTYDLLTKGAGFKDIVLSEYPWKMPLDSDDDMNRAVLDRS QTGFHPCGTARLSKSIHQGVVDSKLRVHGVRNLRIADASVIPVIPDCRIQNSVYMIGE KGADIIKSEHKDLYEAKNIPYLVSSKL PEX2_101760 MTNHTFTFAEGQPIADPSVSTTLPTFGGGGLTTLGDTLLIETLS HFNRERIPERVVHAKAAGAWGEFEVTNDISALTSAKFLNGVGKKTPVLFRLSTTGGEK GSADTVRDVRGFSVKFFTEEGNHDIVGNHIPVFFVRDPMRFPSLNRSHKRHPATNRPD WTMFWDFHANQPESVHALMHLFGNRGIPDSIRRVTGFGVHTFKMVSADGGFRYCKFHF RPTQNITHFSGQEGTRMAGANADFHNQDLWDAIARGELPAWKLYVQVMEPEQAETYGR ALFDITKVWPHKDFPLIEVGQMTLNKNPENYFAEIEQAAFSPSNMVPGIAMTPDPMLQ ARMFAYPDAQRYRLGVNYTQLPPNRAICPVYAPFERDGMGTITRNYGGDPNYVRSSLG PGVPSQTVSNVRHTERILRNAVLGQNEIPVDDEDFLQPRELWNRVFDEAERRQWVSNV SETLEDLPDQLKDAVTAMFSKVDPRIGQLLEAKAKNSSRL PEX2_101770 MKICVFQSCFEELQASVGESQKLCMNPGQHITQHEISHYTIHKA TAKAQIDAAVREGHDFYLNFMWGTHDDSLAGIEAIQYFESLNLPSAGIQSSEREQSKW DYFAEAKRAGSPLIPGTTKFPLFVKPASSYGSMFIDEHSLCQNEDELNRCIQRLNRLM RSVRVLRARALGYPDPDQYANALEAEGRDSSDLVVQEFIDGEEYSVVVIAMGESPFPL IPQRAKYKQISGEGRFLTLDLKFDEASGYELLNENDDPRLWRHLQATAVEAFTTNKAY TNYMGCDVDMRIGRDGRAYVIEVDPLPVFFYPIGSQLEDTDIQRGFPGSYRAVVNTYI TNYFLKYPGKRGDDFVKVANFYDSLAQSYAGRVSATDAASCITMRSYQGTAIDLGCGT GNVGHHLKSDPKNQITEMVGVDISKISLDICHQTNLYTELVQERMEVYMAERTQMIDH IFCMSALQHLSMEELDFVLARCFQLAKQSITLVIDAIGVGPSIPFDLMEKLKGFSTDH SESLRTFEIPHGWSALSVCCRDSQDVHFHFQRKA PEX2_101780 MDTSVEKPTVGIVIPAAGRGTRAGQGCQKAYRRIGGDTVLNRVL KLFRSWNTHCPIVIVHHGDDTSLLEASIDRDSNIHTTTGGVERQASVLQGLRFLSSLP KTPSHVFIHDAARPFASHTLLNEVLESLIKEPLTGVIPAIAVSDTLKRTDSNGLIKAT VPRDGLFRAQTPQAFKLQTILYFHEMAATSGSLYTDDASILEEAGLPVHIVQGDSQNI KLTYSSDFEEGERLLRTNRLTLASVPDVRVGHGYDTHRLVPGEEITLCGVKIPHTSTL LGHSDADVGLHALTNAFLGTISAADIGSHFSPKDARWKGASSDQFLRHAAELVKQGGG VITHCDVSFVCEKPRISDHRDAMRESVAGIVGLDTSRVSVKAGTNERNGFVGREEGIV AFATATAVFPSVQIL PEX2_101790 MTLATRSISLQVPAKLNPQLRVGPLREDQYHDITLVYQAISLYD TLHISHNPEGPTITVTGKESERIPTDGRNLVLRAAQALGDHVGFEPHVHFDLIKAIPT QAGLGGGSADAAAALVGCNMLWGLNACDDDLMALGANLGEDIPFFIKGMMALGLGHKK PLISLQTSKHVWNWVLGVPDAGLSTKSVFEKFDHILARSPSAEKVYLENRQNCIEVPW GTRHPEDLLSALVNDLEGPSTQLLPDIEIALRAGKTAGAVASLMSGSGSTCAFLARDE THARSLMIELQKETVFKEVLMASGPVEGVRVLQEMK PEX2_101800 MTQIDQPTSPSSRDRPAKRQCRVSHDAEDKNKAQSHQQQSSDGI SIEAEVLVRIRGSLAAEFMKPLNYNDALATPALKMLDLYFCLWECYVVKSAEKI PEX2_101810 MHLWLSEVAWCTQRGLFEATAGECDELDTTSCEMAQMVTALMKT IVSDLAGKLWTRS PEX2_101820 MDADEFHQSAGYCGTGDEYCGSSCVANCDYVGPVGECDIRRVVG YYEGWAPNRPCKAFWPEQIPSSVYTHLNYAFATIDPKTFAVMANNEREAGIMKRLVAL KTTNPQMKVNIAIGGWAFNDPGSTATVFSDLAASKDNQNKFFASLLHFMSLYGFDGVD IDWEYPVDSDRGGRFADLKNFPSFMANLKEALNQGGATRELSLTLPTSYWYLQHFDIK GLEKSVDYFNYMSYDLHGTWDGKNQWSGAYLDSHTNLTEIEVAMDLLWRNNISPSKVV MGLAFYARAFTVADTSCTTPGCMFASGSDEGPCSGQTGILLNSEIDDIRTQYNVQPTL DKDAAVQILSWEDQWLTYDDSSTFKLKVDYVKDHCLGGVMVWAVSQDTSNGTYTEALS DHTPPMSAPHILLSVENTDGSLTLTKQDRKRQCTWVGCGEVCKSPYVAVFRGVGPGAG DGHSLMMDSVTCPTGQTHTLCCPEDHGVKCGWYTHNNGKCDSTCPSGTFEIGSVAKPD LCYNDDYQAACCTSGKDATLLYGTTTWSSFPGCDDGECPVYDDKKTKTLTSSSTGSGN AFCEYRDIYASLQEIVWQERKLCYDDTQSGKKWQNCKWHKDIGSIPDGVSGPWCRSAC PAGTVRVAMGMAQPDDECDPASFKAFCCDDQYTVEKKYTNPQPHIFKDALDSYLVDGS CPSEASLTTRDVLVTDWDLFGPDSKGLVARSKTDAQSALFYLKPILAILFFVEATDYS PVQAANAAAWNTWVTAHKWSNLVMDTLGPFVQSLSETFEMGTEFVTQSILCRPGDWDQ MVDNKEDSICSGDICDTDADPALCYGDDDDWLLQRRFSLDDFNNKEKTLLAKEPHKFK VWSANDDQWQEGLTYYHLGYPSAGDWDITSNVYKTTRSYESRVDCGNPFVFPQIKSGH TFATEHILEIQCVGLFFEAATKGTLGSKKTPQFRLLDSDFFLDEDAQGISAFNADVLN IDRAFYTGDPGESVSRKPSFRIMGALGSKTNNANFYILEAVVNGMKARIFGNKNLVEP TKWTKLTKDVTEPGRPLKAIKSAIAVWHYLADAEVDKSFGTIEANLRAVLQGIDDDYW REDILVPAWKEWWCDWTEYQFERSRTWIFKAIKDLRAVWTDEPDTDPARIMILNTLLQ LEGYASAVVQFDNSKTFKKACT PEX2_101830 MPPHPNTTKENIPSLVKATPGAQSLRWMLLFAFLCSLVGNAYGT HHQHHGHQHLHHQVRDVKASATPTVTSSSSSSLPSTLEEAKEIIKNAQASLAVMNKGR LAYPQWNQYIAQPKSKNGTSPVAPALGTEHLATNHAMIAKIALNESKTEDQSSQKFSY TIPSGVAHAAKLVAEASPQSPGSHGVDIAAIRRKYRPQRNDTNRPAQKYAQSNGLDGY VHAQAPMNSALDSDSGDDESQLTKRSSADFWLTTMTQRGSSPYAPEGYKVWRNVKEYG AKGDGVTDDTAAINLAVSDGGRCGADCGSSTIYPAQVYFPPGTYLVSSPIIQYFNTEF LGNPLDYPTILAASSFVGLGVITSDVYTGDTTEWYINTNNFLRSVRNFNVDVTRTPQD AYVCGIHWQVAQGTSLENMVFYMSQDAATTQQGVYMENGSGGFITNLTFVGGNFGAYF GNQQFTTSELTFINAKNALQVHWDWAWTMQDVIIENCVNGLVIVGGAGGSMSTGQSVG SLILMDALIVNATNGIVTSLFAENSTSFLLQNSVFRDVTTAVLDSAQGTEILAGGAAF GVESWGFGRVATSSTNSTFYNGQDIPVMERPVSLTYMGYDKPNFFQRRRPAYTNIGNT QIIDVKEWGAAGDGTTNDGPILNSILDRAANLSAIVFIPHGIYIVEDTLHIPVGSRII GQAWSQIMMKGSKFENQLKPRVGVQVGQVGDVGIIEIQSLLFTVSGPTAGAVLVEWNV HQSTQGSAAMWDSHFRVGGAKGSSLQTSQCDKSDTAVNTACVAASLLLHITSQSSAYL ENIWAWTADHDLDTTAQEQINIYSGRGILVESQGPTWLYGTSSEHNVLYQYQVSNAKD LYMGMIQTESPYFQPTPHAPQPFKAGLFSNDPVFADCDSSSANCFASWALRIIDSSSV YIMGTEDCQQRAFEISQSTDTWIYNLVTKGIVEMISPVNEDPTLAANNVNGFMSSILA WVRGSNSTIGERTFPGFQIYTADSLEGQGLTESCTPALTQKVLCSPFLKTWTSPGIGQ YYKNTTFTDMLCDAGCGESLGSYVDNVETYCANQTIGSSIPTRNGGTIYHNYNLTCLE DKDTKEYCLDTIMDFTTVESAKDMPTNELCSYCYTTMLEMRQASIYSSYTESDKETLE LIQSTCGLTGPTELHDPPYTVTPTPDPVCVSNTTYTTQPGDTCDKLAKQYSVASAAIL YANPTIIGNCSDLPASRDICMPLGCDTQYTLQDDDNCWQLQRDYGLGPDSIRQYNPWL DSDCLNMQGAREILGSVLCLSPQGATHNTTGDGITTTPGNGEYAQGVVSPPENSTVAP GTTTKCGRWYSATADDLCVQICLKSGVSAKLFKAANPSLAADCDNSLIAGDAYCVGPV PRWNDTAYWIETATSSKAVSTPLASAKATGSV PEX2_101840 MDHLPVEILQQICLNLEGPAYCPPKDLSSFSQINRLCNQAAVPI LYRKITLICDASQGLEAALSKVTEAPRASNFTRFARRLSLVCIDLAPESEPGQARPWT LEPWALNMVTDPHPATRKGFLEHDMTSCYSTSGGDLLSNCLRSIGKTPNWAPVVSLIA SLNRLDQFDFITEDDFSADLTEAFWRYHPNCRLNLLSNQKVGPSPLYPDAASVSKYVN EFSEFKMDTLQLPGLHTLTTAISIYYRRSVEHQQLWEMMPFLFTSPGLKHLCLNPSDG VHQAGVDLLKATWRSLIDKVKPKAVSQLESITIPSNRPEGIMLSKLAAAGDLSQLRSL DIGCVCEPEKLVNVADLLPNLKRLFLDLDQRGRNPAALETDTAKSMAGILAFCPLEYL YIRGLREVEALDRIIQCHGSSLKGLALVPNKVHLYPRLNNSKLLEMVNLCPNLEELRL QMKRSVGNKAECEMYKALGTFPNLQRLFLDLDFDARPKALRTGSSLETDDLDLRRTFI NAAMDENLALQIWSMIKDMSPRLKDLRIFPYGNRCFAHEERYLLNCFARSYRLTGYNV ENPGVPVIEQIGKRAWEIQRARHNSGLESPPDNEIRLSGRVASVLQGIWPQVSEQTFR SEWWDCWTSLPLQPNTQSW PEX2_101850 MKVVHAVHAYLSHGIRRVRHSPGETLDAVWDFARRYFFTLAVLS LFSAKLLHLYAHIYSLPLPRLFLWGLTFFFQDVVILLLFRILAQKVAWRPGAALLAIL VIPSSLIVSFMAAANTSFYVFTGAEIHWRQAKSFNGDAAAIHTLLTGLTGFLIVEGIL LTVSLFAAHSIHAIAGGILHVWAWPVRWLFARVRPHVEPLVQRFGPSKSPAPDLPDPR IYEQISMDENDDLSDNEEGDHLLNTARLPNRLPKSKRVTDGVPQRALILGLFGLFLFL RFMRPWDPVYMYMSTTLPLTPVIEGAERHSPVDTTGMPAGFDYLDRATALRPAPRWSW MSKEPIAGFDDWDKSDPLALHYNPQEDPLHISNLDKPVLEEIHNALASGDVKIKHVVF LKLESARADIFPLQKDSFMYKRIAETWKDKTIPPEVVDRLSNLTRTAEFLTNFPNGFE HDNARFGRKAYGGISAKDAITSSTYTLKSLTGSFCGVTPLVADFNREFEHHIYQPCLP QVFDMLNQQPDITSETDDFTKWPWHSTFMMSVTEMYDNQNKLTPHLGFRDKQTKETII KPEAKHYPVTSAEVNYYGYPETELREYIRDAFDDAERDHQRLFLTHLTSTTHHPWGVP NDAYEDMMGSKSGANNDMNRYLNSVGYVDDWLSNLLDILEEKGVANETLFVMAGDHGL SLPNDGGITPYDNPHVGSFKVPIVIAHPQLPPVQIDTPVISTQIVPTIIDLLIESASL SQDSTRSARDIRSLYEGQSLIRPQVVEHDGREAWHFTVMNTGGSWLSVRSAARPEFRL VIPLVNDVEWRFSDVSKDPNELSPIERFSLADLAAALEKEYDNEEVLGWLYNAAYVTN WWVLENWDRWRYSPKPKEEPKEEPKEEPKEPAKEEKR PEX2_101860 MLIVWFTVNLKNETPCVSGCELPANQSGMSSGVEAILLHVLVYI FILPIANTCT PEX2_101870 MMPPELARAHSQGSVVSQTTQIAPQSRPGTADPVRARSEALSRA SRRPRSRGSTASIHSSTTQQTQDQHLDGFPQFMPSQVGTGQHMFNNPEDMLMRFGHQL SHHSGAPLDQSMQDTHAGMSRAEDFPNHTMQGHPLSHHPIQPEIASGLSGVSVPQYQP MYDSGVENHLPDHIVEDQEISEPGAKKKRGASSTVANDNELRKLLRQYEGWTLKQMAA EVMKNEGGGGKAEKVKQVFAMIWLRENCRKSSGSVRRDRVYCCYAENCGTERVSVLNP ASFGKLVRIIFPNVQTRRLGVRGESKYHYVDLSVIEEKQQKLAPLNPQIAHHSNGPAS MPSRAASAMRSRSASVQQPTADTAVFPSPTTSFAPRFPNNTSPADCNCQGQTPSGPDA TITRENVAQQAGKMIHQMLQFPMDEDTLIDNDTLQLPDIRAYLPANTDLKVAAALAAL YRSHCISVIDSFRYCKERNLMKYFSAFHGTLTVPVQKLLTHPNLAPWIKECDWMMYQK MIAFVAPLTTQVVPKPVLDAFNSISQRLCGHIAETFKTQPNHVSLARLIPAHIFCNLL KHMLDVNQAANAAAAWLCHPDNRNQMWTDFKTMVNPRDMMTKANIPTCAEPATEQILK HDIRALLTPLTDTDPSASLLFFTQPDTPDSVEAHKFPVESAPGDEYNFPDKWVQFILN IPAAFANHRTQCVIEKVDALWDSVLHRLTLAGAPSFSAWWMTKVFFHEMMVWQAEKGG FMRNTPGSLQSATFGPETIGHFQMKQASVTETSPFDASNDSQAKSNPSPPVAHNSHQN HPPSQHPEFKHPTGEKRLSLQDQGFQGHNNDDSAIDLEDDTMLLAVGKYGDMMVSDPA DAEGDVVVI PEX2_101880 MQKFKEKFGQGIDHLEGTFKGWEIENPTLILSKKKKNPDLIVPD THLHDVKAEATHLKAKVGKFFNIINPNHRHDEEHEQATDRKRSEIADSHRFKSFAPIH DGNRVKWYVDGKDYMWAVSEALEKATETIYIADWWLSPELFLRRPPVEHQEWRLDQVL KRRAEAGVKIYIIVYKEVNQALTCNSAHTKHALRNLCPEGTPGYGNIRVMRHPDHNIF ENAADMTLYWAHHEKFIVIDYNVGFIGGIDLCFGRWDANQHPLADVHPAGLRDDIFPG QDFNNNRIMDFQSVEDWQSNEVSKADFGRMPWHDVAMGLQGDCVYDIAEHFVLRWNFI KRDKYKRSHDVDWLLMEGRTGEEEDIIAVQRPKYPCGEYIQHPLTPLSTKPRGNVGTV RAQIVRSSDDWSSGILNEHSIQNAYCESIRNAQHYVYIENQFFITATGDQQRPIFNTI GRAIVDACVRAGKEGRKFRVIIVIPAIPGFAGDLRDNAALGTRAIMDYQYKSICRGEH SIFGQIEKEGIDPREHVFVFALRAYDRINKTPVLEELEKQADVTYQDIQRGIAETIMS ESVHPAVGKDGDDNEKDYAADQSEKKETLRKLQNFQDKVEQRKADDGLHSRDSVAHCS MLNGGKMSNEIWEGDPEAEKGNIVQEELYVHGKVCIVDDRTIICGSANINDRSQLGSH DSELAIVMEDQDLIDSQMNGQPYRASRLAATLRRQLWREHLGLLRAQEYDGSGDPNAQ PPDVSLNKIDEGPENEFVMDPLSDGVWNTWTSQASGNTEAYRMLFRADPDDHIKTFED YDNFRPRGTHKEGHLFDPYMPAKDVREKLDLIKGHLVWLPLDFLRDAEMAEPGLAVNQ ITESIYT PEX2_101890 MRGVQIFSGNSHPGLADTICERLGTVPAKANLGKFANGETSVNI GTSVRNQDVYIIQSGSEKINDSVMELLIMISACKGGSAKSITAVMPYFPYSRQSKKKS HRGAITARMLANLLTIAGVDHVITMDLHASQMQGFFGKPVDNLFAEPFIARWIRMNVP SWKDAVVVSKNAGGTKRVTSLADTLKLNFGIVTTDRRRPKVSVATMTDSTVFFDAVDE DPLATKEAQPFELHMHSSNRTPATPPIPEEPEPVTPPPARYFLRPETPPAARRPSELE AAYEYTDVRVRDVITGRLVQGQLVDDDYRINEESQSGGTTPGAGSSNHDNTEAIPDSM VNSMVSNASSQPPDHALGGSFDAVESDDEASVCGGHVDERTITLVGEVRDRSVFLVDD MIDKSGSWIAAAETVVKRGGASKVYCIATHGLFGENSLDQMEACRSIDHIVVTNTFPI SPSMLRRSKKLIVIDVSSLLAESIRRHHYGESVSALFHLND PEX2_101900 MTKFPEVQGGGSLILAWQIKDKHVLVVGGGEVAAGRILHALNAD ARVTVVCPAAGLNEEVAFRVTEKQVTHVDRNFEPSDLDNVDMVLCAIDDPEASTQVWK LCKERRITANIADVPPECDFYFGSVHRDGPLQIMVSTNGNGPKLASIVRKKIAETLPS NMGAAIENVGKLRKKLREVAPKPDQGPKRMKWMSGVCETWSLDELVQMTEKDMDSVLS FYESGKIPTPEEVQNR PEX2_101910 MADTEVGSEPTQSIEMPEKPVENPDVSPTVPNSAKPTLPEQTES QEQNQKGEEEVAEGHQDEEKPEVPAKDESEQTEQEPQTNGPPTIQEPPREDNDAMESR LRSDSRSTTATFMTQRSSPVSSTVFIVTALDNIAASRDARRDKKLEDATQVALANIKQ PDGQAIDPELIFRPLHLASKALSIPLQVTALDCIGKLITYSYFAFPSAETENNAPREQ PPLIERAIDAICDCFENEATAVEIQQQIIKSLLAAVLNDKIVVHGAGLLKAVRQIYNI FIYSKSSQNQQIAQGSLTQMVSTVFDRVRTRMDLKELRVRELEKPSSTLDASASDVGQ TSEAASVSVADQPVTKEPTAEKLTLQSFESPKEVTTVNDNAPTTVTRAKRSTTRSMSG IPEEKDDDSSAEDDVDEIYVKDAFLVFRALCKLSHKVLTHEQQQDVKSQNMRSKLLSL HLIHYLINNHTATFISPLAAIKNSSSSVDGMNLLLAVRPHLCLSLSRNGSSAVPHVFK VCCEIFWLMLKDMRVMMKKELEVFLKEIYLAILEKRGAPAFQKQYFMEILERLGGDPR ALVEIYLNYDCDRTALENIFQNIIEQLSRYSSVPVVTTSSQQHQYQEQHTKISTIGSE WHHRGTLPPSLTSAHIVPTPPPSMPHIPSEYGLKQQGLECLVEILRSLDNWATHRIDE QPEAAIPSKSMDNSRESLDTSVLVSPHPESFESGTGRSTPMPEDDPNQIEKVKQRKIA LTNAVQQFNFKPKRGIKAFLKEGFIQSESPEEIASFMLRTDRIDKAMLGEYLGEGEPE NIAIMHAFVDLMEFTKRRFVESLRSFLQHFRLPGEAQKIDRFMLKFAERYTTQNPNAF ANADTAYVLAYSVILLNTDQHSTKMKGRRMTKEDFIKNNRGINDNQDLPSDYLGAIYE EIGSNEIVLYTEQEHAANLNPQPPAPAGLATRAGQVFATVGRDIQGEKYAQASEEMAN KTEQLYRSLIRAQRKTAVKDALSHFIPATSDRHVGSMFNVTWMSFLSGLSAPMQDTSN LETIRLCMEGLKLSIRISCSFDLETPRVAFVTALAKFTNLGNVREMMAKNVEALKALL DVAFTEGNHLQGSWRDVLTCVSQLDRLQLLSDGVDEGSLPDVSRAPSSADTSRKSMQS TRRARPRSVNGPTAFRPEIAMESRSADMIRGVDRIFTNTANLSHEAIIDFVRALSEVS WQEIQSSGHTDSPRTYSLQKLVEISYYNMTRVRIEWSKIWDVLGQHFNQVGCHSNTMV VFFALDSLRQLSMRFMEIEELPGFKFQKDFLKPFEHVMANSTTAAVKDMILRCLIQMI QARGDNIRSGWKTMFGVFTVAAREPYEGIVNMAFDHVTQVYNTRFGIVITQGAFADLI VCLTEFSKNSKFQKKSLQAIETLRSTVTKMLRTPECPLSHRGASAATFQDNGTNLAKQ LTRQSQEEQFWYPILIAFQDVLMTGDDLEVRSRALTYLFDTLIRHGGDFPRDFWDVLW RQLLYPIFVVLQSKSEMSKVPNHEDLSVWLSTTMIQALRNMITLFTHYFDALEYMLSR FLELLTLCICQENDTIARIGSNCLQQLILQNVAKFKQEHWSQIVGAFVELFSKTTAYE LFTAAVSLSKPAEAINADLTQSPDAAVATGDLPDALQPNGSQSTPSIHESGDPPVQSE ARAELEDYRPQSDQQQPAAVTAARRRYFNRIITNCVLQLLMIETVHELFSNETVYAQI PSVELLRLMGLLKKSYQFAKKFNEDKDLRMQLWRQGFMKQPPNLLKQESGSASTYVHI LFRMYHDEREERQSSRAETEAALIPLCADIIRSFVRLEEDTQHRNIVAWRPVVVDVID GYTNFPQDDFTKHIETFYPLGVELLSRDLNPEIRVALQSLLRRIGEVRLGIAPPNPLD VPISPRSSVSQKASRRDSQV PEX2_101920 MVASAIRMRTPSAMFMSRGAAAMRRPQVSSKLQEVIRTQVPALS ALSRFYASKSFPPHTLISMPALSPTMTAGNIGVWQKNAGDVLQPGDVLVEIETDKAQM DFEFQDEGVLAKVLKESGEKDIAVGSPIAVLVEEGADVSAFESFTLADAGGDKSTPAE QKEEPKSAEPSTPAPAEEAPAAQEPETSDEKLQPSIDREPSISPAAKILALEKGVSIK GLKGTGRGGVITKEDVEKAKPATTAVSGEATFEDIPVSSMRKTIANRLKQSMAENPHY FVSTTLSVTKLLKLRQALNASAEGQYKLSVNDFLVKACAVALLKVPQVNSSWREENGQ AVIRQHNTADISVAVSTPTGLITPVVKNVQGLGLSSISKQIKDLGKRARENKLKPEEY QGGTFTISNMGMNAAVERFTAVINPPQAGILAVGTTRKVAVPVETEEGTVTEWDDQIV VTGSFDHKVVDGAVGGEWIKELKKVVENPLELLL PEX2_101930 MSYADAAAKGPKQSPEDARAPPVGGIYHDQSESTASLIDVDSPH VQTVESDFLKQDVQTTTQAERIEREAEEKEKREEEEKKEAKTHKVKGNSIYGNTSNPV FLANAAIATVVGAGLGFGAYKQHARGNLSWELVGLSAGAVGVFGAVDYFVSKWFLQNK FPPK PEX2_101940 MNSLFNSALKQSSSIRRDLDTFSQSPTTSSPALQGQLAASLASL SRTVDDYSALSKKELIPEKQQKAFDRIKNFRSELADYRTQFDRLRKEREDAQSVTNRN ELLGRRPHHAATPENPYAQSSLPQSTSAFAPSSSGLGFGASPADYNRETNALREQSFF SNTHNQLDDFLDRGRAVLADLGQQREVLKGTQRRLYSVANTLGVSGDTIRMVERRARQ DKWIFWGGVVVFFLFCWAVLHFLR PEX2_101950 MFAARRTANLFQKRAFSASAINASKVAVLGAAGGIGQPLSLLLK LNPRVSELALYDIRGGPGVAADLSHINTNSTVTGYTPDASGLRDCLEGSEIILIPAGV PRKPGMTRDDLFNTNASIVRDLAKAAAEAAPKAHVLVIANPVNSTVPIVAEVYKARNV YDPKRLFGVTTLDVVRASRFISQVQSTNPSGEAVPVVGGHSGVTIVPLLSQSNHSSIA GEVRDALVNRIQFGGDEVVKAKDGAGSATLSMAMAGARFAESLLRAAQGEKGVIEPTF VDSPLYKDQGIDFFASRVELGPNGVEQIHSVGEINEYEQGLLDACLADLKKNIQKGVD FVKTNP PEX2_101960 MDPTVAEAKDEVIPSENLNGSAQSTEVKEVKDAAVAPAPETTPA SVPKLCKKHKKNKKKEESDSSSDSSSSSESDSNANSEEESDSTESDSDTEKRKRHRLR KARAKRALKDKKRRKKKKQRSRKAEASDSESDSPSESDSESSTDSDDEIDDKALRKLV TKLKLSKKRAKKLRELASEDLTASDSLGETRREKRSKKKKPASKVAYKRVDQLWDTTI HKYKLTETVDDPDADEWDQYIFNIRRKFNWENKYLETVVDIKSKPLRDALSKIMDGVK GVSLVQEPAVVDPNMLFLYLEETRQYMKDLKKQSRSEKKKKTRKAAGVKAAHLKVLIK YLDTDYADTKKTLYPLLEANTITFDLLWALYKPNTIAYTPTYGSTDEPRAFKIEYAIK ESSFMKGQWYSVDGRYLEYDGKDYGFGTMSAEVDSFKGARKITSLACYPLKYHRDAES LRARLIERGKRFVSLRGMNYRFHKGMAFYKKKRSLIIKVNINGRVMIDPAIHRRINPN YPISTVRPKDPDLIDPEDLGISGDEGGDSDGCCCGGSDSDSEHGGASDTPRTMYKVVE DTDGCPRVVEVEVDENGAEIQKEKMDRIEGAAADTKEREFTEEELLVASPVVLGFAFS EKLWLEFTISGISDIEWDKEAFGSLVLPSNQKSIVKALVESHTFHAAQNIDDVIQGKG KGLVAVLHGPPGTGKTLTAEGIAELLRRPLYMVSAGELGTDSRTLEAELNKILDIAHS WGAVLLLDEADVFLEKRTIHDIHRNALVSIFLRLLEYFQGILFLTTNRVETFDDAFQS RIHVALRYGDLTSKAKRSIWKMFLERVRAIEGVNIASFSEEDYDMLSRHTLNGRQIKN SVRTAQALAVNESAPLSMEHIKRVLEVAETFDQDLRGGTGYLDAMRSYT PEX2_101970 MERDKAVRDLFPALVVQLDRETSNTPSIESDDSGAIRYQSPTPG PANTLQDSVARAGFDINSTTTQDHSSIHFSPQLTTDSNDSDGDPATPVPNPPSLPSDS EGKASNSPSNSSPKPSRWNTRSRRITSEVPSTPVRSTRVRFVPVGGNSEDYLPTSSHT VSSRDTSRASISTLDRQNTKTGSTDADERAADVAPIATPRIRLVLKKRPREDTPDSDT TSSSTNADERTADVTPIATPKIRLVFNKKPREDSPDSNIPSPARQKTATDSVNSKERS KDVTPTVPPVRIRLFFKQRPRQSTLDAIPSTDPSGPVSSEHHTSSAKPGNQSSKIDSD QLKFAKNTLVESSFIPESNMNPPKPSAGSSSHESSSDYEASTSINPTSELPATSESPK PRSSSDEAPSHDAGYPSPTLDFVPSTPPNPEMDPSMDPSMDPSMDPSMDPYMDPYQPY STAVMPGFTPFEDPNVFPSTFMYPEETPDYSNLTIDPLGIYTPEEYARILASEQEVLR EMADPHTDTLTYMYARQEEPHYRTLADIGRDLDEIEAISATMDILQPEYWAAIGRDQP GLPQPDTVESDQLTHAQAASRTLQSVDQADTGSSTKRARHSSSKTESSTPEPSTTVSS RASASTQHRTASTKIKTATPPSTSTRHRSKNQPVKPAPASVASYRRSKTKVKTGVATP EAQADVSPRRLRSSDAMPISSTKKNPKGNVTPESWETASTADKMMSQMRETKSMSWTD ITTAWNDNRTDADDEMTWRALSKRWGRIKDKIGLWPGFNEALLDTLQAFDPDLDDQDF AQIAEEVSAELGWEISGAACKAGYKILKESGKVDLKGKGKARK PEX2_101980 MSPTFRSPNSPGRQVPPAPRYPRPPSDDEEEDDSDEDELVRPGS SGSDASSNVTTVSAAPITPINTQLPSGGYFPPQPRSATSPHHPMPNPTARRPSGRGPS VELPRHRSRHHSQGFFEPSLPTASSDQVPTVSASRIAAQAAMQQTKRQQNPMAQIEDS SRPRRSGSSSPPLMPPPLRLNQPSPQPPPPSGNVATTAANVVFPRAGAPPVEQQPEKQ KKKKLFSKPKHIGISSHKDLSKDRGLPSPSKISGLSRMVSGSTTNVTDLSSNNSSMYN LSNASVSTVVPADRPPLPEKEKDKDKDKHKHHFLSRQKLKLKDRVEDHFNLPLSSASS NSRPADPNAPQSLYSFTPASPAPGATFGKSVSGLDLLHGGRALREKKKEEKALAESEQ MEWLSNNVGGPTTPGGFPGPSSAGSSGTFPDSILRETLQGFGLNNMTPEDAWDFLKAK LLVIFDGEDVRIAIEDLNKLVLVHLQRCVVKRAPKSIIMDLQELLQTGFATLNHSSLI GLPDEKLVPHLVQVWLLVFGTILPFIQAVFLPLDLEFKGCGSLMTIREAGEFWGALPI SGTLDVRSLVLIAFRDCMILNRYDALKATFSRLSLDSINSGFPTLSVTAKSTGTGGRP GTAASLDAGLSSYNSQSSTLLSTVADSYSSDGISAMANHPRPTSSDSRSRATSNTSSN ADPLFFQSLASPPTSNKRSAPTIIHRGSSADTSHIITETVGRMLQCISVLASVQTDDS AQERIEILSKALKHNWLGRGRTGRDRRGFVGAKIRPVMVGGPPVIDDADSLTTAGISI GASTSTGGSRGRGDSSSSEWSSNVPGPGMSVRSGGRRELSVL PEX2_101990 MASNTSFNAVYTAPQATETFEHVISTTTGTLAAKQAHLSALQSL VPKLQDQINVFLTERMEEDKKVQGQLSAQEAKEEENYGEEVVEDDA PEX2_102000 MRRAPVAAPLEPPAEGIEDSTPMLSAFTARPLVELKPRDKSRID SVLAYGDRLLAGLNNGNLRIYRVTDDGKTELLRELEKFSRYKIEQLALIKEANVLVSL SGGYVSLHDAQSYELVEQLGQTKGASVFAITSNVVNDPDTNVPAIVSRLAVAVKRKIL MWAWRDMELERDTAELSLVSGVKTLTWVAATRLVVGLNSSFVMVNIESGQFTDLAGPG SIEESGRFTGVGAASMSYIGMGGMVPRPLVTRLREGQILLAKDINTNFIDIDGQPLGR KQVPWSHAPVELGYSYPFLLALHDSSKGVLEVRNPETLSLLQSIPLPSASILHIPQPN ISLAHAGKGFLVASDRTIWRMEALSYDTQIDALVDGGYLDEAISLLGMLEDALLRDKP GRLRATRLEKAQSLFALNKYRESLDLFTEVSAPPESVIRLYPRLIAGDLSTVPEPEVP NGKANGSQSDGSSDDVAAGQASTHAASIISSVRKPDEGSEASSIRGDDKGLRIAVREL QGYLADVRRRFQRFLNPDGSLKAAVPAEATDEASDSVLKLLDFPSPEEFATQLCAKAR LVDTTLFRAHMFATPSLAGSLFRIANFCDPEVVMERLEETGRYNDLIDFLYGKKLHRQ ALELLQRFGQTDNGPLSGPTRTVAYLQNLPPDQIDLVLEFGEWPLRANHELGMEIFLT DTENAETLPRPQVLAFLEKIDTALAIQYLEHVINEWNDMTPDIHQRLLVLYLDQLTSN EQGEWKEKFLTMLKESEQYSPAKMLDRLDREDPNFYEARAILFSKMGQHRQALEIYVF KLADHEKAEEYCNQVHLAEKAEEENSIYLTLLSLYLSPPHGYEPQNDPAIDLLAKHGS RLPADSALKLIPDTQAVQKLEFYFKGRMRAANSVFNEARIVANLRKARDMQIQAQLAL GEGIRGGGTRARHVTVTEERICGVCHKRLGGSVINVFPDDTVVHLGCANRKASIRAG PEX2_102010 MASKSVKSQYKAFVASSDDTKICVVMVGLPARGKSLIAGKAMRY LGWVGIPARVFNVGSYRRFSTPQPQAAFFDPHNAEGERMRRAAAEAAMSDMLQWFNAG KGVVAILDATNSTKDRRRWIYEKCTEAGIEALFVESICDDEDLIMSNIKEVKTTSPDY KGQDPEVAALDFRNRIRNYEKVYETIDDDEKKYTYVKLINVGSTVIINQIKDYLSSRL VYYIQNIHIKPRSIWLSRHGESEFNLTGRIGGDANISERGEAYARALPELIRKSGIPD NTKIVIWTSTLRRTIQSARHLKAATGYETLEWKALDELDSGVCDGLTYEQIAEEYPED FAARDEDKYNYRYRGGESYRDVVIRLEPIIMELERSENVIIVTHQAVLRCIYAYFMNI PQEQSPWMEVPLHTLIKLTPRAYGTEEQRFKADIPAVSTWRGKGSSARHQEFPTEGGK KEDTETKH PEX2_102020 MNDTESPRLEEQQTPTKSPFANVRTDGRAFNSANWRMKAESPAT PSRTNASPSASPNPNPNTSRAAFSRPGAHVPQAINDGRRLYVGNMPYTAKMEDVEALF IAAEFPIERIDIAIDPFTGRNPSYCFVDLQNKEHAERAMTELDGRDMLGRPVKIKPGV AKSQERTQNPLEPFRTDRWRQQERPSFAKVNNDSSRVYVGGLPRLTDHGAVQSNMEVF FKGFKIENVSKIFAPHPAKRFDPGEHYYLFVDVGTPEQAQKAMDTLNGQEGPWGGPLR VQFARGPKDT PEX2_102030 MIEKEKINTTLSEKVVPQRPFGTAAERARRSLNAKLSNPLAGFS HTELRNQGRKFAELHEMGDESDIRAFELGAVLAQSPERYANVAGLTNQEKAVLGREFT HRWSQPWKIYAVITLCSLSATVQGMDQTVVNGAQIFYKHQFGIGDDSSRSAWLVGLVN SAPYLCCTFISSWLAVPFNHWFGRRGTIFIACSLSAITCLWQGFVSTWWAMFVARFML GFGIGPKSATVPIYAAETAPPSIRGALVMQWQVWTAFGIMVGYAADLIFYNVDSSAIV GLNWRCMMASAMFPALVVCCFVFACPESPHWYMRQKQYYRAYRSICTLRNHKIQAARD LYYMHTLLEAEDSMKLGRSKMLELIKVPRNRRAMLASQIVMFMQQFCGVNVISYYSSE IFLEANFSPPAALAASLGWGLINWLLSIPAIYTIDTFGRRNLLLLTFPLMSMAILFTG FSFWIPESSHSARLACIALGTYLFGVAYSPGEGPVPLTYTAEVYPLYVRSYGMALGTA TMWFCNFILGGTWPLLRAAFTTQGAFAWHASWCVVGWWMVLLFMPETKGKTLEELDQV FSVPTRVHARYGLRQIGYFFKRYLFRRDVKPEVLYEREELVKAQDVGFNA PEX2_102040 MLQSTLTQLVFASCNDSATLRDLGSLASVILLLSALLYKVLYIP AVSPPQRCHSPPRLQLPGWKVTQLFFEKRFDFIKDGFRATSSSIYQTSLFRHAAIVLS GDEGRQFFFKEKGLCLYDTFSIMMGSSAAQFDPHQVSGVVRRMASIQRPENLQKVISL ILSECQRKMNAWGSKRILDPCSSLHEVTFQLVMCIASFDIANDPMLLARLKSLVDTVD SITNPHSTWFPWLPGPALFHKFFASVQIYRIVQAAIHARKRSGIKRNDMLQQMIDEGD STIRIFGCMLGLSLAGARATGTIVTWLIMHISSDPTWSSNVQEEIKTFVSTHISSSVS LSGNGLVQALSEIPLAAWESQMPNLDLCIRETLRTSQPYTAVRKNTGPDLTIGPYVIP SGSLVLYPFSDTALNPKYYPDPTRWDPSRGIEKDTPFIGWGAGSHACKGQRLATLSMK LVVVYALMRFDITMVDDQGKKINRLPPPDWNDSATCRPMEGCGIKFVEK PEX2_102050 MAGYVLEFKRNYNPSLEPHDQKFSIGYVHSSDIVDSPDAAPSID STPRGNIELAATQIPTPGISQNFMAPVNDTTNKRCQEWTMEYVRHLVAKGLIEEKVIE IIQSKRDSPTHGIGLRSVTRLST PEX2_102060 MLGKIALEEAFALPRFHEKTKWWAGMFATDTDKHTAEINDVGPI RLDFAERHGVGLQILSYTAPGVQDIWDAKDAQALAVEINDYIAEKVKAHPDRFAAFAT LSMHDPQEAAAELRRCVTQHGFLGALVNDTQRAGVDGDDMIFYDNEKWDVFWATCTEL DVPLYLHPRNPTGTIYDKLWADRKWLVGPPLSFAHGVSLHVLGMVTNGVFDRNPKLQV ILGHLGEHIPFDMWRINHWFEDRKKMLGLRETCKRTIREYFAENLWITTSGHFSTTTL HFCMAEVGADRILFSIDYPFETFEDACEWFDSAEMSDTDRLKIGRENAKKLFKLGAYK DSTA PEX2_102070 MDDLPPGSRLAVVRSQVAMDSESEESGSTTPTNADTANTETEPF PDTEPFPEYNESECEMLAPSIAADKRLEEAWIHALGKAAQQEIRMLHVDPNIVPYFQN DPSHRSQVVLEQLRDLLADPQVRPEIREQFITIINSTLQFNLVIFHQSPEFLAPKEIR DAELDLETVLNHIEFMEEMLREQLQTADQAFYALEDISMEATSAYERLCKMATVFTRS MKKPRYLEQRLLALYMDMYEEWIHNPFFLLRSRQLLNEQDPSLARTKLSRRVLVIWEL MNSCIESYGTLTWLTLETKRLYFTPMMDYLCDSPEIWEHCWDRYQALEKDRLRSAGQD SSQYATI PEX2_102080 MEDTQHYPPRVCRICLESVLPTFQPSEFLQKPRVVYESSDPESG RLLSPCQCKGSSRYVHEGCLQSWRHADPKYGTRNFWQCPTCGFQYRLERLTWARWISS ATTQLILTLGILFLTVFLLGFIADPIIDFYLGPVDVYTELVEEDTSWLGHFLKGLASL GLLSFLKAIFALSPFPWNLRSVASGRNSGRSRAAQLNWLVVMVGIGTFLWAVYKGVRS WSRRTLEKAGERVMDVPLPDEDSDRDVPIPDDAGMKEE PEX2_102090 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLIDPPSLSKISLITPDIGMVYAGMGPDYRVLVDKARKVSHTGYKRIYN EYPPTRILVQDVARVVQEATQSGGVRPYGVSLLVAGWDEGIEPESEEAKKDDPEQKIS SKTGGIQKGGPSLYQVDPSGSYYPWKATAIGKHATSAKTFLEKRYTEGLELEDAIHIA LLTLKETIEGEMNGDTIEIGIVGPPADHLLGFEGVEGAQGPRFRKLTKEQIEDYLTNL PEX2_102100 MATVPQDLLDGISGTLAKEGEILQMILVTFIGLSCYNVAELLVL VPATFRRWRGLYFWSLLVSGVLGVVPYSIGFLMKFFTQTDSVLSVTVLTIGWWIMVTG QSVVLYSRLHLVLRDERMLRRVLQLIVANVFLLHFPTTILTYGANIVHSGDAAWVNGY NIMEKIQLTGFTIQESLLSTLYVIETVKLLRLGADVSSRPDARSIMYQLIGINCAIIG MDLLLLSLEYADMYAVQITLKGFIYSLKLKFEFAVLGRLVDLIQGSRHPISLAIADTL PLCSMQRPPETPDRSEDSPYEQAEPKDFLAPPVGGQVIQRRMFVEPGRAASLAI PEX2_102110 MDLLGLDGLEGFDEDTPPVVSISASQSLNASAATRDPIATGLSR LDEALNDLPDQPNQGGILRGHVTEIFGPPGAGKTSLALNIACNALRDGKVVWIDTGSP LPSPRLEEMSVNLDDFIYFRAHTLAHLIALLARPPKGFPPSETNLIVVDSVSNLFPAY FPSAQELKDQLAEGKITDKAHLQWLLNRKWNIASELATHLAKLAARNIAVLAINQSHT KIKPQSHAVLQPLLSGSAWEASVQTRIAVYRDLPDERFVEVEKRAGKPLPEQAEELLV AFRIEPDGLYETEGKESLASEPLTPLVKPPPDFEYYDPPTPTPSPISSVLSSAPPSPE LKSPSPLPSKPMSPEQPVQTPNKKRKVEEVADSQDEDSEEEDVPWTAEATLNTNQS PEX2_102120 MSNVDISLGDGILPNLIILFFLSVLFLALKTLKTPINPKGCRRL GLPPGQSNLDDEFDPKYSQGQPSNKDEHGRPSWRVKALFSYPLKSCGAVELQVSNVVS TGLEFDRQFVFAGYNNDEWNIRTLRNAGFNRLALIHPEIWVPDPSAPDYDANLPEVKS QGVLLISYPRMPPAGWKGLPIKVGMALKFLKSQQKFQVPLLPPADSNFPLVPVKIWKD KPLAHDYGRLLPASLHAYLESDPSKNTLTLFRASAPHSRQIFRNAPRKADLGFQPNTA FADAYPIHLLNISSHRDVAARCAYAIPRLSIRRFRANIIVQGPSAFEEDHWKRLAIGG TEIHASCRTVRCRLPNVDPLSGDRHKVEPDRTLKSYRRIDDGDRTNACLGMQLVPAKE EFVMRVGDSVEVLETGEHQYVKMLAPGEKVEGV PEX2_102130 MKHWLIPRCVMPDFTWSDDARPVLSDQIPSTPRPTHPRLRQFWG FLHHYLRRFSRSYRSWLGITYDNQIAQLLFGLFLKWSDGTRIEEVLSMQVARSAGLPF PRVICYGDHPDTPHAPVSILMTRIPGEELGRVYETLSSEDKSSILEELKDYLETIRGW SSLWGGQRICSLQGTSVRSIRVPNHFAGPFESEVDLNEYLIRPAWSGGFSSDTKYNDA LNRTKRMRSLPHRVVFTHGDLKHHNIMVQGNQQAGILNIGTSLLHCVSHRRTFGGTVL PEX2_102140 MAEPTSRTEARRKSTDIIKKKQAESLAGLPPSTLHISLYIRSDP PLPNDFHWAFYLHKGTSSTPGGNKYHARGIGGGWIAGHEVTTGIFTENFLCVIIQIAT IPPSVHERVDKIMRSYDDCQVAGFFLRWQWV PEX2_102150 MDGPDQIGPDHTRKPTISDKFRYTIKTFTTREGLLGDYDYGYLF IPRLPFTKRARKSAPFFGLEDRVPVVLALILGLQHALAMLAGVISPPILLGGSGGAHF GDELYQYLVSTSLIVSGLLSAVQMFRFHIKGTKYYIGTGLLSVVGTSFSTITVAQGAF AQMYKSGYCPSLEDGTQLPCPKGYGALLGTSCLCSLLEIGMSFMSSKILARVFPPLVT GPTVLLIGASLIKSAMQDWAGGASCGVDPSARALCPSASAPHPLPWGSPEFIGLGFLV FVTIIVCERWGPPILKSCSVIVGLLVGSIVAAACNYFDSSGITAAPVASFAWVHTFPL SVYPPLILPLLALYIVIMMESIGDITATCDVSRLQVEGDTFDSRIQGGVLGNGITCLL AGLMTISPMSVFAQNNGVIALTKCANRTAGYCCCFFLVIMGIFSKFAAALVAIPSPVL GGMTAFLFSSVAVSGLRIIGSVDPTRRNRFILTASFSIGMGVTLLPEWFDYFFTYTGD NHALEGLINAVNLVMENGFAICALLGIFLNLFIPDEPDDVPAIFESTDSDDARTQTNI PEQSSPNIKTA PEX2_102160 MSSKPDASRPRAADTKKVHIADTQMTRQNWYKHVNWLNVTFIIG IPLAGCVQAFWVPLQLKTAIWAVIYYFFTGLGITAGYHRLWAHCSYSATLPLRIWLAL VGGGAVEGSIRWWARDHRAHHRYTDTDKDPYSVRKGLLYSHLGWMVMKQNPKRIGRTD ITDLNEDPVVVWQHRNYIKVVLTMGLIVPMLVSGLGWGDWYGGFVYAGILRIFFVQQA TFCVNSLAHWLGDQPFDDRNSPRDHIITALVTLGEGYHNFHHEFPSDYRNAIEWHQYD PTKWTIWTCKQLGLAYDLKQFRSNEIEKGRVQQLQKKIDQKRAKLDWGTPLDQLPVME WDDYVEQTKNGRGLISIAGVVHDVTDFIKDHPGGKAMIKSGLGKDATAMFNGGVYYHS NGAHNLLSTMRVGVIRGGGEVEIWKRAQKENSEYVRDETGQRIIRAGQQVTKMPEPIP TADAA PEX2_102170 MAPAHLRTPPQAPPIFTATPQSLVADAERLIKSSRAIQDKVVAE IKPEAATFDNVVRPLAQDDNQMGLESHILGFYQAVSTDSKLRDASSKAEELMDEFFIE AVMREDVYGLVDAVLRRNESLDPESRRLLEKEHKDFVRNGLGLPAGPQRVRFKEIKKR LSQLSIEFQKNLNEENGGIWFTPEQLAGVPKDVVDGLKKGEGENAGKLWLSFKYPDLF PTMKYASDPETRRQVMIANENKCNQNVPLFRESIVLRDEAARLIGYPNHAAFRIEDKM AKTPETVNKFLGDLRDRLTAGGKKETQKLLDLKNATDPKADGRYYLWDHRFYDRLMLE KDYSLDQQLIAEWFPLQTTIDGMLKIFEELFGLEFVEIIGEDRAAIAPTGQGNDIVWH EDVQVFSVWNDEGEGSGFVGYLYLDLFPREGKYGHAANFNLQPGFVDKDGNRRFPATA LVCNFTKPQPKKPSLLKHDEVVTLFHELGHGIHDLVSRTIYSRFHGTSTVRDFVEAPS QMLENWCWEPSQLRSLSRHYSTLSAEYLASWQEAQQDKTASQPTERIPDEVIENLIRT KHVNDSLFNLRQLHFGIFDMTVHEPKTHADIEALPLSATYNRLRQEITQMDGPEVQGA GSEWGHGEATFGHLIGGYDAGYYGYLSSQVYSTDMFYTVFKADPMNKAAGRRYRYQVL EKGGSQDEMTTLTEFLGREPQTDAFYKDLGLA PEX2_102180 MTDQRLPIQTQHCRFCNHLLLATTRNISTLPRRGGEGKDKALIL PLERKSSTDGDVEADLEEEAKATETSSRKARHASNHTTLLLATTVPDRRATVIRREDG IEKRILLRCGRCKVVVGYYLDRLHWGSTGGRGAGVQDGEEEERPPAVYLLPGAVVETE KLGGDGVGEREWREWAV PEX2_102190 MASTNYKEAFSLFDRRGTGRVAGDLLGDLLRACGQNPTLAEIGD LEKSVGGDFDFDSFLKVLNRPGGFREPGEPEEYCRGFQVFDKDMTGFIGVGQLRYILT NLGEKMSDDEVDELLKAVDTSSGEINYTDLVRTILAN PEX2_102200 MKMEIDGQPSKRRRRSRKVLSDRKFECTFEGCGKSYSRAEHLSR HALNHTPKQIYRCDFPNCYRSFVRQDLCTRHRERHTTSGSQLQKRDHFTEHDRKTSPP SSPTITAPEYFVGSEEPKPPVTTYPLTTGVDSNEIPVDQYSDFPAVLDQPDNLIDLDS MSYPIFGGETYNRSPFAMADDFAAWLFSEPLAPLGYGMLPLVQNQFYTNEPAYSNDNF CSVIPQHPMSVTSILDSGAPPAVISEEKRQELLHLMSTRFNESAYAAGTKRKDALMDG DLNNDRHALSLRMMQTYIGSYWYHFHAQLPILHQPTFSADRTPNLLLLAIIAIGAATL DKIHGQSATEAAAGLASFIAWHLRWEIFMDADFRPPAKLWVFQSLLLLEVYEKLFSTR ALHERAHIHHDTTLTLMRRGSSLIGSSSFATPETKEEDESWATWIKAEATRRVAFAAF VLDSTHATMFGHSAKMVAHELRLPLPCDEALWAATSAAEVARVQSSLQSHGVRPVMFL DGLKRTLNGQPVRTNAFGRIIIMSGLLSVCWHLNQRDLQISSLGVGQTLGGRDKWRTA LLRAFDNWRRDFDEALGPSATSESRNVLHGLAHMGSHVDIADLQIFAGAGRLMGRSIT ARDYCAAREKTEKWATKASARDATFYALKFLSTCFLDPATHPGEYAARDDYLLNRPWV MYFAALVVWTYGFALDGPLRPLPILMTVADRERDMQGFLHRMSEIQEPNELEAMTGRN GCLGLLMILRDSFAKPRWELLGEAARLLDSCIAKLQS PEX2_102210 MASKEAGQAFAPVLAAVATMQGNASRTEKTQAHEFLEKFQKSIE AWTTTHALLQSPDVPIEAKLFAATTLKGKIIFDLDQLPPDSVLALRDSVLNLLVAFAP GPRPIQTQLCVCLASLAIQMVTWKDVLATVGAALGSSAGDCVLEFLKILPEEVTEGRK INLSEDELFVRTKELLEDNAEQVMQLMIQYAQSSPAAATNPRLLDCVTSWLREIPAAK VVESPLMDVIFKALDNDASFDAGVDCVCTLYRDTKDVDESLPVIQALYPRLMALRPKI AETAEAEDLEAFKGITRIFAEAGEAWVVLVARLPGEFQGLVEALLECCARDWERDAVS LTFIFWYELKQYITLDRYTDARVAFQPVFAQLVDIMVKHLEFPSPEDGEAEDLFSGDR EQEEKFRQFRHAMGDVLKDCCAVVGVNDCLAKIYQLIQEWVGKYASQASNEHVPHWQE LEAPLFGLRAMGRMVDPEESTILGQLIPLIVQIPDQEKVRFQAIMALARYTEWTALHP ETLEAQLNYVISGFHHSSQEVVQASALAFKFLGTDCQKLLGGHIAQLHAFFESVLDKL KPTSQEEVTEGVAAVVSVQPHDKIYDSYKMFCDPIMARIMNLANNAQTEEGQRAVADH LQLITIFVQVVTPILAPGEENPAVKYCGEVLPIMTTIVMNFTSSTPILERVCRCWRYM IISYRTSMIPLLPTLAQSIANGFQASREGCFLWATDAVVREFSDGAEYVDQATSDAVF QFYEQQAIAFLRILNDLPPQNLPDVIEDFFRLSSNAVRYYPKKYITSSLAIPIFSAAL SALTLQQLDPLIATLHYYRDLFSFAFDKPMVSQFTSPEGQPYVTPPEVREAVKALIIS QGQPLAQRVLTGMMFTFPGDCFADASGVLMTMFELLPQETGAWLQTTLQMLPSGTMKH GEAERLLKNVSDKVQSGETRKIRVLLQDFTNSYRRRNVAPRDGLGRLEAARFRFSG PEX2_102220 MAGRKTLLAPIHFIFSLLQKRSTVSVWLYEQLAFRIEGKIRGFD EFMNLVIDDAVEVRLATKTEEEKRRPLGQILLKGDNVSLIQAV PEX2_102230 MAFQAAKRDLRKRMRSTLQNLPITSISQQSSAATTRFLSLPEYQ NAKSIAVYLSMPSGELSTTGIVKDALQRGKNVYIPYIHTQDKTSIMDMFALGSMSEFE SLQLDKWGIPSLLSTQIEGRPNGLTQGLDLIVMPGMAFDRGFRRLGHGKGYYDHFLTR YSTSTRKMPFLVALSLQDQLLAEDIPVVEHDWLVDAIVVGDGQFFDRRV PEX2_102240 MDGVVYEQSLEALLQWLHLGFVQFDPPPMASRLARERKEKGERK KKLKLLTTAFDLDRRSASPRERQAPPSPGERSPPRREEEESRAPQTPVNNNPGFPHTP APLPPTGSRSRKLPGCYLPPAPSTRFPGLPTMARWTIFPRGRLDSTGPAPQYPPRPPP PWTRYVTQE PEX2_102250 MFLLWSLLVTAALASANDLVGTWTTKSRDVLTGPGFYDPLNDKL LEPNLTGISYSFDDEGNYESAYYRAISNPADPSCPGGVLQWQHGAYTVFGNGTLILTP IAVDGRQLLSDPCRRQSGHYTRYNTTEEFKEFSVYIDKFNRINRLDLTRPDGSLVHPM FLAYQPPKMLPTTTLNPTPTGHKQKRELSSKSGLQLIAREQLINPDRWWWLGVLMTSL GGVAFFCS PEX2_102260 MIRLNVIRALISETNNAAKTASPIQTDIQLLSLIRKRLNASKDA AKEFDAAERPDLKENEDAQVVVLEEYASQVKTLPIAEIKEVISNEIAAMINANTKPNQ GTVLKALFAAGGPLDGKPVDRKEVVTEVKNALS PEX2_102270 MSYPTLPTNLPPATPQKPLPGAYFQTPAPATARPLPPKSPLQTQ PQTPMPKLPPAASKTQNQTVSTEERGARTINSSLQSESRYPDLDSYLSQGSSSEYNIP ISQSWAPFQKVKMYDIPDQIFDQYNRAQVSTSMGLFAELNHAWVAIDNALYIWDFTHP NPQLVGFEEQPNSINAVKLTKPRPGVFLPAITHLLVIATTADIILLGMGYENTPSGGR QVSLYHTGMSVAVRGLDINVFAASASTGRIFFGGSSDTDVHEVTYQQEERWFQGRCGR VNHTSSRLSAFRPSMSLTNLAQSAAEHVVQMALDDSRNLLYTLSSASTIRVFHMGPEG TLTLAITKRALDIYSNIGHIITSNETLNPRVKIVSISPVPATEASRYHLVATTATGYR IYLSATGSNSWAPASTNTSPPTSMQALHVKTPPVDISPGVSGVASSNSLVSPLGTVLP SAGQINTLSPTRLAERYSPGYFFCFTTKDPQQKIDTLFISTPDSGRVAQYQETSVSGK AAESAIILDLGGKAEDIGLVSAPSPVTNELAVQFDHPAAEIAILTNGGVHIIRRRRLV DIFAALLRSSGNPHEGLSGEIQNFLRAYGRSEMLATALAVACGQGMEISPDQRLTRIT DPDILAAARQAFITQGGRAHLNENAIADGSTSALDAVQPSPRHTGIALYIARLLRSIW KEKIAKVGNGPNGAQSVSASVSSSKLQTVQHDLSSLAEFFKVNKTFIDGLNGPDALAR VNSKQEEVALQAEHRALHSLVQLVSHTIEGISFILVLFDERVDEIVATLPAESKAKFL SLTFEELFSSSKGHDIAKELVKGIVNRNIAKGSNVETVADALRRRCGSFCSTEDVVIF KAQEMLKRATEAGANTELGRNLLNESLHLFQQVAECLPMDYLVSAVDNFIVNQFFAGA IQLALNVAARSDKSNLAYSWIMDQRPEQVLIPLIPFGSQRELTLTTTQDPRKEYFYFR KQCYDLIFKVVLAVDKLAASDPGLIDGQLTTIAKRQNEAYGVISDSTDEVFLTSLYDW YLEQGWNDRLLQTQSPFVVTYLQRKSNDDLGHADLLWRFYAQSQRFFETAQVQFHLAN SAFVLPLSRRIEYLGQARANASIFTPDVGRQSRQRLLQEISNLIDVANIQDDLLQRLK DDERILPERKPEILREVDGPVMDISTLFNKYADSASYHDICLQIFYQADHRNAADVKS TWQHLIQGIHDATVQRGSPQPYEAVIDKVRSLGSRLRMSETIFPIRELVPMLERYALE FQRNVGPATWVVDLFIDLGVAHESLYAVLETMYYTDEAPFHGSNRRFIAHDLLYLLSG WFHETVRLGGMVFGSDIVAERVSEMLLILQQSGVISGEQLEIAHDLRSRIDDILR PEX2_102280 MPSSAPRRPKQPKKQEPISYDINIPYVDVDKQSKISTKYPQYLP SWDPIWFDPLQPFEYEDPALRVRNKSKPNLLPPSAKVSHIQPSLGSIIENVQLSELSA AGRDELALLISERKVVAFPDQDLIDAGPDAQESFMRHFGKPNYQPVSGSMTDHPAFHI IHRDGNRDEITRFLQQRTTTTLWHQDVSYEIQPPGYVMLGLLQGPEVGGDTVFAATDL AYKRLSPAVTAFLDGLKVTHSSAKMIGHARMTGGLVRKDPVDTVHPLIRVHPVTGEKC MFLNGEFITRIDGLKDSETKWVLDFLMNHLVTGHDFQVRVRWQPRTIVMFDNRSTIHS AIVDYLDDDYGAKARHIFRLIALGEKPIPVYEDDEDEDEEFE PEX2_102290 MSICTRKRDLAYLLFFVTHVPIILLIDTVPLLPTFLQTNLSHQL REFYITTYRDKFFEDPPTWFTVFIWMELLYHLPLSIWAARDHPLVPVHLLVFGVQAFI TTMTSLVVVWSWTDRSVAEKQQLTMLYAPYMALGGFMALDMVFRLRDKLMPKSKRE PEX2_102300 MRITVNVIRPEQADSDIINLEVGGDMSIELLKAIVESETTIPPE AQQLVFNNHLLQSPTQTLDQAGIAEGDMLGVHVTLRAPQPQSTRPAASSSVAPRQNTQ PRPGMPDPETIRLHILGDPRVREAVRRQNPELSEAADNAQRFREVLLRQQQGEAQREA EKEARIAMLNADPFNHDNQKEIEEIIRQNAVTENLHNAMEHHPESFGRVTMLYIPVEV NGHRLNAFVDSGAQVTIMSPECATSCNIMRLVDRRYGGIAKGVGTAPILGRVHSAQIK IGEMFLPCSFTVMEGKQIDLLLGLDMLRRHQACIDLQRGALVIQDQAVPFLGEGDIPK HLTEEFEAEPTVKGADGAEVGARTGAVTHQAENNPNANATPNTASASGSQPRINIRPA PTSRWPQDSIARITELGFSRDEAVRALDMAHGDLEGAIGFLI PEX2_102310 MMSGNYDYPRASSSSSRRISRTTRPDERPEILRLQHLRNFVSER NRSGAHNAYNTTLALETLNREVAEHSLDRARDRTDFERAAADVDHQIQQLQSEIAGPT EPVRGIWLNQIRSERARTSLSPDSHSLHHRLPWQTLRPQSPGGSLSRQSSLMPPSGQS GRDGQGRMKRRKLDTDDNREEFRGFNYGQYGQVVPGMLQMEIASCDGGSYAPDSGCSR PENVLDNNKSVYSTKEARCNLVLCHRGEAPFCLKKIVIRAPQCGFDAPIQEGMVFVAM TSDELLARTAQYQIQYSSGRYRRSGRRSGMQPSQEYLTGFRPPLQNLERTVLMSPHSA AVPHTAEDPQAQFRITTEYDENNEDSGDDEYWRSALLERTQAEQTEDPLSDTDESPSD EEDPSSRHQRRRQGESERTRALSRASEQRLRHTPSLVHPNPLVEPAHPIAEVLKPHAR FFIEREKSMVTIKFDPPPSGRFILIKLWSPRAHGNIDIESIIVHGYAGPRFFPSIAAR PEX2_102320 MAEQQDNAQSISADEIALYDRQIRLWGVHAQEKIRSANILLITV KALANEVAKNLVLAGIGSLTIIDHQDVTEEDLGAQFFIAEGQSEQDVIGKNRAQVAGP QIHKMNPRVKLHIDTSDVKTKQPDFFAQFDITIATELDFLTNTTVNAACRLANRPFYA AGLHGFYGYVFADLISHDFVIERNKSNMAPATQETPTRSIIDITTKKEESNANKKEPN KIIELVTKREVYSPLILANTSPLPEEYTRLARRRKQVTPLLSCLRALWEFEKLRGHRP TFTHEDLELFTKLSRDRHQELKLEPLTLDSVFLRTFLQNLGSELSPVAAFLGGSLAQD VINVLSAREQPLQNMLLFDGEKSVGPIYSLHPFFPDVGSVEMAAVPPVRNPVTVPVTG DL PEX2_102330 MAYLRQSLWTVFVLVASALAHGGHEAVPEGESISKDPIDSTLWT HMILMGLAFGIIFPTGMVLGITRSRWHVPVQVVGTVIAVLAYFLGHAHKGRQFDKNLH ASVANWLMFLLVAQVVLGVYLKLHLEKAGQARIRWIFVLAHGIVGKVMPVLSWAQMLF GGIAALGFCRDDHLGQCLAHFIMGSAFIAYGICLTILLLVGQYWLRRTGRSQEFFDSL IIAAWGCVNTFTEHRWGTPWVHNDLQHTTMGIVWWCAGLLGMWLSRTRSGRPKRNLIP AIVILMTGYAMSAHPQQLMISTMVHTLFGYTLMAAGLTRIIEISFVLRDKPALSEPNS FQYLTPFLLYASGFLFMGATEEQMLLLHNAGITHVAYILILYSIAFLLFLFVNILLHI YAVHAWPNSESTNQDGPIYSTLNGNANGRARSNSQQIQDAEAFELHGLISDDEEGPSM GPRKNSDEELGKDEAHH PEX2_102340 METFHLASLTTAYSSDDPNTTCKRYTQLLHEYNDIKDVGQGLMG LLADARGVRQIEVEKEFGVSGED PEX2_102350 MQQPEEGVTAVEKVVEDPQLNEKPINKRDSDSASTSTAKEHETK TPVATDDDAIYAHLPEHEKDILKRQLDAPLVSISYFGLYRYASRMDILIIVICTLCAI AAGAALPLFTILFGSLATAFQKIMLRTIPYDQFYHQLTKNVLYFIYLGIGEFVTVYIS TVGFIYTGEHVTQKIREHYLEAILRQNIAYFDKLGAGEVTTRITADTNLIQDGISEKV GLTLTAMATFVTAFIVAYIKYAPLAGICTSTMVALVLIMGGGSQLIIKYGKLSLESAG AGGTVAEEVISSIRNATAFGTQDKLAKQYESHLLRAERWGMRLQMSLAVMVGIMFGLM FMNYGLGFWMGSRFLVQGKVDVGHVLTILMAILIGSFSLGNVSPNASAFTNSVAAATK IFATIDRESPLDPTSDEGIILDHVEGHIEFRNVKHIYPSRPEVTVMKDVSLAIPAGKA TALVGPSGSGKSTVVGLVERFYLPVGGQVFLDGHDIQTLNLRWLRQQISLVSQEPVLF GTTIYQNIRHGLIGTRFEHESEEKIKELVENAAKMANAHDFVTALPEGYETNVGQRGF LLSGGQKQRIAIARAMVSDPKILLLDEATSALDTKSEGVVQAALDRAAEGRTTIVIAH RLSTIKSAHNIVVFVNGSIVEQGTHTQLTEHDGPYFKLVEAQRINEEKDADALDVDED EDEDSIDNMTKSHIARVKSIASGSTGMKDEAETLQDAMHRQESRKSVSSVILSQKTTE GGKKHSLLTLIKFIGSFNKDERWFMATGLCFSILAGCGQPTQAFLYAKAISSLSLPQS QWEKLRSDANFWSLMFFIVGIVQIITFSAHGIAFAFSSERLIRKARSSAFRVMLRQDI NFFDREENSTGALTSFLSTETKHLAGISGQTLGTILMTSTTLIASIVIALSFGWKLAL VCMSVIPILLGCGFYRFYMLAAFQARSKVAYEGSASYACEATSAIRTVASLTRESDVW AFYHGQLEHQGRISLISVFKSSSLYAASQALVFFCVALGFWYGGTLLGHHEYDVFRFF VCFSEILFGAQSAGTVFSFSPDMGKAKNAAAEFLRLFERRPTIDTWSEEGENLEHCEG TIEFKDVHFRYPTRPDQPVLRGLNLTVKPGQYIALVGPSGCGKSTTIALLERFYDALS GGVYVDDKNIADLNVNSYRSHLALVSQEPTLYQGTIKENILLGSPNADPTEEDLVQVC KDANIYDFIMSLPEGFNTVVGSKGGMLSGGQKQRVAIARALLRNPKVLLLDEATSALD SESEKVVQAALDAAARGRTTIAVAHRLSTIQKADIIYVFDQGKIVESGTHTELLRNKG RYFELVNLQSLGRAG PEX2_102360 MEPMMCPLSDPHSRDLEGGFPLDGKDLDSVTDETLVALLESAPV LHHLGATKVVRLSRHLVMKGGGSVLPCEAKTLNLVASKTGIRAPRVHRSFQVEDETQY FGTKGYIVMDFISGQPLDECWTDLPYDNQRQIAMQIADMIKEMQSVVISQPGPLGGGS FRGRFFTDYSAGPFKDSAEVQGWFNHKLDICKHVNQCPKDIPPFRFTTFVLTHQDISP RNLILDRNGEVWLIDWAHAGAYPPAFESAALLAQQFFTGFNEAVLSVIPRFPEEERQL DSIAYGLITAALA PEX2_102370 MSAITLTSSDGVDISVERTTAERSVLIKNMLEDLGDSGEAIPIP NVNEAVLKKVIEWCEHHKSDPPSANDDDDNRRKTTDIDEWDQKFMQVDQEMLFEIILA ANYLDIKALLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAEDR PEX2_102380 MSLLKWFYTEIGSGTPPHLVYYALRGGMFLLSFVLEDWAIYELV PSPRHRRATVVLVASSYVTWTYQTHTFSNSLETLLVAWGLVLIRRIVENKRHSSFFSH AVLAFIAVVGVFNRITFPAFLLFPGLQLLPQFQRKPLSLAAFLLFGLLFSFIAVYADT AFYRPTASLSTLRNPIITPLNNLLYNTDTANLAQHGLHPHHQHFTANLLQLLGPAYIA MLVSLFSWPLVPYWMRNVRALSALSATMLLSLFPHQEPRFLLPVVPLLLSCVPMRPSR LLLAVWVIFNAAMGFLMGVYHQGGVVPTQLAMRDIVSSSTTHHIPSATVFWWKTYSPP LWLLGGDNQTTEIQTLDLMGIPGVEMMQQLEQVVPDCSIPSSVFLVAPTSATFLDQYA VSTRQSRDQNLQLHPLWSYRKHLNLDDMDFGDDGILPTLKRVIGRRGLGVWSVRRSCK PEX2_102390 MKLTFKDLKQEKFVIDVEPSETVREVKVKIAQEKGEYEAERMKV IYSGKILQDDKTVESYNIQEKDFLVCLPSKQPKAAASSTASQVPSTPAARAPVSTPAP PPAPHAAAAPPPSAVPATPSPAGAAPAPSSGPAFGDPSALTMGSAAEGAVVQMEAMGF ARTDIDRAMRAAFYNPDRAIEYLLNGIPEVIQEQQQQQRQASEPAPTGATPAAPAAPS GGDESHFNLFEAAAQAGGEGGGRSRGAAGAGAAGGEALGSLEFLRSNPHFQQLRQLVQ QQPHMLEPILQQVAAGNPQIASIIGQNSDQFLQLLGEELEDEEGALPPGAQAISVTEE ERDAIERLCRLGFPRDSVIQAYFACDKNEELAANFLFDQPDEEEQ PEX2_102400 MSCLSYSLAAASLLATFIVTILNGICYAISKASPDVASTILSAV SCVALIALGLLHHRNIQTGRQQWICLAGGYLLIAAAASAGAMTTSPQASVFVVRFVSW ALSVFAQGLYCGFLAMTLAQEKTNPEWPRPYSQEVYSQELKDIPQSPNFLPSPPRVCD LSELFEPKRSSLRKFPRRSSRYSDATLCPSSTKEEKHASIDTSSSTHSSPCPSPTQDR RPDTLPDRDTRPLLRGTHSIRSMASLRRNPPTALSLDSLVHPPMPSPTASTIRVDSPT QSTSNSSTWEYNPFGEDNIHPLFRSTSPCPSPTFAPGTMVKASPSAGQTITAGTITRM RSARSLRDQAMRTPSPLPGSEAEETLRTRPNPTRGGFTEKRYDLNESPYEK PEX2_102410 MVGRRKNRAAAQPAPRTPSISTRSGRTAQDIIPIPTTTTRGRGR GRGRGRGRGRASLPGHLPSNESSSRPEVRSHIIKLKISSLKLMQHADSDAYGEDENAS AVSQNPETPRTRRPRHPRTVPESSRTTRQSARLKPDGDGVFEEDISPTKVVDNQITEP DDDMDYDEEFKSEPPFQKISQSPEPRMVASAPVSPGATQIDPADAMVDDMNNNMNNFS ELANAKAEDTPVGQSPKISQIEEAPFSAVSSPRFARKRRSLELQNENPDYDSEDLRNK KLRLDETELEETPESHFQNGTELDTQNETSPEDIPIPSEEFDPPTYNPTVRGGRGGRG ARGGRGRGRGRGRGRGSRGGFVGPIRPTAVTKPRGGRGRGRAKATIIKRGKKIEDEIW DVTENWRGPTPSPTPESMPAKTRQEQMSVLFKKVGQAQHFALSFMADQTMHKLAREKN GHKDCPEFEQVQRDLGEYERKAVERVNNEYKVNTELETRKHEGKVHTIKDTSTLLIEQ VQEEMLTMLMGKMMALIQGRRVAEDDEHTEYDTSDSEESVKQFLFRDGETAVRQVERG FAAEAVRDPEGAVDFVAAEEGWEEFVQRVRMGRLNEEIAPTEITDEDALRMCAKDAFG SMLHAATYIQEQEATGKVVGYDGTADFPVHPRALSILADAALTEPLPQHLPMMRTDPG THHRALLPQPTHSHPGPPPSSLHGHGPTDPRSFILPRPTPTQQPRRLLPARQQLGMGL GLPDPFAMNGPPQLPPPPGSNFARLPLPGYMPQGHAPSLYFPPPPPPGSHPPPPPRGY PEX2_102420 MAVRAQFENSNEVGVFSCLTNAYAIVAVGASENFYSVFESELQD VIPICHATIAGTRIVGRLIVGNRKGLLVPTTTTDQELQHLRNTLPAEVKIQRIEERLS ALGNVICCNDHVAIVHPDLERETEEIIADVLGVEVFRQTVADNVLTGSYMALSNQGGI VHPKTSIRDQDELSSLLQVPLVAGSVNRGSPVVGAGMVVNDWLAITGLDTTATELSVV ESVFRLGEMAPGGAGGAGVNKDSIVESFY PEX2_102430 MSAHDHADSEEPAKMERHSPVNGNHLKNGDSRTSSRSPLPPKKE SSPNSDPSDTMKENIGEIVVKQEPGQPLKLSRSSSQKVASRPPPLYTHLPDSTQDALA TFEQIPGCIYANKYMGYTEHAMECDCAEEWDPKIGQNIACGEDSDCINRATKIECAGD CGCGSDCQNQRFQKGQFAPVSVIKTEKKGFGLRAEKNLDPGELIYEYVGEVVGEQQFR KRMRQYDEEGIKHFYFMSLNKGEFVDATKRGNLGRFCNHSCNPNCYVDKWVVGEKLRM GIFAERAVQAGEELVFNYNVDRYGADPQPCYCGEPMCTGFIGGRTQTERATKLSNATI EALGIDEADGWDTVVAKRPKKKKMEEDDEEYVDSVQPKSLDEDGVTKVMAALVQCQEK WIAVKLLGRIQRCDDERVRNRVVRMHGYQILNSQLAQWKEDQNVVLQILNILDGFPRL TRNKIQDSKIETNIRPLTTCDDERVAKKAVALLESWAGLEVAYRIPRMKRGKDAKQAI NQFERRETGQEQRQRSLTRSPSPAYDPPRGPAQQRRDRGPQRPRQPNRRGPRPLPEGW YTAEAEGRVYYYSASGKTTWERPTLPATPATPAPGQPAKNQQNLALQSIIDGIMNTQE DTPSEHRTDTPVTPQASADQPERRHKDGEKWRKLPLEKQKRIYENTLFPHIKPVVDQY KHKIPDHHLKRFAKETAKKLVESDYKNNRVKDPTAKISTKHQQTVKNYCKTFFRKAAA KYVDREKLESEKSQDATPNPGNEVHSTGDDEAVAGAPASSPLEDDDAASLKRKRNGNL NVDGAEDGAASPSKRQKSTPPPPPPPPPPADLPEDDADGDTSMGNTGDDPSPPKDGSS TAVDVNMEIEAENEPQMEQPQLSIEGQV PEX2_102440 MVQQSTHTSTHPVVPFPPRSDMTGPPAPMDPSAMRKRPLYPSNK PIPRAIQPRPPASTASYSSESGASAQLSPRLDIGPGEPPRKRGRPSKAETERRKLLAE ARGETYPAPRRSGSGRLKVPTSPTSPAAGPLSTPFAPAPQPFHGPKPGPSAMLYDTST MRSAVPPPGPGPALTDERRDMPVHGMGTNMRELPRPTEMGHPLPSPHALQLGPPDAFP RRSNPAERPYTFAADRYSPPDGSRGDSVTSRPDQPPGPYSEGRMSTTPAEKPPR PEX2_102450 MDGNVITPMSDLSTEPSTASLEGMNDVRPQASRVASSASYDSTG RHRRRNPRARRPVKETLDARSEYYTSQDDGTAEHRINQYVIKQEIGRGSFGAVHLAAD QFGNEYAVKEFSKSRLRKRAQSHLLRRPRGPSRPGSDFNSPLHRHPSGDDIETAKNPL YLIKEEIAIMKKLNHNNLVSLIEVLDDPTEDSLYMVMEMCKKGVVMKVGLEEKADPYD DEQCRCWFRDLILGIEYLHSQGIVHRDIKPDNCLVTNDDMLKVVDFGVSEMFEKDSNM FTGKSAGSPAFLPPELCVVKHGIVSGRATDIWSMGVTLYCLRYGRLPFEKQSIFELYD SIRGDPLVYEEESDESFKDLIGRILEKDPEKRIDMFGLREHPWVTKNGTDPLLSFEEN TSQLIEPPTEEEMNSAITTNMGHLMTVMKAVKKFKRLTDPTKAQSPIQSMFGQSILGG DHDAYLVEPPMEMDPDEPFPEVGAAPQTHDAHGLRAGLRKGFGRRPFAGLRDDSGVLG SSDSASFSSRPEHSPSSSKRPSGVFEPERKDSGSVRSWGSPAQPDIGHEAQPHSQSAS PRIPLSRASSGRTKRSLEGTRGHARDPLEEEFPYLFIGPSTYNGSSQQEPMEISDEPA SIFQEPEHSDQMEDDESVQFVSESPGAADFNIYETAYRMELDRIKHSQKDRDTGPKVY LTRLIEKNSAEITKLAKEKELDLQIGNKSTPSSLSRAPSGFGFAVNALRSQMLQKRQA EESEEQGGDTSRDADSSQNHDSHPHPPKRLSLSSQSIPEPEPSSLEATGVSSTEGSGA SQAQLRRILDRVRGKSGNAE PEX2_102460 MVVYSFYIFDRHAECIYKRRWLPRPTSIVGKSRSDTVSGAAPTG LGQTVRSTDDDSKLVFGTVFSLRNMVRKLGGEDDNFVSFTTSQYKLHYYETPTNTKFV MLTDLKSPSMRIALQQIYINLFVEYVVKNPLSPTEHPGGVGVNNELFEESLEQFVTRV LS PEX2_102470 MTPPSPSPVPSAVSSAAASQHSYEHPDGDASVARALAGMNLVAH ANGDALPAPALSPAGKPTKATMAARLTRMFSSTGKNTPTREHNEVPRDLSDSSLESIQ PLNGRTSKSSSRPASRPPSRPPSRGENSRTPSRNPSLKSEPVEKHDKKKMDDKKTKDG ASAHKRFEVLTEALGNHSHNLRSARRQEKLTDLLRDMMGGRKKDDQVDEQQLSLMSTW IDQFKTERDKVAADKKGGPNATASLVDKYGKCQEIVGRGAFGIVRISHKVDPQDSKCE QLYAVKEFRRRPQETTKKYQKRLTSEFCISSSLRHPNVIHTLDLLQDAKGDYCEVMEY CAGGDLYTLVLAAGKLEVAEADCFFKQLMRGVEYMHEMGVAHRDLKPENLLLTTHGAL KITDFGNGECFRMAWEKEAHMTAGLCGSAPYIAPEEYVEREFDPRAVDLWATGVIYMA MRTGRHLWRVARKDEDEFYQRYLDGRKHEDGYAPIETLHRVRTANPSPAAGGQKLMNS QARCRNVIYSILDPNPSRRINASQVLKSEWVRQIKLCKAGEEGF PEX2_102480 MSWAGFKKNVNRATTQVMMKTGHVERTNDRDYEIEERRYRTMET AANRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGTKDGVSRSYKQAVEDLDAET IKALDGPYRTTVLDPISRFCAYFPDVNECIKKRNHKLLDYDAMRAKVKKLAEKPDKDV TKLPRTEREAEMAKQAYEQLNEQLFNELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDAETRDQYAKGDLDNRVEEVLQEIRDLSIAGTV PEX2_102490 MDILPRRLLFIASIGNPAPYHNTRHSAGHLLLDALKPLLEVTLP NTGLYYETWQSPSLMNVTGPKLVRRLEQWATERANRLERIASVAATRASASTPNIPTQ TTYPTTLIILHDELSIAQGKVRVIRGGPETFSLRGHRGLVSICETLRKKGLYPRSSSP NKSVSGPLADLSILRVGLGIGRPASHDSGAVSDYVLKTVTDKELKSYHAAAMPVAQTI RDELVRPAGKV PEX2_102500 MQSTIDLPTISDIEASTDVLSIRTNAIKVVRVKERFTVKIGYAI PPLEAENMKYVAANSKVAVPKVDANFVDPETQKRYIIMDFIPGTDLQKLLPSLTPAEK TTVSERIKETLNELRTIPPPGYFGNLNGTPCIDGVLSTPDNNPIISGPFKDQEELNQG ILERLGQRHSPHYIRLLREMVNRTFKDHRTVFTHGDLQPKNIMVERREIHDDGGADFK VTLIDWNLSGWYPEFWDFCNSTLYCQMKPDWLELVPDIFDQYPLEYLMMQVVYSSVFY PEX2_102510 MVVPGRSGPPPGVMGDIKTGNKLRRKMNHVKRKRAKDAARREER YAFKKEEAKNPKLKEERLRRNIPLTLERKRVWNEADNDTEETGLGLSVDVERIKRAKK EEEDELNRPLEEGEDDSEAPESENESVDSMLESDNSENEDEDEEKDEDKNASRGRKKT SLKTATERATSPTQSTRSTNLSLAPEALAAKFPTLFTEGPPPTPKILITTGLNSTLHD QAETLTEVFPNSVYIRRNAHRYSHKFSIREISKFAANRNFTTVIVLNEDQKRPSGLTM VHLPVGPTFHFTISNWIEGKRLPGHGRATEHWPELILNNFRTPLGLLTAHLFRTLFPP QPEFEGRQVVTLHNQRDYIFVRRHRYVFREKRETEKAVVGADGKEMLGAEGIRTGLQE LGPRFTLKLRRVDKGIQRASGQEWEWKGGMEKTRTLFQL PEX2_102520 MATVNTKTGQSVDRTVLDSMLRRRMFYTPSFEIYGGVSGLYDYG PPGTALIANMTDLWRKHFVLEEDMLEVDTTMLTPHEILKTSGHVDKFADWMCKDPKTG EIFRADHLVEEVLEARLKGDKQARGEKIVVDEEKEAKKKRKAKGTEAKKLDDALVKEY EETLAQIDNFGGPELEQLIKKHDIRNPVTGGEVLPPVSFNLMFQTSIGPSANMPGYLR PETAQGQFLNFAKLLEFNQQSMPFASASIGKSFRNEISPRAGLLRVREFLMAEIEHYV DPEGGKKHARFHEIKDTELTLLDRNVQLSGSTKLTKMTIGKAVEIGLVDNETLGYFLA RIQSFLLKLGVDPTKLRFRQHMANEMAHYATDCWDAELHTSYGWIECVGCADRSAYDL TVHKEKTGAPLVVRQARAEPLRIEEYQIDLEKKKFGPKFKKDAKTVEAAVEALSQELR EKLSLDLEKDGKIEVDVEGVASGKVEIEKDLIKIEKRTRVENVREYTPNVIEPSFGIG RILYSIIEHSYWSRAGDETRGVLSFPPAVAPTKVLLVPLSTHASFKPLTQRLTSKLRK AGVSNRVDDSSASIGKRYSRNDELGTPFGITVDFQSVQDSTFTLRDRDTTKQVRASED EILQAVKSLVEGDETWEDIRKRLPEFTSQEIEV PEX2_102530 MAPTVHSAKLTLSCPLFAADFDPRNNGRLLVGGGGGEGRSGSLL DTSHRNEITEAVELNLSRDEDSVTSLAAAPLVGDQTGSLVALAGINSSVEQQKKNNNQ HLRAFRFELPRKTTAVAGSNTQSAEDSEKKETKSEEGVIPGKAIALSQASLFRTKKGT GSSDTYQRVTRLSPWPKGKDGTEKHTRIGAVATGLAPSGEIVLFRATETPSEKDIIGR IQLSGNEEAEDLDFVSLEHDLEKTDDAHGRFLMAYTNGPDVMVGEISSSSRPNSSLDV RSVYTIPLPASGARTARPKFRALRFLSPRTLLLLLNAPNRGGSELVLLQLPSTDSGKS KILRRRKLPRTVKIGLGLDICQLGTNPQGQQQTVIAASGSDNSIPVFTIEYGPNRGYS NFRPYTTLHDVHPFSMTKLTFSTFIAPAHPVTPDVGPQNIKLASVSMGNTVVVHTFPL SPFPTSSRTPRYVLVTPGPAEIWELVYSIILLLFSIAAICVAMLAFAEIRGGTPPILG ATEWLPDSIRGAIARDYVLPPRDKLTYFDTLLAPRGSGIDIDIPVATIISTDSQIESL REILDRVHRAGAAPADLETVAPQSLSVIVRCSAGQNAEQSVIIETAASASAHESEQSE EEKLQAWKDLSLPEQSVWKQRLADAGRWTASEGESVLVGVLFSEVCVELGRAVREELP PEX2_102540 MTSYGGNMYAPEQFMNPGPAPRPPTDRPPKLTLPTNNTTTSFGQ MSLDSPMTPGPGAANLSLFPNTSSPSLAMTRSNTGQNGISIIKEGAVRCKEDKFLATW NTRYLILLITFPLSSVTAVARSEDSKMAFEVTRLANPKDANSKAALLARDLPTKTITC EVKSDDEIYEWIDKIYERCPGMGGVSNPTNFSHRVHVGFDPNTGAFVGLPPEWEKLLT SSAITKEDYKKNPQAVIEVLEFYSDIKMREQNPQYFAGINTPPNGQPKAYGSSVGSSI APPRPPPPGPMQRLDSSQSKPSDGSMHSASSSVGQVDRATDQQQQAEMMKEMADQERR RVEEERRNKEEQDAYNASIPQARPTMAQQELGGYGGDEQSQNGRYQPSRPAPQAPGSA ARQQDPRQLTAQRPAPAPPSQSPYGQSAPRAPGAPRADERQASPSSRHPPSDTRAPGS ANRPQQNGSKGQAGPPPTRLPAPVQAVKPLNIANKQNGTKQAVPDGVRQAEAALTKKA DQPRQKEVRMSAMSENEVMERLRSVVSKDNPNESYSKQRKIGQGASGSVYVARVKESA PSGVAHELYRTYGPRCQVAIKQMDLRSQPRKELIVNEIIVMKDSQHPNIVNFLDSFLQ ESSNELWVVMEFMEGGALTDVIDNNPVISEGQIATICAETCKGLAHLHNQSIIHRDIK SDNVLLDRVGHVKITDFGFCAKLTEHKNKRATMVGTPYWMAPEVVKQKEYGPKVDCWS LGIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKKPEKLSKELKAFLSVCLCVNVH SRASADELLAHEFLQTGCSLASLAELLRWKKATN PEX2_102550 MLCTILRRSPRLALRHFSTSQPRHEIKDIGSLSQRLIPKYQGVA WSESCDGELLCLQWPAPPRNIFLVRKDCAPAVTDSLIEFVNHVSSTYPSIKVILESKT AAEVHSSLSFPVYSVSLDEKTTALHDKVDLTVTLGGDGTILHAASLFATCSNVPPVLS FSMGTLGFLSEWKFSEFKRAFREVYMSGAGAGDRTPVLEDVPGAAPTKQEIEMGPTGW SSVRGKSMGSTRGARILMRNRLKVGLFTADGTETTPIRTKTDQGQGVYVMNELLIHRG KEPHLAVVDVFVGGRFLTEAVADGIIISTPTGSTAYSLSSGGSIVHPLVPSILLTPIC PRSLSFRPLVLPSSAPITLRLSEKNRGRELEVSLDGVHLGQGMAVGMEVRVWNEEMRH GKNEWQGGVPSVMRRSVGCEAHEGWVGGLNGLLKFNHPFGEL PEX2_102560 MEPAEVRQRKPQTAEDPGELQKLSTEQPSEPRLKHGIPMQILRS LLLITWADCCIMAICVTQLIGAPLYLINRHYYYSYMAYTKQCFGLVLSAVTQWSSPTL FRVSGDASVRGQIHISKDGLLETRFPERLVMISNHQVYTDWVYLWWVAYTNQMHGRIF IILKESLKYIPIVGTGMMFYGFIFMARKWTSDKPRLQHRLEKLKTVYTGSNSARPQYD PMWLLIFPEGTNLSINTKRRSDIWGTKQGLPSFKHMILPRSTGLFFCLQQLRGTVDWV YDCTMAYEGPPKGSYPDKYFTLRSTYLQGRPPTSVNMHWRRFQVSKIPLDDQKEFEDW LTARWAEKDQLMDQYFETGRFPSELAGSIKADHAVDSQKVAASAGYVESYGSLHHWSE LGLIFVVLVSVACFCKFVTSWAQ PEX2_102570 MAPLENGQTNGLNGDAVPSASPLSNLRFSDIPDAIDIPASSFDS EVEVSLLELPDDPTELCTLLENEKAAKNFWVIIALAYAKRKQIDHAIDILTKGLASVA HGATKEKLGLLGWICWMLLLKSRHAPRVAPEGELYSEAKTKDHYLQLATSTLNEASRL NPAYPPLFLARGVLCLLRASLYPPRAVRPGAIDTSERVESLRQALKCFDESSKAFGGR NIMAILGRARAHYMLGRYADALEGYQKALIKMPNLTDPDPRIGLGCCLWQLGFKDQAK VAWERSLSLNPESKVANVLLAVYYLHDSSRRATTDPMFGSMYKLAMTQYTQKAFKLDK EYPMTCSMFASYFLLRKSYPTVETLARKAIEHTDVMSIASDGWYLLGRKSHYEGDDAR ATEFYNRSDQARGGVDKGYLPAKFGAVQMQITNKDFDGAKFRLEKIVQQSKNPEAMTL LAAIHAEEVFAAQKSGSKEDKSTEAKRAITLLESVRSMWKDEKLNISPDESVLVYLSR LYEGTAPDKSMQCLTQLEQIQIAEIPEDARPDIEDQDKLNAALRESLPPQLLNNMGCF LYQNEKIALARGLFQSALNACVQSKEKEDGTDTDALVTTISYNLGRTYEAADMWEEAK KVYEGLLERHADYTEANARLTYIALRQSPTDEGPKKIGKLYEADSTNLEVRSLYGWYL SKAKKRVANLAEDSEQRHFKHTLQYYDKHDRYALTGMGNVHLLAARDMRRDTDQEKEK RRKIYQRAVEFFDKALQLDPKNAYAAQGIAIALIDDKKDHSSAVQILSKIRDTLKDPS VYLNLGHVFAELRQFSRSIEHYEAALSKDRQRDVQILACLGRVWWLRGKQEANLAAMK TALDYATRARDVSPDQLHLQFNVAFVQNQIASLAYGLQPTQKTLQDVQEAAEGLKEAI ETFERLSKEKNPPYPSAALEQRANMGRTISKQLDRAMQSQKEYEEKNAAKLQQAREAR EEAARIREEELRKAQEVEMERKKRIAEERAQMVEEVQRHAMQRAEDERAREDAELTND SETGDRVKRKKKPTSKRKKKGGDDFIADEDEGLAAHSAPESEGETAPKKRRRLERRSG GKAQAAKSEKPGKYKSSEMVVDSDDEEAAGSAGEDKDDLFGDDDEPAEEAAPRRRGNA SRRIADDDEDEEEEVEAEVQAEKSGNEDNDDELFGEEPSKPIEDAEMQE PEX2_102580 MHYNIFAPVLLAVTVLAVPVPSQNDVIGNLGIAANVGGDKTVGV LGFKRDTEDVIGDLGVAANVGGDKTVGVLGFKRDTEDVIGDLGVAANVGGDKTVGVLN LKRDGGGGDDSGSDVIGKIGAAVNVGGDETVGVAK PEX2_102590 MSTFPPPMAKAWDNDDGSDRPSTNSSNEQPEHAAHIRSKSIAHS QSPKRLSVFSGRSRSNTTTSTSSRRSPGSSMTSTDSSIASDDRTGSSLGLRSDKQDKS ARSLLARGSRILRRQGSKINIVATLDEEDEADREKARPEREMFGRRARHTDHSERMKS IISDPFDFHHLTHTNPSQFQALDQTRENDLVTEFSAIRASQRPQTDLKGIRAEDIHFR NFSSDDLRSLGTATTVDVPSVSPPTSPKTHRHHARRESRVNENFSRPVSRYPRSCPTT PPPPAVPETPPPETAEPAPRAIDEILGLSTAITYPECVQSAQPTRFFSPEGDSTRTSS ISSHYDLEDVPEEEEGTRYWHSSESSMGGPHSRSTSQISPPPANTQSLNTSERHAPMS IDVAEELSRKFSEALGSPTLPQDLQDTETPRAQVEVTPPEPIASLHRFSYEDELYDSW DADIDYCYEHAAESTSNFDWTRTSLDEGRPPVGVASSEGPWLAPRTRQLQPSPLSTSA LPTPDLDPSPAQSMPSHSAPTPATADYEEEFIVRDADYFHPVSSSILPSMGKQISHEP LYEEYMTTDGESDRHFPFSQGMMGPISPRSSFSPISKCNSQESLMLSRAASIVRKHRS SVSTTNSVPELIHSLSSSRELMPTDRMTAGETLTRPTSSSHHRQTKSLAETHFLQNGS STSLEAADLTVSLHDRAKSISEGDSHPIKFEAPLPPVPPRNPNRRKARSPSYSLFPTT AH PEX2_102600 MLLSMKENPLGIDQVLKTTPTIPPWTRDIYQYLEPKSVTYPIPK VLEPDLLDILPVELLLSIADVLPLEDIYCFSLCNRRLLTIFGSRTKHRSLERKARLSF LHRLEYDHPRYLTCDDCLILHDLNRISEPFGLSYPTHIYPPPLDCLAPASEYKPRECL WMIIHNQSSIWSHYRLRFSHLHLAMRRFYRGPQYGISTDALSFTEVANDFLWGDRVFR PTTLFSVEAQICPNPPSLYLRIQDIMSMGNVRQLIDDGYYCEAEQNYFANLRICKHES KSFWMQDIPLDKYPAHEYFNCDHCNTDCEIELFENRPNGHITIVMTRYINLGAGLSPY DPRWRVNLWSHWEEPEVWKLNLEYVDSSPRHTFEALTDTSLEDLTSRNLSYLEDERYQ TTMVRIPGDVPPSWALWNGAAMNL PEX2_102610 MASPSSRELVKQSAGQLMPPPPPPKRIKRPATVLDEDIYTNTLS HIIARDFFPGLLETQIKQEYLEALESKDKAWIASSKKKLAGVMRTPTPGSNARRKSDY ASVPSTPQHFPAGQPGDTPHGWGGDTPMSVVSTSTSATETPDRNIPDVSNMGLIAFQA KYTSEDNESFNKVLDKQNEKRREKYRWLWSGNKIPSARQIAHHQQEIKRITAQGGNPQ MGLIKRDEHAQPAIKTDLDARPANPDTWKSRPDNTLMFLPSSVEDTHETLPQKAEITS RAGPKRTLYQNTRLLDPHAAAAADAASAVPPSPSLSAIQDAIAGRPRLSESEAASAFA GSETPRVNGYAFVDEDEPEPEFTYGSSSRTDDTEVDWRLLGPTSEKPNPFQLGETRKR EALHHRMVDRVARNKRAEKAARVTKTPVSLTPRFASSPRVDFGLRSTPGAGSSVGSVS GGGYSRGKMLTPAAQKLLQQVGGTPRSGERRSGLGNVWTPTPKRK PEX2_102620 MSIETPESKTASLASTSATASENTPKAKDITTPAETPTAEEEKE KGKDISKSSIYSPANLLSSLLSPFSFSSTSSESTAAISSNDKGKEKETTKSPTKISIE TPTSDSSAQATPEPYYTPEETPLYKILYKTRLTKTRTKQLLRAGERAYNDPPPPPGLG DCCGSSCDPCVRDLWKQEIGIWRERWGDWGVEDGTGVSKDEKKIEKKEVKLAKRKELE W PEX2_102630 MRRQYNALQCYLTATISAIFLFFALACDSVAYADALDGPDTENT LNLPGVVISDGAGLPFALDSFNGLELRDSVDEDGESNGLDLVRRYPNAAKALGNNQFA EPGALAIGGVHWFYLPKSVVNGKHDTAGPGLPAYINATEADDGDPSNELRKRDRDFSK RATTTVYLSLTTCQKPYTNKTNSQGGFPQLQLYVSTSEELQQPGPGKDDSLQDIYTAA GGYVGITVDTDSDVFLGVAAPNSTEYTGSYTYQIAASIDAFFHNIVDDTPNLFFIDAD VSAALLVTNNLTQSAKNSTNYKQWMNIVPPYTMFAHNINDTVLEGLEKSFCALDTLSQ VGRISSSTEVGMTNRGLGNKPKEQFYITGLNQSSSYSGLLAMVGNSTASGNGIIGGGG QVWQPMNFTTKTDDNCAVLFNLTFCSEVAYAVPSNPKLSVDKLRTIYDDYATAFYQNF TYSLQQVQCETNEESMFSLAVDCEDCAKAYKQWLCGVTIPRCADYSNTASYLAVRNAG QAFINGSSLPDDSPYRQSVASNTSRNAIIDEQIMPGPYKEILPCQDICHTLVKNCPSA LGFGCPEGAWMNSSYGYRNSDGIITCSYLGAVYYLSLGLNYSISTIIAMEFGLPPTTF RTGATALITGAASGIGLAIAQRCYSHGMNLILLDNNAAALSQATAKFPSDGRNTTTLS HILDVSSFEAWKQLVPKIESLFPEGIDFLVLNAGAGIAPAGDKGMWEDPTYFDRTFAI NTMGYTNGIVAVLKMVTKNKDAARAIVMTGSKQGITNPPSNPAYNASKAAVRSIAEHL SFDLDKVAPNVSVHLLIPGWTYTGFHTGSFKEKPAGAWTSEQVVDFMVEKMAEKKFYI LCPDNEVSEEIDRKRMLWATSDILEGRPPLSRWREEWKEQAKREIEG PEX2_102640 MSESPRSERYTYLTRYSTPVPELDDHRLQMQTPPRIEAPVERSC SPRDTTQGPEMIDRPDLLSPQDARRDGPIPRDFENSAEDDRSLNELNGLGRRFSIDHN GTYQTPRRISIVNPDLANASCASSVSARSSSPPNSVEAFADPRRRERANTLDSHAPPD LEAILHRTVSGGTHNRRPTFSNASVIRPQLGDVPFDTAEEACFDEPGRIPVIDYEELE EFVALNPSTKIGDDRRKHSMSSQSKRPRVFYDLRPGAESSEIDALKKTGSSDSLSEDE KKDAEATNEKERAKPLQNTNQRSRFGFFSSESQSTVHAADLGDLVLPGETFRDLFELG PEGGVWWLDVVNPTDEELGAISRAFSIHPLTTEDIQTQEAREKVELFKQYYFVCFRTF FQMDKTSEEFLEPVNFYMVVFRDGVLTFSFEENPHAHNVRKRIGKLRDYVSLSSDWIC YAMIDDIVDSFGPVIRDVELESEAIEDHVFIARVDDFESFLPRIGGLRKKVMSLMRLL GGKADVIRGFSKRCNEQYSVTPRGDIGLYLGDIQDHVVTMMSNLAHFEKMLSRSHTNY LAQLNVTNLVLGNHVNKVLSKVTLIATILVPMNLICGLFGMNVEVPGAHTEGLAWWFG ILGVMGGIVVVSIAIARWQRLV PEX2_102650 MTSFVISIPCSSANIGPGFDVIGLALSLHLDLHVTVEAKPSSQL PFNCAITYEDQSKSVEQISLDPEVNLITRVALYVLRCHDQRAFPVETKVHIVNPIPLG RGLGSSGTAVVAGVMLGNEVERHPDNVAASLFGGFVGTYLNELKPEDVARKEIPLSEV LPAPAGGVDTGIRPPAPPMAIGHYRKFNWAPEIKAIAIIPDFVVPTANARNVLPETYS RADVVFNLQRAALLPAALGSSPPDPEMIFLAMQDKVHQPYRKTLIPGLTEILQFMTPA TQPGLLGICLSGAGPTILALATDRFTEIADRIIAQFASNDISCQWKLLEPAQDGATVT YN PEX2_102660 MDELLAPIQDAIEGQIDFHGQRLAELLSTILLVISGAASFIVGY IYKDIHLMLWTGLAGTLFTAFVVVPPWPVYNRNPEKWLGSPSARATPGITVDGVKVQ PEX2_102670 MADVEMKEAATGSSKGKAVAKGSDNADKKKFEVKKWNAVALWAW DIVVDNCAICRNHIMDLCIECQANQGSSTTEECTVAWGICNVRNPASTPSDHMTIG PEX2_102680 MSAQSPHPPTPKGSRNNRRPQKKNTTPHAQKPALLSTPPSSPPH NMSPGIIQIDASNFNSSKKKPMRSGKKPRENKASPAPHSGYHSNGYNSGPRYTPTHPA VTSPQTKPSAAYAGPTFHASPAPSALPIPSFFSKSAPDANLAPPIETDSDDAEVDPEP EVTPSKPRNRNNQFKAEEHKPSPLDFLFKAAVQARDQKSTSSPEVNKVLQSPQTEPRA VRPNPDSMLSFEMGNSDYPRNSNIGPSFAPSYQDRMNALRPSSTPQSSDMTEEERRIK TEELKHLLLNPPPQKPPSSAYASPDYAGSFGPRPSNVPPYATPMRTSSGPQLTMSHGS FSPHQLQQQQIPQSSGRPHYQYPGHSYSPLRREVDLVPPPSMPPYRGPISNSASNGSS PAPYGNPYMATSQNQRSGYASPQPSHASASFQLPLNSPSPSRVVDTRQIENDIRRVLK LDTSGPPVTQSFA PEX2_102690 MNFNGLSWPTKHLHTSTRDGSTWGYVIYRTTYTPQSNVAFLRII DLLNSYMKHGLYSECVSSGSNPQTNTELASYHEIWAQHRPIIMNHPKFDGASIDSIRA HFQRWADLQEDQGLSIQYRMCMMIDEESLQTLLDAPPPQECLDEKIINPMRHVKVVPL LSDSDDEFDGFMGG PEX2_102700 MRSSVLLPQFLLPPSAIQLGRFVTNVDEPHRDYLDPKPIKDFRV IEKVAKQYDGADSLMAQRKFVSDLTAFLSSTASRRTNASIHINTKEVKTYYLDNNGQW FREIVKSEDVRKWIERVIDEGEDIYVVVGYHTILDAHIAEQSREQKLLNGNVALPLSS ALLASGAIVPFGDLADPRLAGSSGRAESLQRQFVAQGEQIMAVQYRKVRFRFLSSKSI DKATLAKEPRWERYDRPRYLQSDMEDMVEVALEDDLSLEGERDKLTGSEEIIFSPGEV DL PEX2_102710 MDPAWLADLGHLLERKYHDTGKFQDLCNASALFSAAVSNTTEND PSYSHYHDSMMSNLQVAFDMQLEQEDIVEMVMMCRQLYEAHKAVKSLEAIQAMSEVAM GDEDFSCGSAGASDDDVGADDQTEKIPRWAVLQFMSLFYYKEYKKKEGIEDSDSDDSE TSNSDSEPENQSEESDPEESDPEESDPEESDPEESDPEKAGHIEFLDKAIHASQEAIR EYREETQTTIDGLPAIVAMSTVLARMLERRYDKTGQEEDLDEAIQLCRRASQNTPSDD IHFATVLEYLRMKLARRYKRTWQIEDIDELICLRRQTMKVIPGNDHKLLSDLGNLLQM RYDRTGQNEDLNEAIRLSRQAIMIAPRDEPGFAGVLATFGGQLIRLYRRSGLEEDLEE SIQISRQAIQIMSSDDVDLEKPLNNLGIALGQRYERTGKMEDLDEAIEVLIKAVQLTS TGHINQTGLFINLGNSLERRYGQIGRIEDLNQATSIFLRAVELLPENDPELAGVLNGL GNKLFRGYLRQHIPEILDHAIRKTSKRHFKYLATGFRLFRLTTLTTLCCYTPLEPHLQ VEGSIIVINMSGLRSDAIIVSSTGIDSVSLPRFNATEAQAWAMENMIWASPSERGRKN KEYRKFLAWLWHECVKPILSHLGHDVQSSPESLPRVWWIGTGIASFFPFHAASDFSAG STEHTFCRVLSSYTVSIKALIHARERTPISPFSSAHPRKMLMVTMGTTPGADDLPGTE TERSTVLEILGPCAQVEVLDQPDSASVIRQIPEYNIAHFACHGLSNWRTPLDSGLILQ SDTGASPDTDNSGDTETLRQDILSVEKLCMSNLTQGEIAYLSACSTAQNTAVSVMDEM LHVVSGFQVAGFRHVIGTLWPSSDEVCAVVARSFYTELCRSGTVQYTDRDIALALNKA SSALATSPDFQRRPLHWAPYIHYGA PEX2_102720 MTSYDRHASHDSPYRTGGHVPLSQSRHDPLTSVATSAIESRQDL SNPYDDELTKGSASAQASEWNASPDDLGSPTHPYSPGMRSVSSQKRRSNDQAEGAPEI QMQSFHDGAPPPPPVAHSWRKIERWLENNYEELLDQLGEGCTQNDINELEHELDCSLP LEVRESLMFHDGQERGGLPTGVIFSAMLLDCEEIVQEWRNWKKVNDEYLNNPTTMQDP PAPSSAASSSAAGPSQQPSSTMWQSELLDRQDSQPPGAVQKAYAHPAWIPLARDWGGN NLAIDLAPGPAGKWGQVIIFGRDYDCKYVVARSWSGFLATLADDLCNGHVIVDEETNE LKLKQFKNQNVEPPYMDILRWRTDQKFGRRVPRRKGPVPNGLGVNASGNRSSSRESPY GSPTRAEERGRSPHRFSKGGNAQSPKTPFGVSSPLARVTEETTSPVVTAGPAISEDAQ ENGKEPQGEDLMEVVTPQISNKENEGFPKPNEVKESDKAEKIEKVEKVEKVEKTDSAE SSAAIESEVLGEMKNVAI PEX2_102730 MPDSDDEALFAALENEDDTLYRDQRIHQLNAELAATKNNHSARA TSGTTGLLQNEVYPTLSSDQSVLDFTTRTSRCLVHFAHPDFARCAVMDMRLGELASVH YEVSFARVDVRNTPFIAHKLGIKVLPCVIGFKDGVGLDRVVGFEGLDARGFDGVEGFD VKVLEKRLVFKGILLAAKIGGGDEDDDVREEEDSDDGAGGRGFGRKKGRRGIRDSNPN VRNRGDDDDDDWD PEX2_102740 MAEDKKSDDYTVDMNKIDQGNKEFEAAPPPTPSPRASSNISNNP ALPVLAYCGSSIMMTVMNKYVLSGLDFNLNFLLLCIQSLVCIAAIQTCKSMGLITYRD FNTDEARKWFPITLLLIGMIYTGSKALQFLSIPVYTIFKNLTIILIAYGEVLWFGGSV TNLTLFSFGLMVFSSLIAAWADIKHAVESTGDTSSKVSTLNAGYVWMLINCLCTSSYV LGMRKRIKLTNFKDFDTMFYNNLLSIPILIVLTLLVEDWSSANLARNFPEANREGIFF AMILSGASSVFISYTSAWCVRTTSSTTYSMVGALNKLPIAISGLVFFDAPVTFPSVSA IGVGFVSGIVYAVAKIKQNSKPRTGVLPTPVSASSQSMRDSLRS PEX2_102750 MFTCTPCNCNFKDQAGLDHHLQLSLAHAFDCKPCGQAFNSWELL GIHCESTLHQRMMNSPLNAFFCSWSGFLFHAQLPPHRNWDELRKFCCWDDQSPQYRAA WIQYQDALASEVTIWFGDVLELESWHKLCGAVGISPLPSTCANGYWAIRDLHVNIIDL IQWARTGSNGEVKRWRNNAALFEYSIATKKIFERDLTTRDNPNIVLRHLASCMLPR PEX2_102760 MPRNNKKVKNAPPPRPRGPGKPAGPSSNPPKGQQHGNGQKQGSS NANAPKKASMQANQRPIVPFLRKDRILLIGEGDFSFARSLAKQYKCRNLCATCYDSKE ALYNKYPQAPQNVSDILNASAKPKPTSDDTEKQPEESKSEEQDSTNPNPNQQTPKVIF SVDARKLGTPAGGGKEIRTGFARRERKRPAWYQKDEPAGPPYQPGGPWDVICFNFPHV GGLSTDVNRQVRSNQELLVAFFKACVPLVSKPPPLMDADDDEWVYADGEESEEEEDED DDEEVGEGEELGKDDDTTGKGFRVGPGQILVTLFEGEPYTLWNIRDLARHAGLVVVTS FRFPWTSYEGYSHARTAGHIEGKDGERGGWRGEDREARMYVFEVKQKEPAKKGGKKRS RDDDSSDSE PEX2_102770 MRFPSGSLFSLFLFFFLFLAALTAPNTDPKGSNKTLAPWYALCE KSGFFSVDNGLPLSSVLSGDTSPPAGFTEKTTIINIVCGVASFILSLYAAISTYMKNR LDNGALAALEGRVADLEGNEAGPEPEAEAEPEENAEAEAGPVAVDDALRRRVGKLERG VELTSTKLNPESHIILDQPLLRLPHELARRNFKTVQRAVEREKEYVIPAIKETAAASL SNTQTPDQTLAALDAMISRMQGLKRKMESLQEEEKKIHNQSRKRIQHLETLHKIPSLA DVKYDQWSRVRLDRLLVDHMLRSGYSESAKQLAQDRGVEDLVDLGVFTQCQRVVDSLR RGETKEALQWCGENKAALKKSQHNLEFELRLQQYIEMVRTQDKSKKIEAIIHAKKYLI PNHQSQNSEIMRAAGLLVFTQDTRAEPYKSLFALNRWRYLAQLFIQTHHELLSLPSQA LLHIALSAGLSALKTPLCHSAYTSSSSNSQSTSTSVCPICSTELNELARKMPYAHHSK SYVESDPIVLPNGRVYGKQRLIEISRKMGSVEPGNVKDPTTGEVFHESEMKKVYIM PEX2_102780 MDVFWAAPPVIRTLTALTLVQSALMHSGLLSFYWAPFMPSMIFS WRPQIYRLVTPFLLTAPNLGFVFDLYLMYRYGSAAEKSMAPGEFFIYLLFVAFNIMLT AGGYLGSPFLLSPLIMAFVYTFSQTNRGTKTRFWVIDIPVVFLPYAMLLLGMVTNGWY SALVDVTGIIAAHTYDFLTRIYPTFGGGRNFITVPGFVERYFSHHDPDSGYRGYGTAS RAPRPTEQPSSASTSGSSWGAKPFRALELPHSQNFLRQIFGAFTAHPSTTTNKMAVVK TSEMDYAIKPEASVPSVDTSDWPLLLKNYEKLLVRTGHFTPIPAGSSPLSRDLKSYIS SGVINLDKPSNPSSHEVVAWMKRILRSEKTGHSGTLDPKVTGCLIVCIDRATRLVKSQ QGAGKEYVCVIRLHDKIPGGEAQFVRALETLTGALFQRPPLISAVKRQLRIRTIHESK NYEFDNERHLGVFWVSCEAGTYIRTLCVHLGLLLGVGAHMQELRRVRSGAMAEGPGMV TLHDVMDAQWVYDNNRDESYLRKVISPLESLLTGYKRIVVKDSAVNAVCYGAKLMIPG LLRYEAGIECHEEVVLMTTKGEAIAIGIAQMSTVELTTCDHGVVAKVKRCIMERDLYP RRWGLGPTALEKKKMKTAGTLDKFGRTNDATPAQWKSEYKDFNLPLTGTSAVAPATET KTETETPKADSPEPVKEEVEDKKRKRDGETAEEKAERKKKKKEKKEKKERRKSKQDDS DDSD PEX2_102790 MSTFERSLDAEQGSRPIYKVYKRRFWGLAQLVLLNIVVSWDWLT FSSISTTAAEYFDVSESAINWMSTAYLFAFCAVSPIVIWILNKGGPKPAIITTATLLL VGNWLRYAGTRANGGIFGLAMFGQILIGFAQPFCLCAPTRYSELWFSDKGRTSATAVA SLANPLGAALGQLIDSEWASKPSDIPNMVLYISIISTVAAIPSFFLPASPPTPASASS AKPHTPLGAAVRELTSTREFWQIFVPFAVYVGFFNSVSSLLNQILSPHGFSETEAGIA GAILIVVGLVTSAIMSPITDRYKHYLGSIRVLVPVVAVTYIGLIFAPGSAAGIPPSYV VMALLGAASFAMLPIALEYLAEITYPLSSEIGSTICWTGGQLLGACFILIQDALKAGA GASPPYNMQNALVFAAVVAVAAAPFPLTIGLFGRDVHRRRWEVDRGIELQEVGEVAPT DDNVKRVRSDESAVDTDTLIKT PEX2_102800 MGLSDFFSDVVSSFGFTEAQAEAPAQDTETETTTQEEPAEKEES ASTETAETESAEETPAEETPAEEESSEEAPEEEEAEAEEEEEEEEEEDEPEDIKPKLE EECAHSAVCAPYKHHYDECVERVTRQQEDEDYKGPKEDCVEEFFHLTHCVTACAAPKL WRELK PEX2_102810 MLDDQDNFVRLPNERLIYSSPPRTSVSLTPPSGYKGAEKLSIQS SAGCIHLTNQRVVYLPASKSNDFQSFSSPLLNVRDSHVSAPFFGPNVWTALVQPVSGG GISPSLSVVQLKVTFKEGGAFDFHTNFERIKERLEQAVENTSEGTRGQQNVDLSAVHL EELPAYEAPPNASQSARPSQTPEEPQSSRASDTGTEPVEPPPCYEEVQSQSVAHELEE RLRRAT PEX2_102820 MGEKGQYIPPLVGWMYDLVLWTFSVLIDLFFREVHPRGSWKIPR RGPIILVAAPHANQFVDSLVLMRVIRSEAQRRISWLIADKSFKRKFIGFLARAVGTLP VARAMDNLKPGTGTIYLPDPINEPTLVRGVGTNFEGKGFEKDGTIALPTINGTSHSTA IAEIKGPEELVLKKPFKHRDALAQLTGRKDIDRDGNFTGDASEQDPDFKGTKFKVAPH VDQTAVYQAVFKRLGTGGCVGIFPEGGSHDRTTLLPLKAGVALMALGTLAENPDCGLK IVPCGMNYFHAHKFRSRAVIEFGTPIEIPSELVEQFKQGERRESVGALLEIIYGSLVS VTVTSPDYETLMVIQAARRLYNTKGKNLPLPMVVELNRRLVKGYAHFKDDPRIVGLRK SIVGYNKQLRILGIRDHQVAYAKFSILQVVATLIYRLGKLALLAIGTLPGFLLFTPVF IATKHLSAKKSKEALAASTVKLQGRDVMATWKLLIALAFAPALYAFYTASLTYWTYWN RVNGMVPGWVPLWLVVLIGMVLFPTITFAALRIGEVGMDIIKSLRPLVLSLNPSSANT LVKLRERRAALAQQVTEAINTMGPELFPDFDAARVVTDPFREAGNTDTKAENELPEIR RTSVSDHVEKMPSSEPLPRNESFHNLANIGFFSTRPPSRDRSRSRSASARPGSRHVLK PLSPLTQKDPLEDVSSRIRDAMRERGERRRRRSEDGSWDMASSGPGTPSSTEESRKDL PEX2_102830 MPSMHLSRLRNWFLASPPAEYAITSLKELLIGALQQGPVPQHVA FVMDGNRRFARSHGIETVEGHNLGFEALARILEVCYKSGVKVVTIYAFSIENFKRSKF EVDALMEMAKVKLSQMAQHGDLLDRYGAKVRVLGRLDMLKPDVLEAVNKAVDMTSRNG DRVLNICFPYTSRDEITSAIRDTVEDYTTPIQQSRSAAAASRFSESHIADNIRAQTLG STTQESHSDSESTSGSSAQDDDAFPRHEYSDRVYGSVSSCSSSTTLHLGNQQDPKGGS PPTPGTGANSPVYISPETISRQTLNDHMLTKGTPPLDILIRTSGVERLSDFMLWQTHE NTEIVFLDVMWPEFDLWHFLPVMLGWQRRISKSRQDPNAEGNFAGDGKSSEEGTDAKL WRQAGKVKDI PEX2_102840 MVKQIAGSKALLLGSGFVTKPTVEVLSKADVEVTVACRTLESAQ ALASGFKNAKAISLDVNDDAALDAALSQADVVISLIPYTFHATVIKSAIRTKTNVVTT SYVSPAMLELDEQCREAGITVMNEIGLDPGLDHLYAVKTIHEVHAAGGKVTGFVSFCG GLPAPECSNNPLGYKFSWSSRGVLLALRNAAKIYQDGEIVSIDGPDLMATAKPFFIYP GFAFVGYPNRDSTPFRERYGIPEAQTVIRGTLRYQGFPEMIKVLVDIGFLNDAPNSVF DKATSWKEATKEVLGATSSSEKDLQWAIASKTKFPSNDERDRLLSGLRWIGLFSDEQT IPRGNALDTLCATLEQKMQYGPDERDLVMLQHKFEIENKDGSKETRTSTLCEYGNPVS SAMARTVGIPCGVAVKQVLDGTISKTGILAPVTWDICEPLIKTLKEDYGMELIERTL PEX2_102850 MVLHNPNNWHWVNKDASGWAKEYLEKNLVGLSVEEGGVSAKVAK VLSMDGDVDVSQRKGKVITLFDVKVSLEYSGKTKDDEDVTGTIKIPEVAHDTEEDEYV FEIENHADSNSKQPVKDLVRTKLVAELRKTLSGLTPALIAEHGKDLQHAPGVNPSIGF PKATVHPQTKADTPAAQATTTTSKTGKVAVNTTTVTASDEFRTTAGELYNTFTDPQRI SAFTRGAPRQFEGAKVGGKFAIFDGNVTGEFVTLNEPKQIVQKWRLAQWPEGHFSSQE INFDQNDIDGVTQMRVSWSGVPVGQEDVTKQNWDMYYVRSIKQTFGPRVFEHIGGFKM IASRLSPAIDGGLFGKNISGHLSNIPDTEMDGALSASS PEX2_102860 MSRDFSGQTNPSFAGTPSPPDNREGAFDMPAQYQRRSNRPRPLS MVYPSDSGRQSLPPPPPPQGHPSSRSVSQGRVGYQGMPYNDQAIPYNAASHRPQLAQY DQAQPSPAFNEHMSVTPAHFDTPSRAPGSRRAASAGAVISSAGSSRATSQSRNRSPSN SNWEPGMPLPPPPPGPPPAARSVSVSGSSESSSLRTTQSSNRTARRGPPVLGTGLGSI PPTPADWIDEGYLNSRPTETLYVDTAKAAKAPEPTDGDPHDSSNQRTPGSGGLFRSSA VRDPSAKGIRERRIERRNRQSQVFEPPSAISPNGNPWADALYQIKPSNLILPNQTNSP DQDRHPTSGKYNQPPGSSRSIKSEGQHIPSRTRASPSAILRDNSPYGTPRQQPSSAGP STAFAHTPPFSPNPNGVGSSAYPKEASQAVPVPPKALPTPPLNSAKEVRPRSRSRAPS QGAEKRPLSHILHMPNDAVSIMKPLSPRRTPGQTAQVSMESILRQDPAFLQDAIQRHK IFIDKEASAEDDTEALKIFADYMLAESQIRRQRYSKVWESFQVDDVRRNLFELPVKQA PKLKPQPKLDIPQNRAGRPESAWWNNYQPCLSPIASLNMSNDESSRGRAPSRWWESAT GSSGEGAERRVQRSKRESKYMGLPREAMHGDQELTPSQPKDVSMNYGSTEYGSDEYPP EKVGWHEGSPANSTGTGYRCESRNLDISRLITLPPPYPRHHPAVNNSHPDLVTHRTTV RSITDLAEIKTTRHRYKADVERMLQEHQQQVDEGHRHFRSNIQSQIQEGSLTFAEAAE AEAAMIAEENERERAMVKRQLDTYQETVLKPMHAILVDRISRATACIDQLSSMLSDDA QSGTPDQTQEEGDEKPELLEKLTQLKWLFEAREQLHRESFDLISDRDDKYKAVALLPY KQTKNEDKLRETQAFFTQDALNRRVQYENEALSRLETFLDVVEQNVMRGVEVQLSAFW DIAPSLLALVQQAPENLRGFQVQIPADEYEENPSYYEHPLQYLYTLLSHAEKSSYQFI ESQTNLLCLLHEVRSAVMRANRKLAEAERIKRGEAEEDVRRDMQETRADEELALTTDL KDKVATVEGQWTEALGSQIEGLRERVKEQLMSENGWEELEQLEE PEX2_102870 MSSLPIEKAPRTVEAEQNPIKSENPAGSEPTRQKWYEWFAPTDT PAERRLILKLDGLIIVFVFLAYWAKVLDSSATSTAYVSGMKEDLKLYGNQLNYLQTVY MVGFITMQIPLTLLMTRCPVNYFLPAADLFWGVFTLAQYKANNVTQLYALRFFVGALG GFFFPAVQWYLGSWYKRSELSRRGAIFFIASQVGSMSSGYIQAGAYARLDGRYGIEGW RWLYIICFACTIPIAFLGLCVLPSTPDKCNSRFLSADEVLLAQQRMASENREARQPFT KSRVIQILKGWRLWVLVAFAFFFSQADGVSSNSGLPIWLKEEGYSVESINTITTVSPA VTIVASVICGVLSDVYDAKASLIAATAVLNIFACIVLAIWNVPVGLKFFAFFLSGTAD GIAAIIYAWANEICAHSAEERAIVISAMNTIGNTFGAWIPLFVWKTVDAPRYLIGYNW TIALDVCMLAMLAVLYQFWAREKKRSHA PEX2_102880 MATAPPVGAENINTDIVTLSRFFTEEQIKYPEASGDFTLLCHAL QFSFKSIAYYIRRASLINLTGLAGSSNITGDDQKKLDVIGNDIFISAMRGSGKCRIVV SEEEEEVIRFDEHPNARYAVVCDPIDGSSNLDAGVSVGTIFGIFQLPDEVLGPNKTVG PQDLLHPGTSLVASGFTMYGASAQLVITMRGGGVNGFTLENSLGEFILTHPNMRLPAA RSIYSVNEGNSQYWDEWCNAYFHSLKYPENGGKPYSARYIGSMVADAYRTLLYGGIFA YPADSKSPKGKLRILYECAPMAMVFENAGGLAVNSRMERLMEVVPENIHDKSGVFLGS KDEVQKVIDMYNKYKK PEX2_102890 MAPRDTFFRSADMSLTQLYIANEIGREVVSALGEVGQVQFRDLN PDTNAFQRTFTKEIRRLDNVERQLRYFHQQMEKAAIPMRSSSDFSDTLAAPLASEIDE LADRSESLEQRIISLNDSYETLKKREVELSEWRWVLREAGGFFDRAHTQTEDIRQSFD NDEAPLLRDVEHHAPHQNGDTQGQQSFSEMNIGFVAGVIPRDRIGAFERILWRTLRGN LYMNQSEIAEPIIDPMTNEEVHKNVFVIFAHGKNILAKIRKISESLNASLYGVDENSE LRRDQIHEVNTRLGDVGNVLRNTKNTLDAELSQIARSLAAWMIIVKKEKAVYDTLNKF SYDQARKTLIAEAWCPTNSLALIKSTLQDVNDRAGLTVPTIVNQIRTNKTPPTFVRTN KFTEGFQTIVNAYGIPKYSEVNPGLYTVVTFPFLFAVMFGDCGHGALMTLAASAMIFW EKKLARTKLDELTYMAFYGRYIMLMMGLFSIYTGFIYNDIFSKSFTIFPSQWQWPEEI KPSQMIEATLKEGYRYPIGLDWNWHEADNSLLFSNSMKMKMSVLLGWCHMTYALCLQY VNGRHFKSKVDIWGNFVPGLLFFQSIFGYLVLTILYKWSVNWQEKGVNPPGLLNMLIF MFLSPGTVEEQLYPGQSLVQVLLLLVAVAQVPIMLFLKPFWLRYEHNRARALGYRGLG ENSRVSALDADGDIDGGLGRDSLASDGEGVAMLSQDIDDSDEHEEFDFGDIMIHQVIH TIEFCLNCISHTASYLRLWALSLAHQQLSIVLWTMTIGGAFEQENPVTRVIMIVVSFY LWFVLTICILCVMEGTSAMLHSLRLHWVEAMSKHFVGEGIPFLPFSFKTLLEEDPVD PEX2_102900 MSFYPNQSTSVIIPADVEHIHTSRLWPKVPHKDISESEAIITKK TFTTPDASGAVGRKFFFAIIRSEDSSRTVIGGAGINALSPAPSVGYNVHPDFWGKGYA TEAVAGIIDAWWKLDRMEFDGTGSDLGKEKLFAACNGANIGSVKVLQKTGFSIYREES IEGDVDTDYDVFDTLIASWFAMLDFLNGGPTKIQQKQINTGFISLKSDINQVFEAMKY VSLKEDGEEDVERNREEDGEKEEEGEEGEE PEX2_102910 MPVPTADLFDKQQNEANCTQPPSSATHTSPDHVNNNSYLGTAPS SSASASQDADSGRALTKEEADRLYEERMEEEYAKRDGGA PEX2_102920 MSEVQKTVEETPAVVPAVETAVEAPVAEAAVEAPKETTEAAEPT ATPAVESTETAEPVKEEVKPATEGVLGHKGPGLVKGFRFAKRFFYFNEEAVESKQLSV FQQNEKAAVANPTAAWASQTGKGLLFFTKRAEDKATPAGIFNLADVSDVTKEGTSEFL FKVAGHKHTFQASSAAERDSWVLAIETQATEAKAEKETIISSEGYKAELEKLTKPAVV VAAAKKPEEKKEETPAVAAEAAQEEPKEEKKEEKAPKSRSQSRKRTSIFGSLLGKKDA EEKKEETPAAEETKAVEPTAEPTAEPSVEAVAPVVAETTEAAAAPAEVVEPTAETPAE TTEAAKEEVKDEKKAEKKAKRASIFGNFFQKVASPSHEKSEKEATAPAAEETPVASTA PQLENPVEEAAVKPIETEAVTAPAEAVESPVAETPAAEAVSSPKEKRRTSFFGNLGIK KEKKTDSSDNEVTDGEAKETKAKKLGGLFRKPSKAVKLDKEEVTATETEAKAEAAEEA PAVPAKETAAEAPAVVEEAPKAVETAEDPKNVNVAVATPVQAAA PEX2_102930 MATTAIEVRLLEREAFTILPDLQQHQRQQQNQPATETSAQTIEP LNIESTVDIEPIYPTGTKFWLTIIALCIVLILGGLDVNIVATAVPSITNHFHTVADVG WYSSAFRLCTCAFQFGFAKLYKLFSIKTIFLISIVIYLIGSLLCATAASSIMFIVGRA VTGLGFSGEMAGCFAVLVHILPLNKRPVFAGLMACVESLAIISAPIVGGALTQSLGWR WCFWINLPIGGLSLLAMFFLFSDPRTRQEDDMTLSQKIKELDLVSNCLFIPSLTALFI ALSWAGTKYPWSDEKVIALFVVFGVLLAAFVFNQYRRGDSAALPFRIIKSRSVIAGFI FTTCTNSMTNVLEWYLPTYYQVVRSRVKLSGQFTPNVNLGIGVILFGQSMGPAVFIAI AQVIFTNQLSSTLEDVVPGLSPKFVEEHGLGDIKNGVPMDRWDEVLGGINGSLTHTWY LPVALACMTMVGSLLMEWRSVKQKQS PEX2_102940 MDIISSTGTNPIISSLPPSESPFGPLNFSIASRSSSSPNNFTPT DFTSFTTDYHQQPWLPSPPPSQPLASNQNNNSNNNSALEDFVLYPSATPHPQPRLRVP VNTTHRPSALQPFLAQNNPRRHSFSLQLHRHLQQQFSGSPVQDPRVTQLARSPSYWSR PTYTHNRSNTASVPSNSPNTNRPPIPLFNGQQNHTNNTQTYRRVMSTPNFMEAHEVDL FGLPSADFPANMASPLSFNDLGLVNDFSPEGPPGTISPRDLMMDASVPPSGTFTDLST PSFESPGNFSQNTSPMFTDMDIAGHEEWPSLFDHSSDQLNAFDLATLDVAAAFPTEQK KPALSIPMSPASPRSKRSVASSPVPASGTVKHSSVAGVNARSRKNLSPVEFDPNDPIA AKRARNTEAARKSRAKKMERQYTSERRIEDLQKIIAERDAEIAKLKAQLQIQNTYQ PEX2_102950 METDTSETSTSPNNPAFLHFNTLNLHKRTYPSQIQPLHIRTPNA PSLLVSPTLFRSSIEQQQSSDGV PEX2_102960 MNTSLPTEQPPALTTDSVFVKELESKLRQSLELRIQNIPEPPGY IAGETAKTAVLFSGGLDCTLLARLSHDILPLDEPIDLLNVAFENPRVAAAAKANQQKS PSSSSPPLSIYENCPDRITGRSAHIELQATCPGRTWRFIAIDIPYTETLAHRDQVKRL MRPHNTEMDISIACALYFASRGQGTAQTNPSAQLPSPDTPPSPLYTTTSRVLLSGLGA DELFAGYGRHGVAFNRGGFKNLIAEIDLDVSRLGSRNLGRDDRVLSHWGRETRFPFLD EEFVAWVLRAPVWEKCGFGLPEIEATAGIDSEKLALRLVALRLGLVKVSREKKRAIQF GARTAKMETGRSRGTDALS PEX2_102970 MSATESPSSPGPETRDVPHIHEHTETPAAPTDLLAQTQDDLTKP DSSQTVQMSAQDRTEPHDVAAISDPAATAAMDLTIAAQPSQAEQQLLAGQMEGLSVSG NTTPNPSSSANTPPPPPPQKDDVYLNLIASSTTTAPTLPPIDTTWTPSPEKELPDVPS DSDPDSKQGAQVEAPKDDNASQPEIQSIMGQFQDPARSTDQKEIMSPRLELAEKFRGG PTYFPPRKSSLDPAPSTEPAGSPVATSPVKQPVSQHPHKPEPPVENRRASTSTVLPLP EPESDQPFDFHRFLEQLRHRTADPVAKFLRSFLTEFGKKQWMVHEQVKIISDFLTFIT NKMAMCEIWRDVSDSEFDNAKEGMEKLVMNRLYSQTFAPAIPAPPTIPRSASRSRRRE LERLHGPWRRGQHQEDIERDDILAQKIRIYGWVNESHLDIPTVSGGGRRFLNLAQQEI SKINGYRAPRDKVICILNCCKVIFGLLKNSKKADTSADSFIPLLIYVVLHANPDHLIS NIQYILRFRNQDKLGGEAGYYISSLSGAIQFIETLDRTSLTVSDEDFERNVEAAVSAM AEQNRESETFEEKPSTQSPTIQPQAGPSRKETTQSSDDDASAPVAGLLRTIQKPLSTI GRIFSDDPDSGSVASQERPQPVPTPQPGVAPPRLTPNVYQPPRASSEDTRRSGDERAH SAHGSGTSAKKNLTRVLDAQDAAARQASAEDAEARRIQRAEHKNVVETLSNMFPNLDR ELIDDVVKMKEGRVGLAVDACLALSAE PEX2_102980 MSSSPFRIVEHVVPTQHIREYPGATATDQEEPLHLAVKQYIPLD NPNPQPGDITILAAHANGFPKELYEPLWEEIHARSKQNGMRIRSIWMADVAQEGQSSV INEHSLGNDPSWFDHPRDLLHLVNVKRAEMPRPIVGIGHSMGGAHLAQLCLMHPRLIH TLVLLDPVIQRQTTQLDGLSLQINKRAIAKTTQLSTHRRDIWPSRQAAAEGFRRSPFY QAWDPRVLSRWIEHGLRDLPTAIHPLDPKTPIDKTTGPPVTLRTPLHQEVFTFSRPNY TNIPNSTKPVNRVTHPDLDATHEHSYPFYRPEPARIFSQLPFLRPSVLYIFAGKSDMC IPSMRADKLANTGTAVGGSGGVAAGRVRDVFLEDFGHLLAQEAVNECADAAVSWLVPE IHRWRAEEESFRAAWGQKSKIEKVTVDAEWLKNVPAPARSPNKPAQNGESKL PEX2_102990 MQLVDELSMIYTTCLMAYASFSYSRSTTVRVCLGLSLTGLAVFI TLYYHYLQDPVFHQNAYALLTTVVVLRSMHTMEVTLRPKWRHSTEEDRLARQKKGLPV PTKERQHYENVRDEKTLKTMWFMVIYGLSMFLGGFSIWGLDNVFCSEIRRARHSVGLP WGLFLEGHGWWHIMTGIGAYLYITWGIWLRHCLNNRQEEYHLRWAHFWHIPEVIRTSG GPSENGVSRAKKST PEX2_103000 MSNNSTLTVPQVKTSTSTTSKTPFHFAAGLCSGLTSSILLQPAD LLKTRVQQSQGASLLPTLKAIISSPNPIRGLWRGTLPSALRTGFGSALYFTSLNALRQ AVAQSNPMALANPVIGARSSSVLPKLSNTANLATGAVARVAAGFVMMPVTVIKVRYES DFYAYRSLVGAGRDIVRTEGLRGLFAGFGATAARDAPYAGLYVLFYEQLKRRFALMAA EPSNNGETSTAVSSSSIHFVSGGAAAGMATAITNPFDAVKTRLQLMPAKYGNMVHATR LMIHEDGMRSLFGGLGIRMARKAISSALAWTVYEELILRAERRWETNSQIGL PEX2_103010 MPARAMYDSDDYSSHDSEFETDISTRRTGVRTHVRERSLSHHRR RPEIVSREFLAPIQSTRMHRSASTGGSRRHVRELPTAPAPPPAVMIFNEQGLQSESRS ENKPQSRRVHHQFSEKRSEKHNHNHRHNRFDDEDDEIVQVPAAPRRRERAVSTVERNA SASPFQRDHELAMREHMLERNDIRQDMGQHMLERNDIRQDLGQHLLERNDLRQDMDIW KHQQEIERLQRELEKSQVRSNKEREKIVVNPQPNPHESRLLREELEYEDDIAERLHKL QRLERKHQSAEEERKAEERYQLKRLAAEKRAAAEEDEVRQKLQEEKLKEMARTQEEKG QRERLVREEKWKELARMKEEEEERAKLVREEKWKELARQKEEEEEHEKLVQAIRDEDM RKAREAEEQRKKELAMKAAAVEEWKVEQERIKQRQAEEAIRKATEFRDRLRSIGYTDA EIDAIINKDKKKEEKKEEKKEEKKKEEKKEEKKKEEKEEKEPKLTWIKVHHKHLLPET LIAYGLPWDWDEHDPNYIIIKKWIDDDFQEQLFAHTRRLRENKVIAQTSSSTTELRVN DRKKDKMFLIRKKSPSGRTRIFR PEX2_103020 MSIPNEALQKLLQEIEARAIASQQQISITKAHMTAKQRDIRMLQ LTSKELSELPSETKVYEGVGKMFVNVPVNTINKRLTRESGEATAEIANLEKKLHYHET TNQKSRENLEQILKSGGRS PEX2_103030 MTLVARPIPPTPPQSTDGHPLPEEPFGGPGVYRRAYNDMSMSDE EIINRKQEMHYGSPPFYNPPSFPQNMPPQSIMMSNAQVTTPPPGSDEDFIPIGSPTMM TPSPPWSQSPHSRAKAPARAANKRRNRTRRELSDPGIKLDGPISQLTESFHTTPIKDM DAWVSRSALERQDEVRKKNGKISRPMNSFMLYRSAYAERTKRLVGANNHQIVSKIAGQ GWKLEPIEIRRKYEDLAKLERDNHAATHPDYKFSPNKNPTATSRRDSGSPVLTSGHLG EEGSFSDMESDLGSNTYHGSSYAHSRSQSFEETYYDSSRDSSPFGPDSMMTPGYVHSS WQNTSHPTGLPMMQPSSLQSSESYGGEIYRSTSPNQQDMGYGSSLAGLPGAAHHELLQ PQLTHPSHGLPMHDMDPRLLSNGGESSGIATMTGPSYSATPGSYSTWADETQAGFYTA SSAPSMSPGTVSYAHPSMTSAYLPSMQSLEGRDSSWDMLRHESMADPTPAEFELWCST EPNSNGY PEX2_103040 MGSRKRTYSEVAPAAEQQAPEEPGLLSQLRSNWAFASLMQYIAI FGQVMKIDEEFGIEDLEVECLKPEPSHKLLEIGLCLLKWISSHRGLTFDNFDEYTRRQ YNAKAPNTTNPFGHGEEPNKFLHFDVFTKIRVLHQLTVWTFWNADRIRDKMPEKKETE QTEWRIEEFGWDREGRSYYVLDDNRLYRRTDPPPPPPPQRPKKKAKSRSSRASRTSKR TSTAAAEEGSDEESKDTNDASAVAAFAEDPFKWECVAVTLGEYQAFLETLQKTKDADE KFLRDSIVEHVLPILEKAEEAQQRKRQKREKELLNLQLVAGAKRSSRLAAKEEKERQD RDAAEAAQKHENDLAEARREQARQTQLEEDRQSRTMTREHRIRDREQKRLLHEAELER IAEEQERIDKGESRVSARNLQADLQKSQKNLAGLTQDDQWVFDCSGCGVYGENLDDGS HSVACEKCNVWQHSNCLGISQEAAEKEDFHFVCRDCKQKEEDANRPKLPPLKFRVSAS ASPSAAPPASKKQKLEDAEDHAPPSPVKKSHLALSNIQNAPPTTQPTLHSSAVGHGHF YPPPSPQRRVHHTDHTPLLSSSPPRAPFSPPKGMNGLLHATMEQRPQPSSSQHSLPPM QPAPHLPPIGSFPVRPSSSHSVQSPVQNQPSMSPTQGNPDVGPIAGFPSAVPSHAPSP WGSFETPRPQSGHAATPTMSNHYPSFSAATPNGNRSSPPQSSHGMAMSGISPTKQSPR PLTGSAMAGAPVLPPIRRLEPSPKLMGRSSPDAPIPPPVKCMTPEQEERRQRENASML HQAHHYQGNGHHLMSSPSLNRIPPLTSGTMSQYPDPVPSPQRETNGQNQ PEX2_103050 MLQAQTQHVFSHQHQYPQADPSWMQHQQQQQHHQAQPHPHQSQQ QHASLVAQQHAQVQAAAAAAQQQHYGRIAMGGNGAGNPAQGAGGAGGMSGDGMSNAVS AMDGGISEENRKVFIWVAELLDPARRESALMELSKKREQVPELALVIWHSFGVMTALL QEIISVYPLLNPSQLTAAASNRVCNALALLQCVASHNETRTLFLNAHIPLFLYPFLNT TSKSRPFEYLRLTSLGVIGALVKNDSSDVINFLLTTEIIPLCLRIMETGSELSKTVAI FIVQKILLDDIGLGYICATYERFYAVGTVLSNMVTQLVEQQTVRLLKHVVRCFLRLSD NSRAREALRQCLPEPLRDATFSSVLRDDAATKRCLAQLLINLSDTVSDGAPTAM PEX2_103060 MRSLSVLPVLSTLALLLNHSLHTAATPLALEANVFEGNKLEKRC ANPCGYNDWLCCEASQTCTTNSAQEAVCANGGSGSGGYQYYTTTYTLTNTDLTTITSV WSSQIATATSTGTCRVDLGETTCGSTCCEAAQECSEGQCVAESSSIVVTATGTGGGSE ATPPVRGTTSGATTVTATSAPTTTEGFTAPVGTDGADLIGAKATSSGGLSGGAIAGIV IGSIVGGFLLLMLCACICFKGVMEGLLAALGIGKKRRRQDTTYIEERHSHHSHGSRPP PPPPPPAGRRTWFGAKPAVGGSEVSEKKDSKWGLGTIAIILGALALCLGLKRKRDREH DDSKTESSYPSSYYYYSDYYSGTGSTSTPMYPPTRKTSTDFSFFHRYSKFRQTN PEX2_103070 MSSQDTFNVAVTGNRPFLEYPVNTSQLVRDALPDAIDRPNKPII RILKYDRDTLDTYADVRRVSREIWGGSSSLFRKPVHTDGSSSQGNDHVDIDLILHLGM VAFDYPQTFTFETIAHRDGYELPGDDGKPVDSEELKQLGLPETLATAFDVEAAWRKVK AQFPDTPTSVSKDAGHYFCEFRLYSSLAEPLLGEALSKKRGRTVFQHLPERHLAEDIA LATRITMAYITALADDPIANGDGDFNH PEX2_103080 MPLRIPSTLFIMDSVTDSIQYTKEQDSPNSPTNKASPTDKASPT PDDRKEPWREFKTVKDDVSVRKVRDMRLYGNNVNAHS PEX2_103090 MAAPVLLPTTARLSHLRDDPIYKTERPYEIWADDIADNVPRTNV RLDYVPDCPLTDVRTVENKPELDTSGFEWMKQDFPFQTGLRTVDDVEMQIEEQRAVLD NYLSTMSEFLRQRLGCEKVVCWDWRVRRSKRTLPRAAPNIYSLKDVDATDLRATKINA SHIIHADGSPDWVQSSVLQKVVEADEAALAKSKNYRTRVLTVWRPLVDVVQTDPLVCC DTRTLSEQDYDVIQKIMNDSVEESMYLKWSPNHQWYWMSDQTRDDVLIMTVWDSKRPF ERSAAVPHCSMVLPEHAPDAKPRESIELRFIVWNEE PEX2_103100 MQDGNHLYESTWQDALSYTKTILGEDDFELIQNFQTPEELLNEV QKLQQQNTAQATVTRLLRNVHPHLVQLHQFATFLAVAAGANISFTCMWGVTYLLIFLG SKSEKAMEEIAGYLSEFSEQIELFKVYSDKSVHLEGEVMERFFTLLVDLLVAGALAIK HLRKHGGITGTSWTNVNRQFTKAIQDFTHRIVHLRQLVEAHRITEMSLQQTEILESLS KYSITQPRETAKLPYYQLPFARNPNFFGRADVIQSINDALQGIDNDGQGQLIRSVALW GTGGIGKSQIALEYANLQVLNKCQLVLWLPTQTEIDLSRALVHAANEIRPAWYEDGMP TERVRFLMWNWLQTTEISWTIIFDNVDDNNLLTSNWPAAGNGQIVVTCRSELAAACPA ATAVEITPFTKEEGGGLLMKLSGKQNTKLPAEIEAAQELSSMLGGLALAIDITAKQIF VKKKTMRQFLPYFKKNKQSLRVPPRYASRNPYYNENLVTVWQTAFDSLTKESGQLLAL FCFFAPDDIPCDIINTPEQIPGTWDFLSDIDEYEDAAALLLHQSLIKINSDTGMISLH RLTQEAYYYHLFEKERHDTFRVAYRILCAAFPKRTLRRQMYEVWETCEMLIHHIETAQ EKYEDLRPTGLNVQDVEYHTMLADAACETSSLQLGETIGRRAADNCEDKNSLVYAYLC ESVATVDHRRGRYLPAYKFFLQSLEIREKEPSTTGPELADAYSAVGLALFGLFKCEEA IEAVQKALDLVYKAPKELQCTYNIDRYLRNHSRPSAALGRLDVAKRDMEVAERFQTEV YGEDSHFHGETAYILGKIAYTENDLERARYYIQRAYDLQYPGKPTHQSVASALYHQAL VCLRRSDTEADWEQQKRNDEQALEYLRDALRITQFNEPRRGDQGESARVKWQISKIWE RQGRTSDASTYKASALRAKLELEKTGLHPVAPDEEQGWDAFTDVVDR PEX2_103110 MDTLRKRLTPIFAFPFSRTVAPPSPPSPAHANFLELCAGGHTVD TPEDCTAYLVHVNRLSGGKWNDAWNELLHPDYTLPNSTDDFDIIIQLCSEVAQIMLDH GVLSMARIIQKLEAKKVLCPAVNIQCHSNAHGLAFSLIGWLLLLYVPAKKARPNEMQA AEQRIRSGLRYKVSPELVNRPLDELLRSFGNILPRREEQRDSAVASTDLEEARVKLEV THLNAAALKTMANIQIRVLNKFYEDDEKPTDNFSVAKMINEVMLSYTLLFKADRKSRK LYQTTERTKASLIKPNNDSKPYIDPVLDELCGNHISSSFFKFGEPVREYYDSITDFPI FKDRLRRIQDYMQGIQPNRFVSLWRDQRDLRLWYTIWMVIIFGVISIVLGLIAMFLTA IQVDYAKKAYELQVKQGG PEX2_103120 MEIPTLQLRDGNRIPLLAFGTGTAWFKEEGDTSFNNDLVQLTKT AIQKGYIHLDLAEMYGTEEEVGIAIKEAGIPREKLFITNKVAQGIDDIDAAVTQSLKK CQTDYFDLYLIHVPFFAKSPTDLQNAWKAMEGLKAAGKAKSIGVSNYLRHELEATLQT AIDPPVINQIEYHPYLQRANGYVPWMHENSIQVGSFKGLTPAFRVPEGPLKGPLSRIA EAHQTTENVVLLAWLIQNDIVAVTTTQKVERLDEYKEVLDVKLTEEEIKEISEVGATS HFRNAWGERFADDDRS PEX2_103130 MAAQVHIVRHAESVHNVSKDYTQRDPSLTPLGLQQAAQLVHEFP YSSQVAVIITSPLQRAIQTALAAFPHVLDKRYFDPHSGQGIENGSLLMIDPELQERSA FPCDTGSSREVLEEAFHIDFSDLAENWHIKEGLYSPDDNAVNVRAKSMRTRVAEVMDN LKDKEKRDVVIVTHGVFMKFLVEDPEIDLPKAGWKSYTVGENGSGKILLPF PEX2_103140 MDVFLRTWDLGFIAFGGPPVHFQILHGRFVEGQGGKEKWVDEQT VRLSLHILKLYQELFAICQGLPGPGSTKMIFCLTLLHAGFIPAMLVFFIWSLPGAIGM YALSLGVQNMNETLPKPVYSLLSGLNASTVGIVALAAVQLAEKAIRDKLSRILVIFGA CAGLCYNALWYFPVLMIIVDATRPTQSAEALSQQHIIRIRVGVLVTGLFFASFIGILV ARGQLTTPPLALDLFANMYLAGTVIFGGGPVVIPLLRSYVVDPGWVSSRDFLIGLAII QAFPGPNFNFAVFLGALALQHSRFPTIFGAILGGLGIFFPGITLAVAIQSFWRVLRKK KYVIDFLRGVNATAVGLVFTAVYRLWEIGYLTPERSDGQSLGKNPWWLVVAAVTYAES AWFNVPPAMAIIMGAILGLCWFGAMGG PEX2_103150 MRASRWIWIAATFLTSTVHSTETAPFTAAEEATANKRAFEVLRI LRRDVNNCPSGYNPCTELGNSNACCKYGTNCSRDDANNIACCASGASCTGSLTGTKTT GASTVFMFPSGASATTTESGAASTTGSTLDGAYPFVVVPTAFSNADTCSSYYSVCQSE YTQCAGALMGRYGVTIGGAGGGKTVEAVTAASQATSICSSLSAEACHGINLAYCSSVA TQTGSSEVNGNDASPVRMSSLHDLVFGLAVGVAGMFI PEX2_103160 MASAKSPNIPVVDFAGWNTKSSRQRIAQEIVAACKEVGFAYIVN HSLPESMLDQAFNWSKLFFELPQEEKLKAPHPEGWAVHRGYSWPGLEKVSQAMSTGDD QKMADQLREVTDIKESYDIGSDKNTTQPNQWLPEHSLPGFRDFMDRFYWECFRVGGEV LQALAVGLELDENHLLEKHSGHNNQLRLLHYPPIPAEAIETERAARCPAHTDWSSITL LFQDDCGGLEVEDASSPGTFVSATPIKNAIVMNVGDLLQRWSNDLLRSTSHRVTLPQL PDRFEGTDRMTRRRFSIPYFMAPDPDSVIECIPCVGEGAAKYEPITQAGYNQMRASMQ Y PEX2_103170 MDQSRVPHQDPDRVATMYGELGNKLVQHAKRTQSLAHLPPYQTE IVRAVTREVRDLDRDVTRLLEPFEGAFNPSADPAIACALLVDHLCMRRNKRCLLAYHR VRTEKLEELCWTGVDILEQQQPSEDGGAAQHTALGASGHSSLSPEEEEYFRQYGDMLA AYKGQWTDVDLTGTLEPPKDLFIDVRVLKDAGEIQTEYGVINLTKNSQLYVRQGDVER LIAQGFLERLT PEX2_103180 MGVLQPRGRRDSRASALSRNLMFDRDIHGNAPSESLVEHANLGS PPGPMGAESSSYNGSTTRTPNRSFYHRSFNSNMDPAHYASEGLRDQTAELATYGLSLN KKSLLRDGPGLPPSLDIFHGSQSSASCSQDEATSSHLAAGEGLLEPGYPSIDPASASG SSALTEMIRRPLTDTEEDQVTQPGTDDISFPPSSTTYDETEGDNEHTSLLSKSRSKST QNYGSAGDAENQRPAVKRSPNLITKGVSSVAHYTRTLSNPKSWDRRVIWKEAVVYPAS LVPAVLLGLLLNILDALSYGMILFPLGEPLFAHLGTDGISMFYVSTIISQVVFSCGGS IFKGGIGSEMIEVVPFFHQMAFTIMNSIGKDNPKSVIATTILAFSVSSILTGLVFFLM GVCGLGSLIGFFPRHILIGCIGGVGYFLLQTGMEVSARLPGSFEYNLPTIQKLFQLDT FPLWMIPLFLAIGLLVTKRFVRSNFLVGGYFIAVAVVFYIVILSARISMGALRHNGWV FAAPASNNPWYHFYSLYDLSEVNWTAFGETIPAMFALTFFGVLHVPINVPALGISTGE DNLNVDRELMAHGVTNALSGFVGSVQNYLVYTNSLLFIASGGSSRLAGLMLAAATAGI MVIGPVIIGYIPIMVVGALIFLLGIELLQEALVDTWGKLTRLEYLTVVIIVVTMGAWD FVVGIFVGILLACVNFVVQTSRKSAIRATFSGEISGSTVRRPPIQQQFLREAGQQTLM IKLGGYLFFGTIVNVENTMRGLIEEEAFDRRPIRFLILDFSRVYGLDFSAAEAFTRIN RVLQKRNVQIAISGLNVEGDVGKSLQNVGLFESESGVPIFEDLNSALEFCENNYLKVF YSRQEALSTPTDPTANSELLQVPVSVPVPSGPKSPTLADNIVSSPRRQYLQRVATSTI QAHETTMMASPAWSAMRQPLPLLLQTFQGLSTENEDFWFPACAYFSRESYPAGTVLYH EGDAPRAFYLLESGMLRAGYDLPQGRYFELIVAGRPCGELPFFSDTRRTATVKAERDC VAWCLTGVQWKVLQDKEPRIARELLTVSLKLTTERMDSITSYVLTMAA PEX2_103190 MPPQKIAILGSTGRTGRVIVKNLLESEDESYHLHLLVRSKERLE GLIPGIQLHPRVTIEEGLPNEVAAVKNCLSEASFIVCTIGTNNNEPGIKTIQDTASTI VAALLELKKEGGNMWKRPRLTLLSSASRNDQFISLRPWVLHWAIMNAFNYVYADLAVA EGILLSNKSLLTLTLVQPPLLVEGKRSEFTISETYVGPAVSYEDLGAAMAELCIVPEA DGPTCTGVTSDDSLGEVGAALQGPKNLLTGFLGRMVPGYWKVHGLCFGG PEX2_103200 MAAARLRLSKSDFVNPDVLDAVNPHPVWGYAHGPIPNNNPDWDF KRPRGRYVSKKEQILALASEGSPAPMVTMNSYNTVVCDILIQGNRMCGAGFQNGRNFS AHIRIFHSGAANPLGFRSIDEKTAGDNAIKRWVLMGGWRNALYVKEPNQKDIHNSMIG YYCDALEEIARTDVQFAVEYGTQFHRASRRSGYVRGILLPPNQPMPLVAPSTPGSDHS LKPSRCEQSTQIEPTSDNDPDVNPDFTSGSGPDCENVSQSVSEIDSELEDQEEWPIDA VTDIFAGLYLPSSNLDAAYGPISEEMDRVCMRKEDCSQSD PEX2_103210 MTAENRESKLFEQCCLCIVCSKDLSSDSAEQLATILEQNGGEPL IVQPGAEVPDVAKFSHLISTTIDFEAYDTTCDALIPVVKPQWVNNSLTKRKLANPRQY SPDPRLFMNDVVVTCGDIPEGDKDAIIGGVVAKGGLYAPRVSQMVTHLVDLTADSDKA RIVQAKKLNVKIVLPHWFDDCLKLGRRIDERPYTLPDPEILRVGPDTPIRWQENKDLV GASTTDPSKLPEPSSSAHKSGQRSVFEGKSIMLSPDLGIGSHLHESIKTVLEQGGATM TSNIDAADMLICRYREGFAYRTASRLNIDVGNLAWLYRLMTFNTYTSPLRRLLHYPIS RTGIPGFEGLKISLSNYVGEARIYLENLIAAAGAECTKTLKQENTHLITAHGTSEKCN AAKEWGLEVVNHLWLEDSYAKWKLQPASNPRYSHFPLRTNLGEVAGQTQLDRNVLEQV FFSPGETVAHSPRRAMQSKNQNMVSVQPPAEKKRKIDEDAPETSLGTPQGNGKSRRPV QTPARTQIMSEGKENETPSSTNSRKSKEAATARLHEYAPDLALYEKERKRVGGVIYGG RRKSDEGRIQENKKRASLEAEDESDGEQALETKKQKKSRPPIAMHLLITGYQRWVGKG NEKKEDADKRGLRDLGIMVVQDARRCTHLAAPSILRTTKFVNALAYAPMIVSTDFITS CLKKEELLDPSDFPLEDKEAEKKYNFDLSSVTTNAKKNKNKMLQGYRIYCVEDIRGGF DAFKSIVETNGGECMLFRGRLAIANHSRREESDEESEAEDDNPARKDVFLLSSAGANH GRVWPRFRQLALDIKKTPRIVRVDWLLDMAMSQETRATDEYELTEEMIEKMEQ PEX2_103220 MTTTPPFPFPPTYNFPPFFTPQPNTTTRHSQLEKWSSLIQSWCR HHRHYRLSLIDAVETPLFHNTTLRKRLDLREAREVIDWMTKSEEEGGGGRRAEWISDG GGASSSVTLVNGAGQGPKTVAWIWWRRPEEWADVLVEWVEGTGQRGSVLTVYELIHGE GAMSQEFHGMDTDIMLRSLNVLVKRGRAQIFGGEGQEGVKFF PEX2_103230 MATQNPPAKRVKREEYRRKVQAQQESTDGSVIKMPQKKFFRQRA HANPFSDHRLEYPISPAHMDWSSHYPAFVDPDASKTNLSGGRKLTKEVEVVDIGCGFG GLMVGLAPLLPDTLMVGMEIRTSVLEYLSSRIHALRSQQQRLKNKAANASSTEPQDAS TEPENTESTPAPAPANDDDIGTEKLFPGNFENITAIRSNTMKFLPNFFGHHQLSKIFI CFPDPHFKARKHKARIISESLNSEYAFVLRPGGLLYTITDVEEYHHWVLRHFLHGEQP EGGPAPAASSKDLFERVSEEELALDPCVRVMKFETEESKKVTRNKGNKYVAVFRRKAD PEWPA PEX2_103240 MIKFDLRANRPARPTGSRPYPGRSAASTSDLPDNLPPRASSAFS MRAPVEDEIEDHRRSGSAMSHRRTNSHVPGGGGVGRPLAQEPRTHSIRKNVFPAQIHA RSIPIPASPTLSYRENGQRRHEKEEARSLRDALQKMDILDDVGLHQAAQDEATELVWM HQNPGQAFKNPYAPYQNPDANRGSQSPVRNGSRGSNPSIHRFSQSTGSSVSSDGNSSP ESLRKRSSLAGPSKKNLKVNFKLPDEEPEQSVAPKPRTVSSDSSKGIFRNPNDQIYEE PIDAQKEAEAKPDFSKSDSSALKNKPRNALGRIPRPLPWLQNRAHSSPVMDKISRFEN HKNPPTQSRNAGYTRNESATPPPTQSNNAGYTKNERATPPPPPEQNNESVLTNDGRER RSDDIRAATSKRRGDRSEKLPMPSAVSDRVGRPIVSFDQTWKPTDQPKPHRPTLPVIE VAAPTIEVSAPSIEVSEPPPIPVINIPDIKVPTISEIEASSKQSTKPMPQPTRNSPNK QAFPKQQGSDSQSRWYSPYTRSGVPTASCELCTLSISGKIVTAGGCRFHPECFTCFHC HTALECVAFYQEPESSRAERLETTDTHDHEARVPRFYCHLDFHEMFSPRCKSCKTPIE GEVVVACGAEWHVGHFFCAECGDPFDSQTPFVEKDGFAWCLHCHSRRTAPRCLGCKQH VMDEVVITAIGGQWHERCFNCHECGDGFGPEGRFFVREGEPKRTAKGRVIGGPVQLAI CERCEGIRLKAPGMC PEX2_103250 MADNVPIDIIRSHQDDLDREGAKQEQKLHPESAPLDVDEDEKYK RDVAPDGGYGWVCVACVFWINAHTWGINSSYGVFLSYYLSHNVFPNTSALSYAFTGGL SISCALLVAPLATYLIQKWGVRFVLNLGVFFETLSLIGSSFATQKWHIFLSQGVCFGW GMGFLFVGSVGITPQWFDKHRGVAMGINAAGSGLGGLIYSLAVGAIIPRYGLGWAFRI LGIISCVVNLVCCNLLRDRNKAVGSRFTAFPLPLLRRPEFLLFLGWGMFSMLGYVALL FSVANFALSVGLSSHQGSIVSALLNLGQGLGRPFVGMFSDRLGRINIATFLTFLCGLF CLAIWTSADSMGVVCFFAVLVGTVAGTYWATVSPVLAEIIGIRDLPSGLSITWLTLVA PCTVSEAIALQLRSHDIGGGTSYLRVQLFTGFMYFGASLCLWVVRGWKVGELERAQGR HDSIVQPGPLTGTHGENEKQERPATISPALEATGTDAPLWAPVSLVRRMITLKKV PEX2_103260 MKSLRRDLSANPQAANVTSKVFVRSTKSGKVQKIVRELYLREDI PCSSKLCSQCPTIAPADANGNIAPFILSDRPAGTTAFPRGHYLVPDTNALLNGMDLFE HTGAFYDVIVLQTVLEELRNRSLPLYNRLLALVKSDEKRFYLFFNEFRRETHVRRGPE ETINDRNDRAVRLVASWYSSHLQQSAKKGKKEKAIPAIVVITDDKENLRKCKEENVAA LSLSDYVSGLEGSEMLLDMISESKDARESRETNRGELFYPEYFSMSKLTTGLRAGTLH QGVFNVSPYNYLEASVKTAAFDKPLLILGRDNSNRAIAGDSVVVEVLPQDQWKSPSTK IVDEEAVTRNDNPDTEETEAVVTDRERKALQEEVKKAHGKNSDGKPQPTAKVVGVIKR SWRQYVGHVDSNSTGSQASGRRQQNVFLLPMDKRIPKIRVRTRQASEILGQRILVTID SWDRDSRYPTGHFIRSLGELETKGAETEALLLEYDVQYKPFPKSVLDCLPSEGHDWKV PVSKEDKGWNGRKDLRDLLICSIDPPGCQDIDDALHARLLPNGNYEVGVHIADVSHFV KPNNPMDLEASVRGTTVYLVDKRIDMLPHLLGTDLCSLKPYVERYAFSVLWEITPDAD IVSSNFTKSVIRSREAFSYEQAQLRIDDKSQQDELTESMRTLLKFSKVLRQKRMDAGA LNLASPEVRIESEGDEVGDPLTDVKTKAMLDTNSLVEEFMLLANITVGSKVYESFSQT ALLRRHATPPPQNFEDLQNQLSKKRNMELDVSSSRALADSLDRCVDPKNPFFNTLVRI LATRCMTSAEYFCAGAFAESEFRHYGLASPIYTHFTSPIRRYADLMVHRQLASAIGYE GEDGHAVIEGVSTRNKLEDICRNINVRHRNAQHAGRASIEYYVGQALKARGEKMAADG VDAGIEEEGYVMRVFENGVVIFVPRFGIEGVVRLEDFVLPGESGARSVAERRELAPHR TTEFDSDEYTLRVEEKGHPEKERSVTVELFQKVRVNVSSVKEEGRGAGKRRVRILVTE A PEX2_103270 MVLAAVGQICSTSNITSNLAQCKVLVQKAAAAGAKVLFLPEASD YIASSAEQSYSLAQSEERVSFVSSLQRDAKEQNIHISVGIHEVASETRLKNLLIWIDD NGAITQTYQKIHLFDVDIKDGPVLKESASVQPGQQIPKPFDTPIGRVGLSICFDLRFP EISLALRRQNAEIITYPSAFTVPTGKAHWEPLLRARAIETQSYVIAAAQAGPHNEKRR SYGHSIIINPWGEVVAKLGDEHQEPQIATADIDLDLVAKIRREMPLLRRNDLYPEI PEX2_103280 MTARPLSHILRARCLLRQPQNTQAFSTRSTLRAADHGDHYDPPT GWLFGVRPGQKYVKEGWENIWYYGFIGSFLVAGVAYVFKPDTSIQTWALEEARRRLEA EGILEDPEKVKK PEX2_103290 MATNNAYPISSNSRTKLNAFRFQEGEVVPNGATLKDETKSVDGS KEQKNQDSNGVAEPMQASSDKGCPPPRAAQATELQVQESKPIKECPQTPGNRIPLADL ISNAEDSFDPTPGPEVTPVEHVIWQHVPASSNPDTSSQTPAGRRRKRRHSSSPAGSPS NGNKKKAQKEALDLHSIQALFKTPQHDMAAELWNNYMDKNMVDGPDDLPPPRFANLLS SSPQTPGSGRTSRDSSGLRRAISCTTDFPTSRTKRRRVNRLDVGPSRGIQRTSSNVES GRPKSSRINYLMEKIEKSIHMAPANAGPPGSSPLRQHMDARRCRSSSPTKGNRLHEAD EETAESPCAVPLEQVKPRKLPILQESSSEFGDDDLDQGLIDLADASEDPFIEQSNTSN EFASLGSSGWATIDAEKSRSWQPNKNSMLDIKPPIPIPQNNTTNETKRDEFDDFEDEY DDLPDNLQEILAKCDTKPVPINSSKPTCTGPSLQKSDAMNVPTNGSIHSKPLTAPSVK PEAASSDDEFDDDFDLEAIEQTMKQADEGGPTYNLKGRQAIKRYQIVDIMKSTYVTPK GRTQPEQGLLVEDEKTRDRKVIVLRESWFDTPCSKDSYIHLVGDFNAAGQCVVDNLNH MIILHPDHLISATVVADSIDCQRRAVLQDRVKVIGALERPQAFGVFFHEVFQEALKAN QWDMESLKTLVETVMGRHIEELYSIQMSIPEAVEYLMSRMPAVLDWADAFLHIKPQTK SMVEDRNSTKLNLSINKLLEVEEHIWSPMYGLKGNIDATVQVTCREDNMEKNLVVPLE LKTGRRDTNQSHRAQTALYTLLLSDRYDIDVTFGLLYYLELTKTLSIRGIRHELLQMI QVRNHLAGYIRERQQLPPMLKKARQCVRCYAKTPCLIYHKLSEDGDGETSALGEDFDA AVGHLNNGDRDFFRKWDELLTKEEGNLVKFRRELWTLLSSEREALGRCFGDVVIDPHS VYEENSGTKINRYHYTFVKRQAPPGFSFAESQISVGEPIVVSDEKGHFALANGYVVHT SSSHIKVGVDRKLHNARSKTAGFDAVTNQSFRGIMEVGKEEPAALENPDEQLVYRIDK DEFSNGMAIVRNNLICMMDKDLFQARQLRRLIVEGQAPAFKTTSSSYTISDPGNLNVD QRQAIDKVMSAKDYALVLGMPGTGKTTTIAHIIRALVAQGKSVLLTSYTHTAVDNILL KIRDDSIRVLRIGATAKIHPDVQEFADLAATPKATIEELKDSYEKPQVVATTCLGVNH NIFNQRIFDYCIVDEASQITLPVCLGPIRMARTFILVGDHYQLPPLVQNKAAQEGGLD ISLFKLLSDAQPDSVVNLEHQYRMCEEIMLLSNTLIYSGRLKCGTPQVAARSLDIPNI NALEKFHVEDMSHAPSQSQREICPGTPDSPCWLRDLLAPSAKTRLVNTDPIGPAALEI AQGNRVVNHMEVFLCSQLVESFIACGIPARNIGVITFYRSQLSLLRQSLRRYTPDLEM HTTDKFQGRDKEVIILSCVRSNAENNVGELLRDWRRVNVAFTRAQTKLLVVGSRSTLR DGNELLCKYVRLVESKGWVYNLPSGAIEKHFFPSCTTQSQLMSPGAALTPGSAKGKGM GKNKSPASRSIREPLSPLGSRQPGPGLRKPSKTGAKLFNGTNVVGNRPILRDIVNDLT G PEX2_103300 MEAITMEATEPTQFFTDALADVPYDEDPHKALLRLDEWELPESI FRKDGSCLSIWELARANGYRFEGDPDEASGSTFDNAFVELGVDPVVGPATLDHLELDP ESSTPIVIDNVTPPSTCPNQQSTIYPLDTLNSLENADLGPPMVVVQLTPTTDATVTPN APAIIGTAVKVASVTTAPITAAPVTKVAAVTRVAAVNKVATAPTERASVIIGPAVQVT PATAAPVTQATPPINHITQAVPVTNATSVAQCAPSIVGSSALVTPATSGSAIGTVKVF DLPQGKSRPQPDKRPPKQNTKRVPKNKQDDELHKNQKKAKAQSTRRKAKGKTNVAPNT PPQKIAPAPASMTPVTGDTRKAVRFYSQQQHLQIQGLLRSQEQTLRTEWSQLQQHLDH LKQQQPGNQQQLQIHQQQCQLQQQQMMLCRQKIMQYKQTVRQYRDQQKKQHAMQQQLQ TAAAHQFQQPTQQMEAVYSTPSNQRMQSVMQQPQQFQAAAAQHVQQPIQQMEAVYPTP PKERMQSMMQQTQQLQAMQAVYSTPSQGRMQSMMQQTQQFQTAAAQQVQQPTQQMQAD YPTPPKERMQSMMQQTQQLQASAAQRVQQPTRPMQGVYCTPTKERAQSVSSLSAASPS KRSFQFMESIVPPNFVANPNNHPRWSVSPNGDRTYLGGPQAKKARVSRK PEX2_103310 MFEEVDDASRREKCFTTIAQLPVFIDSKQIPGKRSPFSTIQNHA FVHSAEVILPKELYSQIKPSLETKLEKPRYARVFMAPSALLEHDFFNTYIKSGNILMI SEGRSGSDNVFTLQDGVLRMELGKEIYERTGLTGKPFRSGGRKHAKERFLVELNLRLP SMLHGKKGFERIVWAFTNVLTQSVAWLFHDLESKPGLVEGNKPINKVQPQLITCEPQE IDHEHIITPPFYENGKVLEKMSEGDLQEHCGSLAEWIAMVQMASPRLSGEDDVDPYLS RYAVPDADESLASDLMSLKWHGLISSRWIMQLFLDLLQNTKASNLSWFALAVATLGKE AVEGRDGFTVMVLPGAQSSGQPSEPSEVSKLNGEGTTGSGGRMTLCWELMGASVTEP PEX2_103320 MAATPDKDPLAVSGLPKAVPDYSPIQSVHCSSKSIKPKLLDPIA STSTIASAAGSDAGGHPVSRQLLEEEDEEMAPAPRRELLHKDHFAFVFGNVKTQNYLD PVSKGPGSHTIRTLAWNPTGQLIATGSADRTLRIWNPERPHVRYSTELRGHTAGIEKA LFNPVRDAELASCSADGTVRFWDVRSKTCVSRLDVGGEAFTLSWSADGSTMIVGKKVI MQRCDSADLISLMTKSLTYDIKQDDTLIPISVQFPSSPTTHPDGVNALNLPSSTPGAT TYTALDPHPQTVQTNATTFSWCMPTPERPEQHVFVTTGEGKVKIMSYPSFDIMHTLNA HTSACLSIALAPTGRYLAVGGSDALISLWDTTDWICRRTLSSENGGAIRGVSWSFDGR YIVGACDELGCGGNGLEIFHAESGDSIYTIPTAGINAGVSAVAWHPSRYWLAYSTTTD GPGSSSAGGLKIVGAGGGGL PEX2_103330 MAALPASNFGPEPSQYSTEFDPSQMEFMSGESVAAAESTSTGES NFVPRPKRIACVVCRRRKLRCDGRRPSCGTCSRLGHECSYDEVRKKSGPKRGYVKQLE ARLAQVETLLKGQDPEPTQRTSPPQPSDNAFTAPIADESILDLPDLSDLGALGGMGGN MDSSFSPSTGPSAGQPSQILYPPPPTTQGGGNSQWDLISLGLEEPLPSQDVIDELDAL FFEKIYPMMPIIHRPRYYAALNLAPNMRPPVCLRYIMWCNAASVSDKYFFLHNHFYQR ARKYAEVDEMKGFGENIVSLAHCQTWIFIGTYEFRMIYFPRAWLSVGKAARLALMLGL NRLDGVGLDVKQSILPPKDWTEREERRRVFWGAFATDRYSSVGTGWPILIDENDIMTN LPASEESFTKSKPQRTLHLNDIITGDGVSTLAPFACVMVLASLFGRNLIHIHRPQPQD NDHDLNGEFWKRHRSHDNILLHISLSLPDHLRLPSGMSDVNVIFANMSIHTSTICLHQ AAIFKAEKNKMPNQITTESKRRCLVAANQISNTMKMISHVDLTSLNPFMSFCLYIAAR VFVQYLKSRPEDSTVHSSLQFLVSALNAMKNKNPLTESFLVQLDVDLDGTGIRALDDR QKANMSAAHSMVRTQCHDVVDCTPIYTIRQTQGIAKEVSPQYGTTRGGNQQPMPAGLT TSLPSRSRDSTTQPTTGPVFNGDGTRCFFGTSTQATGSQMDQAGQPGAIADMDMDFPP DFGNLSDRNNPPSDHPTPSTLNSSSNTSYSINGADAPSPGNKNQKTNSVYPSLGSGPS FDKANPNIMPQDNTNPQVTDLGSMAGRFYPNSSGSPSVGTGASGIFSMPSAWDLPTPN PETGNGEFGSLNMETFSESQWAQILNTQIQSEHGTNAGWDNWRQS PEX2_103340 MLQPRIALRGIRLPFRCLPSLPSPIRPYSTIVNEIEKNKPKSIE TPVNSFIDPNVSFAPPPGRDSAGIQLRTYTPRTPGVRHLRRPVNDHLWKGRPVHKLTF PKRGHAKGGRNNSGRVTVRHHGGGAKRRIRTIDFLRMAPGPHTVERIEYDPGRTAHIA LTASKETGKLSYILAADGMRAGDVVQSYMSGIPEDLWKSMGSTVDPGVLAARTAWRGN CLPLHMVPVGTLIFNLGLRPGKGGQLCRSAGTYATVVAKGSDSRQQTIQDEQPEAEKK PLSQREQQKQERLAQHITVRLSSGETRLIHKDCCATVGITSNPNYQYTQLGKAGRSRW LNIRPTVRGLAMNAMDHPHGGGRGKSKGNVDPKSPWGIPTKSGYKTRPKWKINKAVVH ARPRNQGKRRRGYN PEX2_103350 MNENDENGPSARVTRAKTAALSTDISAAGAKKTLQTKRTTSTAT TNGSQRPRAALGDVSNVNKTDGAEMKAGKKQATAKAGLTSKGTVQTGGVQKVSRTNSS RTGTRSALQPRDSNKKLPNKRPPLKDHGLQDQEPPRKKPELEQKTQPFEKIAEEPPVK EPEISVKDAFGHAVQDLDTEDLDDPLMAAEYVVEIFEYLKDLEIITLPNPDYIDHQPD LEWKMRGILVDWLIEVHTRFRLLPETLFLAVNIIDRFLSAEVVALDRLQLVGVTAMFI ASKYEEVLSPHVANFSHVADETFSDKEILDAERHVLATLEYNMSFPNPMNFLRRISKA DNYDIQTRTLGKYLMEISLLDHRFMGFPQSHISAAAMYLARLILERGPWDATLAHYAG YTEEEIDPVFQLMVDYLHRPVSHEAFFKKYASKKFLKASILTRQWAKKYHHLYVDSSE QSSHAKDEQ PEX2_103360 MDSTAPPPCPFCNIASSYPPIPFNDPESNPVSTAPDSGPLSHII LSTEHVLAFLDIMPLTPGHVLVAPRNHYKLLGDMGVKAGQEMGKWLPILSRVVTKVVF GDDPDRHWNVVQNNGERAAQVVPHVHFHIIPRPAQGVNQRTSFAMFGRGQREELDDDE GEALARELRIELAEEVERILRDEGVDLAADLVSEGSQRGKL PEX2_103370 MDYLVRFAQVHETFRQPELQACATLAGVDIEIIAYNQFSPYCVV RLPNEAAARAVAKRSILVKDIFELWGEGTNYEELHADVRQRTQHRWNDYLAVPFKFTI DYFAGTRSTVQKGEIIRSFSYMGFKGPIRLTNPDEEFFVMEEYVDDVEVSVLRTTRTG DPRKIYLSRKIVDSCREDVLKYDLKKRRYISTTSMDAELSLITANMALAAPGKLFVDP FVGTGSFIVAASHFGALTLGADIDGRSFRGKESGKLGIYENFDQYGLKSKFIDTFTSD LTNTPLRRTAVLDGIVCDPPYGVREGLRVLGNRRGKPAVNVIIDGIPAYLRPGFVPPK KPYGFEALQNDVLNFAVRSLVPNGRLSMWMPTTNDEKTEFPVPMHQNLEIVSISVQSF NTWARRLITYRRLPEGELSDISLARKNIDDQGTTADDLNAFRRVHMLPGHGTRVEKDQ KTAE PEX2_103380 MDLVPAPKGEASVKTTVVEVEAVDMGEQYDMEDDAPDLALEISS DLESDSDDSDAWDTLSNCDEAIQFLRDDQIRDGLVPGACTLEEATAYRKRLHAIGKAA FVEETILRETITAKKLCTAFGIAPPAFLDGAPDESYHSLLAVAISREFSRRPKLPQYN SIDDAVRLLRESRNIIVLTGAGISTSLGIPDFRSKDTGLYSKLAHLGLSDPQEVFDIH VFREDPNIFFSIAKDILPTEKKWSPTHAFIRLLQDKGKLLTNYTQNIDNIEANAGVLP EKIVQCHGSFATATCVKCGFNVPGDAIFDEIRAGNVPRCTACKEKIAEEELKPQGLKR KRSSNGQQKDRKVSDDSSDEDDYEIPTPGVMKPDITFFGEDLPDEFGERLIHQDRELA DLVIVIGTSLKVAPVAEVPGILPRNVPQIYISRTPVSHTEFDIDLLGDCDVVVSELSR RAGWDLQHHMIPADEKVDITSVEGYGSRHVFKLVGA PEX2_103390 MGKPRPQKKKSSKPREKSVLGPGGSISKGNMAQDPSTLLDQATI LLQTGRADEALVVAQQALDLTAENTTAQLPAINLLGEINVELGDIDTARNCFLQAVQL DQNGSIPESQGGGAEKFLWLAQLSEQGGKDSVLWFEMGVASLRQTIQSLEGKTSPEQI ADITQKKTKMSNALCAVAETYMTDLSWEEDAEARCEALITEALLVTPEAPEVLQTLAS IRISQLRTEDAQAALTRSMSFWKDLAPEDLRIPDFAVRISLARLLMEVNMEFEALEVL ERLILEDDQSVEAWYLGGWCLFLLAEKQQAPKDATADELTESPRHASLDASREWLKQS LKLYDVLQYEDDRLRDHALELVQEINKEIGEDDESEAEAEGEGEDSDVEIEVDSDDEM ADS PEX2_103400 MGREDQIEEREVLDSIFPDEITDISETSYRVSIPLDALEYDGDE TEQPVICLEVAYPEDYPDVGPNLSISSPPNAVKHPRLDIQEDRDLLMESLQPTIEENL GMPMIFTLVSALKESAEQLMIERADAIQAEMDQVAAKREEEENRKFNGTAVTVQSFLE WHAKFKKEMEDEELRVREEKESEDKKKKTTKEEKKLTGRQLWERGLAKGDYDEEEEDA LPAIEKLKVAA PEX2_103410 MHKVLVPKYNGAHRFACLALYRALLRQCRPSTTTDTPWLGETKP LVQQNFQKYKKLQSPSQTANALKAGYEALDLLSSAHTNRRDSQRITTLIAQAKSQKDK YAAMQRKIRPVAPPAKPLTAKKARKEKSIRFQEETNQRHPNATSILNRPQPLGDKKRN VPVLVNARGIPFLRYKKPQPRNVSSVIRTKLGRRWNWIERRDRLKIELLFAKDEEEWD HITNTKEPSTWSEHPKNAIADVNAKIGHFDMHAKELADNMWKIVLAERALAEEEASQK QPKQPKQ PEX2_103420 MAARVPRNFRLLEELEKGEKGLSADACSYGLADGEDMMMSNWNG TILGPPHSVHENRIYSVNIHCGPDYPDNPPTIQFVSRVNIPCVDPSSGKVDPTKLPTL AQWKRDNTMETVLIELRRYMALPQHKKLPQPPEGSTF PEX2_103430 MSSQFLQTEIANLIHESRRKNSDLRNAAEQSLNELKALPSTSEA QIAADLVRKPNFVEPFIIACYTRHAKLAGIGVICLQRLIASRSLPSSRLKDVLGGLKE TTSLSLDIQLKILQSLPSLLQYYSNELSGELLANTLEICATLQASKMIAVSSTAAATL QQLVVSTFERVSSEDNLPKEAKITTTIKVDGQSLDIGYFAYDALQVLDDLCRLIDGEP LQFLRTRTLSPTFVLELIESILLSSGRLFVGHPELSQVLRVRLLPLAVRCLSERYSFA QTVRVARILLILLKRHMSLLTTECEMALSLITHLVEPDGTAPWKRLICMEIFRGLYSE PGAVRLIYTLYDGEESRKNILRDHMAALVRLASEKPSLIGVSNQSTVPSRAEHSISTT EDQITLETGGVAGVIGSTVPPSETKVPGISTQWSVVRTPYIDLLDKTDPPTPPDTYIY SLVLNCISSFAEGLAKFILPLTVPDLKQRRKNRLMSPIQGPDSARSSQDFTAEPIRVQ SGSLSKKSHVPINPLDLQSHVQYSAIKTCAGIIENCWPAVLATCSTFLRASLDDEYYH NLVRAFQKLAHVAGLLRLAVPRDAFLTTLGKAATPASAVGAKSHNVPATGSQQSDTPQ KKRRSADLSHLSSSLSMESAGTTAGEGPPVSLSTRNLLCMRALLNLGIALGPTLDQPA WSILFETLQYTGLVIGMSSSAMVKSASGTGETPVTPGNDVPTANLGTEVIAVQAASNK LLESTSDFPSASFEEILLALLNLSEFTEQRPNQGDAQEVSEMPRTPQPSQASGRLHQG SRRVSHTVGKSRMQDEELKFVLEKANELAKANLGRLSSLEEDDIKVWELLTQSLISAS ANTTVSPNLRLQASAILNSLVFSTMKQRDEDDERVYNQVQTRNLQTLKAQVTSLYASD MHTSKSLPITVIEIHEQTLEVLNNILEQYAETFVDGWHLIFDLISGVFQFALDPGTGD RPSTIIERRSSALPAGPRLVRAAYKSLHLVASDFLSLLPAPCLLSLVNAFSSFTSQIQ DFNISLTTTSFFWNVSDFLQGQIEQISLGHVDASVSEEELAKLAHDEDSSVSRNSLWL LLLLRIVDITTDSRPEIRNSAVHTLLRIFDAYGQQLSPKAWRLCLNMVLFKMAEGIET PLLQAKNNRSNTKSDDFKAWVDTTVVMIKGLSNLITNFFETLVHDEKFDQSWKRLLKY LQSLIDLHILDFSEATFSSLSAVLVRVQDGAELSNDALECAWLLWANGHPSGDEKTLD LDQPNQDAALAYLHTFQQVYRLYKDQLTTKHIEKVLHHLSLLVWNSVSPRYSPDIDRP SGLQALVIDCLKMLCLEKEDSQPDILLCLAELSDSALSKWSPGSDTRRPAFVAFSKRT IDLVSWYITEFGIKQDVFTNGALAKSLEHLSAPIAQKYTWQGKDREPFLWQKATTVSL NVLQVAVPYVEKQYTETNEFETSQFWQRIVDITNGIVSARGFQAQQLPNARITADEAF DTKAFTRLKTLILPSLGAAAIPDAVRRNFARALFNSSFIYAPLRFDLPSGLEDAPLQD FYTVRPGRTFAPPPTMRPEIAYVLIDTLFELAAYSQAKTETKTDASFPQTLLARSISP YLLLRCAVSLKSYIADQPLRGLMPQPTPARKALLHLLLRMVELKSEPSAIPNPPSLKT VSVVEDGDAEENHYRKHLEWIYPLVVRAVQVAGKERDDGQILQALGKVLQEIGRFEY PEX2_103440 MASLFFSTPVDIDVVLEDLDERQTVDVKLDKGRRERVPLYMDGE SVKGAVTIRPKDGKRLEHTGIKVQFIGTIEMFYDRGNHYEFLSLVQELAAPGELLHPQ TFPFNFKNVEKQYESYNGINVKLRYFVKVTVSRRMADVIREKDLWVYSYRMPPENNSP IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGSPP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKYSTRYYLSLVLI DEDARRYFKQSEIALYRQAPEIAPNAQIAQQQQIQQQMLLEQQRQQPALPPGSATAGP GREVTAPLRQEPQPVPAPAA PEX2_103450 MLSAGERPQPPYASTIVDSTVSKARIMPDLAANGTNGVTSSTPP KPTELQHIWIVTGPAGCGKSTVGNVLRTELGIPFLEGDDYHPAANKDKMGQGIPLTDE DRWDWLISLRQAAIDALSPSEANNFHPPAGVVVACSALKQKYRDVMRVAAYGSPSVQI HFVYLKLNEEVLIQRITQRQSHYMKSGMVHSQLAALEEPKGEWDAITIDVEGTMEEVQ RNVIDAVVEKLAEYK PEX2_103460 MSFSRNGGLTARNFRPCLPQNRLRIRSTLPPLLSRRFHASSLLW GIKSQVLKDVGEGITEVQIIQWYVEEGAHIEEWKPLCQYQSDKAVDDITSRYEGVIKK LHFETDDTVPTGRALCDIEVADGKYPDDNPPPESRAAPSEPTPVSEPIPTTQAAESSL ITPPPIKVLEETPKTKHASLAVPAVRGLLKNHGVNILDINGTGKDGRVMKEDVLKFVA ERDSPVPTSVSAPVSVTPDTRQAESIVNLTPIQSQMFKTMTKSLNIPHFLYADELKVN DITAIRKKLASDKRDPTKITFLPFVVKAVSQALTEFPILNSKVDTTDPTKPKLVMRAK HNIGIAMDTPNGLIVPNIKDVASRSIFDIASEITRLSALGNAGKLTSADLSGGTITVS NIGNIGGTYVAPVIVPTEVAILGVGRSRAVPIFDEDGQVTRGDMVNFSWSADHRVIDG ATMARMGNRVKDLVESPELMLLNLR PEX2_103470 MAPLTKTLASLALGAAVAQAQLWDKVIQTSYGPVEGFQYFNQST LDKYFDGAESNVAAFLGIPFAADTGYQNRWKAPQPRESWNKTLKATDFGPACPSASAS DISEDCLSLNIWTNAETSDAKLPVMVWNQGSDETSNNEWWYGGGMALKDVILITFNRR DDAFGYLAAPELNEEGYKLTGHKTSGNYGVLDQLEVLKWVQKNIAKFGGDPDRVTVAG QSFGSSQVYHAVNSPLFTGYFHGGISQSGIRYPYDTMLAGLATSYVNMSTAISNGASY IKAHNVSTIEEMRTLSMESLLVGSSDRVTNSSIWWVTALSAGYPLIFKPVLDDYVLPS KYIETLINGPANDVPVITGNTKDESGASPNTDYTVDEYEYYCGLKYGNLSTEYFKLYP DQNNDTIASQAWNAAARDLSLVGSWAYATDWYKSATANFYTYYWTHAPPSQNQGAFHQ SEIMYALGALYANSDTYAFTEIDFEIQEKMSSYWANFAKTLNPNNGGSYNGSKSLPHW SPNSADGTQVVMELGNQFKNVPIAKPKQVEFIMDYFHQQVPY PEX2_103480 MVAEHLTIRNNTSTPIVLKRIERFPAPEKDGFHAFSTMARNITQ VLTNQTRSNEVASIEQDSRPFEEKDVDIRVEPFATKTTELRSCIHSDKERMRLIFEAE DQKYQIQAPVPTTESAEMKALSDDPKHRFTGVFVIPESFLAIFSSANLNAWMRELRDD TLVSALSIPGTHNSPTCHIAPPSVRCQAVSPKEQLENGVRFFDIRVQPQYPDDAARDE LILVHSVFPVSLTGNKYFRDLMREVDGFLERNPSETLIVSVKREGTGNATDEQLSRIL HDHYAKAGSRWWVRPKIPTLGEARGKVILMRRFNLQQNLNEEHGGNGWGIDAAAWEDN TPNATCPSGQLCIQDFYEVLESMNIEKKIKFVSEQIDRASCCRYPFGVQADMHATKAF PFYINFLSASNFWKTGTWPEKVAAKVNPAIVDYICRRGKEHDADCSTGILVTDWVGLN GDWDLVRCIVGMNAKLRLRQD PEX2_103490 MPPRLRFSSLQQIPRCQNELRIIRSASSAAAPAITPAASIEQMT RSPAPVSRFPPTQPPSHRNPEYRRSQLLRSYTSLLRTSPLIVLFQHNNLKSMEWAAIR RELTIALQKVDEKIAAEGRTAPALAPYIKIQTIQTSIFEVALRIVEYFRPSEASLGSG KPPSAIDPVTQTSAELPAISGSKDDPALTHDLSRTAHEAVKNMKGMHELSPLLVGPVA ILSIPAVSPEHMKAALSILTPKESGFKAPTRKANPEYHEPIVQNGLQKINLLAARVDN QVFDIDQTKWVGSIEGGMDGLRSQLVMALQSMSSSVATTLEGAGKSIYFTLESRRSVL EEEEKGPEGDKSESS PEX2_103500 MATPLSPPSQRPESISISPLLQRLAYPAAAGIRVSAQHLPPVTA EEIASAFALIFEDRLSHIQTAALLTLLHSTGKDREADVIAKCSQCMRDAACQVEKGPL SKIIKSRNLKAGNYEGGLCDIVGTGGDSHSTFNISTTSSIVASPLLMTAKHGNRAQTS TSGAADVLNSIQPLAPKIAAITAENLSQVYEKTNYSFLFAPNFHPGMMHSNPVRRGLG LRTIFNLLGPLANPVDWALEARVVGVAYQSLGPVFAEAFRQSGAKKAMIVCGAEDMDE ISCAGKTNCWRLSEYPNPAYKASEDDDDSSEDDINNPRTLIKIDTFQLEASDFGLSSH PLSEVGGGKSPKQNAEKLMSILRNELPRDDPILEFVLMNVAGLLVTSGICEADTSNMG PGDDGQVITERGPGGGRWKEGVRRARWAIESGQSLKHLEQFIEVTNQLQSRML PEX2_103510 MSRETYQVPSMGTQNNFDNQAGGFGNAMAIDSPSVTYLCGECSA RVPLKRGDQIRCKDCGHRVLYKERTKRMVQFQAR PEX2_103520 MSAILSADDLNDFISPGVACIKPVETLPPKDTKNLENAYEVTTE DKIEPENLPPAQISLTDCLACSGCVTSAEAVLISLQSHAEVLNTLDAHPEIPLAHEHH GVTVNNAEDSGEGKIFVASVSPQVRASLATIYGISEKEAGYMINQLLSGPQGLRGGGK HGNGFTWVVDTNAMREAVLVLTADEVSDSLSQSDDSLPKRPILSSACPGWICYAEKTH PFILPHLSRLKSPQALSGTFLKTVLSKSLGVHPSRIWHLAVMPCFDKKLEASREELTD VSWRQGDSTGSETQPVRDVDCVITARELLSMASSRGFSLPSLPLQPLPSSFTPPFPEK TLDSFLSFKRSRAEQSLATGTSGGYLHHVLMNFQARNPGSELVINRGRNVDVVEYVLM SNEGQPILKAARYYGFRNIQNLVRKLKPARVSRLPGAKPAARPAAGRRQPVSRNAVST SSSGSDYAYVEVMACPGGCTNGGGQIRVEDAREAIGSSQGDPLDASMKPSPHEQRAWL ARVDEAYFSMESESESELDTQSQLSSLADKEAKIHQGLQHWSEYMNIPLSKLVYTTYR KVESDVGKDQTPANDTTRVVELAGKIGGGW PEX2_103530 MTGLDGQSENPDLLSPPETASGFSLTPGSEPEVLQKYPAQKPNL LEKRRPGNRGGKSKGVSKPQNIAMQQVISTTLQAAREILSRSELEGTRFGYIVNRWTA PVLDRNSVEYPNVATVVRVLQGDTYDRALEMQDAGKTSDPMPVCVLNFANAFVPGGGW LNGARAQEEQLCYRSTLIDTLHTRFYPLHDLEGLYSPNVIVFRNSVENEYSFMSAHNK LHLNPTVSVISMAARSGPKLTADGSTYVDLAQRYLMIAKMKLILRTAAHNNHRRLVLG AIGCGAFRHPTEEVAECWYEVLMNKEFKGWFERIHFVIRDSPTENNLGIFREVLDGLS M PEX2_103540 MPRNTYPAPLVIPPLGEEHTHTVISLHGRGSNANLFGHVLLADA SLQARLPTVKFVFPTASKRRATRFKKMPINQWFDNYSIDDPGERTDLQVEGLCETAEL ICDLISQEVHILGAGSHKKIILWGLSQGCAAGIFTLLGGWLDASKIRTIGAFVGMSGW LPFEQQLQEILRCGEIPTSARDNQEAQTDENSDSDSENEEAAMQRPNSDEELDTDAFS ELDLDDDPFKRSSSAHDDFDPIADDEEEASLLDHAINHIRDILDLPMISSNDHSPEES QPQSGFHHLQTPVFLGHGSEDLKVSVELGRKMSHVLSAGLGMDVTWKAYEGLGHWYRV DDEIEDILRFLQDRVDLPVKQVPSQDRREEKGKDQ PEX2_103550 MPELAEVHRIVHFIREHLVGKTLSKVQAQHDDIIFGKVGTSATE FQKAMQGKKIVGAGQQGKYFWITMSSPPHAVMHFGMAGWLKIKDADTYYYRTDKPADQ EWPPKYWKFLLETSDEPKTEAAFVDARRLGRIRLVDCPADEIRNHTPLKENGPDPVAD KDTVNEAWLTEKLGKKKVPIKALLLDQANISGIGNWMGDEILYHAKIHPEQYSNTLDA DQIKALHSAIHYVCSTSIGVLADSEKFPEHWLFKHRWGKGKKNQPAVLPNGDKITFLT VGGRTSAIVPAVQKKTGPVAKDIDEDAAKSTPANSKRKRVALKKESDSEAEEMTQTKK RATPKQKKPTVKSEYFEEEKPKPAVVGRRRSTRTSK PEX2_103560 MSQASSTAAPALTPRFCFDERLLRDFLRLSRSTIDDSITQNLNA LITPAKEGFDPSSTALRQTESKSRINSAACESFKDNVLFPSWQTRSDVLTYCAGVATS PDPNDPDLILRQTESARDREREVDERLDPYSARFFPREARTESLANLIRNERTIEEII RARTWGMVSEKCNGSSSTWEEALDGWRHLHQK PEX2_103570 MPETLSTKDHSLFRQVVHHFEMKQYKKGIKTADQVLRKNPKHGD TQAMKALNMSQIGQLEEAFALAKKALQNDMKSHITWHVYGLLLRQEKNYEEAIKAYRF ALRLEPESQPIQRDLALLQMQMRDYQGYIKSRSAMLQTRPGFRQNWTALAIAHHLAGD LAEAEKVLTTYEETLKGAIPHVADMENSEATLYKNTIIAETGNIERALEHLEAVGYRC TDVLAVMEMKADYLLRLDRKADAEAAYTALLERNPDNSIYYNGLIKAKAIPESDHKAL KALYDEWVEKNPRCDAARRIPLDFLEGEEFKQAADTYLQRMLKKGVPSLFVNIKQLYV NPAKRDVVQELVEGYLSEKAANGSAESNGDKNEFLPCTYYFLAQHYNYHLSRNLPKAM ENVEKAIELSPKAVEYQMTKARIWKHYGNPGKAAEEMEKARLLDEKDRHINTKAAKYV LRNNDNEKGLELMSKFTRNETVGGTLGDLHEMQCTWFLTEDAEAFLRQKKLGLALKRF HSVHNIFDTWQEDQFDFHGFSLRKGMIRAYVDMVRWEDRLREHPFYTRMALGAVKAHL LLHDQPDLVHGPMPSGINGIDSTDESQRKKALKKAKKEQQRLEKIESDKRAARKAAAA TAKPTDGETKKEDDDPLGNTLVQTQDPLKDAMKFLTPLLESSPQNIEAQCLGFEVYSR QNKHLLAIKCLVAAHSIDPSNPTLHLQLLRFRKSLESLAEPLPEKVAEVVNAEFDKLL PKTQKLEEWNESYLSANKGSVAHVHAGLSGRQLLNPESKPQCEQDLLATLDSPEITID EAVTALDILNKWGSDKTAYAEKANKKWPESSVFELN PEX2_103580 MADSSSSAAPSGASKPQAAPKPPNPAFKMMGLPNMRFKLPSRNW MIFFTVTGSFAGALIYDRREKRRVQQKWSELVAHISKETLPVDQMRRKMTVYLAAPPG DGLRVARDHFKEYVKPILVAAALDYTVVEGRREGDVRANTAENIRKLRRKAGEPSSAA EEVGVDAVVAATRAHIGVTDEPGPKGDLVIGRHTWKEYVRGLHEGWLGPLDAPAPPPE ELTADTSKDVSVEKPVSLANPEIASIDSPEFSEEKKDEIPEPKIEEPKKEEEKEEEKP AKPAGPTSAYIYPSEYSSAILPHTIPQSFDSSTPVEFPHLLGFLNTPIRVYRFLTQRH LAEDVGREVAALVLANSARPYRENALSADSEPTAASVDPTPSTDSSFTDLPPRNYEQQ TVMEAAESEWHKSVHKRDEDENVKEREWLDDIVLDQRIASRMQRATLSPEDEARSQRI AEQQEYIIGEERPAPVPFWKRMWIDYGYGESDEALRRKPILGNIDGEDVQ PEX2_103590 MAYQLTKKDLRGTFEDSATIVAAKNPNPAVLEALLKRYFTHLES VPTGREDRALKQWSPNANTMGAIYGTYDSPLTVAIRANIPENVRALLAAGADPTGITL QDLSDYAVRFIRGRDAKTDMSSFALCPPREQILAVTEAKGITRQTQPLTQAEFDERSK GFPRFWTEPNVPGQRLRLSKALTGLDVAAGLGNENPFSLVRNAGADESASLMHLLQHP DLDQHLRSPIFGIHPLHLAVARHDPDLLSRLPIPLPTAGTTALGLNLLHIAPLTLTEN INKKNPDSVQSIHCARTLDSNWLSHAFPSPIHLDPKGRLGYGLVRPIPGQYIEPTIPL TSMEQEAQLSTLHLLVRVMGVNVRAQDADGNTALHYLAATMNVDPRALQLLRDMEGGE AYNNSRNRVGLTPHSLWESNNEA PEX2_103600 MAHLYDHDLANPNQLDNNGLTLDDANRDHDYYAYQLYMDAGLPM STTVYTQYDQSESQPWIYADSNTNQSRPRNGVQSNPFGQTPIWNAMHHPTVEGYNVLE GSHTSVPYTGYDPTQSYLHTPAAVPSVHAHMNLQPYNGGDIQSAGQATSSRYLENQLS EGNCQDTVQCYWRNCGHYLIFPDNKALMYHVEMVHINPRNDGDQENHGGISKWKCSWK DCTSSIGKKSSMVRHVKTLHIEKGAFPCPLCGRLCNRNDNLVDHMKTAHWALTR PEX2_103610 MPPTSLTDLNFETVLDLAGLLNMWAATAEAKSLAGIRDGKVYLG VDNTTENTTGGRQSVRVISKNVWTNGVYIADIAHMPGNACGVWPAYWTSGPDWPNSGE IDIIEGVNLQKTPIITLHSGKNCTVTNTGSAAGSVLTDPDCDSSTSSGGCSQTSTNTH VYGDGFNAINGGVYVMQWTTSAISVWFFPRSSIPADITAGAIEPPTWGTPLTRFVPSG CDFSSHFKSNDIIFNTNLCGWA PEX2_103620 MRFFAFLSFVGHVAALWPAPQSFTNGSSTVWIAEDFQVSYNQQD LSHPKAVTKTISSLEIVAAAVQRTRESIFKSSIVPWKFHPRNELDKFEPPATGSKTYI RKLHITQTTKDSKSRFNPLAGAVDETYNLTIRVNGAAAISAVSSTGVLRALETFAQLF YSHSVNGTGTGMYSNLAPVSISDWPKFNHRGLDLDVSRNWYPKKHILRTIDALSWNKF NRFHIHMTDAQAWPLDVPALPELSKKGAYQAGLSYTPQDIEDIQIYGIYRGIEVIIEI DMPGHTTAVGFAYPELVTAFRQQSWRDYCSEPPCGQLKLNYTPVYDFVTKLFDDVLPR ISPYSAYFHTGGDEIEASDYLLDDTVNSKDIAVITPLLQRFINHSHDLVRKAGLVPIV WQELLLTWNLTLGRDVIVQTWDGGSALSKVTEQGHKALFGDTGSWYLACGYGKWFNFK DADIPANYPYTDFCSPINNWRVVWEYDPTASLSAEQAALVIGGEIHLFSEQSDPSNLD TMVWPRSSAAGENMWSGRQTLSGQNRSQIDAAVRLSDMRERMVLRGINLAPVQMAWCT QYGGDCTW PEX2_103630 MNYGYDRNYNANNRAYPTTPSAFPQPIYQTQGAQEYVDPSNPAY APGYFMPNNYPAQQVQLQYVQQQQQQQQQQQYAQQQALQSPQPAYQARPGYPDGTNGL IQQFSNQDLNANRAGFFNNRAGSPAQRPRTAGGSPAQTQAQASHLIPPVPRSPRPLPE NEELQRFPDRYSENAHKRGKAAKELVTVFFHENIERARDRNMRSAELDKTIRDPNLPI EKKRQDADVISKRESDFLRFLRTKETPANFQTIKIIGKGAFGEVKLVQRKTDGKIYAL KSLIKTEMFKKDQLAHVRAERDILADSKDNPWLVKLHASFQDAAYLYLLMEFLPGGDL MTMLIKYEIFSEDITRFYMAEVVMAIEAVHKLGFLHRDIKPDNILLDRGGHVKLTDFG LSTGGKKTHDNAYYQNLLKNSTSKDRNRNSGYFNDAINLTVSNRGQINTWRKSRRAMA YSTVGTPDYIAPEIFNGQGYTYLCDWWSVGAIMFECLVGWPPFCAEDTNDTYRKIVNW RECLYFPDELVLGRDSEALIRSFLCDPDHRIGSEGGQHGGATQIKNHPFFRGVVWDQL RGIRAPFEPRLSSNIDVSYFPIDEIPQEDTSAIHRAQARAMPESQEAEMSLPFIGYTY KAFNAFQTT PEX2_103640 MDYQNRAGSKFGGGGVASKSATNADRRERLRKLAMETMDVEKDP YIFRNHLGTFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREAREGKNQDPSSLP GVAGVQVKKQLIKIGRPGYKITKILDPLTRQQGLLFQLQFQEITPGITPRVRFMSAFE QQVETPDNKYQYLVVAAEPYQTCAFKLQAREVDRRDERYWTWFDEDSKEFWIQVMFKT EREEMFSGVPGLAPMQT PEX2_103650 MWAPFKGPPAIGWVSNGTMQVEANTQESIKAKYPTAGSFVRPSR PSDQPLSFLEALREKYASESENSLAHSDLLSGETVRGKAIEISGKVVEEVGFDKIRKQ LAELQELRIVLLDGLRVVGVLASYEQAQVSHNEEAQKIGETCPKITELDLSRSLLSRW RDVWDICDQLKHLRKLKLNGNRFQALEDDLTFKGITELHLEETMLSWDEIAAIAYRFP GLTSLTASANQLSEMTCPLPSTITTLTLEHNEITSISALRHLAALPKLEHLSIRGNNI STVNQNTTDTTLDFQFPPTLRSLDLSRNNITSWTILNKLPTVFPGLTTLRITANPLFD QPPLPPSVAEASKPMTVDEAFMLTLSRFPSSLTILNYSTISPQDRSNAEMYYLSLIGK ELSATTEEEEPAILATHPRYSELCELYVEPTITRVVVSDSSGARVIHPRSVAARLVKM AFRLPFGNDESKIQVKEIPGSFDTYQVKALVSRLFGLPAFGFRLVWETDEWDPVEKQV GEEGVVEWDEDSEDEDRPAISSGLETTGDGPDKSRFVRREVELVDSTRDVGFLFQGEV GEVRIRVDVVDYGSTR PEX2_103660 MAEPTDSSAPPGESTNPATEPNAPAVETAQPLAQNEPPPVETNQ SPVKTSQEPAAPAEPAQPAPPEPPAESAPPEVIPTPEVLPIPEVPATLEVLGTPEVPA EPNLSAAGPPQDVSTYQFEEATQPARGPDVTLPSVESSLPPIDPALPAMDTSNGFDDT ELHSFDALPSIGSSAPPDMSLPAIDTSLSSLDHSLPAIGTDDIPTMDGHDFGDDSHLD HHDSNVGMADSTGGHDNGTLNGASHYHSTNGSYQYSHSPAQSQQPGSQQSPQTHTHQF QAQPQHYQQNDMYHNSSSQGQVPQAPIGSPLPNNMPPMASMGQYMAGYPSNMGNAQMR YQLPGDPNKMLSGNRHKKEVKRRTKTGCLTCRKRRIKCDEAHPVCRNCVKSKRECLGY DPVFRTQASTPSAIQPAPNPPPSLVVNPQGPSASSVPSYPSYPSAPPGYMPASSQPFA PSLHSESPTASADQHEHGASIDSSLATNHQSNHTDMQNTGVPRQQGSEPSYKAKNLYV NDLFSLRGIAPPPPHPVATLPPGRLEEIQAVFLATYAPAIDKLLEVRWYSENALTLLM ADAQLMADYSALIIAFNEWDLQDGETLARLESFEASIIWKSMSLCRQVPDGETGQQGR DWNLCVSCARLNVVEALLTWNHLDSNPLSRELVMDAANPPNTPDQFQSRQLDFWDQVG EFLTLYDNEASSAKQIDDTLSRCRQLLDTYENRDIIYSISVARHLGQRWADFPNSLPK VGYTTEKEAGTRLFVAQKFLEEEAEGKGTTQVYKRVCCMAVRSWWVARG PEX2_103670 MAEFWKSAPRYWCKQCKIFIRDTPFEKTQHEASPKHQGSLKRFL RQIHNDNEQKQRDSQRAKTEVERLRQAVGGESSTDKDSAKGKAPPAPKSTERPASLEE RKKQMAQLVAMGVAVPQEFRADMALAGDWQTTSETRIEVKQGLEGPTKSVGIRKRKLE GEEEGDEHAPEPIVNKGWGSRMRQYPGALEEEGLDDLFASTKDIKRTKTFTPKVELDE QETITVQPTPITKTEDAPPKLEAQESKESIEVKTEEPTQSSVEPVIEEKPQGEDAAPG VIFKKRKPKAMRK PEX2_103680 MMLHGIDYLHSECHVIHTDLKPDNIMIKVEDPSILEESAREEYE HPLPQKMCPDGRTMYLSRNDFGISEKTTGIIQITDFDLSVRGNRPNRGYIQAEIYRAP EAILDAGYSYSADIWSLGAMLWDLLEGKKLFKEVDPLQVQEYDELNHLGHISALLGPP PKELLDKGTRTDLFYKSDGQFKGTTIAPSNFNFENSICQIHNEDKRMFIEFVQRMIKW CP PEX2_103690 MSSTGPKPKVVHIGSIDHAQAAWSALSEIAELVESSATNRAEFI QECKDGKLDGVVAIYRTIGSVTLTGLVDEELLNVLPSSLRYIAHCGAGYDQVDVHACS ARNPPIRVSNVPTAVDDATADVNMFLILGALRNFNAGMTALREGKWRGSPAPPLGHDP EGKVLGILGMGGIGRNLKKKAEAFGMKVIYHNRRKLSDEMSAGAQYVSFDELLSTSDV ISLNLPLNKNTRHIIGKAEFAKMKDGVVVVNTARGAVMDEAALVDALDSGKVFSAGLD VFEEEPRIHPGLLSNQNVILVPHMGTWTSETMLAIEEWTIGNIRMALEVGKLKSPVPE QADL PEX2_103700 MTLEDFEKALVEGKEQRHEKSEGRHHRDRNRDRDRDRSKDRSRQ HRHRSSNHHRRHSSRSRDRDSERTHESRHRDDDGHRHKRSRRSDDQGDEHGHKRHHHH RNSKDEGESAAPPVIVQEEPSNLKRDAWMEAPSALDIDYVHRPDSTRQEEPKPIMLSA DYELKIHDKELNDHLRDLKDGKTVDEIEDEPASHEVDYTFGDSGSQWRMTKLKGVYRE AEESGKPIDEIATARFGDLRSFDDAREEETEIGRRKTYGESYVGKDKPSGELFQERKL QNDNRRGPLDHVRDPEQELKVEGQGKQMDTIPPPNTSRHLDMTALNRLKAQMMKAKLR KAPNAADLEEQYNTAAAAMSNRKESDVVVLDVMHNPMLAGSRNEVKVVDTKRGRERGQ VEANEDMSIEDMVREERKTRGQLGGEGRRLADQIGRDAKFENDLEYMDDNASKLAKRV HRSEIDLKNTTINDFHKMNRILDNCPLCHNEDKGTPPLAPVVSLATRVFLTLPTEPEI SEGGATIVPIQHRTNLMECDDDEWEEIRNFMKSLTRMYHDQGRDVIFYENAAQPQRKR HASMEVVPLPYSLGETSPAFFKEAILSAESEWSQHRKLIDTLAKSKQGLGRSAFRRTL VKEMPYFHVWFELDGGLGHIVEDDNRWPRGDLFAREIIGGMLDVAPDVIKRQGRWNRG DRRVDGFRKRWKKFDWTRILVEG PEX2_103710 MPSPVSVVCVGMAGSGKTTFMQRINSYLHEKKTVPYVVNLDPAV HSVPFESNIDIRDSINYKEVMKQYNLGPNGGILTSLNLFATKVDQIISLLEKRTAPNP ENPSAKPIEHILVDTPGQIEVFVWSASGSILLETMATSFPTVIAYVIDTPRASSTSTF MSNMLYACSILYKTKLPMILVFNKTDVKDAEFAKEWMTDFDAFQQALRQEEDAGAFGA EGGAGGFGSGSGYMGSLLNSMSLMLEEFYRHLSVVGVSSMTGDGVEEFFEAVETKRQE FERDYKPELERKKKEREEQSSSQREIELGKLMKDMNVSGSSRQPRKPAAEPETVSEAE DEEDEIIKRGLADGEDSDYEDYVGPNAGNDQGLSQRYQEALAGSQSAPSEQDNSFTRY LRASNMQQ PEX2_103720 MGLAPIAIIVIVLVVCLAITALGAGLFHRLNPTETSIQHNAIFQ QQIYMRAVRLRNYNLLRKEARTVAKDLESRCTLPNHFMTDQPLFRSADHPRTWSNISP SPEILPFICAKLATDEPLCGTFMSFLRRKIEICLISTVLGSFFK PEX2_103730 MRGVIVKSANIFSLEDIPEPTIQSPTDVIVKVSATTICGSDVHL IHGDMNSHWDFPLGHEFVGTIHQIGTAVRDFKLGDRVVAAAGVSCGECDECREFKYQN CVHFGIYGCGKDFGSLGGAQAEFVRVPCADNCLSHIPDGVSDAQAVTVGDILCTGWTG VERAVAAPGATLIVFGAGPVGLAAIHTARLSGVSKVIAIDVIPERLAVAMDLRADHVI NPKVEDVGDVVMKLTGGRGADAIVDAAGVKNSINCWPSVAALGAKIAMVAIPSGPVEL PLAQLQMKAITIWMGLADTSRVRMDTLLQFIKNGSIDPSPILTEAIPFSEIETGLREF ISRKPGLIKPLILFE PEX2_103740 MDVFHAYTYSTAAWLALQSLPLIAGPSIIVTMLLDETRPVSPME IYFARCLGFSFLTIAVLTMMLTGSIPLTSDIKESVTTDEDDPKAPYAIPTLMVTSIFH TACAFYAYTWYVTGGQGLFAIGVAGYSSIAAVGLWCMLFASSNGKISRRTGADKRTTG FPFKNSEAAKKHRKGFFPHPHNAASTVPSSPQKQHASPQLYIINNMDSELRLSSPRLG PSMPINDQSNLQLGQFDPESQPFGPFRPPTEAESQLQNAFIRPPRSGEPANNFMASPS TQQHQSHQGHPTNGVASHLQPQVQSSSGLHQSQDYNNGVAHATNMSGALHGTATGQMA PPTSLSQNELAMGNSAHQAQNNSLTTLQSPSQVFQSDFIELDSGSADPASFTGPGELQ GFKLIPNPPHLDYWRDRLFNVDEMITLSEEEFQTYFPHVDNVYSHRSTQRYKRKPFVS HYWDCRLKGRPPGTPKSDDPEKKKRKRTARERDLCHVKIKVVEYFPVSEISNTTHPVE PMPSNVLPGMYTFSLNDDPAIRNVQTFGMLTPNPGLPPNHPGSNGGRYFTLQRVNGNG ANGKNDGVSGGHQHTLEESDRVKKSSVQRYVLKEKKDKRTRSDRVMSRTGQKSYHTKA TGLAAETAIKHSADVNLKLYGSCFCPFVQRVWIALELKGIPYQYIEVDPYEKPQSLLE VNPRGLVPALRHDDWGCYESNVLLEYLEDLGVGAAMLPGDPKLRAHCRLWADHVNRHV VPSFYRILQEQDQQKQIEKTQELRDAMEKLLEVAHPKGPFFMGPQMSLVDVQAAPWVI RLRRVLKPYRGWPDAEEGSRLAIWVNAIENNQHVQATTSTDELYHDSYERYAQNRPNT SQVANAINAGRGLP PEX2_103750 MSANSGAVPDAWEDSWENQADKLDSKSTPPSEKKVSSKVTKAQR RAEQAEFNRQLWAEAESTETFHFVEARADVPLKQDFKPAVTLLSRKPQLVTRQSSSSS RTINAAAAGLGRLGLDDDDDSDDDNKPPQPTPEERHAIALKNREEKQRKYEEARERLF GSPSATASGTSSPGSTTPPRQNSTGEGRARGKGRGGGRDHQKRDSSSTSSKSSRHLYD PSTSAKSNAPFLQRGGRPQVERSGSDTQAPQQPVRSPRGPDASGRGGFNFNRGARTG PEX2_103760 MCTRLFFLATNPGITSINKHLPDPKDPDGDSTMTSSIDSIRPDD SARTGARTPTGIAQASSAGVDVSELSPPGSQTKQEAGASVGDIGTTLEQRGGQPTEKM VESNIAAWKSKRAQEDYQRATEYLVDKDFKLDEFGDPFDERDLAEKLP PEX2_103770 MENQPPSPQACSSNPPTESSFSFTPIKALSALPRLWDRKPSTPV RAGDKTRKLWKRIRFPFTAMNTVSGVQNSGVGASKNSDYQRGVKRQCVDPAEADHESE AEQRGRSFLETKWEMQASRKRRKLPEFNFNIHDEGSQEVFGFVANQPRGTLDDVVGDV ATNAAPQSLRDLWKTTKTPVYNDAMATDLTELNATGSDANTAQGLESRPSSQDAPTVI DNAGEDQTNLVSTTESVQNLTQEQEIKLVRSALRSSLDGEDAELLNDFLSRANAKRAA KATHPEDAKSPQVSSSPKESPEVECSTPPPRRALEELTTNSPSPVKLHFSPSKYDVKR AADGADDREDIIPKETKEEAPSSPGYRRSTRTKGSSTPAMRNTISFRRAKGTEFVFQQ RTETQQVAMATKRNTRLNKGKSVTPMAALEALAQQSSEEELQPGNSQNESSTLKDTNF RGPSKPRKQVSWNEERMAEYEEYREPMDGQEDEEEGDRCTNDVGATPRPRPESKRTAK KAESGHRSSRSQTQKADETADSGATESGPTPTTAPATVTPRSRRVRRLGDSGILGSGT PVKTGSRSTSKPPAASASAVADVAPSTPTKGRRKLAPKSPSSSKLPARSSKRANHTTD QPFVSGIPTRSSQSTEDGQRQSMLQMSAGCTPTARRVRSRS PEX2_103780 MSSGKTFTLSNGVTIPAVGFGTFASEGSKGETYAAVTTALNTGY RHLDCAWFYLNEDEVGEGIRDFLKANPSVKRSDIFVTTKVWNHLHRYDDVLWSVNNSL ERLQLDYVDLFLVHWPIAAEKDGQDKPKIGPDGKYVILKDLTENHEETWRAMEKLYAD GKAKAIGVSNWTIPQLEAMAKYAKVQPMVNQIEIHPFLSNEELVQYCFAHNILPQAYS PLGSQNQVPTTGERVSENKTLNAVAEKGGNTLAQVLIAWGLRRGYSVLPKSSNPKRIE SNFKSIELSDADFEAVNEVAKSHHCRFVNMRDTFGYDVWPEETASGLSI PEX2_103790 MARIEDSDLAVDPASQDPRAQDPHGALSPRDRAASGLAIPSGGN TVEIPATRSAISDAAQFMHNLSLSPSMKDRRGSRNSFGTSLPIPRSPRLSRLSSVQRG APSVSRDILASQVQDINKEKTAKAKNMAFAFDIDGVLAHGNHAIEEAKVALKMLNGDN ELGIRIPHILLTNGGGKTEEARCAQLSEILEQPISTDQFIQSHTPMQALAEYYQTVLV VGGEGFKIREVAENYGFKTVVHPKDLLAWDPSISPWATLTDAERAEAKPRDFSQMKFD AIMVFADSRDYQTDFQVIMDLLLAEDGKLMTKAKDPVATRIPIYFSQGDLLMPTEHKG LPRLTQGAFRISVEAQYKTLTGVDLERVVYGKPERATYTYADEVMKAWMEELHGVSRL PDNIYMVGDNPASDIIGGNMYGWNTCLVRTGVFQGKEGENDPNSPANFGVFNNVLEAV KAAVAKELGADFKLKWNPKVNPVTHGDGASAIE PEX2_103800 MLSPLRWGVCSLRAGRLPSIRRVSHSAPSRRETEHIFPLPHRQL AVEERFPLSTLDLIITQNYSTWALIFKLDQPSDIPVVTQTLRYAVQATLAQCRHMVGT IEGNAQGDFSIVKKPDSTVPFVVNHLDGPSYAEIEQANFASASLGDPAQFTIPGMTMA CHCPPNASPRISGYQLTFIPGGFVFTVHKHHFAMDVTGTTSLIHQIAGHSHALLRGTP PPEWNEAWMDRARFISPPVAEADRVAAPPAAPRHPDWLPCSWLLFHIPQGQLDALKRQ ASPTTGGWISTYDALTAFLWRVLSRNRAQIYRPDLSAPAVFLESINMRRRLNPPLSMR YQGNVLSGGLSFLHPRPLTLGQVISPETPLAVLATFIREITQSVTPQSLEATLAAMAP IRDKSALNVRLDSVPPMSLAVTDWRDANMCAVDFGFGRPAAARQIADTVVENMMMIYP RRSAGTEEEGGLEVVLPFETDHVGLLLADEEMGRVASFRGVEARAG PEX2_103810 MSNAILSSTWSASTVLVTGAAVLVLLRGLYLVVYRLYLSPLAKV PGPKLAALSSWYEAYYDLVSEGHGGQFVFQVKRLHEKHGPIVRVGPNEVHIDDPDYYN EVYSTASPTKPIDKLVQYKHRFGMPEATISTVQSEHHRIRRAAIAPFFSRARISSLGD TLTEVIERISRRLGSEYAGSGAVINVCDMWGTLTADVVSEMAFARSTRFSAAPDFQSP YSHALMSWVYAAHYTTHFNWIMRMTQWMPDSIMGVLVPSFKPILDYRVAIQRQIKDVL AGTNVESKAAAHPTIFTDILQANLPPAELSFHRLTQEAMSVSGAGIETTMWTLSVATF HILWNPAIEQRLVSELVEAMPDPDQILSWAQLEKLPFLSAVISESLRLSFGSVQRLPR VHRNQTLTYGGWQIPAGTAVSMDAYHIHVNPDIFPDPHEFKPERWLGDPMSFDGKHRL SYYLTSFSRGSRVCIGMHLALMDMYVALATLFRRHKLELFETDRSDVDFIMDLVRPMP KWDSKGVRVIVKA PEX2_103820 MLGSALRFTLLRAWMAVRLMGQVGATSPPASSLAVSTNPTNSLL STCRKLPQDADWPSAQDWAQLNQTVGGRLIATVPVAHVCHDPAYNATACAWFKENWLT VEAHVYYPAEILNPYFQGQYCEPFTPKVKSCTLGNYAVYSINVTGVSDIQAGLAFATR HDVRLTIKNTGHDLLGKSTGRGALSLWTHNLKDLEFVGNYAGDSPYTGSAVRIGAGIM FKDVLPVAAARGKRVVSGTCGTVGAAGGYTAGGGHGSLTSLYGMAADSVLEWEVVTAA GDHLTVTPKQHADLYWALSGGGAGTFAVVVSMTTRTYDDGPMQGAGFTVSNSNTTAFW NIVDLFHSSLAPVVDTGATLTYFILGTELIVFAAVIPGSEPALVTSTLAPIVRSLAQA GVAVNISSSGFSSYYALYESYFVPTEESTAVGQITGGRIIPRELLEPASPSLSKISAA LQDFTEAGFYIACAALNANSSRQAPFTSNAVFPVWRSALLTCIMVQTWDYSIPWDENL ERQANLTNIYMPQLEAVTPGGGAYLNEANFQDPNWQETFYGDNYPHLGVVKQRYDPNE LFYARTAVGSEKWKENGEGRLCRV PEX2_103830 MTISDNTIVFITGASRGIGRTLVETFLLRPKHTVIASVRDTAAN YVKELEALPKADSSSLQLVKIESSNSADPAAAIKELTDIDHIDVVVANAGGAGEKGII PVDIVSSEIVTDVFTVNALGPLALYQAVKPLLEKSQAPKWVSVSSAAGSIGRLELHKA HIAPAYGIAKAGLNWITTAIHSANKGFIAFAVHPGLVQTESGNKSARAMGLPQAPNSQ RQSIDAILGLIDNASRETTSGKFFNVIDGTEIPW PEX2_103840 MSQREQVEFKALDGVTLRGHFYPASSPGKSSAVIMTPGFNTTRD VFLPNIAHSFQTSGISCLVYDPRTIGSSDGQPRNNINPSLQVSDYHDALTFLKSDPRI NPQKIIYWGFSFAGAIALCAAALDKRAKAVIAVNPLTVWDLPANKQAKVLAKAMQDRE SQVAGNEAFRLPMLTETGENPAGFGAGGVGEEEVKLVREAKEKIVGFEDTTTLQTYYH IVSWSPFEVVQLMGKTAVLLISAAEDRISPVERQKKAYLEALQGEDGGRHGARDIFVV EGKGHMDILDGESLAAAMEKQVEFIQKVI PEX2_103850 MIDSGSGKQLLSSVPSDSQTNGNTNEHTNGHTAGETAPTGVSQS PIAIVGLACRLPGHVHTPKDLWDLMARGGVAETKPPSTRFNLDGHYDGSKKPFTMKTP GAMFLEDVDPADFDAQFFNINHMDASSMDPQQRILMEVAYECLENAGIPVESLGGKRI GCLVGASAVDYHDMTCRDPEDRTESPTMGTGRALLSNRISHYLNVHGPSMTIDTACSS TLIALDMACLYLSANQCDGILVGGVNMYLSPERNQDMGAMRPTASATGKCHTFDANAD GYVAAEAINAVFLKRLDDAVRDRDPIRAIIRGTATNSAGRTPGIAMPNDKAQAAAIRM AYANAGIPEAELSHTGYLECHGTGTLVGDPIEVNGAASVFAESKSTADPLIIGSVKSN IGHSEAAAGLSGLIKAVLAVEKGIIPGTATFITPNPKIDFEKNRVRASRNALAWPANT KKRASINSFGFGGANAHAIIEAPEYLLRDRIPKYKSTFANREGISEGFFDDSDDEDSI EDSGVLTPSLAKPTVIVLSAEDENSLKASIKRLSAHILNPAVQVELDDLAFTLSERRS KLYHRAYSLQTDTQITSDTFQLAKPLGRDLRIGFIFTGQGAQWPAMGKQLLEAFPLAR SVVESLDTVLQSLPEPPSWSLVSELTEDRDSAHLRKPEFSQPLVTALQLALLAVLSQW GIRPARVVGHSSGEIAAAVAAGYLTSETAIKVAFFRGQAGKQLPPQQAVGMLAVGLST HQIEAFIDSDDDLVQIACFNSPRSLTVSGTVAALERLRDRLQAENHFARLLQVNYAYH SKYMSSIGKRYLEMLNAHGVEASQTKSTDAVMFSSVTGAPLENPVDATYWLDNMVSQV RFDQAASEMLKGKDGVNFLVELGPSNALKGPVAQIIDAITSSIEGPPIYTSAAQRGTE TLQALYHVAGRLFTAGGTVDLARVNEYSVQDPPFTLVDLPNYVWNHSKKYWHESPASK DWRARPFLKHDLLGTKVLGTPWQAPTWRNTLRLNDHPWLKDHRIGDQVVFPGAGYVAM AMEAIYQTMSLTEWTEGVPARYRFRLRDMKFFRALVLDSSYDSKVILNLTPNKSWYEY KVSSRVEDIIHDHASGLIRIETDFLDTPAPVGALAPLQTPVSARVWYKAMREAGFNFG PSFQKHLSMEYQAGQQSGRSTVSLEAPASAWPQSSYPIHPACMDGCFQTVLSSAWKGD RSAVDAALVPLSIDSLVIPWNTDLPVEAFAVAKSEFTGVGRADVAKNYSASTAVYHPV HGNLLLEMKGLRYTELDSSSPETQLAHSYGLVKWDADVSLLSETGFHQLVAGLGAEGE AAQGLIDTIAHKRPGLTVLEVDLDSEAPARSLWLDRADTVTRAACTQYQFASSDANRT VAIQNAYPSATNAEFTVSSFATAEVAVSQQFDLVVLQLPAQPGAWLGQALANLRNSLT ENGIGLIVHADKRSRLDVHAATQVSEWARVWSAGVVTLVQVAPQAEADHREVYRVRFR KNDNTLGGLFDTALSQAGGWKSIPVWEPEQVPPRSKVLVLDELEQPVMSKLDGRQWAM LQLLIQNQCDLLWVTSGAQMEVSQPTQAAIIGFLRVLRNEEPLLRLISLDVESPTAKD PTVGAIDRCLHILTAAEGPRTHIDSEYVERGGIVHISRVLPDTTVNEAQKEEAMGRPA QTMELHAAPNCIRLRAERIGNIDAVRYNEVSSAPLPLETNRVEVEIYASGVNFKEVAV TVGIVPDNEHLLGGEGAGIITRVAPDVTDFKPGQRVAFFQKGSFANRIITTTQRVYPI ADTMSFEEASTIPCVFMTSMYGLYRLAHLKKGDRVLIHSATGGVGLSAIQLSQYKGAE VYATAGTLEKRDFLKAQFGIPEERIFSSRTKAFAEQILACTGGKGVDVILNSLTGDLL DESWRIIADGGTMVEIGKKDILDRSSLAMEPFNRNASFRALDLSHKEITDDTIASLLA DIFTLMQGGHLSPITPMHIFSFRDIPAAFRLLRSGKHIGKLVISDGPDAKVEVPVRPA PRRMSLSPDKSYLIVGGLRGLCSSLAIYLAQNGAKHLAVITRSGHEDEQSQKIVRDLH ALGCQVDLLRGDISNLSHVRSAFAATRVPIGGIVQGAMVLRDRTFAGMSLDDYHTALR CKVAGTWNLHQVALEQDLSLDFFTMLSSVSGLCGSKGQANYAAGNTFLDAFASYRQRL GLVACSINLGVIQDVGYMAERDDLQDRYDAALWHAINERLLRRIFGFSILQQDKIPIN KTSSANLVTGIQVPQPADSFLLRDARFAGLYLQQSGQQTQTVSDSKDIQAIFVLLRSK AEPAAVLEVTVDVLNRYLTTSLRLPEALDVARPLSTYGIDSLAAVEFRNWLRIELGVD LNTLEIVNAPSLVSICEKVILKIPNP PEX2_103860 MASITPAPSQPKPAFPRLSSIPCGDHFHSRDSSPSPCSTPDGSR SSSQRRPSFGSIKEDTEDGIAQSFVDTQEVPSPQEQDQERKVERPTQMQQAPDFCCPC GGFLGWKQIRLGGKSLSRSYSDLRGLGNLQAKGWAWEAQEPETVELLPPKAPEVEVHA LQTPPGTSPLERFPPEVLDQIISNLAVDLPPNGYAPRNVDLVSCLLTSHTLHAATLGV LYRNMTFPHSIIFSKALNHMSQYPALGTLVRRLDFSHFTSVGLGRTKQMNAEIQNLTS KTLLQCLELLPNLKECLLQEHLEGDISVEVIRKLLMGMPNLRAVDFCGCSTQAFSGVF QEALVGGPALSMTLPNLKRLSLHECSTIPAPMFEYLLPRLVNLTHLDLTHTQVNDLAL ASIPETARISHLSLSRCTRLRPCALVQFLTTHPSVNESLVYLNLLTDPTRFRLLEEAD ISALLPNLPDTLRSLNLGGAKITSDHVPHLVPMTKYLEELGLSSADLSVADVNSFFGR YRNPATGVEIDAPSTLCYLDLAKVPQMTIGAIFNTSTCLLLSNQSYPLQVVEFSDKII TPLRERAKTHRTSVGWTVRDLGRRGWYVREPSSMPYEPSDDGARYWKMGARWWGMRKI PMAVGEVGGLYGHYMFKK PEX2_103870 MALSIECSGVDLFKYLKALKSQPENETSQRHRVELLLSIIHFQV MKKQMLEPSSPDFQSQSGSSYIGVCASSTRARAHGHPEGSFWYGSEGKSINFPAVMRP SRALSRNATAPEMIAIMCGLHAKQRHLHKEDHFAYTLATDGDIFRFFRMNEEGQPTET QCRSGNGYEDAILLLVAVFNNALVPPGARTISPAAHEDITGKTTHSDLQVGDGSPLPN LSKVPSHTRRGNHPRTYEPDTDKDHKEEEYHKDHSRMQKMAVRVGVNVYMNVLQELPI PLSRLAERKTLGLIQQVIFEAIGSPFEEIHYGDCEKGFPHYGAVFMRNFDIELKKVLE EVDFEDYNDNQKVLKRAIRMAVLEEISPVFEEILLEVRDQKVERFFK PEX2_103880 MSSYASKNTRVGIIGAGVVGLTSALLLVDAGYDVIIVARDLPGD ETTDWASPWAGALLAPHPDTGFNELQEYSIQKYFELVGQDPRSGIKRIHITELYDDRG DDSTIWYKSIFPDFTSIHSTDLPSGATIGFTYSGLAVDPSMFLPWITQTLVKRGVGFV RRNLGSLQEMKSLSQASIVVNASGLGARELASDDSSISIRGQTMLLKNQLNFDRAVIR QGSQYTYVIPRASGGVILGGVSQPGNFAVDPDMALRTDILDRVNNMTAGEFDWVDIER DVSKNIVGFRPARKGGIRVEREGNVIHAYGAGGLGYVYSWGMASRVVSLIENHYKPHI PEX2_103890 MVDAACRIATKEEIYIFAGRHYGRVRFSKGGPEHNLAAGPTLLS KGWNTLPKMGFGTVDTIVPVPGHENQLYVFFGGRYAKIKLENYDDSFVSDGARPITSG WKSLAQAGFDTVDAAMLTPGTKNEMYFFRGLHYVRLDNSTDKIVNKVAPIAEGWPSLV QAGFDCVDAIVAVPSGQDLYYAFNGDQFAVIKVDSSRGDTLVSPPKAISSLWQALENW V PEX2_103900 MSSPSGAASYKKKDGTLAISADEKSVSWSPAVAGAGNTITIPVI NITNLQQTPASNPKVMLKIFAQAPDAPPNSTPDQYVFLFTAGANARPQADVIKDTLSA RVNTAKAGTPSQTPGPGGSSEGLSAAMAIANAVTSAATSKNPLDDENKLRSDIELQQS LLRSDSNLQRMFFEGLHTKPEALSSASFVSQFWSTRLHLLRAHAIEQGQIRGSYNVLS SLKPRVEDNVTRLNISKEQIQLIFTQHALVKRVYDENVPKLSEQQFWSRFFQSRLFKK LRGERITEADATDAVLDKYLQTNEAAQPDRDTNIHHFLDLAGNEVNHSQRRGNRPDLD MRPSGVDKVPIIRTLNSLSEKIMANVAPADGDMSAPIGINEEAWKKLQLQDLRGEEEQ SRITLNIRDQSRFFTQGQEEDKTKQFAKQDPDQLLQILRAEIDQNLPSQGNTQLGKLV DPGDDEDEEMEDAPASRRPVGSSAALHDAFSQILEALRDRRAQTSERAASETYGLSTA LFDRLTLTHATTTEFLHQFWQAYLSGNPDRAGEVASLAESLQRAAERIKAVANDAEAE RKVEVDRLKKQARDMYESTGRKLRVNLEGVEGGQKVVNQLLGPTLLALEVASTRYQKE LAEQNKEAAMASQEMAGA PEX2_103910 MLTSGLTNAPLTKGLLIYTIASSVALSLFDIKHLAVIYVTPHFW PYTQFWRGLLWQVVGFTNSTEALFAAMLVYHLRVVERAWGKRKMATFLLTTLPYTTLL PPILLALLLRPISLNKLNYLPSGPTATIFALLAQYHATIPHTYRYRIGSTSSPTTTNN NTSNDPSSTDNTTQASQPKPPAPSLSLLLSDKSTTYIVAAQLALSQFPAMLLPSALGW IVGVAWRAELLPGLSPASTGFRVPAWMVGEQERRGGSGPASGGAERERYEDLRRRLEG EVAASASGLEGSGAQAQRRANSAGESGGGFVNRLTRDW PEX2_103920 MSAVSTSVNNPQGQGIRQSARQTRTNPSRTSKTIGRSSFAFGRG STAEIPSTPPVPHGFYPALTHFTDAITALPREFRRHNSLLKEVDAKAWALEENLLQLL QFSSQSQPVPHPPHPAPIVGGVVREDVLPKELSQSPESPESKSRRLLFDRVRQSLSDL MMTADEKNHVISNANEELDRQVVRLDTVFPYIAGEISEEARLGSLTHWAYSNKSTAKA ATNERPRREAVAQRQDLSHVLQEAEAASRSEARRDAVLARKQRRAQADADYEDTRSSG ARKTNTAKSRGGDNAADPSAAPKRRKVERPLPVDTSVPMERSASGAVSQRAANKDPAE KKRSRAPNQATTARKKANASNAASPVLAPSPLIGTFNGPRGAASPGPTTTRPQSSRAQ NSGPTGNSRARPSSSASNLPNNSMPFQPPFPELSINQIPNADKIADSRSTTRDGPIKN ELVHADTHRDYEADANGRTSVSAGSKREDRDGKAGSVEVETTKGRNSKTSTPILPAFT EPSQQNARPTRSRDPASTKRTQKKPIPQPAIPSDDESLHEGDDEDEEGEPRYCYCNEI SFGEMVACDNDACPREWFHLSCVGLTKPPGKNVKWYCNECKENMRRSRNGR PEX2_103930 MFRNTALKATNSGMLRGATSSSCRRSFHLATSARSASKSSGFSM TARRPLAVVDRAFNGARSYAAPAEGQSQGVDPNDSFLTGSTANYIDEMYMAWKNDASS VHISWQTYFKNMEEGKMPISQAFTPPPTLVPTPTGGVPQDMPGLGLAGGADVTNHLKV QLLCRAYQARGHHKAKIDPLGIRGEAEAFGYDKPKELELDHYGFTERDLDQEFALGPG ILPRFITETRKKMTLREIIAACEKIYCGSYGVEYIHIPDRKPCEWIRDRFEIPQPYNY SVDDKRRILDRLIWSSSFESFLATKFPNDKRFGLEGCETLVPGMKALIDRSVDYGIKD IVIGMPHRGRLNVLSNVVRKPNESIFSEFAGSAEPSDEGSGDVKYHLGMNFERPTPSG KRVQLSLVANPSHLEAEDPVVLGKTRAIQHYNNDETNYDTAMGVLLHGDAAFAGQGVV YETMGFHSLPAYSTGGTIHLVVNNQIGFTTDPRYSRSTPYCSDIAKSIDAPVFHVNAD DVEAVNYVCQVAADWRAEFKRDVVIDMVCYRKQGHNETDQPSFTQPLMYKRIAEQKAQ LDKYVEKLIAEGTFTKEDIDEHKKWVWGMLGDSFDRSKDYQPTGKEWLTSAWNNFKSP KELATEVLPHLPTAVPAKSLQHIADKIAGTGVPEGFELHRNLKRILSGRKKAVDEGKN IDWATAEALAFGSLVDEGYHVRVSGQDVERGTFSQRHAVLHDQQTERTYTPLKHIADK QGSFVISNSSLSEFGCLGFEYGYSLTSPNALVMWEAQFGDFANNAQCIIDQFIASGES KWLQRSGLVVSLPHGYDGQGPEHSSGRMERWLQLCNEEPRVFPSADKLDRQHQDCNMQ IACMTTPANLFHILRRQIHRQFRKPLVIFFSKSLLRHPIARSDIEALDGESHFQWIIP DEGHGTTIDAPEEIERVILCSGQVYAALIKHREANGIRNTAITRVEQLHPFPWAQLKE NLDSYPNAKNIVWCQEEPLNAGAWSYAQPRIESLLNATEHHHRRHVLYAGRAGSASVA TGLKAVHLKEEQDLLEDAFSLHQDHLKGE PEX2_103940 MPPSTVFSYWRRDHRRSSASPASIPAPSPVKGATGSPIRSPPQI PEIPDTPKLTTTFDDHSPDGPHSNDSPLDIDPSKLNISSSVAPLSSSITLAVPSPAVE KESRPHSSPGDCAEEQFTTQLNDSQLSVTALRSDQGDAEPNFKSSSPFRRSFGKQKRS PTAATGSGHFRFRSSPDDSPADKQIMPQKDFKMDSSTTVRRTGDRDVSVEQTHHKSGK AMFHLLNPMSLLARRRSSQLVSSRAEDVKIKNRNVPAMPDDYDPRIRGKIVHDFSVPR PRRNLSATLQDTVVNGQDTSPPQIPSQPRAPHWNDQAKRHSDYSPVFKEHFEDQNVLQ VEHKGYLQSSLLTGQSQPSYDSSLPVFARNLPSHLPERSVDVPGQLEPEAEEPPPSPP KAISHAHPQHPQDQDTIPNVPFNPSALPRHLKSNASRFSFDMNGVDSSSQERMLEEKH KAKEASRRMEDGYFDDFDEDFDDDMMDDLDGLEEKIPGVNADADDDFDDFSLSRDINE AKSWAIPALSPVVASPVTAAPPEPTNTSHVTSHLSEATQLDDDLNQQFLEEQPSLAAK NEATQTLPTQTLPQVPIATQAAPILDDDDDLYFDDGDFGDLDVDNQDGGFDESIFDDV NSHLYERKRVGAPVAPVPTDSADPGEDDSSAGKESPVAERGVQGLQHMSSVASDYRVT GSVKRGPLGEPIPNMGPVRAHGGGVLTEQNLDILHNALAFAANEASYSARLEREFSTS EASQGQGSAVQTSQTMDSQPGLVSDDSHISQAADAMAFEDVFDDQIYDDGFYDDNDDS YFDDAIVAAANAEALENDDDGFYGQEFGFYAHADGNGVSELTNGGYFGPRRVEGLTRN HSSRGKFQEPSLTPITERSEWSTRNSMISVKAHGGTHPNSALASPGLAQLVDMGNLDD EMSLSALMKLRRGAWGGSNGSLRSSAGSPPPHALSSSHRASLNGAEASPTVSEARPFS PEEAAPNGLGSLPDDSHPRTASPLSGRPDSEEIPRSGPQP PEX2_103950 MAYNAVAQVDHEVASVSSASASRSPSPAHGHAFEQLPLDTDHIG GGSHLEAAKTTENPSFSQLGSMIRSMTSTSYDMVEDDDYDAGHSPEERSNSLRIPPLN TSVARHSSPETPIRSASSEVPVPLSHPTPDLQSIQGAYKGNVTRLEESAEQLSSCSAD IESEIRRIDQEQKRRSVSSASNSIIHRNGAFSPAATISSAHGSNVSPARQRSVSGARL AQLSEPAHDDHKHEVDEFPALPDLPPPQPLFNTKNDYYYDQYVPQGVPAEAEAELERR ASVASGDTYQQTRTLFTDFDGVHFAEQGRQVSLEQPPLARKPQHYKQPQAGEKMVFYP APVPRMLNLPPKLSRKANIDREKRRTQIVGAIAAQDRKSTIMLSDVDLNGPRDGQNDK RKSTVPAHLRASVFFDQPSTSLQVAVKHDSAVATLDSILDASANAPVSAFTDHPYAGH AGASAYNKSKRKTLTKDLTGQKTSRLSRATIGQPYSTNNVDEHGEPVTSRHGSEAGDD LDRNHEEHGHERGSEGTDTESDERSEDEDEDEDEEDEDEDGEEGELDYVGPPNTLIAE LELRKHELKHRRRTAVPVPFHGMQSTLLEMDERAQKQSDKRRQRPVALAWEGRDDDDD VPLAMLYPENNNAENDDRPLGLLALRQQEENEPLSSRRARLRGEPLPRPEQRPATVYA PGPPAHVPEPTAQSEDETEIETLAERLQRLKGHNRSESKFASDLMAEIDTRAGVAPKE AQEVPAPLEEETLAQRRSRLQKEAAAQPAPQKNPRMRRSMAALTQGRRPPQLNRQSSY DVFNQRPVTMSQFGNRMSMQQFPSQTGYSTPAGYPMAQQYGYPVNPAMAMNGMGYAPN MAQHPGPVKTDVIDRWRQSIR PEX2_103960 MPNSSETTNDVLESQGKSAPLRVGVVLFPGFQALDVFGPLDCIN VLSWTHGMTLALVASTLEPVTTKSPISANAIGQSVVPTHTFATAPSLDLLFVPGGLGT RVSSPAIEEAIVYIRNVYPQLSYLITVCTGAGLAARAGVLDGRRATTNKMAWAEITGL GVNVDWVPRARWVVDGNVWSSSGVSAGIDVTLAWIEAVYGSELARTISNSMEYTRHED PNHDPFAELHGL PEX2_103970 MSYYDIDSILTDAQKLPCTFELEVPGLGILEGNAGEDIKAGTRI DLPLWLGEMLSIGARLGTSRLVTLDMPEALSERVMNALKADPRTLDLRALAPHFYNLS ERILEIFEEEEMVDVLGDAFKKRAAEIADHAHNSRGAVGGGVDFLRGLDETERQLFRA AHDRAKEMRIWSGEAKPQDFD PEX2_103980 MSAEVSWLRDQSPIHHGTRTPVRSSDTPEPSTPTLVNPASALLQ GLLKEERAHRSSRGTISEDYKENTPQTPETTRNQDDTASEKARKANDVFSAGQRKPKE MGMREMDQYVSKMNKLNFDLKLEVFHRTQQMAILEKKLERMVEMEEQLAHMDDLVAEV EELRTTEKDNQILRESNEQLRIDLDKRDLAVTEAVELICQLESKLDMLENGGRASRQS MSRPMTADGSEAFAPQSRAMIEIPERTSSKRNSGVLSVAQRQTSSELRKLSKAPSFLR ADNKSTATLRSLYAPEEETQSRSARTELSKSESFHTTTEVMEPESPRLSVLSECSELN PFDTPTRWEDFEQLEIPVRRSLSTSGSLDSYVPPSGREESKEDQIDRWIRSREEVNQT IITRRRNRASSDATKASIPSFTPDLYAPKPRGRGRLDASLFGGVRLPPTPDTMSTAYA TGANRSDGSNRSHRSITARTSSQTEQDLFFPGRRVNRPRSAEEMASRYSFNGSEVDDS METNCSDTPRLGVTAHESRTILPSFSTVSSKASALLGPGSPNNPAIETFGDRYHTNAN QASTPTIKRVRSPTKAMTPEPRTNDRDSSSPLTPQDWVAAAKQGPRSRKPKPRETRHE VQQTEMSPRTVISPATFHDDSSIASDPTEPEVTGIPTLDMTTLDILEQPLDLAQSKPK PEANPEPRRRLSFIPPFFNRSANNPRRLQGSPTPNDFEDDDEDGAPSPVIPKTRTMGG ASRRPRSQIITAPTDLYSSNPPIHNDESLSAFRPRGVPQSLTMSTLADQPGSATVSGR PSTSHGIDVEHKRRSSLGIFGWMKSKRSDSVSIPGTEKSNDSTQKENRAPSRLAYETT HGLGIPRGDTPDSMEAHVRPHSEMAVYADDYARRPRYVGRRARRG PEX2_103990 MRSRCFWEVNNKSKNNVAMLLYIQGWVSYSTKGRRSRMKAKRDE VKKIKKAE PEX2_104000 MSTSQTPNTGDNPDPGPDPSTVTDTDTSTSTGTSTGTGTGTGTG LTPYGSHWRAYGFTYPQTFASPNKFLCSCPNHGVWQWPLDHAELTIASCAFRCPYCDE FNKLGRTRLMASNLRRHITKTHIEGNPYVYGTLIVASGAQNRGS PEX2_104010 MSAESPGQKNGFKSFLSNALRSKKSRQTLRKGSRSTTDLRLAAR PSVEEAPPMPELAPLHAHRLKYRELHAQVDSQLGERRDYTEIIHAIGTLDVNDTTAAN YGDNEHRPPGEGDIASLTPKLWAQIAGYLDPTEAASLAIASITLYRRLGPRYLMALDH PDNHTHKINFLSGLDISLPHHLLCFPCVQYHRRTQEGTERIRPTHVLNPLFNCPNSTN AVKPPPRHRITHGRNLPFSFVQLAMRAHRFGPSYGLAPDTLGRRWQRDNWSHTSRFHI HAGRLLMRVTSQTFAAPALTPSAQRMLLYSRDDYCPYFSACAHWRDGELMPACKCALE HIPRPRSSGGLQGLENRVKDRIAGQVFDPNALTILCGFCRPMRRCPECPTEYLIEVKL SEDLEDRRFKQSIVVTRWSDLGDGTTPGGIEWEAINGKTDDYDSFRHYAKRGIASIFE AAFTADTLPGQRVVSLNPKKKTLGEDGNRWY PEX2_104020 MAALLSNSARVALRSGASATSKAGAAGLTFARGKATLPDLSYDY GALEPSISGKIMELHHKNHHNTYVTSYNNALEQLQEAQAKGDIAAQIALKPAVNFHGG GHLNHTLFWENLAPKSAGGGEPPSGALAKAIDSTYGGLGEFQTKMNAALASIQGSGWA WLVKDKQTGQIGIRTYANQDPVVGQFEPLLGIDAWEHAYYLQYQNRKVEYFSAIWDVI NWKAAEKRFT PEX2_104030 MASPSFSGQKRKVADMSSDEENTPPTTGFRGFARAASGSVTPPT MGGLGNGAHTNRNTMANPRGGGSSRGGKGMGGGGGGGGPNSFAARMMAKMGYREGQGL GTTGQGIVNPIEVQARPQGAGLGAVSEKSKKTREEERRAAAQKGEILEDSSEDERQRR RKKKEERKTQGRSGTGTPIQRAKPKFRTAREIEDDMEGLEVPNVLKSLVDATGKEQRV LTSTAGLMSTQQFVSQEEGEALKIAQRARNDLEAFADEWKGLKERKQYIELEEAQVVD ELDAYQSRINHLTGLIAAVEELDLFENDESITTKFDELTNKLEDIESKYRDAVDEHRL PETAVAALHPLFRQAMEEWEPLQDPTFLVSNLTRLQPLLSRTNALEEPRKRASTSPYE TMIYTLWLPRVRSALLNDWDVYDPSKATALVVAWKAIVPPFVYANVLDQLVIPKVGLA LKNWKPRASRRHAGSEQDGKFPWWLFTWLQYLDERHTNPKQPSGLMSDAKRKFRSVLD TWSLRKGLVDRVEIWRDALGSEFDVCLRNHLLPRLARHLREDFTVNPQDQDLTALKDV LCWKLFFQPNVIGLLLVSDFFPKWHEILYIWLTNDPNYEEVGAWFSWWRTQIPVEVNE LTIIDDEWNKGLQTMDLALQLGDRAAAELPRPTTVRTTLPTREDKVAAAAATAAAAAP EPRVKAPVVEEVAFKDILEGWCLDQGLIMLPLREAHPQNGQPLFRITASATGKGGVVA FIQGDVVWVQNKKAKEIWEPMGLEDQLVERAEGR PEX2_104040 MAFLFIKQKLFPTKESDKLHSGKRLLSHSDDDDTIRGDSDQDLE SQSSRSYDTFGRRNSLDESTTSTSSSSTRARINPRIVSDAILGLSDGLTVPFALSAGL SALGNTKVVVLGGLAELAAGAISMGLGGYVGAKSEAESYQTTVRETKELIQTDSQETR AMVRETFSPYGLSDSAVADITRDLHASQDRLLEFLLAFHHREMEPDCNQAWTSAITLA LGYFIGGFIPLIPYFIAQQITIALYWSIGVMAITLLVFGYVKTCVVRGWSGRANVLAA IWGGMQMCCVGGVAAGAAIGLVQFIDMGSTST PEX2_104050 MTKLETALERIDAAHADDPREAQTPTGPIPYELHYAQKMTSYLS TLNPSAPELLQLAIRAQHLRRWEVPRDSYPATKIGYHSWRAGLQRRQAALVEQICVES GYSAEEAARVGALVRKADLKQGDPDTQTLEDVACLVFLDDQFDKFEAELADEEKMVGI LRKTWGKMSERGREEALKIQLSDKAKKLVGIALSG PEX2_104060 MASKVLQNNTNSSWMKDPGLRRLNLGIGFMLSASATAGYCASMI NGLLVLPEFTKFLEGLDTNARGLIIAAVSLGSFCSFIPGSYIADNLGRRICVLIGSSL VIIASIIQVATNNNWVFFGARVLAGIGVGVSQTAAPLLITESTHPRQRQAFTGLYNAL WFIGSITSAAIGFAGLTIVGSWSWKLPCLAQVFYPVLQLIGLCFVPESPRWLVSRGRK EEGMAILARYHANGDENDELVQDEFYQICKSINAESDKSCRRWSTFFATRSNMHRLSI CVILGFMQEWSGNGVVSYYLAPILESVGIYNASHQAAINISMQVWNLAFAVCGAMAAD RYGRRKLWLIATLLMFIYLSAATTMSGLFQELHVLEAGIAVVPMLFLFCSAYDMAYMP LFIAYPAEILPFQLRAKGLAITLTTDSMACFFNQFINPVALAAIHWRYFTVYLGCLVI FGATIYFLFPETKGLSLEEVARIFEKEKTYQVETPSEVSQELLVFQKGSTSS PEX2_104070 MTILEDPLNLNQYKTTISEPKKNWVPYSFNDDKEKLTDFYKGQN EMIEGFLTAGEEERLKAEDEAQNGGKVKLAVRASFTVNFFLFVIQLYAAVTTGSLALF ATAADAFMDLVSSVVMLVTSRMSSRPKPYKYPVGRRRVETMGVIMFCALMTIVAVELI IESAKALAAGETESEQLDIVPLVCVGVAIFSKFVMFLYCYGLRRYPAAHVFYIDHRND LAVNGFGLIMSIVGDRFVWYLDPVGACCIALLILFSWASTAFENMWLIVGKCAPREFV NKCIYVTLTHDQRIQKVDTCRAYHSGQQLYVEVDIVMDPETKLRESHDVSQALQRKLE GLADVERAFVHVDYDYLHDVNEEHRPLYEIGGTKHSIRALVKRLWSKNKKEADGTAV PEX2_104080 MPSPIPQPQGLPILGNIFDMIPGNTWASLNKLAAEHGSAGIFKI KILTKQLVFITNAALLEEICDEKRFRKCVTGPIVEIRRLAHDSLFTAFDYEESWGIAH RIMAPFVLPDAIKEMHTDIQLTTDDLIWKWTSTDSTGQRLDVCNDLDRLNHAANMKCF LSQHIDCVLGEEPAVIKAMSDATFEAMRRPTRPKLLNRLLYQSTFDKDIKICRDYCAQ IIAKRRNNPVERRDLLNALLHEKDPKTGESLPDSQVIDEIINIFIGSATAPNLVSFTL YYLAKNPDETTRARQEIDALISPSGQIEHFHLSQLPYCEAILLESFRLCAPAPGFNIE PLPTDGPVLLGGGEYEISKTQPLIAILSAVNRDPAVFDDPNAFKPERMLGEAFDRLPV GVKKGFGNGKRECYGQRYAWEWSLHVLIRIIKDVDFELADKEYTFDMEGKNFNGAFSV KPYGMFAKTKKRNAAL PEX2_104090 MSYELMEIYNFEIIQILLLTAVYLQHDKMPQKSFRSIGTAIHIA QDLGLHLPATIESIKDSRERDLARRVWNGCIIMDRIASMTFGCALKVPQAVAKQGLDT LGLHSVEFASGTGNTALPSKVDFYISFCRLHHIIGDVLETFYNSCDSKADTDLSRNFN GVKLSSPLSYDKFASLFRIESDLCNWTETLHSYFRMPSDLEDPTPTKYIIRQANMLRA RYLSVRLLLFRPFLSQVRQPKADNAHGVSLYSEDQIIGHMVFQCQIRCVKAAGDMIDF ITRNLPEQTQAYILPSNWYTVSYTYMAVTILLAAQMSPEIVEYFSLARLRDLLQQARD ILKGYEKYTTLASRCSAVLELIHNNIDMRCSRTDCAAEEGPQDAINCDSTIIQGATME GQEPQNHLGNLAWLENYAFDWNDWPLFFAQLDDETVPAERWGMQA PEX2_104100 MPPHKTTPSTDIYGPGTFMDTDFTPIPKDAARIFEYIAKSTPGF TQDKELWDTVKFEGSSLPIIPGPIKAPPIAASLHAMCGVVAHEIIEDRDGTSARTQQV TVNTDHAGIWLGTIFAASVEGKDLPGLVRSRQLPALFQQDFERGCMSTPVKQRTTALY KTKTPGVWYQLHGSLDPTPVLKSMGIDPDYPAQTLDEAYDYISKHVEQWTADELEMHN VKNGFCGSICFTPQGWSDTAMGKSLANHPLVGYSRQSHAIPTPPTPFPNISDDKRPLA GIKVVELVRIIAGPIIGNTLAAFGADVIRVNCSRLVDLNALQLTLNTGKRTIDIDLTK DEDQSRLRALIEGADVFVQGFRPNTIAKKGFGVNDLLEMAGNRGKGIVYVEENCYGPD GLYHERPGWQQIGDAASGSSYVMGRSLGFKDGTSVLPPLPISDMTTGLVGALGALMAL RDRARHGGSYRVTSSLVKSDAIALEPEIGLYSPEVVEQSNQLFKWGSINPSFFVIEIL LVVMDGWKRFPRWAMGPYGNP PEX2_104110 MALPPIRTVGVIGTGVIGASWTALFLARGLKVIVTDPAPGADSK LRDYLLKNWSTTPNAKVTQADYLNNFKFVKEIDPHLGDLDLIQENGPERLDFKRRLFA HLDANTPEHVVIASSSSGLPSSDFITECKRNPSRILIGHPFNPPHLVPLVEVVPHQGT GDAYVTAALQFYRSLGKDPVLVKKETPGFIANRLQAAVCAEAYSLISRGVISAEDLDK TVTSGLGPRWALTGPIMTNTLGGGGNFNHFMDHLGPALKTWLDDMHRHEFDMGSQDVD VVKERVDEWISHVNLKEIEENRDKLLVGLIKSKSEGSL PEX2_104120 MSEVINQVAILTAKPEAFDELVAELANITRNVQEHEPEAIVYYA YSIPQANEVVVVERYTNQAALDKHHAAPYLQELIKKAPALLAKPSEVKAGAHLLQDSA QVVRL PEX2_104130 MAQSPLVAASTGRLFFLDVGLSTYPEPIGRILTCQPDGSGLREL ITNISSLPDGIAIDKARQHIYWTNMGVPSANDGSIQRCDLSGRNIVTIIPPGQTHTPK QMIIAPKSKKLYWSDREGMRVMRANMDGSDIEVLHQAGITDTDRQDAQNWCVGIAVDE ESKSIFWTQKGPSKGNKGRIFRMGLEKNDADIQILLDNLPEPIDLELDQVSGTLYWTD RGDPPQGNTVNSVALADVSAKNLQPKVLVRKLHEGIGLALDLKNSRMFFGDLGGSLYS ANMDGSCKHTISPDIGGAITGIAYVEE PEX2_104140 MSSELDKHLALRDPPASLPVAESDESESEKQTAAQPAIPPPPPN GGLTAWLQVAGAFFLFFNSWGIVNTFGVFQSYYEETLLPGYSASSISWIGTVQGFLLF VVGVVVGPIFDKGYLKSLIAIGSFLVVFGLMMTSLATEYYQIFLAHGVAVGAGCAFLF LPSVAIVATYFTSRRAVATGITASGGSIGSVIFPSVFHKLIGPLGFGWTTRVIAFIAL GGLLFSLAVMKIRLPPPKQARRLIDPSAFKESPFIVLSLALFFAFIGLYFPFFYLPTF FTTYLHSNDNIAFYSIAILNAASVFGRITPGLLADRFGSLNTIVPISLIATVLAFAWI GIKNEAGTIIFAIIYGYASGAIVSLPPTIVAKITADMSIVGTRMGMCFTFAGLGLLIG NPIAGALLDLEHAVFWKAQLFSAITVVTGSALFIVLRFIKWKHGEGWKI PEX2_104150 MAESLVFTYGAPNPIDGLHLATQPIPTHGPEQVVVEFLAVPVNP LDFLVIHGKYPIKPKSTILGEDGEQRAIPGSDGAARIVQVGSAVSNLAVDDLVILRTH CKGTWRTHAVFGEEDLIRIPSTVKPHLASILRMGIAPAYFLLREYHNLDPGDWIIQNA GTGTISHFVSQLAPLYGIRVISVIRDRSTADELERTKRSLRSHGASLVLTEEELRTTD ALAGKRIVLAIDSVSDDSLARNMVASLIPGGTLVTAGFLGTAESQEGNLRQFLWQRNI TLKSFRLSDCLSRRAPPQQVALFEWFAELLAHGTLKAPALEHVAWKRGAKGLEKLLCD SIQRAHDEAIGGRKTVFVFE PEX2_104160 MAPIATKHLISSDTLHSPATTLKQKVTNVTQYQATSSPPSAPCL VTDLIRYQVETNPEAFAVHCEHEEPHTYHELWQLVEQIALNARFTSGSIVPVCLDPTI EFVASLLAVLVSGAAYVVLDPEGSPERNRAIVTDTGADSVLSNHKYAYLFEKAISVED LLSVKETVQGYSDSLPLVPGSSPSDLAYLIYTSGSTGTPKGVLLSHRSASHGINQFEL NGRRRWLLFYNPVFSAAQRTILATLAKGACLCLARRERLATALPEVLVNLQIDALGIT PSALSLLSPSEIPDSLEQITTVGEPLSQALVDLWADEVHLRVSYGLSECAQLNFSRRL KPGDNPRNPGRPVDTTTAVILEPNTTTQLAVDEPGELCLFGPQVASGYHQRPKETGAG FVKNPFGSHILFRTGDQAVRRADGTFEIIGRIDHQIKIHGQRIEPQEVAETLKTVEGV ADVVCIGAAIKDKISLVAAVVSNTQEGWADLVKSLREHAQRSFPPYMVPSYWLRFDEL PVNRNGKTDFTTIRKTAESTDIDRLLGRDHNINGQEVHLSQIALEIAEVWAGFLQLSR SSILPTDSFVALGGSSIDAIQAIRELRTKGIHVDLADMLRSQSLESVADSSRLDPKGE SQPHDPQPFDFVSDLELRVELQADRGISDALPITALQEGILASTLQGSKDYLYQRVFD IRHLDLVRLQLAFQTVFWRSETLRSTFVPATKGLLQVIRNDFILPWTEVSGGLENFLK ADKEKGVDFGEPFIRVAVLNNAVLIVSVHHALFDYWSHSFVFDDVARVYHGRKPEARA SWKSFIGLLQQQGERNSTEFWRQHLQESTPTILNHSPIEESFSATRTLSLNMKSASTA LQVPSSAILYAAWAIVLSSHTASNLVTMATAISGRELPLKGIETLDGPTLAMVPQAVL VNPEHSLVQLVQSVNANLWDLIKNSQQGVRGALAAAGHQNATLFDTMVNILPLGQDSN DLTREVFQMHGTRSAWKTEYTTLNIEERSGGVEISLTAPMEQRRLTFILKQFCYVVET IFSNPRLPIKSFSLLESEELDFLLQWNDEQPQPTTLHDEFELAAQKHSSRVAINFQNE QLLTYSELNERANRMANFLSERGVTAGDLVPLLLEKSPFMMIAILALFKLGAAYVPLS PENPLERNEFIVRDVGAHIVLTETEHATFFSFEDISILLIDKAKLCAYSKEKPEVEVS PSDLAYILYTSGSTGQPKGVTVTHGACAAAMRSIIDFEDKRDKPFRALQFSNYVFDVS LYDFFVTLHSGGTLCITPSDRLLGDLAGAINEMDVNHVFLTPTVARLLDPKDVPGLES MTVGGEQLTRDVIETWAPVLTLRNGYGPTEASVLVTMKEVTVDTTGGNIGRPLASVGA VILEANGTQPLPYGAVGEICFWGPQLADGYFKKPELTAEAFIETNLSGGRRLYRSGDL GRYLPGGDIECLGRKDDQVKVNGHRIELGEIEQAILRTGEVTDCILTVWKHNNTAHLV ANVVFNPLDQELEILSPDLFAEETQRLKNKLNGLAHYMVPKFLLPLPFLPRMPSGKAD RKQLKARVQSLNQGELAKYSLNKLGTSGPEDIIPIVSASQKILQEAWIEILQLPDNQF GLEANFLSLGGDSISAINLVSYIRRKGLNISVRDVVKYPLLGAMAESLQQETDQVPTA TVVFSPPTELDALISSEIEQQQYEYVYPSPAGQAEFLTQGARADPFWCLMTARSLGIN PNISQWIDLTKRLAETNEILRTTFTQFQGKWYGVVLNDPTPVLEFYDITDEAEKRQIL DVIWKERFTFGKPFIRYAVLRYPDGEHQVITKLDHGLYDGTLLRVFDAHFQAYQRGEE VEKFTSFKEFAFHIWQVNQTRPTLDFWTQPDKRPITFNYPNATEPCINASVVHIIHLD FETFSRSSGVTVSTLFQSIFQIWLARRSGQTSIAFDYLYTGRNVDLPDPQGINGTCAN FLPMRSEVDAQTPVQEYLLRTQDDFWQYTENNTVGIEDICQANGVPRAEAENQALFLF QPFETASPAGAKEVTQKWVVMAKSEVTMLQPYAVVFEVIKTADLNGYKLKLAYDSSVW AKEEVEVEVGVVEQMLSRVVGDANALIGDVLQTV PEX2_104170 MSVSEQSHAANFISSHTSPNQATVQPSNISSPPSSTPMSTQVSQ QPTMSTTNSFPTPASSVSGNPANATSEDVDQGRKSFNMGIQDSAEISGARPAQQPTQH RPTDHDRQSSQTESNNNFATGQGQHSTDPDAMDVDTEPTRRADTLSLDLDSLQKELTS AFHLCKSTEVVNTAFYSLTAPIVTGPDPSVDLVSLYGLGSIAHSVARMDPVTGEKINR LRKSYEGKLKGLGLAGRNKPLKQEIGAPGSLRYMTLWPEEEWQNQKVHGKAIKVSDMD SALQNLQLRAMQMEPGPIPNNDFWEDILGHEKQAKNPAPGETGKKAALAPTAGRPSTQ SYAASPRSQEAERPRPSRGRKRHYDDNSFAGYGEGFVDDDDDPGFYSNGEGTGKKKRK KVLHSHGQ PEX2_104180 MTSSPEYSSTGLKSPELHSLEPPDGRIAHTLTACTRCRQRKSRC DPGIPRCAPCERSNAKCVYYDSARDSTIPRTYIVSLREKARALEKELAKAENEIQHAA DAELMVRGAGRIRFKENDEPRYLGASSGIAMTRLVMEMAKQNTDSKSIKDVVPEFTAQ EIKDAFAKEDSKPTSKVYPMISSIPQPNLPPKALTYKLIDLFVAKAQALLPLLHEPTF RQEVEEVFDGSADPCKNFQLRMVIAISMQKMSTEYAGLADSYYLAALPYLEPTLKRMD LGALQCLVLIASYSMVTPTRTAAYWVVGTAAKLCQDLGLTEEATVTKSPCGKALNPLE IDMRRRLFWIVSSMEFGLSHSLGRCSSYCVSHDHINVKFFELVDDRYITAEGITPGAK PVLAKCIAVHFFKMRLLQLEPRRMLYSNRRESPVDDQDPWFSQMLAKIDHWMATTPKN DDGSGLNVKWLVDILIFLKCHFTNSYFYRFQGRGNTIIILMYRPSPQIPEPTVHAAKT CYDAAIFNIAMHKEQMITGSVDLTWVFVQALFMALNTVLWTLSYPEIRKEHTIEEVQG HLDMALEVIVFSAERWPGVQSAYLLYRRLVAACLKAYRTEESFVVHSPSNHPTPTSSQ GMTPPAMSSPSSSTTASYYSNKHRAGNLSIGDTASNGTYSRGQSADPTFTQESTPPAV VPPPSKEPQMPSVSSAFDMHPPLTAHVVAPHYTSEAYVGPTLYPDVSIDPNTPYNTIP SVVPGLQGWDPNFSLASTTAGHLAYTDATVDPMNWSTSIGDQYSQYFNEPFPVPSWRE RTLSQQEQIELLASLEHNIPDVSAQLVNEYNAYYQS PEX2_104190 MRARSTSLSAEGDGIPREMSITSVASESALSSAHRREVSDIDDL VGDFGFLSVNATSRDFHGITSNTSFANLLLSVALANSSPPSSPYSLPARHEATPLLQY YFDNVFVQLPFFVETSFWTSVDAVYQSGGRFAKPFDHWMIRMVLAIASASVSYHHNDK NHQRAWALVSEALTYAEEVLRPGSITGIQAILLLAQYSLVDPVRFRSWYLVGMAVKVA IDLGLHQDPPAEVSTNPDRLDIRRRVFHCIYCLDRGLSPAMQRTYSFSDGSVNVALPS ITAPGAPIEQTHIFLRNPAPALHIIKIRQILSAGYQEMHYSGRDPSPQPLVLIWTLCW RAREWFHQCPQNAPNHFSLLYRLELLYTIIILLSPSHRYPTLHDYNKALLFDRCMDYI SQIHQVLENPSALPFLTYLDIQRVHQVGRLFVDVLSDNYDKLISAAVPAPPSVPAGTP EPPVLDAEDLFNCHARAIRCLSYIRDMLRYCDRKWDMHGHLEQFEDESASIEKSLMDG SMGYMSNQGIYSQDPLSGIPLAGDAYPGYHIGL PEX2_104200 MDVLFPIIRIQHIPPNILSHIIFTAYQRSSLRPESLCILESDSE IEEYASPAMHLYDCYEKSAFHGKHIDDVVRILREGVTGNVVAANLFYIADDQTIRDHT LLLVQVQGEGNDTCVLSVRLAPEFANGMAISIYDPESHIKIQDIQKDVDDDGVFRGPR DTPYKYVGTPSYYLSLPETPEQGN PEX2_104210 MKASFIATFAALAGSAFAAPTPVGDLDSLLSGLKVGDITKELKL NELPVVSKLGDVTKVLNLPSPASPSASGVPAVQDGHLVQNLGPQLDNILTVVGPDATT LLIELSPEVTALVSGLGLGALGVPLGSIVASASGVGALVTGLGPVVDHLVTVVGADVG ALLISLSPEVAGLVSGLGLPTVGVPVGTVVATLGKNLKRGEIVQDLAPKVKTTLTVTG QNAKQLLIELSPSVTSLVAGLGLTTISGPIGSIVAEAASVGDLLKDISGPVEDLLHIV SEDGKNLLIKLSPSVVALVTGLGLPTVATPVGAILTTVAQNL PEX2_104220 MPNPSGFGVKEYPLPPGTNITQMHMVHRHGARYPTASASVATLP DLIAELLGNGTRFTGNLAFLNSWEYQLGKEELTALGRQQLFDSGVLNWFNYGQLYDPS SPLIARTTTQVRMLQSAENFLNGFFGPNWTKNVTLEVLIEQTGFKNPLAGDKACTNNN NNRSAAGEWASTQWQEKYLKRATDRFRQSMTGNPNWTIADTYNAQTMCPYETVGLGYS PFCSLFTKDEWLGFEYSLDLSYYGGNGFASPTGRAVGVGYVEELIARLQHQYPHPDEG FAAINETLDTNPTTFPLNQNLYLDFSHDTTIFSMLTALGLTQFGEFLPTSSVLPNRQL IVSHIVPFAGRFTIEVIKAPRPVHGKRSEDPQVSAYESKGGETTYVHMLMNQRTIPLG GSISECGVRDDGWCELQTFIKAQKENIVKAKYDESCFGNYSIPAYGDITTGAI PEX2_104230 MAKDGISSAENALADIERQDSVPMAKATNNAKSAAEAEHKMTLL QGIRTYPKAIAWSVLISTCIAMEGYDISLVNNFYAFPQFTRKYGELTSDGSYQVPAAW QAGLSNGAYCGEIIGLLINGWASERFGYRYTIMTSLALITAFTAIFFTAPNIQTLLAA EILAGVPWGIFQTLTVTYASEVCPVVLRGYLTSYVNFCWGLGQLVGIGVIKAMLNRDD QWSYKIPYGLQWMWPVPLFIAIFLAPESPWWLVRKNRDEDAKKALLRLTNPERNTDFN ADETIAMIRHTTDLEAKLSEGASYLDCFKGANLRRTEIVCLVWAIQNLSGNSFSNYST YFLEQAGLSASNSYSFAMGQYGINMVGVLGAWLLMSFGIGRRTLYLYGLCGLSTILFL IGFLGLVPSAHKDQASLATGSMMLCWALFYQLSVGTVAYSLVAEISTRRLQIKTVVLG RILYNVVAIICGVLTPYMLNPAAWDWSNYAGFFWGGICFLCVVYTFFRVPETTGRTFA ELDMLFEEKVSARKFASTQVEVYEEPVEVFEHNVKE PEX2_104240 MASLDHATLGASHEKNGSDYAAEETATSLSSSATLLSESHKLYL IERHGTLDLDPIPSTDPADPYNWPLWKKSTNLGLVAFHACMGTFTAASIICAYEDIAE DLGVSIQRVSYLTSLQIAILGGAPLFWKPLSHRFGRRPIFLLSLILSCVCNVGCAKST DYASMAACRALVSFFISPAMAIGSVVVTETFFKHERARYMGVWTLMVTLGVPVGPFIF GFVTQRVGYRWIYWILAITNAVEFILYIFFGPETRYIGADVQSPSSAFKTEYLSLRRI DPTPFKMTEFWHPLTLITNIPIVLATIAYSMVFLFASVMNSVEVPQLLQRKFELNAQQ LGLMFLGLIIGSLLGEQLGGFMSDMWMNTRARKIGHKPAPEFRLWLSYIGFLLSIAGM VIFLVCTEQATVGKFDVRPVVGTGVAAFGNQVVTTVLTTYAVDMYPLDAGSVGVFINF IRSTWGFIGPFWFTSMFDSVGIANSSGVVTALIMVASFIPTMLLHWQGNRWHRRSTNL LE PEX2_104250 MSLADNPGGNFSLADRSDDGKFSERTIVACFIAIAWYNALELIV LCFTTFRRYGGCYFWCLLLASFSIIPFGLGYLLIIFNVYNNMFPVAMELVAWVGMVTG QSLVLWSRLHLVCHSPTVLRATLTMIIVDAIILHIPGSVLELGSHSNKFFLFTNGFNI FERIQLIGFSIQEIILSVIYSWEAVRLLNLRPRGHYRGTLVQLLIVNVVMIMMDAAII GVQYSGLFDIHVTLKAMVYSIKLKLEYAILGKLVHITEVSGSNSAPTDLSDFVDLSLH HARTTQPDSDLFADNPEYGPHTHRKRVSSKGSSTDPLRRSISDAQTPVSNSD PEX2_104260 MSVNVPTNSKQKEKDINQKLQFFGIYHAFKNSKLPSNKQCDIAL NSALNSKALSSPSKELSSDGRILVADLRNVIDAAKKMLLVKNEGELLQDFVWKAQKIS GDNIDAKRPGLPVDRETGQQDASKAIDGLKTLGTLMITNGEFRKLLSDAMTLGKDIAA DASQKAASQVRPSEEELSQIDQAAEDNVWHEKPNVSKDDLKSKFKKNKAEKGSAASAS AAVTEEQTGVSPQDKKKEYSEKTKNYLSEKIPKERREQTVWRLKKMIIEIQGHADYQQ AVETLLSMAEQYAGHTKDVSKQGGSSARDVLKTDNVQAVQYNLRTLIERFANYTSLDS FFESLNTVYRDAEKDPELRNWFTNVDNLIRKSLREQGFIMEDGCNRQWNEIYDKGRYL LRERYRGHSDRIVDEVKFLADQFEKDPQNQTLAESFQKLFKDLGHDASGKPTFKPDLL RDLRDVIIPGIFENVRYVPIPRIEVSDPMVDVVVENLCLEGDNLMPNVVEFGSDNYFR WGRKKITSKRDNKIMISMSGIQMDLRDVSYYIKKKEGFPSITDRGIMDIFLGGEGLSV KIAASTAQKDDKEHFFKLDRVNVSIKNMDIKLKKSSHKLLFNTFKPMLFRVVRPALQK VVEGQIREAFRKGDLFAKDIHTEATRAQQAAREDPENAPTIFSRYVDAVRARTQAKAK QVEGVAKRDTKVQTVMTLHDSIFPDIELPGAVSTKATEYADLAAKGERWESPIFSVGS ASESTGIPSGGPITKKSAASTHDAGAAGVASAAGVSGATAAAASNTANGSNGNKISEY QSRGFSDEVDQAFVNGKPQNLGETTKHGVNGANGVDGITSGTNGTTVTNGTAINNIGT TV PEX2_104270 MWNSPKVGILGGGQLGRMLVESANRLNIQANILDADNSPAKQIS AHDGHVTGSFKEPDAVRKLAETCDVITAEIEHVDTYALEEVASKVRVEPSWQAIRTIQ NKFNQKEHLRKYGIPMADHRELVNNTPEELAQIGEELGYPMMLKSKTMAYDGRGNFRV NSKEDIPEALEALKDRPLYSEKWAYFKMELAVMVIKTKDDVLSYPTVETVQEDSICKL VYAPARNVSDAINQQAQALARKAVSAFEGKGAFGVEMFLLEDNSLMLCELASRIHNSG HWTIEGCALSQFDSHIRAILDLPIPPKSLELLQPSIMLNIIGGATPDSHLQATQAALS IPNASIHLYSKGAAKPGRKMGHVTVTAATMHEAETIIQPLVDVVDTMRAQRPDIKTKA APSGPSKPVPSVAVIMGSDSDLKTLVPGLKLLRDYFGIEPEVEITSAHRTPDYMAEYA GKAASRGIKVIIAAAGGAAHLPGMAAAHTALPVIGVPVKGSSLDGVDSLYSIVQMPRG VPVATVGINNSINAALLAARVLGSFDPAIQRKVEEYAEAARAENMELKGTKLRELGWQ KYFDQM PEX2_104280 MSLSDIKITDEEALQTAANGGPLDSERWNGMAGPLIERLEYIVY NVFPMPQARPEPIGQQSFPNNSSQANFIPSESSNKENTSPTDIQTLPQAVRTGSPPSS ERVPDSQPQPPSASTNGQLPPPLAFLLSAIRSSIKSFFEDKPPHTIQRLAELVLYPTK HYRTLPAYLRAVDRVVSVTSSADIFPFQTPAVTSAQTNGLVLPGNSSGVYIAPDFAHG LGSDESLGGALLTPISWLTNTPFEGGNATEDSGILVEGAAALPTQPEEQGTTTLVPAE AEGSAATTSPEPSDEVPHARGPIVLGVEDMGLQDGKGVEMRLATDGAADAPDAAAATA TQATEEQAKDEPTSDKDGDIVLTDTIPKEEEESKNEGPSTEPQAGNAESEPSGDSSQA PDAEKKA PEX2_104290 MDGGQTSSNPAPAGNSSDFVRKLYKMLEDPTYASIVRWGDEGDS FVVLECEKFTKTILPKHFKHSNFASFVRQLNKYDFHKVRQNNEENGQSPYGQNAWEFK HPEFRANSKESLDNIRRKAPAPRKQTQPTDESVPTQQIDLLNQQIVAQQQQIQHLSDR YAQLTVDHQLMLQEVMRVQKTVLNHENVIHQVMTYLLSVDARQRRDSKAVTFQAPGTT MSPSQVGTVDDEPSSPLQQASKLLNDMNAELQFNMNGVDSMNDPQKAVVSTPSLDPNA RNGSLRPTTTAPPNPALVYPKMTGDLEQVVYPVGATNGIDPMYSEHINNVPYPMPAKQ EIDTSDARRQFPDNRKKSTNVDPGWVRSPQILLVEDDATCRQIGGKFLYSFSCVIDTA FDGLEAVNKIQGGSKYDLILMDIIMPNLDGVSACHLIRQFDRTPIIAMTSNIRSDDIQ LYFQHGMDDVLPKPFTRKSLLDMLERHLDHLKISPQNPGMEPVRPSAAAVTMAAATQS SANQSIKEDSSPGQSPAGSMTNWQSPSQFQNMQAVPPNMPAVQGPYVTAPPAAYTVDQ NGVQYPAAPVGVPTGGAPIRPPHRRQISEMGNAADNPNMPKRQRMYSQPQPMLAVQAG RPG PEX2_104300 MFARRIATNIPRVRAQASLFHSTAPAFVQKGDAIPNLDVLVENS PGNKVNLAKEIKNKAVIIGTPAAFSPACSSTHVPGFINHPKLKEAGQAFVISVNDPFV TKAWADSLDPSGKSGIRFLGDPSGEFTKALDLSFDSSAIFGNDRSKRYVLLVEDGKVK EAFVEPDNTGLNVSAAEKVLG PEX2_104310 MFSRTSSLTALSRSCRYLLRPHNNIQRASFSITARSYAAINAAM ADTSGITTDSLKNKLTEVLQAQHVEVEDLSGGCGQAFQAVIVSPQFESKTMLARHRLV NSALKAEIAAIHAWTPKCYTPEQWQALQQ PEX2_104320 MSTPLKFSECSPFTNVVVSSMRKLYPEALADKSFDNTGLLLEAP FDKTRIQNNSVLLTIDLTTAVADEAIKNRNSVVVAYHPIIFRGLKSLTFADSQQRSLL RLAQHGISVYSPHTAVDTVPDGMADWLCDVVTGNFKPVQKPAKATIEPCASSMYSAPT YPEAPIPTVQAQPSSQGPAHTRTTIHPSPPASIPEGFESAGAGRLVTFTEKQSLTTLI DNIASGIGLPGGIPIAIPQGQSVDDISIRTVGMCPGSGSGVLLKGDGELPDLLLTGEM SHHEALAATERGSVVISLSHTNSERGYLRSVMQPKLLAEVKQQWDEALQDSAKTIDDL KKFDGVPSAAVFQVQDLYKGQGDVEVSVSETDRDPYGIMIWRGN PEX2_104330 MSKVVRSVKNVTKGYSSVQVKVRNATSNDPWGPTGTEMSEIAAM TFGSPNEFYEIMDMLDKRLNDKGKNWRHVLKSLKVLDYCLHEGSELVVTWARKNVYII KTLREFTYVDEESRDVGQNVRVAAKELTALVLDEDRLRSERSDRKLWKTRVSGLDEGY GNSPVEPPRRDRRRRNGEDDSDTEYRLAIEASKAEAEDERRRRAKETMATQDDEDLAK ALKLSREEEDLRKRELEESNAHALFDDTPAPQVQPTGYNQGYQQQGAVDWFGNPINAQ QPMTTGYLNNQYAQPTGFQNQPTGMNNPYANGYPAQPSAFDQNPYGQQQNNLLQPQAA LQQQQTAYNPNNPYGGDVFSQQQQQQPQENYQTAGSNNPWAGNQPQHQLQPADALKPM PTGSNNPFAQRTQTQFNNHAQTGPPTLNSLSEDRATNQFAQNNAQYGQSSLYAQPTQP NPIAGFQAPQPSKSTPPQNPHHARLNALLGSGEGQDTFGNVGDLRIPAQHTAPGTFVN SAGQGLDRLHATATGNPYFGQQFTGMPQQTGYVQQQQQPANNNPWGGQQQQQRGGGSL IDL PEX2_104340 MSPSLKSRTKGAIWGVCVADALGGPVQFRDAGTFEPITCLRFVA PFKQPAGSYSDDGSMTLALACSFNKSNMQYNHELSIQYFVEWMTKGHFSTVNHSWDVG RSTRTSLRIWAKYGMEGDFELAQAMVSDRLDYNEFSGNGSLMRIVPIGLVYWRDSERA RKIARKQSQITHPALACVEACEAYTELVCQTKKQLFHAVSTFPFTHPELKERLSRDRY RTISDWKIKAPSDMTSSGWVVDTLECALWAFFKYDTWKDGALAVVNLGGDSDTAGAVY GGLAGSFYEFDAIPSEWVDGMQNKGFIESIADGLADDVA PEX2_104350 MASITRPVVAIAGATGHLGKHVTTAFLSPGFQDKFSEIIILSRN ESCLFQQSSFQSGVKLTTRRYNETNLEESLQGVQILVNTIGHAGHDFKTKIAAALPRT NIRVYFRSEFGVDHYGHDFAHLEWGEKKKHLANAQRVVSYMKICRVFCGLFLEDSIGP WFGLDTESGKYTSVGSFRTPVSFTSLGDVGRAVASLATMPTENIPDAVHVGGDSRSVE EIAGIMESTGAGRNDIECLPYEKYKKETTAEPSWDPAAYMWFLMGDGGIAHTPAFLGM TMSLLTLASGCGSGRQ PEX2_104360 MATPVPSIPSLETAREVISKSRNSEFPPNLLPVTASIPADLLTP TLAYLKIAENSRLSFLYESAATTETIGRYSFIGADPRKVIKTGEGHGPAADPLPYLEK ELSQYRVATVPELVLPPLTAGAIGYVGYDCVRYFEPKTARPMKDVLGVPESFFMLYDT IIAFDHFFQVVKVITYVPIPSADADIEASYLKGQAIIQKTIDTLLQDRTPLPPQGPII PNQEYTSNIGRDGYEGHVNRLKQHIAKGDIFQTVPSQRLSRPTSLHPFNLFRHLRTVN PSPYLFYIDCQDFQLVGASPELLVKEERGRIISHPIAGTVKRGKTPEEDAALSEELRS SLKDRAEHVMLVDLARNDVNRVCDPTTTQVDRLMVVEKFSHVQHLVSQVSGQLRPGMT RFDAFRSIFPAGTVSGAPKVRAMQLIAELEGEKRGVYAGAVGYFGYNSANPDGSAEMP GAMDTCIALRTMMVKDGVAYLQAGGGIVFDSDPYDEYIETINKLGANIACIKGAEAKY LSMEKQ PEX2_104370 MAQPDLTSHHVNYLIWRYLQEAGHGDAAVSLQRAWFPDPQTLPF APYIKTHALVSLVQKGLQYHEMESSLDKEGNHKNISPSDYFFGPEPFEIGAKPGSVES RDEAVGTDPDSPGQLARDRPVNGHAEPGKDSRKDETDSDESMEDKAQTESQPNTPDHM DEDGDVSMAEEIPELPPTLENGESSSVQIAPAKPIDLTPDTALLNPDHHVTHAVWRPR DPTVVVGAGDLFCSLWKLSMSSEPVQKKIVELKKGDTSVSTVAWDAIGEKLAVATCTD DRGTITMYNVNGDAVDLLPEVPRLITGLHWAAGSSQLVVVASNHNVSELALWDDSRRP DVFPPPQIIENHIYDLAWCGHNLAFASGEGAVYQCEVDNSIRLIKTYPSPKDNATWEF IRCVQTESHSVAIVASGLSASIWIPTHDILIPNAHKDRITGIDISPQFDPHRIEFASF SADGKVKVWQVNLDIKDYTNIHQLSLDSNPAITGSFSPDGYALGAASKDGLFIWNIEH PDGNLMSTWTATSPEVKKEEADRMTNGQNGHHGQNGHSKPEPHRALSWDADGKRLAYG FNKQVCSLVWFTSVNFPHADDPISQDGNHQLATMTRQA PEX2_104380 MSLRTNIPPATRICLVSLLTLSLLYNIARWRQIDTTGGTPATSP LVPYLTLVPSFFYYYPWTIVTATFVEQNIFTVLLNAATIFYGGKYLERAWGSREFSKF IAVVAVIPCVATIPIYLIWGAVGGSSSRVLTQICGGVSIQASFLVAFKQLVPEHTVTV FKGIIKMRVKHFPALFLLLNTISGLIIGTDPAAILSWLGILTSWTYLRFYKRQPDLTG TSSGTGIKGDASETFAFACLFPDVMQPPIAFVADQVYALLVAAKLLTPFSQDDIASGN ELVLARGEVGLPTFLNSQRGGARGAGKREEAERRRAIALKALDRRLQAATVGRVQAHP PGLGEPSSSMPRPATPTPAAPVGQSMLGETSYNPDHA PEX2_104390 MEPLFAGVSNNAHHLYTLLSCIGFAHKATVQITPDGLRFSVEEG RVIQGLAFLDKSLFTSYTFNPSTESEPNNQNGTPQDDNESSQNGAYPHFVVSLSAILE TLKIFGINELSESNRPRDTSITHTGIASSSAFSAPALLMDRSCTLQYAQHGAPLSITI AEAGVKTTCELVTYEPDEDEADIPLQRDAIIMKIIMRSTWLHNAIAELDSSTPTILKL SACAKREPYFALSGAGGPFSESTVEFSVDQQNEIAGGAGHGTTQSQMHKVLLDDGSSR ARATRAKLAPTVTETFLVSPPSSMGERIQQSYRFALIRKAARAMSVANKVSIRGDRQG VLSLQFMVELDDNNVPVGRPVGAGVKGPNGPVCFVDFRFVPLLDEEEAEMKMDAGVE PEX2_104400 MSLNEVWEAASATPFIPLIAKDSQFSVGFNLLLLALITGTLFGL NRSFLAIVSLGFPAALASGFGAVFMICAAGVYV PEX2_104410 MAVDSPKSFKRKASEEAASPESGQQIIKKARTDSPVKEPAVLEE RRGDIEFRVVNNDGSHDSFIVLTGLKCIFQKQLPKMPKDYIARLVYDRSHLSMAIVKH PLEVVGGITYRPFNSRKFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKATSPIMHFLT YADNYAIGYFKKQGFTKEITLDKSIWMGYIKDYEGGTLMQCTMLPKIRYLEMGRMLTK QKESVQAKIRAFSRSHIIHPPPKEWKNGVYAIDPLSIPAIKESGWSPDMDEMARQPRH GPNYNQLLHLLNDMQNHSAAWPFTQPVNRDEVPDYYEVIMEPMDLSTMEEKHEKDLYP TPQDFIKDAMLIFDNCRRYNNETTPYAKSANKLEKFMWQQIRNIPEWSHLADNH PEX2_104420 MSHHDLTDAESRNRLPTLFEVLSRRTLAPVDLFSFYIYMRDQQR SVDYLDFWLDVSQHMLLCRHYVRELRRSVLVATPDMERADSKRSSAILDNLENLGDIP MTEAGPSRLRGFQENEKDRDADQRLSAFLRSEGQTTSTSRENSVGSSGESVHQVSSID DGRNTPDSRINDSTSPGHTVARNDIRASAEKILYTYLLPGSEREIMLPDSMITTIINL VEDDGRDDPEVFDPAKDYVFQAMERDAFPGFLQAKALGNMVPLSIILRLAFALISFGG GFWGAFYVVLRNKPRRTRCWIILPFAVASYFIISYHYKIDPVMAFLGYSEYTFMNWAP IREPYVRKLLNKRAVVTALIAFLTAAALSILFIFVPGTML PEX2_104430 MDQTHTRALEALQPFIHLARSNSAGSPRFIANLITNATSSTQTY VFAELLELPAVQALRSPDTPAEFKGYLKLLEIFAWGTWQEYQKNQTPTPPHPQATRTK ANHQHPAPATPNLPELNTEQTLKLRLLSLLTLSTTIKPLTYSALMTALSTPTKAELES LVTTAIYASLITARLSPASNPPSVNVTAVAPLRDVQPQSLPKMIANLSEWESRCGEVV SDLEAEIARIKSDAAKRAARAQAHNEALEQAVKRKQSAGKKGGRRGGRLGAGIGGSKR DADDIDEDDGFFETYDGGENGSRMDIDEAPGARAGNSRQPKRVLGRKS PEX2_104440 MLSTNPHSGGTSRPALATTTIKIDGMTCGACTSAVEGAFQGIDG ASDVSVSLIMGRAAVQHDPSVLPPAKIAEMIEDCGFDAAVLSTEEQKNPDPASFPATR LSVTNLAVEGMTCGACTSAVESGLNGVSGVNSVDVSLLSERAVVEHDAGIITPEQIAE LIEDRGFGARVLDTSLVGSKEPSAPAGSDEKSGLLVTTVAIGGMTCGACTSSVQGALE NVDGVLQFNISLLAERAVIVHDPTILPASKIPDLVEDAGFDASIVSSEAQASISKKTQ QVNLSLHGLRDGVSATALEDKIFQQPGVHSASIKMATSRMVISFDPSTIGIRSIVEVI EAAGFNALIVDSDDTNAQLQSLSKTKEIKDWKRSFIIAASFAVPVFFISMILPMYLPG IDFGSFALFPGLFLGDLICLALTIPVQFGIGKRFYVTSFKSLKHRSPTMDVLVMLGTS AAFFYSCFTMIMAFCSMDHRRPSTVFDTSTMLITFITLGRWLENRAKGQTSAALSRLM CLTPSMTTIYEDPIAAEKLAERWTSKPTPGATEQSALGDDMTVNQKCIPTELIQVGDV VILHPGDKVSADGVVIRGESYVDESMISGEALPIHKKKGSQIIAGTVNGTNSIDFKVI RTGKDTQLSQIVKLVQDAQTSRAPIQRMADIVAGYFVPTIIGLGLITFFGWMFLSHVL PHPPSIFEMAGSGGRVMVCLKLCISVIVFACPCALGLSTPTAVMVGTGVGAEHGILVK GGAVLEAATKVTHVVFDKTGTLTTGRMSVAHTRIEPQWTMNDWRRQLWWLIVGLAETG SEHPIGRAIFSAAITESGHPGEDGLPGSTGDVENTVGQGISAAVEPTSSGQRIRHQVL LGNANFLRSKDVPVPADADPDSAEPVEDPEADVPKPGATAAGITRIHVAIDNRYAGTI SLRDTVKETAVAAVAALHRMGISTSMVTGDTLSTAISIATAVGIPTTSISASVSPSEK RSIVSALQAEGERVAMVGDGINDSPALATASVGIALASGTDVAVEAADIVLMRPDDLL SVPASLSLSRSVFKRIKLNLIWACMYNVIGLPFAMGLFLPFTGFMLPPMAAGGAMALS SVSVVVSSLLLKFWRRPSWMEVERLEKELRSGAISPAGVARRGHARKVSWWASTNILS SSPRSLHRRVGDIFSSLWSLVTGKGPMPAGRGDEGYVPLQTVESPV PEX2_104450 MSSTSPTEMDPGPKKAVRRRTSRQLERKRELDKQAQRIKRETDK DRLIQIQADVQKIQRQMDSLQKTMAMILDGRNTLHDTHPSTHFPQSSSVPLLVDMFTS PDDKMAGTRGYSSSSSILGGLGSNPAHGGQAPPKLHGDTDRSLLAVDCSMVSNEPNNS PVVIGSLHENVHSCGSYSQGDMQLLGSTFHPSETGTTQTTLDAHRQTRELSNRNSISI NDPKAPNTLHPLTAAPSPTIFKYRGVELPSCICQPRVHPSYADCFEHTVYDALIKAHV QPRAPPVPLTPSLPDLLFMGGGENVVSRILIKMFKRDGSCEMDMMWAGYILLYRVLRY RLSPSLETLQDVPEWLRPTEIQNNTPHPIFIDFTPFPQLRDAMVSGSVEYTRETFDID YGRSISVNWPSSKPLLVRNESFDVVLNPDFEPHVLNYSNWSLDTEFALKYPHMATMAT IRK PEX2_104460 MGTPDSYLPPALSAETITSLILSLDLPAPSSIEPLQVKAAFHSI YLIHFASVQGITARENKDGTVTLVLRVSGRQLPVIKTRNEVGVMTWIGKHTSIPVPAI IRYDATEDNVIGHEFTLLEKASGISVDQIYATLSDEVKTQMVHQLTDYLIELHAQPWH EGYVGGLTLTPTGDIACGPPIDENFWQTPDLDKYWSASAGVKSTETLESLNPIPAEGF SSYTAYTNGCLERYIHAIETHPSLKPHRDLIPRIREFMAQLQREDNQTELNRVAYIMA HKDLHFANIMCDPDQPGCPITAVLDWEFSGVVPGPRWNPPRAFLWNMKWDPADKAEQT RMEQLFEQTCREKGAEHILKQTQLNTKQEMMQMAVNHIRAIVEVCPRGQAQDRVAHWR TVAEAAMEGFQA PEX2_104470 MSTVTALEMSRPPYDSALQSKLDAFDLSLFDTIDKIRAVSCAFS AETVLAGVPHMQHTEYVADSSDGPVTLSVFSGMSSTNRSRPAVYIIHGGGQIAGNRFI ALDSLIRFFEGIDIVAISVEYRLAPEHPAPAALNDSYAGLVWVADHAAELGINPAQIM ILGGSGGGPIAAGCSLLARQNQHPTLNLLAQMLLTPMLDDRGQTASAKQFEHVGPWCG VINQMAWDCVLGPDRPEQVDYLVAPARATDLTGLPPTFIDAGEAEVFRDEAVAYASLL WKCGVSTELHVWKGAFHGFDMEITGVSEDSQAAVARASIAAKKSWIRRVFKVDQ PEX2_104480 MAPKQKAGQKPKPNVAEEVEETFQAVVLADTFETRFEPFTRDKP RCLLPLANTPLIEYTLEFLANAGVEEVFLYAGAHSDQLEKYINASKWRALSSPFKQFT FLKSTSTSVGDVMRDLDGKHLITGDFIVVSGDVISNLPIEGALAEHRARRALDKNAIM TMVLREAGLQHRTKSTSVSPIFVIDPTKDRCLHYEEIDRHADDEQLSRLNIDAEIILK NPELDIRQDLIDCSIDICTPDVLSLWSDSFDYQSPRKHYLFGVLKDYELNGKTLHTYI IKDHYAARVRNLKAYDAISKDILSRWTYPLCPDTNLLPGHTYTLRKGSMYQETGVTLA RSCVIGRRTVIGKGTSIGDRAEVHNSVLGRNCKIGRNVKLDGAYIWDDVVIGDNTDVR GAIIADGVVIGKNCAVAAGALLSYGVKIADNIWVESGKRITKTRNDGGVGKNDPAVVG EGGEGYEFIHGEEEEDEDDDMSVASSGLVYRMPNLSLSSASISTLSSEVSNTSWARSE RSSFSADEDADNFHHDASVSLFDSLREGVAADVVQLELVTLRMSANASDNQVRRAIVT SFMKHIQQLMEGGKGAGPAVNEVFTAYKEVVERTLFDRNKEQKNDQVDFLLSLQQDLI HRNKGDTVLLFTAKSLYELDLIEEEAYDQWWNDERSSNSEELRTVRSQTQQFVDWLAE AEEESSEEESEEESDDEKAAEAIADEALPKAAHGSRKSTFASDRRSHQSESIATATEI TERESTASSGNKRRLSATPTTVSMDSDDEFMSDVSSQDDFLGTQGSDDESLGEAVHGE ADFDDLDAGFSDDKDLIKHKKKPYEVEYKVLDPPDIDHEQLGQVNEVCAILGLPPESV AILLRYGRWNKEKLIESYMEHPEETLEEAGLGQNFEGTAKTERVPGFMCDICCEDGDD LETYAMRCGHRYCVDCYRHYLGQKIKEEGEAGRIQCPGDGCNRIVDSKSLDLLVTKEL QGRYRELLTRTYVDDKENLKWCPAPNCQYAIDCGVKNRDLRRIVPTVRCFCKHEFCFG CSLSDHQPAPCTLVKMWLQKCEDDSETANWISANTKECTKCNSTIEKNGGCNHMTCRK CKYEFCWMCMGLWSEHGTSWYNCNRYEEKSGADARTAQAKSRSSLERYLHYYNRYANH EQSAKLDKDLYLKTEKKMTSLQSQSGLSWIEVQFLDTASQALQQCRQTLKWTYAFAFY LARNNLTEIFEDNQKDLEMAVESLSEMFEKPVAELAGLKVDILDKTAYCNKRRVILLS DTAENLKNGEWSFNIEW PEX2_104490 MAASDQIPGQEFDYEALPSNYGLSQNMLAGAFAGIAEHSVMYPV DLLKTRMQILTPSAGGLYTGLTNAVSTIYRVEGWRTLWKGVSSVIVGAGPAHAVYFGT YEIVKEMAGGNVDDGHHPLAAAMSGAAATIASDALMNPFDVMKQRMQVHGSVHKTLAQ CAKTLYRTEGLQAFYVSYPTTLCMTVPFTATQFVAYESISKIMNPKNDYDPFTHCIAG GLAGAFAAGLTTPLDVVKTLLQTRGLAESEEVRSAKGLFNAAAIIKRRFGWSGFLRGM RPRIISTMPSTAICWTSYEMAKAYFKKHLD PEX2_104500 MRPRPASSLQKTYDECYLACSTAVYFEGQNNEEEALRSWRSALE TIYHHNARRVPSNYTPKSETERALQDSIRALEVQCRERVDLLGALQASRKESAEANGS KANGSKESATLVKGVKGVKGKSIPSIPPPSSSNTPGWIGDGTIPAVGYTDLSKPAAIP GRPMLPTKQSYDSPPIYDDPGPVTLSAGGAPALRVHSNSSGKTRSRGSSPERRKPMLT TLRSSDGKKSVKKVKVASKKKDSRPAASTAAGLAWGSIYRSASGEKPVSDAALASSRQ SAAHDPSFRREAGPRSSTGDERPQPRIRVSRDHLSEDRIPAAHWREPPRSSPKRSSPR PSTQSPSPLPPRGPRPRPPPESPKIQHPPHSMSMPNPADFAPPPRPSIKPKPVALRSS QSTSHPIQATKSENSSRSLTPRLDREVNSSNNKPRTTSAPRPPRIDEPARVSSSSAIG DDLHRDVDRLAISQGNSDSAIRRKAPPVKRQETPTPLSSDPESSDQRTAASDAEDEDE EADSEYLKIMEKLPRGVDPQAAKQILNDIVVRGDEVHWDDIAGLEGAKKALKEAVVYP FLRPDLFSGLREPARGMLLFGPPGTGKTMLARAVATESKSTFFSISASSLTSKWHGES EKLVRALFGLAKALAPSIIFVDEIDSLLSARSSGSEHEASRRSKTEFLVQWSDLQRAA AGREQTSREKKEGDASRVLVLAATNMPWDIDEAARRRFVRRQYIPLPEHHVREQQIRK LIGHQHHELSDADIQVLVQVTEGFSGSDITALAKDAAMGPLRNLGEALLHTPMDQIRA IIFQDFEASLYSIRPSVSHDGLRKYEDWAKEFGERGG PEX2_104510 MTSYFPPSGSASNGANTPTASSPLSPPTQRPNALTNRLTSVLSA SYADSDIRDSLETLSLRGIHNTAETRRQLRLDVQKEVVDCNAEIVKDFGKVAEQLRRI GTVVSTLNQTCDDMRKRINLAKQDTTPVLEEASTLMTQKKETETKQQLLEAFSKHFLV PEEDLSVLTSAEEPVDERFFDVLARVKQVHHDCEVLLGGENQRLGLEVMELSTRNLNS AYQKLYRWVQKEFRTLNLEDPRISSTIRRALRVLAERPSLFHSCLDFFAEARDYVLSD AFHYALTDAVSGANGPGAGDHTVKPIEFSAHDPLRYIGDMLAWVHSTTVSEREALESL FVDDGDELARGIQAGLSSEPWSRIDEDQEVAYDGQKALSDLVNRDLTGVSRSLRQRIE LVIQSHEDPVTCYKVVNLLSFYRTTFTKLVGAQSHLVDLIQTLEKFTLGHFETLMRDQ ISALAGDNVALTPPDDLSPPQFLLDALEGLEVLMKTYEASVGPEDSSPDTPTDNPFTS VLRAAFDPFLTLARSSSDELQTTTAQSIYRTNILLTARAAISPFPFASSTHVPPISAA LSTLRSDLLDTQHHFLLDTSGLETLLEALEPFSDTAEDKTEKPDQQKPHLADLASLPA FQPESLITSSQQLDDFLPSALMDATDNLKRIQSLSLIQSVTEDAVEAFCRDFEFVEGM IIAADEARGTVNVAIGAGTSVSGQSGKSDGRIEATEKDEEGEDEQWSLRSLFPRTTGE IRVLLS PEX2_104520 MDSPLVSPAKARQAAIQAKDWAYVNSWLNRQYAPKPVPKFERNE DTLRVLLTLAAANDSADEEATLQHCAREDAVDAYKRREEFERNDPHEQQKNQLLDEVE MCLDDKGRCDLEDLADSAAALGNTLNPNPGDLGQSIVELTVEEFEAQEQIAKVDTLHR YLQRELARLQMELEELKTDVAYETPSDAQSLTSEWTRGTKTLAIKVGEYQDRIASLEK VQPRGPTIEELMVEEENVLKMRETVKALQGRVRAFHDLPKDTPGARAKYKELERELRQ LKRQRDSIVDSIGERY PEX2_104530 MSDAGRKDFSTKAKEELTPDSTKSTQEKVKETFTDTTDRASRGL QTDDSKGAGQEAFDKTQRASDNHGQGGATSSIGDKVKGALGLGGN PEX2_104540 MTLSDSPVPSNWDFTPVHDLLRSPIDGCTARPSRHNESTIPSLD EQQAKNDPHYTTNGSLVDLISQRSNPKLGDFGCLWELFNGTPAPASVTPSLGTTKTTK SEQNKAQPFLEELISTSVERPAKKVSFSGLFGIESSVPVSSNTSRIINEPNHRVLKDT GTGYTSHSSSSPKWQAIGIPTDFGGQPFTILKRTSVQGPSGTSANVKFGIPRTPPEMI ASARVSDPSDTPTAKPKTRSRGKDFRKNTRNNPITSEESAGIDSDTSVVFDYPISEKH VAIKFVPSQVGTPDGKSRRYDTPPSSFEDNEWILNADTIRARSTLHQSAAERRVNLMS RLLGHFPDYAKVVSQVGLTLDHRPSEGIGSRPIHVFVDVSNIMVGFHDSVKTSRNIPL SARIRRVHMSFANLALIMERGRQTAKRVLVGSDRLPSVDEAERLGYEASILERVHKVK AITPRRNIKSRKNPGSSSQGGSSGPETVAASGERWVEQGVDEILHLKILESILDTEHP ATIVLATGDAAVAEFSGGFMKMVERGLQRGWHVELVSFSQGTSYAYRKKEFRIRWGDQ FKLVELDRYLEELFE PEX2_104550 MSQFTEQDFFGGAIRGVVPQGWIDSSTLREVPDHQELWLSPTTL SNLIIEINQRVPQAEALNTFAALNHQQPTAAPGSGSAASATAETVDQAAALYHLHDLC DEGDTMQVIKSPTRVEVKLASSSPAPASAIKAYRGVVSFTTPVRGGGGRVPASADGSA AGVADAGVLGAQTNGDFNGLPQISRLTCHFLLVRLEAQETDLLVFFNVPHEEFDKSGD PRGLSLEEVVAEETIGASIGRLEICDWGLFV PEX2_104560 MSELVYITTEPSDRIRVKGITHTPDWIHPQLIESTDAIKGRQFR VSGPIPKGACLLVDSPYAVIPVVDEPAHNDDLICSNTACNRPAARHTRTSCPNACIPD VTWCSSTCRDADSLRHGFECAWLKRYAGTIRSKWSEYDFGMLWVIVRLLAARHCQLHE VGAAESTGHWKHGWSGIESLCGSEYTWPHDRVRSWSVLVKKYLRSSPALPHEMSADRV LHLICQEEANSFGLYPRETGHFPLPNPPIDRGEQFAAAVYPTAAIANHSCLPNIIHKA DDKGHMVFTASRDIFPGEECCISYFDLTQYTDLTLRREHLRKSFRFMCQCERCVSEES DKPAEWTAMPMMDM PEX2_104570 MSPWPSEIRDEPSTLDESNADYTRAETMLQPFIYPDSSNPNKLE HLQEEARWLGQKDKFYRVPLTIFFHNGRNSAGVPMQANKRSGHECTGLNDGSKNSVAT TYLADAWNWGAEIFCGCEVQFVEKADGGGYTIHFAWHGSGRSVFGDHFKEQLFWVKAK EFCFLGAGALGTTEVLLRSKQHGLHMSPLVGRNLSGNGDLLIFGYNGDTNINGIARGS SHASKPPGTTVTAVIDNRVIDPLNNPLSGYVIQDGCIPEMFNPVIQLMLTLQTMKSQA LCCLWNPRQEARKTLASLRSLLFGPYAYNGAIQRTSTYLVMSHDSNEITLTLKNDQLC LRGPAEGKSEHFKSIKKMLNKLFARTGASMGFSYPYGRHQEEATVHLFGGANMSNDGT WHGGVTNHLGEVFSGSGGEIYKGLVCCDASVIPTALGVNPLATISALSERSVNLITER SELSIDLETVNQPLNAYSKPSITWDRQYQQNSTKKSSQSIGWQFTETMHGHISMGSDI RSFALSERVGKGSSCVIRMFLTIELCRRGEKLREPFSRRMFTSPDSQYQGACTGTISC YALSKATLKIVDGTVDFFTPIGENAESLAISYHLKLLSVEGIEYRLEGHKLINSNISF SVRKTWKATTTVNVDITRLDGTNVGAGALHISLLDFKKQMRTFRTTKVFQISLILTLM WFLVSFMCHISLFFFRPFVNTRFPQISTKATDSKQPPSTSCGITTSDGVQVHLDTYDP LPVQETGVPGPNSDLPPVLLLPGVTGVGAMHNLFALPFLRCNVVDYFTQRGHRCYALT PRWGSNPAVAQKSTVFDCRLDVAAAIAYIRDKERQKPYVIAHCQGSVALCMGLLDGTI QSSQLLGVTANSVFMNQVFGYWNSLKGRTTLLIQLYEFLAGNYFPISSSTKSVIFQRL LDTLLRFYPVGHTRDLCTSTACRRTSFAFGLLWNHENLDMGLHDNIHQFFAGTHTKLM KQVVRSGTQGVCMDNDLCSLLTAENLQRLQGLPILFVSGTENQVFNPESTLKDYELLR RRFGERNYRRFLVEGYGHLDPIIGKDAAEDVYWRIFAHLRWCTKEMENTSLKANNIQE G PEX2_104580 MGSVALRRYRSCQRTFSRGFVPRYAYGPRGGMGGLLKVALLGTC TYFIVKELSHRNQPPPHAGSNGDSQSAQPVS PEX2_104590 MSPPRKRRRPPKSCDPCRRRKVRCDREFPCGPFAASSPSGGGFS QFTAPSVLEGQTPPSQAVDPQTQSHPPASTSQSQLQDQDKDQNKIIQDLQRRLRRLEE QLPGPPPSQTTTDPNPTVSQTQALRHLQDRVLRAEEQLSDAARPSLLVNGWAIPATPP RLRVAPDKTKLFGPSHWLHTAEKFQVLGKFDAKEVEPSLRDVDARSEFASIFKDCRHL RQAMKAQESVRLNHPVPDLLSTLPTQAVCDVLVDAYLRTFELIYRVIHIPSFWEEYRQ LWAQPQSTSTHFLMKLVLILALGTTFHSDSDQSNRVHLRRLAHTWIYAAQWWLVGPSE KSTINLDGLQVGCLLLLARQTNNLPGTSWVSAGSVLRMAMAMGLHRTPGLFPALSVYQ SEMRCRLWATVLELTLLSSLDAAMPLPFSPQDIDCTAPSNLDDEQFNPETETLPTPQS NQYLTDSSIQVLLLKSLPMRVEAVQLVNNQHRQELSYETALRLGNELRSACHDIAALF HPSQNQSRHADRTPGMTKFHLRFLDTYLRRYILFLHRPFMIQAREDPRFYLSRKVCLE SCIVVASYADDLNLPSDTLDDLSHLTIVGRGSFKGALSFDVITSLGLEIDTQLEEEAS TRSLGSSPPFVTDYLDEMAKDHRAPLIRSLEHIQEQLLQIIALGNPSLKRYNFLSAIL SQIRAMESGQPIQPAIYESVKESLKKCYLLLQASHAASSPQESVESLTMGTESSLGFD VDALDPALGLEIPSLLFFPDMMDMSSIEW PEX2_104600 MSDDRLEKGPEEPVAEAPKAASPSVPEGGLQAWMTVAGASVALF VSFGWVNCIGLFQAEYETNQLKDYSSSDVSWITSMEFFFMLFTSPVAGKLFDSYGPRV PIAIGSVLHVFGLMMASLSSKYYQLMLSQSVVSGIGSSLIFTPAMTAKRGVVGGLTVA GSSLGGVIFPLMVQHLLPQVGFGWTMRICAFMILGLLIIANVAISSNLSHAPRPFSVV HYLGPLREVNFGILCTASFLMYWGLFVPFDYIVVEATHYGMSSQMALSLVPILNGASF FGRTVPNYIADKVGRFNVMLIMTTLSAILVLALWLPARGNGALISFAALFGITSGAII GLGPVLIVSISPMNELGYRMGTVLAFAAVGTLTSPPIGGAIAAANGGIYTYTCVFSGV SLFLGTIGLAALRVRLSGWGLTTKI PEX2_104610 MPIKPQSQSQRQANGSSGSFDIFPDSDSASESECQQHRSAGKQR KQRTLGLARVNSLLLPAKRRPRPAIRRETEDYDKENDVPEYATDGYRTPDLTPTRPNA SQPPTRSRMDHIAVTQPVIDHQEEEEESEIRFEEEDSSDSLDGFIVSDNEELSSFETS DSETLDTEDEPSPAPSPVRSPRKRLVRGRRPIPEAESNTTADEERSMEVTKLATELNR PIEKSKMAVIPAPEDCIPSPPTSLKSTSPVRKVEIPKLQVDSSYPLHFGLHNTNDLIQ HLEDLELDSDNESTFQRNPEQFNSEPENELPSKLYPSHKHLPPVTPSRENSHFSLNRS ITSVDSSPGMAIPTTLKAKKKAEAARKREIRAQLAEFNQRKIGFAEEFLRHLDNAFDS QISRMTEETGGIKIIWTKNFRNTAGRATVRSERVLRGEAGVEEPGKRRYYATIELSEK VLDSEDKILCTMTHEFCHLLDMMVTENRAKGTAQHGASFKQWGDRCVRALEGHPIYGG RVEVTTKHTYEINHKYIWACKVQNCDFKVGRHSKSVDPKRQFCGLCRGVLEQIKPVPR VMVPRAVGPRRPAVKEMVVGEEKEIVVIDP PEX2_104620 MSGYAGGGHYDDGYAQQGQAQGQAHGDSYYQDEHSQGYYDNQGY GDGYYDQGNGYYGAEDGHGADAAHADGAQHADAGHGYADGGYYEAGHQDQYYGGAAGA GGDQYYDQGQGQPRGRRGNDSEEDSETFSDFTMKSETARAADMDYYGRGDERYNSYSD SQYGGAGGYRPPSSQVSYGGNRSSGASTPVYGMEYGNALPAGQRSREPYPAWTSDAQI PLSKEELEDIFLDLVNKFGFQRDSMRNMYDHMMTMLDSRASRMTPNQALLSVHADYIG GHNANYRRWYFAAHLDLDDAVGFANMKLGKGDRKTRKARRAAAKAAKQNPENEEETLE ALEGDTSLEAAEYRWKSRMNRMSQHDRARQIALYLLVWGEANQVRFMPEIICFIFKCA DDYYTSPECQARVEPVEELTYLNEIITPLYHYCRDQGYEIMDGKFVRREVDHNKIVGY DDMNQLFWYPEGIERIGFEDKTRLVDIPIAERWPKLKDVVWKKAFFKTYKETRSWFHM ITNFNRIWVIHLGAFWFFTAYNAPTLYTINYQQQVDNKPDSPKYLAAVGFGGALVSFI QILATIFEWMYVPRRWAGAQHLRKRFMFLLVVFIINLAPGIVIFSILPSLTMSESTKH GIGLALGIVHFVLAVLTAAFFAIQPLGALFGNYMKKGGRQYVASQTFTASFPRLSGND MWMSYGLWVCVFGAKLAESYFFLTLSLKDPIRILSPMQIHQCTGAKYIGNVLCHRQPQ ILLGLMGFMDLTLFFLDSYLWYIICNTIFSVARSFYLGVSIWSPWRNIFSRLPKRIYS KVLATTDMEIKYKPKVLISQVWNAIIISMYREHLLAIDHVQKLLYHQVPSEQEGKRTL RAPTFFVSQEDQSFKTEFFPQGSEAERRISFFAQSLATPMPEPLPVDNMPTFTVLIPH YSEKILLSLREIIREDEPYSRVTLLEYLKQLHPHEWDCFVKDTKILADETSQFNGDYE KPEKDAAKSKVDDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRTVSGFMNYSRAIK LLYRVENPEVVQMFGGNSEKLERELERMARRKFRICVSMQRYAKFNKDERENTEFLLR AYPDLQIAYLDEEPPVNEGDEPRIYSALIDGHCELLENNLRKPKFRIQLSGNPILGDG KSDNQNHSIIFYRGEYIQLIDANQDNYLEECLKIRSVLAEFEELTTDNVSPYTPGVAS PEHDPVAILGAREYIFSESVGVLGDVAASKEQTFGTLFARTLAQIGGKLHYGHPDFLN GTFMTTRGGVSKAQKGLHLNEDIYIGMNALLRGGRIKHCEYYQCGKGRDLGFGSILNF TTKIGTGMGEQMLSREYYYLGTQLPLDRFLSFYYAHPGFHLNNMFIMLSVQMFMFVLI NLGALKHETIMCHYNSDLPITDPLTPTLCANLVPILNWVNRCVISIFIVFFISFVPLA VQELTERGVWRMATRLAKHFGSFSFMFEVFVCQIYANAVHQNLSFGGARYIGTGRGFA TARIPFGVLYSRFAGPSIYLGARLLLMLLFSTTTVWSPALIWFWVSLLALCISPFLFN PHQFAWNDFFIDYRDYIRWLSRGNSRSHASSWIGFCRLSRTRITGYKRKVLGVPSEKG SGDIPRAPITNIFFSEIVSPLLGVAVTLIPYLYINSRTMYSDDVKWAANPILRVAIVG LAPVGVNAGVAGMFFGMACCMGPLFGMCCKKFGAVLAAIAHAIAVIVFLLLFLAMFFL ESLSWARTISGMIAAMALQRFIYKLIISLALTREFKNDQSNIAWWTGKWYNMGWHTLS QPGREFLCKITELGYFANDFFLGHILLFAMLPVLAMPYADTFHSVILFWLRPSSQIRP PIYTLKQSKLRKRRVVRFAILYFTMLLIFIVIVAAPVVLRNTNQLDSILSGGIWKSLG VASTTGIGLLQPLDRGLNDTVSWYTGSNIPKGYTSGTIPAASEGTGVWSI PEX2_104630 MDLQETQRILSEYLHELADLFHRVPGSAIFLRYVKSSYQDDPIR SAVELFLFLFAVRYLLAPKYSTKPGVVPLTEDEIDDLVDEWTPEPLVGSPTTLDHMEV DKRTVIVGPVSPKSKLANGRTVMNLGSFNFYNFNTNESLKEQAIQTLRAYGVGPCGPR GFYGTQDVHMKTEDDVASFLGTAACIMYSQAFSTISSVIPAFSKRGDIIVADKGVSFA IRKGIQISRSTVRWYEHNDMEDLERVLAKITKEQARKPLTRRFIITEGLFESYGDMAD LPKIIELRLKYKFRLILDETWSFGVLGRTGRGITEHQNVDAAEVDMIVGSLAGPLVAG GGFCAGSEEIVHHQRISAAAYTFSAALPALLSTTASATINILQNNPETVSQLREHTKA MRAQLDPRSDWVYCTSAPENPILILVIKPEVVAAKRLTPDDQQFLLQDVVDESLANGV LVTRLKTLDDNFEPKQVIPPALKVCVTIGLTKKEIEKAGTIIRHAITKVMSKRK PEX2_104640 MKRLTQALVGLLLACGVCAEAGGTNLAQPPLPPFTKEVVVWVNE KGEHISTETHYVKAMASALPDNKLPISIPEPDVPDIAPAHAFSKNDNDVNDHSDPAPD KPKESQHPNAHPKPPAPHSHTHQHPHAQFGISYSPYKADRTCKNQEEVNADLDRIAEY SFIRIYGTDCDQTRTVTNAARRHKMLVFAGVYDLTNFPGSLDAFGESVTGPDGKKDWS IFHTIAIGNELVNGGTNSASDVATAVQKARSVLRAQGYTGPVVTVDTFSVHLDHPELC QVSDYCAANCHAFFDATQQPAGAGAYVLEQARSISARAGGKRTMITESGWPHAGDSNG GAIPSADNQQVAVASLRRSFDHRRGDLVLFTAFDDLWKDDNMYTFNAEKFWGIHGGL PEX2_104650 MATNINRLCFLSRSNSTAPFLYHTRTLTPLSSAARKQFNRHFPR SFSTQNNTETNNATENKSLAEDHTSQNASEESITSSSTSEAAPKPRRSYLQKRAASVS ERPSLRPSVVKKTTKIAPKITTQEKLIFGGLLEQLGFKHDGETTESSSLKPMSEEKKA EMAELMSVFDNLLKDPRAKKAALKAKQQAKDDYSSYRRPEKTETEEQVPAENQGPKRI NLRDLGYSEPATASAAEVTVSLRRAIEIVVKAESEHIEFALFQAVEDGKGDMGVWEVC KERIFSMLRHLDDKSLVEASNPESMSTTDQPEPSTRLSGPLRVPAVVPVAPVVVALYP KVLLIAFRLLNTHFRESPLIPQFRPTIKEYGRISTFLGASSGLYDELIHYHWRVCKDL PAVVSILREMNQLGINPSSKSRGMLTGLIIRQARDLEAHRKSPDGKDFFWDLPSNKEA FNELTRKDGWMDQIEARSEEEARQRQATRAFHR PEX2_104660 MMSCNGSTRFAEDVPRTPEAQTAYEPSTPENPELNMPGKSELSD FEKQRLANIAERDALLKKLTLESQSSGLFASPRTPGTNGVKPKKRPAPKVKVKVEEDT TPRRTSSRLKGIAAESEVAKRKADDEYEAMREADRIKRMRRTDSFSQADMFVSGQKLS ADSLISVDVITKGVAKPYERTFGDDEIEKTTDKELKALREEMNGLQLWESWDPQRIKI TPERVYSMAFHPSESKPLIFAGDKMGHLGMLDASQEKPTAGEDDEDEDDPDPVLTTLK PHTRTISAMMVNPSKPTHLYTASYDSSIRSLDLEKMVSSETYAPESTNIDEALSGVDM TPDDTNTLYWTTLQGGFGRYDTRTARKDNNVSNWDLSEKKIGGFTLCPSQPHYFATAS LDRFLRLWDLRKLSHDTPTAVAEHESRLSVSHAAFNAAGQIATSSYDDTLKIYDVGAK GLSSWKQGHKLSEKEFTPDTVVRHNCQTGRWVTILRPQWQINPQSSIQRFCIGNMNRF VDVYSSSGDQLAQLGGDGITAVPAVAVFHRSKNWVAGGTASGKLCLWK PEX2_104670 MEPENPNAFKRSSMHQALYSRPVERRASKKSSSRDRHGMVYPDS FRETTIRTVTPESHSPANHSPLSEPEHLTGGTLSSPRNPVRSRPIEPERRRDFSKHSS GAGEEDPPVEARSQRARSRTTAAEEQRNDIAPNTFKARTRVGSINTASPSQPKLPEDP SSSIGFPSIESPKYSSQTVPRHRLTKAAGLVAGGAQNQDSFSSPLSGAEATKILQLMK TTCGRMHGILSFRTASTTSWTSGYCAINVANGSLIYQAKGEPALAKTLIPDLRGCRVR TLWDSELQCTYLSVHTFTSGLGIQLRPHVNETFDSWLAALLCWQPIRPKGVQNKMTKP QEVVIGDRRIPERRRNSESAAQKDATIIKVGKMLLWDKPSASGAMPVSGRRVSTYRQS RALSSSWKKVSCTLQENGFFKLYTESDVVLLACVQLSQLSRCAIQQLNSSVLDDEFCI AIYPQYAAHPVPDSNVRPIYLALESRVLFEVWFVLLRAFTIPELYGPVSQGDDSNKTP ETEAAEPPLTKDMFRIERFLNLKIVEAKLFRTKEEDTPRSRKASRSHGQPVPTSKVSD YYTEVLLDGEIRGKTAVKYRTMNPFWREDFLFTDLPPVLSQASILVKTLNPTQRDWTL IAHGSYVPNQDVSAVNMLDEIEISANDIIFGRVDLRLEELDAGVDIEKWWPILDNQDQ AIGEMFMRARMEETVVLMSDEYSAMSELLHSFTNGLTINMAQLMSSELNHLAEMLLNI YQVSGSTVEWISALVEDEIDGVHKESTTNRLRYTTRIHSNNTPETSQDREFLVRDMGR TATVEANLLFRGNSLLTKALDLHMRRLGKQYLEETIAERLRDIDESDPECEVDPSRVP RHEDLDRNWRNLTALTTSVWKSIAGSASRCPPELRRIFRHVRACADDRYGDFLRSVTY SSVSGFLFLRFFCPAILNPKLFGLLKDHPRPRAQRTLTLIAKALQGLANMTTFGNKEP WMEPMNKFLVGHRSEFKDFVDSVCAIPADRPVPIVTPSYTTPIQILGRLPPTSREGFP SLPFLIDYARSFANLIRVWLDVSPERLNELTELDVNLSKFQKEALRLRARTEECLKRA EQAERPSGNLEIKWEALVDSMERPVTFYDESPSKPSTPAIDTSTGTAAAPSSHRNSTG YFATRPGIPRRSTDHAADAEDDTPPSSSSATWDQSRIPFSIPRWSDPRDSTGSSKNSS TYSLEYSESSKARRSSVTKESSSSKYRFFDFVPAPSRRKAKERDQTQNHSHEDLRNES PEX2_104680 MHRRHSGGLLRSWKRPLFQNSPRFKVESYVLPRLRSYSIALIGL GYRGYRSHFKSLFTDPSNSIVAVCDTDRGVLDTFSNNHPDVPAYLSLAQLLREHAPDF AILSVPHGFHMDCVMALSDKGVPILKEKPVTECMDEFRSMSALPVKIGVTFQKRFEPQ FIHLKNLLPLVGDVATVQASLTLNIEKLDATWRASSGVGTAEDLGCHMLDIVTWMFGA PSSVMTYGVSPIRPCQVYGGDDISDVIMDWEGINRTGHVHMSRVAYDFSQSITVTGSN GYLILDGSNIVHRDSRGHETLRVVHNPVEKDVIRSMVQEFGDWVTGKRPDFLSSLESL TETVSVMDAVKSSLSGRKIQRPLRPSTTMTPCLTTNNSFAKLAINANSAKYSTQAYFP FQQRTFQLKSGAKIPAVGLGTRRAQRPGEIYQAVQVALQAGYRSIDTAQSSGNEDEIG KAIFDSGIPREEIWITTKLDNQWHNRVDRAIEASLKALKVDYVDLYLMHWPISTDPDD LTKQLPNWSFVDTWQQMQLISKAKVRNVGVSNFGVKHLETLLYDETCHVTPAVNQIEL HPYWKSRNLLEYCHSHGIHCTAYSCLGSSESALPADPTLLDIARARQKTPQQIFGIVT GNLASWFTRWMQVQDFETSRSLVYWEIAEVPQNKSGGFIVAGIISFVFTGFLIVMTIL ANDWYGFANAVALAVLTGVRSYLLQANRNAIDRAVEAAKPLRTTFVGAMNEWREKMKT DGDAIQPQQDSKWRPEVVKILVAMPDSRIVTMFIPEHLLRPIFVTDVSPSSRWRYRLV QWVGWVAFSVHIVTLGMAQLAAQLYVVVVMVTSTVLICYGVGCDDSRIYKWWCEKSEG SSPPYPVWAGKRLKATVFEWPHHFEFIRSKDGSWSRRLSTDIINRKQRSTARQDLYAW LDLSPEEMGSLSDWHLLPHRRDHDDSWWMDFDAKRLLVQENPLKITDLSERINERFEN GVKKAADASHYRPPEDIERGRDEDSTST PEX2_104690 MTLFPGVALITGAASGIGRATALAFATAGCQKIATADRSVEGLA QTQDLITKEAPNAAVEAIMVNVALPESVVAMTARTVARWGRIDYAVNAAGIQGPAQRS TELTLDDFNAINNVNYRGLWLCSRAELAQMSTQTPLPSHDGRPGNRGAIVHIASQPGV VSRSTAPAYCASKSAVISLTRSDAIDV PEX2_104700 MAATRLFTIEQCIAENIPLSAGYRDFEGYKGKFPDPQWPGNAKL CVNIVLNYEEGGEYSVVNGDMRSETDLQEIMGRPVRTGQRDIQMETQYEYGTRVGVWR VAHFLEQQKIKATVYAVGQSILKSPDAARYLVQSGHEISSHGYRWIDHHALPLALEKE QIEKNIEAIREISGQPPRGWYVGRPSMSSKGLVCHVFDQQGLELLYQSDSYSDELPYW TAHPLDPHKGLLMIPYTYDVNDNKFTTSPGFTSPRDWLEYCKAAFDVLYEEGCNGEPK MMTIGLHSRLIGRPARFQALRELVKYIQSHEGVWFATREEIARHWIKTHPFDENKLNR IPHFL PEX2_104710 MTTPVFAGQIILITGAASGIGRATSIKLAIQGASLALTDINSAA LSETETKCSTKHPGQSHSLHTVDVSDAAAVDAMVAAVVACHGRLDHIFNCAGVNPTPK SILETTDTYWQLLVSVNLQGTFNVCRAGIPHLRSGASVVNVSSIAGVRPCAGMAVYAA TKAAPGDIHTPTNAAVVIGGTTLQNSAAKIALGRLGQPDEVADVVLWLLQSSFVNGSV VEINGGVE PEX2_104720 MATRKENLAVAVKSKESFKAYLQVPGIIENSEEEGRFLWSNEDL LPVPPERRNWTYRTYSFLYFGWAMDNWTLGSTMIGIGLNWWQSILVILAGQLINSIFQ AINSRCGSIYHISFPIVSRSVSGMVGSYFAVGTRSVMSVVYYALKLYIGSNFVRNMMR AVFGHAFSNIPNHLPISAGITTQGMIAFIVYWVIHIPIMFLRPDQMRWIFTMKIVSIF PAYIGLFIFCMVNTRGQLGSSLASAKETSSWQFSWFVMAAINASMGNNSLTTTNQPDF CRWSNKPWAPVIPQIIFNPLAVTIASTLGILATAAINNSWGLELWNQWDLLDEIMTRY WRPEVRFAVFLCALGQAALVMGTNVAGNIIPLGSDCSMLWPRYINTVRGQFIGLFLCY GYGMFFAAIVGPTIVEYYYFARGNIFVPELYHGSSDNPYYWFYKGWGLQAYAAYLIGI ALPFTGFVGTLGASVPAVGVHMGDMGWLLSFFVSAFAYYVICSIFPTGVQRAIKDQGL GWEGNAKHLEGIIEALVGDDQSSESQNSAGGVRYVPKMSESEPLEKSVDA PEX2_104730 MAGQIPVWVDCDPGHDDAFAIILAAQHPAFNLLGVSTIHGNTSL KKTTINALSVLTALKRTEVPVYQGTPKPFCREHPQWGSDVHGESGIDGAEDLPKPAVG PRTDKHAVIAMRDALLAQPPNTAWLVLLGSFTNIALLMAMYPEVADYIKGLTIMGGSI GGGFTKAPPGHRTGEADRVGNSTLWAEFNAFCDPEASRAIFSNPRLNIKTTLIPLDVT HLVLIKEEVLDLMKNGPPGQKRNTEAKTRKIFTDLLKFFRGEYQRIHNLDGPLHDPIA VAVILEDEGVEKIDFDYNGGERFSIDLVLEGEQIGRTVATKLHTGEQGVRIPRGLNVP KFWRVLEGALSVSDKN PEX2_104740 MRKELDGITIEVGVRLIKLFFKYIYPYFPILSRSRMMSDNSHVE EILLTLPLSLKEAVYASSLSFMIYDDYLSAMLDVDLPSAQNLYRISWIAITHEIHTPH LSTLQSCLLLLQRDNVSRYVQGSPFQWSLVAWTVSLAQTLGLSTDCSTWRGLPLWEKR LRRRLWWASYVLDKWILLFSGLASHIRHDDFDVLPLTAADFATDPDETNMPRGALDIT QHQSSHFYHLVELTRILSRINDTFFTVRSSKETASNILLTFELAKPIRSQLHSWRESF ERFSTLQQNFSASRGQLDGNISLGLAYPVVTMLLFRALMRPLETSKGSAEDMILRESS RDSIRVGAEACCVEIVNCIELIQPSAWNAFWHKFSPNFFAMPSSFMMRLLVTSNSPSE IQRMNNLIDRWRWAMRTGGGNAGNAMMSLGLLRLDSDPKADRPTFFYIPILTGIRWKV WRSGVKHEITPALGMVEYLAPPVLGSDFVIRIEPLTAASFASFGTAIIPPTLPAACDI SQAKTIPNHHITLNSKATVVNQGTAIKISPISPLINSYPSTTNSKPLVSLLSCFPRHD FLRSNQATRYIHIDVLERHSFSSQTFVPLSAYPTARYLIIVAPSVQSAERGIINPPDL SSMRAFLATPSQAVTYAPGTWHAPMTVLSSSELDLDPGTRRIDFVVTQFVDGTERDCE EVVMGDCTDWNNGEIETCRVFVVVDEERDE PEX2_104750 MSSMVLNFFDDREESVPDNTYRPYRSKRHRPCDVCRQRKSACRI QSRPPCAICNQLNVECTFEGPPAKRKSPRIDRERPLQSVDFAQAPSPFLEFFLESNEA TEHVPKMIAPVAESSHGHQFEDMILGTQAAEIPTQLHSVQNSYQTVVDQPNQTENTAL PNLNSQDCWDSEMFQYGSVSPVSSPTSARSLDQTDGFSAQYFGSSCESDPYLLRHFRF ASEGDSRFFKVHFRRVSMEVPGQKIPTHFMISADELGDATKSESCNREGPDKVRADLD AAVNIQEGQRLVGL PEX2_104760 MTSQIPSQHKAIAYDKPGTASVQYLTVDTPQPGNGDVLVRITHS GVCHSDWGVMTRREGDVGPPAQAGQIGGHEGVGDIVAFGPNTEQSGLKLGDRVGIKWM ARVCGSCFPCLASRDGCCSNGTISGYGEPGTFQQYALAPANYVTRIPDELSSELAAPM LCGGITVYAALKKCGAKPGDAVVIMGGTGGLGHLALQMGGRGMGFRMIALDYGDKKEF AEECGAESYIDVSKYDYNDPQFTVDVKKVTPHELGAAAVIVCTGANEAYAAAVSFVRF GGTVVCVGVPEGAPVAIASADPATLISQEISIVGSVVGNRMDAIETMEMAARGVVTTR VTVQPMSTLMDIFDKMNKRQLKGRTVLDLTV PEX2_104770 MSPISEVTERAAKIDIFHNYQNSIDGKLVGTELLRYAINPATGE PNELVPISTLQDVNTAMDAAQTAFASWRKSAYEFRKACLCKFIELVKEHGNDFVTLLT KEQGKPLKFATIEYEKTIECMQAFADLELKEEVVQEDNETRIVCRYTPLGVSVGIVPW NFPLLLACIKMAPATLAGNTIIIKPSPFTPYCGLKLVELAQQCFPPGVVQALSGDDNL GPWLTAHPIPEKISFTGSTATGKKVMQAAAATMKRVTLECGGNDPAIVCSDVDIEETA EKRIYVHESIYEEFRDAMVRIMNTYKIGNGVDEGVFLGPVQNKMQFDRVQGFFDDIEK ENWSVATGGKNTDRSSGYFVTPTLIDNPPDDSRIVQEEPFGPIVPLMIWNNEDDVISR ANDTRMGLGASVWSRDLDQAGRIARNVEAGSVWVNTHYALQPAVPYGGHKESGIGLEA GMGGLLAFCNAQYLHLKK PEX2_104780 MPGNIPVWVDCDPGHDDAFAMILAAQHPAFNLLGISTIHGNASL SSTTKNALSVLTALNRTDVPVYPGVEKPFCRPHPQPAADVHGESGIDGAEDLPEPMVG PKTDKHAVIAMRDALLAQPKGTAWLVLLGSFTNIALLMSLFPEVADHIKGLTIMGGSI GGGFNDAPKAQKAGETDRVGNVTLWAEFNCHCDPESSRAIFSNPTLAAKTTLLPLDVT HLVLFRREYKKTQNLDGPLHDPTAVAVILDSEGVENIGFDYRDEERFEIDLVLEGEQI GRSIAKKLPPGSEGVRIPRGLNVPEFWRVLEHALFVGENVSRKD PEX2_104790 MSRSLSVDVLIVGGGPVGLLTAYQLAQAGCSVHIVDKESKFTIT QYGRANALYSRSAEFLDQLGLVDDIMQQCYIVRQSYTYGENGERIVPGRVWNFVENIE DTRFDFGIMLRQQFIEKSIRIRLEDAGVELHCPCECVNIEKADEPDADGNYVTATLRD MTTGEEYTVKSAYLVGADGGRSFVRRHLGVEFEGDTTQDKWIRVDGKVKTDLPTPRDY VSIQSANHGNILWAPLDHGVTRIGFVYNEEQELRCNGNLTEEVVVREAVAAMKPFHVE FESVDWWTLYVIGQRVASTYQPHSHIILVGDACHTHSSGAAQGLNTGIHDAVNIGWKL ALVVKGKAKTALLETYSTERRAAAQRLIAFDRRISTLMGNKWPEGMDKDSYEDINAAL ADLFDEASGYNTGLKISYEPNLVNVVPITDYTSICVGARAPDVGLFKPGVVQPIRLQS ATPNCACFYLVTFTGDPRMTLPQLSAVSITLNSAEPFNFVFSPDTVKLVTIVSADPKL SVEEGLGVPAFGTVYMDDKRRAHSRYGINLRYGALLVLRPDGHLGFAAELSVAGLEAV KAYLSKFLISDGDSSKAP PEX2_104800 MQSVQPSAWASVQHSSKWGQLEQDSYVGLCRESLTDSDRDVRDW FVSEAKTLGCEVKVDEMGNIFAILQGQNNTIAPIGMGSHLDTQPAGGRFDGVLGVVAA LEVLRTIKHSKIKTYAPLAAIDWTNEEGSRFPKMCTGSGVWSEAELISEAHDLADLSD SSVTMASELRRINYLGTTPCSYQKNPLSAHFELHIEQGSKLEQQGQKLAVVKGVQGMR WYQIRCKGREAHAGAMPMASRADALVALAKFAVKVEELSLRKSAFGTGGVFKTGTSSP NTVPGSAFCTLDLRHLSEEVLDKIEGDLRSYLQKVESDREGLSIEMEQTWGKKGVEFD PVTLRCVRDALKNVAGQSMVDEFESCAGHDSAETAKVTPTAMIFTPSKDGISHNPSEF TSEEDCDLGAKALMQAVLLYDAHLRQQDEEISA PEX2_104810 MSSVENEFDCIVLNGTVVTAADIGRYDIGIKDGKIHMLAPALSL ANVPASRVIDAEGAYVTPGGVDAHVHLAEPELFGKGKSIDDYTTGTRSAIAGGTTTVI AFAPQEKTNPSLLGVLDDAHKKAAGNAYCDYSLHIIVSNPTQQALDEFPVLRERGISS VKIYMTYESLQLRDREILDVLLQARFCGITTMVHAENGDMLSWMTEKLEAQGLIAPKY HATSRPPLLESEATNRAISLGQLIGAPILIVHVSSQEAARSIRDAQTRGLPVYGETYP QYLFLTRKSLDQDGFEGAKCVCSPPPRDGPEDLEAILGGLKNGTFTILSSDHCPFLYD NAEYGKKSAITEDAPLGRFQHIPNGCPGVETRLSLVFSENRLRPERFVELTSTNPAKL YGLYPRKGALIPGISDADLVIWYPKLDPFPITNSSLHHAVDYTPFEGHEVSQWPRYTI SRGEVMWDRDNGGIVGSKGFGQFQNRTASAFCEDLSPWDVSKF PEX2_104820 MMSSNILSRFLPPTGSPSVYETIRQHDAGSEYSDLEERAGLVIE DQQEQFSDRELEDALADAQDSEIISPSTALLGRARSGKAPEQRASPSGTRRRKLSQPR WMAQELPLGYELDDHDEDVPQSLLVEGHHEDLKSQLPPPPRSHNRSNRRKTPSSGPSL QPTGARWNEPAARQPPPSESGHPIGRWFTGQHPGLANVDPKKKAMWRWANVEDLDNFL KDVYIYFLGNGIWSILLTRVLNLLTFAFVVGFSTFLTNCIDYTKVRRSKTLDDILVPQ CTANMSGSSTFLLWLFSFFWIGKLFQYLLDIRRLKHLHDFYLHLLGVSDAEVQTISWQ EVVSRLMALRDSNPSTAAAVSTKHRRFLGSQSKQRMDAHDIANRLMRKENYMIALVNK DILDLTLPIPFLKNRQLFSRTMEWNLNLCVMDYVFNEQGQLRTLFLKDTHRRALSDGL RRRFVFAGVMNIFVAPFIVVYFMMHYFFRYFNEFKKNPGQIGSRQYTPMAEWKFREFN ELWHLFERRINMSYPFASRYVDQFPKDKTVQVARFVAFISGALASVLALASVIDPELF LGFEITPDRTVLFYLGIFGTVWAFARGLAPEETDVFDPEYALLELIDFTHYFPSGWKG RLHSDDVRKEFAILYQMKIVIFLEEILSMIFTPFVLWFSLPKCSDRLIDFFREFTVHV DGVGYLCSFAVFDFKKGTNVLSQAGPARRNPGKQDLRTDYFSTKDGKMLASYYGFLDN YGTTNQPTSRRPFHPPPTLPTLGSPTAAEFGALHDRLDHLQTRTGPTSGMPFGPQSMM GASKLRQMGGFDHRSPAPSMLLDPHHQPSTIGFRAAARTTPQQQQRSRLGRSKHPSTD TIDDEEEPSSQDGHDSTTRQSGARAGTSSAGAGTSDSNLGDSWRMNPPSRDEGDPAEG DEGENIDAIAGGGGVLGLIQQFQKANTEGRRTNVGI PEX2_104830 MLDFIYGCISGRSARRPHPSTDPRSPESIASAIVTKILNADEPY SLHKELNEEFSTDNWTDAIAQAILRGLENAIQSGADMARAASDAATQSRNAAVDFATD HPVYATLIALGILALLTPWALEVLGFGELGPIEGSFAAAWQRTHAGYVPRNALFGYFQ RLGMKRHWA PEX2_104840 MIRARRYRVLVIFAAAVVFTFFHFARSRDWSYTVIEESTSVHSP DPAHPNQPATPKLENPGAAAAIEESRNRVLPDPSRGSTSPPQPEKIISPVGPNSGSKD SGRFKDASSDTPPVKTPIKDGPKDSAKGSTKEPVKEPPKVGSTDSLSPPKTENINSGN GEEIDNGGAGRREAERPKPGVLTAKWRKFPERFPVPAEEVIKLPKEQSKTIPKLQAKF KDESSSDKQERLQRLSAIKAEFTHAWKGYKGVAMGHDEVKPLSKEFEDPFNGWGATLV DSIDTLWIMQLKDEFSEALDVIKNIDFKTSLRADIPMFETTIRYLGGLLGAYDISGHR YPVLLEKAEELAEVLIGAFDTPNRMPHLYYRWAPEYAARPHRASSRAGLAEIGSLSLE FTRLAQLTKRDKYYDAIARITNELEKIQDSTSIPGLWPLRVNAQGCSKYSKDIPPRDS SPPREQNAASTTKSTTTMTRKPYAAPTDLESYLKLIPRDINAELEGHAQPVNDTRAAS EASIQAREQNTLSADKCNGGLGLPNSPRDNGYTMGGMADSTYEYLPKEYLLLGGLNEQ YLNMYKKAATAARKNLLFQPMVKGGRDIRFMASTAPMTPGKVTELTPTDLEYDGTHLT CFVGGMFAIGAKAFGIDGDLELAAKLTDGCVWAYESTQTGIMPERFRLLPCEKGSACE WDQARFEAGVARYSRVDPGAGHSFRTGESSYEQRVNMIAHIPPQGSASGTVPIPMPGS LNPHDSDVLVKRDGFAVGKHAEASSIAPIQTPTPSEAEFQNRDAPRSTSSPASPHIAE VGKDHLPAGMISIPSPNYYLRPEAIESVFIMYRLTGDESWRRKGWQMFEAISKYTRTE LANAAINDVTAQKPVHKDTMESFWLCETLKYFYLLFSDPSVVDLDKYVLNTEAHPFLR PVA PEX2_104850 MEPEVSETEFWTVLAELQAIVSKPCDSEDEIDDALRAYLSLTTQ HKDEYLRSETDISRCSYKLFTSSIFASHADYVRRQILYGLLQDDDPITLHFIASFILF DGRQNEAVLQMLNEEGAFARLLELIQAMRRADLDGDAGLHRLLMDLVYEMSRIQRVKI EDLVLVDDDFIRCLFDIIEDLSYDVTDPYHYPVIRVLLVLNEQFMISAHDPVDGRPSA HLTNKVIKVLSVYGGMYKTFGENIILLINREAETSLQLLTLKLLYLIFTTPSTYEYFF TNDLHVLVDILIRNLLDLPEEASALRHTYLRVLYPLLAHTQLRDPPHYKRDGLRRLLS ILVRGQVSYGSDPEHEKIMHFEEVDETTRRLVARCATVDWLRNDEQPDAAEAQDTPIQ VTTTTIETVLDQGEQHASPIDIGEQLDISRTLSRSSTIASSPDTTSPTRMDSYSSSNA PGSRKHSLIHRLGMNLEPASASSLSVQAVAAQHEKPGIITPSRKDTVPAAALSDETPI IRPPKVKPEPPKSRRWRGRRLAVDEEEQHSTGTSSDGNTIPEGIEVSPTTVFISSTPQ SQTPTTDHRDSGLASTLAPPVSRPRRAVSNPPPALPPPRRSSHNTPSSSHHRPVVPAA GTGRHGQAPLPPKARRWGRGKPQHGQSDSVESGASSVSLSKESETAENTPVSTQQEPS PEGSVVSDPFSPKSPTLLISPSEATTDKVDGPADSAADKHAPLSVEEAVQNVSLH PEX2_104860 MAYLARTLPKRVITPSLTQSIRQTRALSTPTLQSTPTTRTPRTN QRHLLPHRTYHSALHARLPDHSYTNSQTAILTSALAHVPTHGFSATALTLGARDAGFL DVSVQLLPRGEFDLILFWLASRRGLLRGKVEEGGLFRRIAAEKGKDVHELGVEERVRG LLLERLRMNAEVKDVWQDALAQMSLLANIPLSLTELHALASDILTLSGDDSVDATWYT RRLAVSAIYASAEVVMTRDPTPDLVETAAFVERRFEDRKALADKITGIGQYAGFGWNT AIGLGRSWGLKI PEX2_104870 MSDHIQRERSRSPRRRSSRSPPRRTRRSYSPRSRSRSRGERDEY RRPERRSRSPLSASGAAAGPPGSGSPFGGRSGYPPARFEDRTVAKENMMQTVRDSSQQ DRRVYVGNLSYDVKWHHLKDFMRQAGEVLFADVLLLPNGMSKVGAYMIVEYATRDQAQ NAVNTLSNQSLMGRLVYVREDRESEPRFVGGPPRGDFGPGARGGFGGGGFGAPPGAGG GGRQIYVSNLPFNVGWQDLKDLFRSAAQQGAVIRADVHTDPTGRPKGSGIVAFESPDD ARNAIAQFNGYDWQGRPLEVREDRFAGGGPGFGGRGGFGGGFGGRGGFGGPMGRGGFA GRGGFGGGFPGRGGFGGPPGGHGGFPGAGGFEHGGAPPVPSGPPNPFTDYATSNGEKS AVIYVRNLPWSTCNEDLIDLFSTIGKVDRAEIQYEPNGRSRGTGVVQFDTPDTAETAI AKFSGYQYGGRPLGITFVKYMNVAPGPEEMMEGQESTVGLTQDQIM PEX2_104880 MAMAARPQTPSQDAYMIPYPNDPGALSRGYGPRSTLSRPNSFAG TSAYHYGSGALESSQVPHNPRFKEDFDTVSHRSSVLDSPAGPAGPAGPAGMQRSASTM SHVRSTTPSRSSTLRKKASLSNKGSLRRNGSRRSMRAGSVRSLSLGDREKYHTDGSDD VNSAFMTPIPTNGNPTEVLANRFGAWRKLLKDLILFFKEVQKSYETRAKLFLSASSVV NNQTLPPGLLQPGGLADATAILQNFHRQGYTEANKAVEVEVEVISQLTGLRSDLQKKT KEIKSLSGDFKNTVEKEIDGTRRSVRNLHEALGFVDTDASSTSGKGDPFILRLNVEKQ VEKQIEEENYLHRAFLNLENSGRELEGIVVSEIQKAYNAYASILRREANEALDTVEKL QAGPISMPQDHEWNQFVADTDELVDPRIPLRDVESITYPGKDHPAAAEVRAGMLERKS KYLKSYTPGWYVLSPTHLHEFKSADRVASQTPVMSLYLPEQKLGSHSQQDSSSHKFML KGRQTGAMHRGHSWVFRAESHETMMSWYEDIEGLSNMTGEARNAFVRQHVRSVSGRSI NNEVMEDDEADRTPYSAGSAVMTQDRPTSSRQAGGAFPSDVQLDRNLQAPLSPSSGDS SAGRDMIGAAGSYPDGSSAFDESLRPVGREGDSSYQSYRSNERTNERSRPKVAQHDSY YGDWIGPSVIVAKQRQSQQLENPNPDDREIRSDGDQASLAAISGVGIADRRDQSAPAQ IDRRESVSTAPTNTNATEFTNHTNPTSVEDQELELPKIQTLGLDKPSINQGPESDSAA KIPPNLLRSNTDNSVTLDIKMPGRYPRTNVAA PEX2_104890 MAHHDEDHSYHPKDAISAAMKATALTGSVGLFAAAVQNTLTKQN VGTLGVFVRGGGIITTFAAMGGTYEFIKTASANLREKEDHYNVALGGFFSGAILGLRV RTLPAVLGYGIALSSAMTGFEYTGGSLFGYKKDTSVDEFDRRTALRKAFQTPGEQTIS ELGEGRGIYGPGYEERRRERIKEAYGIEVPTSPVPAS PEX2_104900 MASVKRAGKTAPRLIHDVQLYDPAHDPDTGRNLLSETPPIYPEG YNGPPGFISPEACRHEYVLKEDQTFMSEPEHRRRPGTSSKVSAICTKCRYHLQVVVNY TSHMGALGQNQGKHLHHLVYKSGRQKNGLTLPEETAKGQVAETYHYQCSYISCSAMVS LRILSPILSPEFIRLMTDKELLRKRAEEAAAAYPESMEGMGDPHPINVLDNLRLYITN ALRNPQRSKPISSVNKRFMHSFGVEGAACKDLLEFLEFMYNKETGAWLPPKPTSSPEK PYQDTLRLFLDDLVHELLVLIHLRSVSERKGSQIPDLPCSAIPVFSCALEAQDCSYLV PLNPRKLDLTPAPDPTAMRYQEFEMAHAPFYEDLGVMEDMSSLAVVEAYNRQVSADSG RTPMYLSALKAIGFLRGGQDKVVIDIAVQTAYEQGKYAVEDVVNAYQYFNLYLDDPHL TEDSIIGKFYAFLSSTTQDTEARQQLWRIGDSRGSARIKAASEDRVSTVEQANVFLGV EDQTPDDFVMTMYTAKVNDNPLTKELAKRAVALIAESRKSVALNHFINTGEMIAGEMD IGDAYRLLQIPDRTVDDGAIIAAYTICIDENPGDAERYNQALTIIAKQLDSSTLRNMA GISNEPDRSMQDWPVGLQNIGNTCYLNSLLQFYFSIRPFRELVLEFERFQMDLNDEEN LAKKQVGSRKVTKKEVERSQRFLNELRILFRSMITSSQISVTPGQELARLTLISPSNE AAIRRRSTTTATRSEMLGDIEGAPVLGPLGPPQPLLGGRMEAVPSSSQDDPVPIQNST GSDHGSDATLVSDDSMNDAPGLFIEDKENNPPDLDQLSDQAEPGSPQDMNIDPDDSLS ANLPPPVPPRNIPQVDREKQLKEEVEIGAQQDVTEVINNVLFQSQCAIKPRGIGSDGE QLDQIKDLFYGQTRSYISTEKGTRSKDERWCDIKVDVAHGSRNIYDAIDGAFDIQKIS VDNSVAEQFASISQLPPVLQIQVQRVQFDPVKKCSFKSTNHLGLLETIYMDRYMDTKN PDVVDRRNQCWEWKASLKRLEARREELLRTKDGAGVDMATLFRRVKTALQDVDSFEND TETGAEVGSDSMNVVAGTGLLDELESLAHKAETDLQAVDQEIKDTQTMISSQFADFNN LPYRLYAVFVHHGSVSFGHYYIYIFDFDKKIWRKYNDEYVTEVQNVDEIFKNDSTSNP PTPYFLVYVNDGMKDRLANPVCREVFENMPNLPDLDQATAMEGVQPTSPAPDVNMEPP SYEEASTINGTPVLVDSNITPVDAEAVNPLKRKSVDDVKPTRPT PEX2_104910 MPSQVATCLRLARQFSANPAQHQRFMARAFSSSVRRSEINKVYP SAELAVKDMKSNSTLLAGGFGLSGVPDSLINAVLENPSITGLTVASNNAGVDGAGLGL LLKSKQIKKMIASYVGENKTFERMYLTGEIELELTPQGTLAERCRSGGAGIPAFYTPA AFGTVVQTGAVPLRHNADGTVAKFSEPRDTKVFDGKSYVMEESIKGDYAFVKAWKADK LGNCQFRYAAANFNGAMGRNAKMTIVEAEHIVEPGEIDPAAVHLPGIYVKRVIQSTTP KQIEKFTFAKEEGADTSALGKGDTANKRERIVRRAAKEFKNGMYANLGIGMPMLAPSF VDPSVEVTLQSENGILGLGPYPKQGEEDADLINAGKETVTLKPGASCFGSDESFGMIR SGRIDLTILGAMQVSAKGDLANWMLPGKIKGFGGAMDLVSNPSATKVVVTMEHTDKKG NAKIVKNCEFPLTGPACVSRIITDLCVFDVDFSHGLTLIEIADGVTVEEVQAKTDAPF KVADDLKPML PEX2_104920 MAKAPTLSPRSLQSRLTHILKHWPSDAVRPASVSVQSYIQSRLQ PADKSSPAISESSVNALESLLNNRYARKYPMPEKLRRPASNPDHYDNVVREFAEAPNR DWFGRVKKRLAGIIRLT PEX2_104930 MSSQRYSRVNAQDEEEGPHSYPLNARPGHNTSSPSSFHSRSRSS SPSSRRLLHNDPQHNDDEQTLADAFGDEDESDDDNEPDDRQRLMRADPDFRAPPDNGH TATASSSESRIDVQDQVQPALLRRPTMLPTFTPPGSGGSRQIASSNDGVFANLAAKPE RGEKNDDLPPSYEEAAADATPPYWETTIVAPGISSDEVYVDGLPVGSVFSFVWNAMIS MSFQLVGFLLTYLLHTTHAAKNGSRAGLGLTLVQYGFYMKGGSDSSSSDPGSSEYVPP PDPNSHNFDPDSVGEGSGPGGSGAISGITTSEWISYILMIVGWFILIRAVSDFLRARR HEQLVLQSPDRGLGVPVIAEGERSETVV PEX2_104940 MSTVPQGPVEARSLSSVTNIASNPPAYPRNPTHEKHEPLSLYIV RVPGSKDIFLSPLKPPTKSSVSAEAINASLYYLHVATPDDDTLLQEVEEEREEQAKLR KEALEKAGVDDPAQREFARLNNVRRKPVGGGGDLNPGPLLAPPQQDATAPPALPSRTI PVLQDATASPTLPPRPIPMPQVTAENVSFAGTPVANIQPPFSKNMPGSVSVESGGKSS TPRRPLPPLPPGEESWTNSAAGEDPSKRTSRWSVFAEQLQTRGENWKEKYEAKYEALS AGRHSLDSTRPILRPRSSHNRTGSPLGSPGQSPNRHRNTHGNPPSNAGFHITLIRRDP TSGTQWNVATISTPRMDRNTVDIEISTPGYNRFAGSNEMPSLSSLAANIPTGIGRLPN SAIPQSSTAEQPKEQPAGPRKFHRQLCVSKPYDDSIATDGSNGHTQDSPSPSKLKSGY YVFTSPWNGICTFTNSVNGRSLKCKHMIPTPGGFVPPNGEAEAPPAVTVAEIRFNTPF QAANLHSHAHHAIHKPHPSHLSPFTQSQIQNQSLPRLHDDNNSSLDGGPLHPSSSNNS HASAKRNSLSQLLNPNTYARPRAHTGPGSHPPPLSASTPTDSRANFSPSTLLRKTSMR AQRFARQSQFNPASQPHSHRSTSNSSGGDLDHDSDEDRLDFSLAREPAGGGLRGKSAK LGKLVIEDEGIKMLDLVVAACMAVWWRGYYY PEX2_104950 MADLQGRKVFKVFNQDFIVSEQYNVTKELGQGAYGIVCAATNVQ TGEGVAVKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHYLGTPNEETLARIGSPRAQEYVRNLPFMPKVAF KQLFPQANPDALDLLDRMLAFDPSSRISVEEALEHPYLHIWHDASDEPNCPTTFDFHF EVVDEVPDMRKMILDEVIRFRATVRQQSQAHAAQQQQIAQQTNIPIPDNQQGPWKTED PRPQEATAGGGYNPNDLESSLQRGMDVHHR PEX2_104960 MASESPKDLPVRPAAEAQANNASEAASAPPNDAKAKSKQAPSPD TLPEFMIERNNLFEELWQQYLEETKTRPHPEINVTLDIGDGNPPSSVPAKAFETTPGS FLRDVPKDLSANIVIAKVDGELWDLNRPLEKDCSVLLVPFSNPEAREVFWHSSAHTLG EACECHYKALLSHGPPTPQGFFYDMAMPNGEVVREADWKVLDTKAARIFKEKQSFDRL DVSKENLKKMFAYSKYKLHYIDKLVTGESSTVYRCGTLVDLCRGPHIQNTGKIKTFKI MQNSSAYFLGDQSNDSLQRIRGVAFPDKKQMAEHLKFLEEAEKRNHLRIGKEQELFFF DEVSPGCPFLLPNGTKILNQIQSLLRSEYRKRGYQEVQTPNMYDVSIWKTSGHWAHYK EDMFKLDVEKREWALKPMNCPGHFALFAHRERSYRELPMRIADFGVLHRNEASGALSG LTRVRRFQQDDGHIICKFSDIMSEVEGLFDFLQSIYGLFGFTFKLKLSTRPEKYMGSL ETWDHAEDQLKQALTKFKGNDWIINEGDGAFYGPKIDITIADALKREFQCATIQLDYQ APLNFKLEYQTDGSREKNTEVDAKEGEPKSDDLPPGRARPVVIHRAIIGSFERFLGIL IEHFGGKWPFWISPRQILIVPVMPALNDYAEELQRILQADKLNVDVDVSGNTLQKKIR TGQLAQYNFIFVVGAQEKESRTVNIRNRDDPATQNKGIMVPLEEARLKLRALRKERRL ENTLQASFYYSLYQHLNTLISLQTTFLHASMEGDKFPCPLAEELECDSTFSRKQDATR HVNQVHSRHFPCPRAGDLNCRKKFHSEDAANDHATSAHDEREPCPCPRADDLECKETL YSKTSAQRHANEAHKGIKWACPRAEELDCQLMFDSKMKAHQHSQRDHERVKWPCPHAS ELDCDKMFFSERNANIHAEGVHNPSRWPCPHADDLNCPNTYAFQKDAIKHGKYAHETE IVEWPCPLAEEEGCDVTFRSKRSAQMHAKVIHSDKETRKRFPCPLANEFNCKKTFSLK GNAKVHSQNAHEKLKWPCPFAKEGCTKDFTTKNGAAVHATRFHTDEGPSSSLRKAEGF PCPSATVFDCDRTFATSRHAERHNREMHEGAEWPCPLADEEECTLKFTNESNSIRHAH TMHGVKKRVKPCPCPRAKEENCPKTFSSRRAAKDHAIAKHGKFQPDQSFIAAWPRISL DIPLRDLDGEVIPDNIPQPSFMDGKWTCPHAGCTTTYLSPRRITNHYLSAHKGAHWPC QHVEQFGCEQTFVTIYEAKQHVDQHFVRPKWVCQYPRCLSQIQGRKQSQFHALSHYKL HVKRGHIQDGQCKPLLVLSSLPGDNVETEDEVGDSEDENDGDGEDSESESEDDELDES VGAEPTAEAAAAWLGSPPKKQKILREAAQSDGLIHLGLKCPGPERVVDGLVIGTQVCP HNAIISFETGTFYKDRLRDRVGLKARCAPCNGRFMFNEFLRVRFLEAGADQKTCHYKS CVGVLWEGSKLCQKHFLAWKPGLPGEDDMKKMKSLFDQATSVQWYPETKVMADIIRRI ESDNKAKIPASEVVNIDLEFSFYSQEVLQVGLADLAGNKVLDCLTQYGEGIIAPSSSR LSAPATWRQQNHAAKVKRYYTQDGKLNAKRVVEKLREANISQDTKFMSWASWGFDLSF LRDWLDDEGFSDVLPGDENLCLLYHEFRVNIKRVLGTNCYGGKSFPLSLPTFYPVIFG TNDPLSGRNHHALVDSQQLSRLTNLFVDLCKPPNKRGGIETLRLGKRQRGLEEYLPNL SIHNKRAKGS PEX2_104980 MSFRGRGNASGANRGGFGGGRGGRGGFQQSFGPPAQVLELGSVM HSCEGEMVCESVNPKIPYFNAPIYLENKTQIGKVDEILGPINQVYFTVKPQDGIVATS FKAGDKVYIGGDKLLPLEKYDSLSYSFFYTFTNSPPRFLPKPKPPPGAAKPKRAGGGA PRGRGGFGAPRGRGGFGARGGAPRGRGGFGGGRGGGFGGSGGFSRGGGGGGFSRGGGR GAPRGGGGFRGRGY PEX2_104990 MSRRTSTMASSNSSSGVPPSGDGGQEKQKMLLSSDHGHFSMVKA MHLADLITEMNVMSVFSSMRYCLGDPTEYGAIWAALAFMPFGLFFDFMDGKVARWRKK SSLMGQELDSLADLISFGLAPAAAAFALGIRTSVDHVFLTFFVLCGLTRLARFNVTVA VLPKDKTGKSKYFEGTPIPTTLSIASLMAYWVSQSWTHENIPLGLVAEGTIFEFHPVV LMFVLHGCMMVSKTIHIPKP PEX2_105000 MLFNTQGALAVSSVLLAATSAVAKDFKVLPRGSPLGTLQGCYSA IPGYGKSTSWTFQSSGWCLDHCAKDYATFALTKGTDCVCGNTMPPASDKVSSDKCSTS CSGWPDDMCGSTNYYSVYTTNLLDEVPTYSDPDSNTKSTTTTTKSSSDSKTTTQADES TTTTATSAGEIITETATSQPTSSSVPQNDIEEQTSKSKNTAAIAAGVVIGVVGLAALC GAIFFWWRSKNNKTSAAAGASGGFGRDSGPPSMSDSRFDGDYMAQRRQSNGSIDDDHD FSRRILQVTNPDR PEX2_105010 MTRGSTGRISPNHIVSVLNSPSISSSSSSVSSSSSPEPQRLAPK SKMASRKNNSRTNSPADATMPVTYTPTTHRISKAKKGKRVHACEYPTCGKVFTRAEHR RRHELNHNPEASYRCATQGCKKAFHRADLLARHMERHELESQSEQSLWESNTQKPIVP ESAIPRCMSMDYGISLTATSHSHSMSIGSLVAPGIHPDLTNNDCSLMWSGVDLPLLPR PGFHSQLPNSVDDSPFYSSPAETCPSPLSDATFSLPPHSSSSISSASVSIIDQYPKNI LKGEVTSSPLQMHTPLRWDTDAGMPPSHLVPMSMGENMIQPPVQCHYPSPPWPSADCL PYEDQVHSMHQFQPTAEHYDYTTTRAFASIQNQRG PEX2_105020 MPLNAKAVYSRENADYVPFASRRSTVHSTKGIVSCTQPLAAAAG HKILSQGGNAADAAVAVAAGLNMTEPCSTGIGGDMFCLFYNAKTKKVHALNGSGRYPG NASLEKIRKDLGLAPGEQGNMPMLNALAATTPGAAAGWVDTIEKFGSGKLSLEQILQP AIELGEEGFPVSELSSHGWNESENDIRNASPNFREMLKVDPSAKDGVRAPRPGEIMKN PTLAKTFRALAAEGKKGFYQGRVAESIVKVVQDLGGYMSLEDLAYHAEVGTQEVDAIS LKFTGQDIVSKATPGTDGEANQGVEIWEHPPNGQGIVALMALGIMEELEKAGKIPKFT EAQHNSAEYLHAVIESLRIAFADAAWWVTDPDVESVPSQGLLDRAYLSERAKLFTAER AADIMDHGSPAHNHCDTVYFAVTDSEGNGISFINSNYAGFGTAIIPAGCGFSLQNRGA NFSLQEGHPNVLAPRKRPYHTIIPALITNIADGSLHSVYGVMGGFMQPQGHVQVMLNM LAFGYHPQAALDSPRFCLAAPNEESTDRTVWVEEGISEAAIEGLRRLGHKIEVLSGWK RAMFGRGQIIRSYYDDGKMVYAAGSDPRGDGMAFPLL PEX2_105030 MVVFVDLDDAFPDGSAFLEKPFPMMVDPIASEPAELPTSRTSPN KTTDEVLNPNRNGFSAALSCYPIVKEIARAIDLNTLYALSNTCRQFHVNLAPFRHQLA RETLRCENEYIETLAEMLDSGSVLPDSVKSVIRLLSRPSGEQSRMTRGKVAKCARDMV GECRRCAKVNCTIKPPSQPALKNRIRRLCRPCGKAPLSSHLSYTTSDQHTPDSWDENS VAAIAFARNPCNCEEAVWLCTQCGMTLRSNDTTYRRVWAWRTRYSTYLGGGLGTGIGE GCQGVKCGRGESCLAAQEIELEVDCEADEGSGSGSDTSSRAQSPAAPPTFGYEGIGVS ADSHDDEEPGYFRQEIIGLGGVVKHKSKKRVNVGACVVEYEDERDTGNYLEREEKGLY RAWCGWCSRVIPAKSEQT PEX2_105040 MAGKKKNKNNKNNKNNNNRPPVGSAPPPEPENKDLVDSAPDPAA AAVEDALQDNKEPTAEPEKDPASEEPPAAEPSGDENPTAEPTDSAEAAPPAESQPDEA APEAKEEGKDEPAPDAEGAVEEAKSTEDAKPDDGAAPEGEAKPEEEAKPEEEAKPEEE AKPEEETKPEEGAKPEEEVKPEEEAKSEGDPKPEDDSKPEDESKPEGGAAPDDSAKPE EESNPEEHAKPEGGEDAPAGEDTKKEGDGNNDEGFPDFDLDVDPEAIAAEKEAAAEAA AEDALENAEDAQKADATPAPEEPAAPEPVVEVPKAEEKPAAKGKKKKGKKNKKAAGPE PTLDPEPAAEAASGPVAEPEPEPTPEPAAEPEPEPEPEPAAEPEPEAEPEKPADNPPE PPTPEEPPAAEPPAEEPPAEEPKAEEKPAAKGKKKKGKKNKKAAGSEPTLDPEPAAEA APEPEASPETSEQPAEADAETKAEVTPEPTEPPATEPEPAPPTAEEEPAKEPEPEATP VEETPAAETEAAVEEKVEAVEPEPVEETPKEAPVEESTPAEPASEPAPEPAPEPAAEP AAEPETAAEPETAAEPEPPAEPESAAEPEPAAEPAVEAPAEPEPEPAAEPEPAAEPEP AAEPVAEAAEPAVEAPAEPEPVAKPVVEAPAEPEPEPAAEPEPVAEPVVEAPAEPEPE PAAEPEPVAEPVVEAPAEPEPEPAAEPEPVAEPVVEAPAEPEPEPAAEPEPEPEPAAE PEPAAEPEPTPQPAAEPEPAAEPEPAAEPEPAAEPEPAAEPEPAAEPEPAAEPEPAAE LEPAAEPEPAAEPEPAAEPEPTPEPTPEPAAEPEPVAEPAVEAPAEPEPEPATEPVVE APAEPTPEPAPEPAAEAVVEPEPAAAEELAPPAPEPVESIIEAAEAPVAEEKEVTEDA PAAADPVEETPAPEPAVEEAPAPAPVEETPVEEAPVAETPPEAVAEPEVVPEEAPKEI PVETPADESAPVEDAPAEIPAPDESPAEPVVPDEAPAETPVETPTPEEALPETPPEEP TPEPEAARDLPAEDPPAEPEAAPEPVDIPASDEVAPDELVPTPEDAQPEVEMIDAEPL DESAEAAAARHSRRRRKRSSPIEGERRQSKTSSEGRREQLQRQKSEKNIFTNRWAKAL EEARRQHDEKSQLQRKQRALAEERERSGIPAPEKLKRTKSSSKEKVREKERAMEPEIE AEVIESRPRRRTSDTPSSQPLERARSTRTSSKQVSTSIPTPKPRAFLRYMTEGKSDTN GPLLRLNAAKAVPTIETPRRSSTSHSSGSGSGSGSGSRHDRAEHEERQSSGRSSGSRS RREEEPSRSERVERTESRRLRHSSTAEHGRSREERKEREREKDRVEKEREREREREHA REREIEGEKEREREKKPEGSSRRSRETREVRSHRRHRREESPPREESKLKGLWRAIAA H PEX2_105050 MHGQSETDGIVASSDSGEQPTIIADTKSEVDNDIHSLSGASSNT ADEEHDPENPPRLERQLTELGPPIKVARLKRRGLFGQLALVAEVEDPKTYPRRMKWFI TFVVALAGATAPMGSAIFLPSLSQVTKELNTTTTITNLSIALYMLAMSIFPLWWSSFS ERLGRRTIYLASFTLFVVFNCLCAVSDSISMLIVMRMLSGGASASVQAVGAGTIADLW ESRERGRAMGIFYLGPLCGPLFAPIIGGVLAQRWGWRSTMWFLSAFGALTLIFILFAL PETLTVQKPVMAEPDNEDTAISRPLSRVSSQQVVRSTARWLKTMRMVFIDPLKIILYL RFLPVLLSVYYASIAFGSLYVLNISVEDTFGKAPYNFSTTIVGLLYIPNSLGYMVSSI FGGKWMDSIMQREAKKANRYDEKGRLVLRPEDRMRENAWLGAFMYPAALIWYGWASER GVFWLVPMIANFFFGMGSMLIFSMVTTMLTEFMPKKSSEGVALNNFMRNIFSCVGTVV TAPIIDGIGNGWLFTILGLLGFASSSVIFLMRVNGPKWRKTLDAHLRS PEX2_105060 MQTNINPKEVQAHLSQYTGDKYVEGWASLWDKGDNLPWDRGFPN PALEDTLVKQRPTIGAPIATDAQGQSYRRKALVPGCGRGVDVLLLASFGYDAYGLEYS AAAVEACKKEENDNASWYRVRDQTIGTGKVTWIQGDFFDDAWLKEIGVPLNGFDIIYD YTFFCALEPSMRPKWALRQTQLLAPSPTGNLICLEFPRHKDPQAPGPPYASPSEAYVA HLSHPGEKVSYDAKGVVKHEPLREPSKDGLERVAHWKPERTHEVGQGENGVIHDRVSI WRRRN PEX2_105070 MDDLLSKHRKEQKDLQGRITQKKKSATKKTRKGVNDECEQMQRD LSEKQQAEIAQLNGDPTEDLENLNLEDDQPADDEDSKQDKPTEEPQEAEPTAQSTPGP SSASNTKKPNRQKARLARRAAEQAAQSAAAAEEAATQTNYRGNEQEVMDAVFKKLGLK EIEVTPDGHCLYSAVAKQLDESGLGLRPDPSRIVLQPSTQSRIDTVASPQHDGYRAVR AVTADFIMEHKEDFEAFMEEPLESYTRKIKLTAEWGGQLELQAIARAYGVEINVVQKD GRMEKIECGDSDSFDEEEKRKRVIWLAYYRHTYGLGEHYNALVKKS PEX2_105080 MDSTSLFNVKDKVVLVTGGAKGIGRMISEGYVTNGATVYISSRD AKACEQAAKELNALGKGKAHAIPANFYKLEDVKRLAEELGKREGKLHVLVNNSGSNWG APYDEYPSEAFTRVLTLNLHRVFDLTQLVTPLLEKAATPEDPSRIINIGSIDGLRVPS LETFAYSSSKAGLHHLSRVLANHLGKRNITSNTLACGPFESKMMAATLESFGDTIRAG VPLGRIGTPQDVAGSCLFLSSRAGAFVNGATITLDGGSAVSAKL PEX2_105090 MSSSDGRTRSGSSSTDPFSNPDVYYSKEGNINKFKSRRRAFSVG LSDYSREDINQFFGTLPSRRGSHDEASGQPRKFLIEVDETLAALLKQEDTDQNMQITI EDLGPKVLSVGTAASSGHKRVDVRGTYMLSNLLQELTIAKDYGRKHIILDEARLSENP VARLSRLIKNSFWKALTRRIDGSNIEVAGRDPKDWTADPRPRIYIPPGAPTQFEYYEQ IAKDHPELRLDVQMLPAVITPDYVMELNDKPGLLALAMEKKLNESTGETDLVGVPFVV PGGRFNELYGWDSYMESLGLLVSDRVDLAKGMVVNFCFEIKHYGKILNANRSYYLTRS QPPFLTDMALRVYERIKTEPDSKEFLRNAVLAAIKEYNSVWVTAPRLDPETGLSRYRP EGWGVPPETEPSHFVHILGPYAKKHGMSFDEFVKAYNSRTILEPKLDEYFMHDRAVRE SGHDTSYRLEGVCGNLATVDLNSLLYKYEVDIARIIRVHFNDKLPIPAEFRTPQTKDI ETESSAVWDRRARKRKQRMDQLLWNAEKGMYFDYDTAKKERTEYETATTFWAMWAGLA SPAQAAVMVEKALPRFEAFGGLVSGTEESRGAVGLERPNRQWDYPYGWAPQQMLAWTA FIRYGYQEEAERLAYKWVYMITKAFVDFNGVVVEKYDVTRPIDPHRVDAEYGNQGTDF KGAPREGFGWVNASYVYGLEILNAHMRRSLGTITPYETYHRAVVAQDAY PEX2_105100 MGLHEDEDRQFAAEVQAVQNWWKDSRWRYTKRPFTAEQIVAKRG NLKIDYPSNVQAKKLWGIVESNFEKKQASYTYGCLEPTMITQMCKFLDTIYVSGWQSS STASSTDEPSPDLADYPMDTVPRKVNQLFMAQLFHDRKQREERVTTPAGQRGNVANVD YLRPIIADADTGHGGLTAVMKLTKLFVERGAAGIHIEDQAPGTKKCGHMAGKVLVPIS EHINRLVAIRAQADIMGSDLLAIARTDSEAATLITSTIDHRDHAFIVGSTNSSIQPLN DLMVAAEQAGQNGAQLQAIEDQWTAQAGLKLFSEAVIDTINAGSNANKKSLIDQYLAA SKGKSNTESRAIAKKLTGVDIFWNWETPRTREGFYRYQGGTQCAVNRAIAYAPFADLI WMESKLPDYNQAKEFADGVHAVWPEQKLAYNLSPSFNWKKAMPREEQETYITRLGQLG YSWQFITLAGLHTTALISHQFAKAYSQNGMRAYGELVQEPEMEQGVDVVTHQKWSGAN YVDNLLKMVSGGVSSTAAMGKGVTEDQFKN PEX2_105110 MDYQAVSNAFVQHYFRTFDNWEARNNLTSLYRPESMLAWEGNQQ QGTQNIMSALTKPELKTIKTMISSTDATPSVNSGVLVVVTGSLAIDNAFDKPLNFTAT FSLQPIPGQPGGFFIYSHIFRLIF PEX2_105120 MAGLPEFKATSLDEIPARVSTARKAFSEHKTRDLEFRLVQLRKL YWAIKDNEEDIMEACAQDLNKPRFETNLGESGWLLNDIVFTTRNLHKWAKDEKASDID LAFKFMSPKIRKDPLGTVLVIGAFNFPFQLTLAPAIGAIAAGNTVVIKPSENAPRSAA VMQKIIEASLDPSCYTIIQGGIPETQALLTERWDKIFFTGGATVGRIIAKAAAQHLTP VVLELGGINPAIVSKSADPRLVARRMLWGKLLNAGQLCTSQNYLLVDKSLVPEVVEEF KKAYKEFYPQGAKGSPDYSRIVNPTAFARLKSMLDNTKGQILMGGTMDEKELFIEPTV VQVDSVEDSMCSQESFGPFIPILPVENLDEAISLANGVQSTPLGLYPFGNKADVNKIV SSTRSGGVSCNDAALHIPTLPFGGVGESGYGAYRGRSSFDVWVHRRPITSTPSWLESL LAIRYPPYAGKISKFNAASALHPDFDRNGNKLSLGWLRYIFTLGGGSAKAGAGRAVAI AAIAYVVLQILERRSAKL PEX2_105130 MSGEIDAVYIYDEQNAPLIEHVYRSRPPSASAILPLYLAHAEPR PSLLYIPSASPPVTVFSTVESNLLFLAISEVDTEPLLALEFLHRVIDVLEDFVGAPLL STKIQANYDVVAQLFNEMCDGGTVCNTEPNALQEVVEVPGWMGKLLNGIGVPGTSTPT LGSTNPLKQSLAAASASQGPAIPWRRPGVRHTSNELYVDIIESLSVTMAPSGRLLSAL VSGTIAFTAKISGVPDLLLSLSAPGGQHVLGRKIELPVFHPCVRLARWKERPGELSFI PPDGRFILAGYEVDLLPIDPDQDEPPSHMEKLFLPAIVDIRKSLGPSGSEFEVRLTLN TNFPGQSSSSRPGATRSGSGTSTPSFLGGSGSGSSTPALEEVVVSVPISKSVRHITDM QASRGDAQFTPSSGLLEWRIPTGKDAGTLTGTATLRCSVSGYPSADDDFDDSVEDADE DANANLLQGYYEAPTSYNDASASTSKKTRSSDPSKRKKKKKKSTKKSSRSAALLPEDA EEDPVAATSPSPNPSIPHTPTPPLQSQSQSNSHLPAFFAPSSTSRPTRRTKAQVNASL MPNSAAVSFSVRGWLPSGIKVDSLNIDQRRSRGLGESVKPYKGVKYLCVSNRGVERRC PEX2_105140 MDTQTKDAKQALAEDKYDDCLSCRVTGSAAFMGLGVYSYYTGMA NLRKQEKAIMQGPTKYKMGSRKLGIASISATLVGMGLWRAFN PEX2_105150 MDSMRSLNTSLPSSTPRPQPPEQLLQQFKAAALSVTNLYKNAVC EQAQARATGYQDAIEDLLHFLDRENLGLGDGEGWKVRQWATEKCDGTGSQSSDEDAEA DKRDRSATPATARKEKPAPETIARQTPTSAPAPTSKPESITPPPPPPAQLHETPSFAT PAVFTFSAGPTYPQCQELDMDVQSSDNSSGTMQDGAPVSVSVMPRNSRPHHRHNNHPR SNARLSPRESPASLGSKRKFTFPDFFDLSGLNNGRDASGGGKRGRFT PEX2_105160 MAPALASDPVSQADTPMTDTNDDTVPFVPVDSVDAQMTDSDTNP NTTASSVAGDAAADGRRRRSEANHLRKSILGKKHGRLDNTKEDDSIRRFRYLLGLTDL FRHFIETNPNPEIKQIMAEIDRQNAESEEKARKGSKRSGGAGSERRRRTEQEEDAELL QDEKQGGDSTTVFRESPAFIHGELRDYQVAGLNWLVSLHENGISGILADEMGLGKTLQ TISFLGYLRYIRDINGPHLVVVPKSTLDNWKREFARWTPDIDVLVLQGNKEERQQLIN ERLVEEEFDVCITSYEMILREKSHLKKFAWEYIIIDEAHRIKNEESSLSQIIRLFNSR NRLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSNQDSDQETVVQQLHRVLR PFLLRRVKSDVEKSLLPKKELNLYVPMSEMQRRWYQKILEKDIDAVNGAAGKRESKTR LLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVFNSGKMVILDKLLKRMQADGSRVL IFSQMSRVLDILEDYCCFRDYNYCRIDGTTAHEDRIAAIDDYNKPGSDKFVFLLTTRA GGLGINLTSADIVVLFDSDWNPQADLQAMDRAHRIGQTKQVKVFRFITENAIEEKVLE RAAQKLRLDQLVIQQGRSGQQAGKASSKDDLLGMIQHGAADVFNTKGGEGREISEDED IETILRKGEERTAELSKKYETLGIDDLQKFSSESAYEWNGKDFTERKKDIGISWINPA KRERKEQFYSIDKYYRQALATGGRTADTKPKVPRAPKQITVHDWQFFPPGLHELQEKE TAYFHKEIGYKAQLPEGPEEELSEREAERDLEQQEIDNSVPLTEEEQAEKARMSEEGF ATWNRRDFQQFINGSAKFGRTDYKGIATEVDSKEPNEIKEYAEVFWRRYTEIQDYPKY LRVIDQGEEKVRKIDHQRKMLRKKLEMYRVPLQQLKINYTVSTTNKKVYTEDEDRFLL IMLDKYGVEGENLYENIREEIRESPLFRFDFFMLSRTPVEIGRRCTTLLNTVAKEFEP SEGKSRGRDREEEEEVEEAPPAKKKAKNGTVPAKQVKATKGGSKTASAASSRAPSAAA TKSKSRKRDTPRSSHPSSNFSNQTWPTPTMTSRIKLIANPHYKKSGTKSYLHAMRKYR FTPTKDGPYFLGTTMVQSGRQFTDKPVGGRARLHQVLQKKDVASDEVGQVGAHDVQND SMYLAEVAIGTPAQTLSLDFDTGSADLWVWSTELPSKTLSENKNHTIFDPTKSSTFKE KDGSTWQIKYGDGSSASGTVGNDNVNIGGLVVKGQAVEVADRLSDQFAQGAGDGLLGL AFGNINTVKPQAVSTPVESMISQSDIPKSAELFTAKLGSWRDSDEPDKGESFYTFGYI DQDTVKASEAEISYTPIDNSQGFWLFDSASATVNGKSVSRAGNQAIADTGTTLALVDD ETCQAIYDAIPGAEYDNDSQGWIFPSNTLADKLPVISFAVGDKQFVVQKEDLGFAEAK SGYVYGGIQSRGSMTMDILGDTFLKGIYAVFDVGNLRFGAVQRKELHQNLSVPSESS PEX2_105170 MIPEKQIPTTAFVVEKPGAPFVLQDVVLDEVRASEVLVEMKYTG LCHTDIVVQQGAIPIGDYPAVLGHEGAGIVRRVGSGVKDKSLQEGDLVFLSFSSCHEE TCNPCSKGRNGFCGQMTPINFAGARGLTAAESPISFPGGKGPIRGQFFGQSSMSKLAV VDERSVVKSPSRSGITVEDMAVLAPLGCGYLTGAGTVFNVLKPTPTSRFAVLGMGAVG LAAMLAARSQGVETIIAVDIVDAKLELAKSLGASHTLNTKSVSDLAQGLLDIFPDGVD CILDTTGVVPLLEAAVKALGHEGTLAIVGVARAGSSLNIDPLALMMACKRVVGVIEGC ANPAVIVPQLIDLYKQGKFPVDKLAKIYAPSDFEQAMADLHSGSVIKPVIKWADL PEX2_105180 MWRNWMISEDREFVTMLSTISRTGLNGISRVISSSNSTVLAIRH ASLNSAIGEGIRRSQTVDRDNRRGNESRFPKRERQYEGQYEGKYRSRQYEKSGESNLK DGQTNGARQQYGRSDQSNSNDRRTYGARQYGEDKYERSGFNSGVQRNYGQREREYGAK KAWTSDGVGRKDYDRRQSPWNDNSPFPRQSRKEEKFEFDEDEFIRSGDFRGLPREHQS RFQSRFQSRTQDNPFTQSGRGRPSMDEPREKEPARPRAHRITNSMPERVKDNVKVPDT IPYTTPASEFVYGTSAVEAALRCSRRQLYKLYIYQSTEEELSAAKVTIRKLALSKNIA VKMAFAGWDRLMDKMSAGRPHNGCILEASPLPKLPVRGLQAVPSISEEYFRTELAPQT REEAAVNGTDDRIRIHHPSPPPHETEQPHRYPIVLLLDGVVDTGNMGAIIRSSYFLGV DAIVLAGRNSAPLSPITIKCSAGAAENMPILHVKNEVDFIQRSQQNGWRFYAADAPTP GSVHLDHISADGDQSGAKLPSTTAPSVIMMGSEATGLSSHIKSHADAIISIPGARQSS ILGVQSDPARIDSLNVSVAAALLMEKFLRTPMTVGEVLKKEKSTGKNTGKEKMW PEX2_105190 MSQSRDSSPASDDPDAIAAQLLAQFQSASSRMTPAIATKGSENA LQSVQQITGNSEETPISFEIVVPVVNNSEDYEYLPGHFEVHHILAVDMHEPKLIVRLR SGERETMTIKKLKSLENGPEALREFNRDSQSPDPLAMDDEPISHLIYSGGDGPGDYDS DMDWGIARRRQRRGPQISYDHFFRTGDEDDDDDYQSRREDSSVDIEDSEESSNEDEDD PPTKRRHLRRGVQKGRKQRTREWSEQSSASDTGKRASSRLRNTRRRNMKERLEDDKYS EPEAEKPKQQKFSGAREQFRELPHDNEFRQCHSQSCAQCGYGDHDLEKGSLVFCQGCT VAYHQGCLGDRSSRKHLVTKVDEGDFILQCSQCLGIKHQEHDMRPHLGHCAVCRDEGP MSQPLRETLSSQTEQQLREANDGIDPITHVDMSRVNNIDNVLIRCLGGSQSCKRAFHL HHLPNITENNRADIDPDYWQCNECSESPSGKTPIQAIVAWRPKNADVKVVPHLVEMTP EIDKEYLIKWNKMSFFRVTWLTGDWVWSRAAAVQMKAFLKSDRSTNPIMRTAEAVPED NLRVDIIFDVEYHSEPESPEDRANTEMVKRAYVKYKGLPYEDSVWEEPPSQTDTACWE DFRTALLDRVLREDIHPPRPKELQRRLRDVRREEFDNSLILTAQPALVTGGKLMDYQM DGVNWLLYMFFQQKSAILADDMGLGKTIQVITFFSALIEKLDCFPFLVVVPNATVPNW RREIKSWSPDVRVVTYYGSALAREMAREHEMFHKNGTLCCHVVIASYESMTDDGGKRV LSSVNWAGLVVDEGQRLKNDKSQLYERLRRMKFGFKLLLTGTPLQNNIRELFNLVQFI DPAYNAEELEAQYGGALDKEAIRELHDMIRPCLLRRTKAEVLPFLPPMVQIIIPISMS VVQKKLYKSILKKNPQLIKAICKKQTGQLKKAERHNLNNILMQLRKCLCHPFIYNRDI EEQTADPQLSHQRLVEASGKLQLLNLMLPRLRERGHRVLIFSQFLENLNIVEDFLTGM GLQYCRLDGNLSSREKQQQIDQFNAPNSPVFAFLLSTRSGGVGINLATADTVIIMDPD FNPKQDMQALSRAHRIGQKNTVLVFHLVVRASVEEKIMQKGKTKMALDHVLIDRIEAD EDEEDLESILKHGAQALFNDDDSADITYDTPSIDKLLDRSQAEQAEQVANEGSSNQNE QGQFNFARVWQKDQGSLEEVTETEDTPVDVTAWEKILQEREREALEEANRQAEGLGRG KRKRGAPRYNTIIDGVDDDENPSPIRQPPTKMRKAAADNDYEFQQPDGDETETGSEHE AEPLHTVDGGVMPMGTAFDGDKTESDPAATMGIVKDVAVGPNVYAEQRAARMFRRVQS PPPVTPMGTNGTQDTFPPCVACQQHHIPGRCPLHHAGVEFCGLCGLAHWGVRRTCPHM QSGVQVTRMLEALEKSTEAPSLVLSAKKYLQGILKSVARTARDERKKASLSAQAGPQV QLTSTSVGGVSCANVIDLTEGSAES PEX2_105200 MDPPKGHHQRTHRPEQAFAEMKQDPIATPQAMPKTTQEFKEPVI SGRILHPRTGDAPVKTPDDDHEQAKDSLIKIDKPGPDMATRFEDQKVATGVNRKQSSE DTDIPLAPKINPTGPSIKVERPDPDLAASIIKQDAATDVKEDPSCEDTEMPLAPKIDS TEPSIKAEKPDPDLAADLEDQKATTSVKHDPPCEEDTKMLFASKLDPTEPSVKVEKVD PDLAASIGERNSATGVKQEPSCEDAKMPLAPKLDPNELTANVDHSDSKCSLSPFDSKD LLEEKCTPSSLDVSDIKPQGQLCLLCFKQWGGN PEX2_105210 MNSALNVGEAIEEKKRFYDAYQNVSGEISQPSAGPSTSSLQSCS QNTERMLYFRNQCQKNADSLKLRKREYYRKNADRIKSRRREYQRKNADRISSRNREYQ QKNADRISSRNREYYRKNADRIKLRKREYQRKNADRIKLRKREYQRKNADTLKSRTQE YQRKNADRISSRNREYYRKNADRIKLRKREYQRKNADRIKLRKREYQRKNADTLKSRT QEYQRKNADRISSRNREYYRKNADRIKSRRREYQRKNADRISSRNREYYRKNADSLKS RYREYRRKNKERINLRQERGHKTKEDLPIQEFKAQPKEEVRLKEEFQLKEEFQLKEEF QLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKE EFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQLKEEFQL KEEFQLKEEFQLKEEFQLKEEV PEX2_105220 MATPSRPQFFCTRPDGTLTPLVAVDELPSNVTIRGVSRTLNAGE TQGMTSCGLATQRSEPWSVDGVAKSSDRESGKEALPDMHSLLLQILTNKNVPEAMRAS AQAILFQGVDSPRGPGGQGTPANGLSPIAPTFYAKNSQVGNKHTPSSKKEYCSYWIRH GECDYSQQGCLYKHEMPTEFHMIEKLGLRDIPRWYREKHNVQSFLHPNRTQLAIAPQQ PMRALPSPDSPTDESCAGKMTAINANPKLNKSPPRGPANRGGYNGFVNHNQHRGGGRT GAPNGHHNNNWKGTHRGGRNRTMGPTRHGINGEREGERSGECSPGMESAPATYHYGSH GYGGNQVCTPTTPAAVPATVPITVSTTSHVAAPMAAHQSLLDDGNSLNRNAYWKLNEL TDCNQDVFEEASNKLAESYHRIQPRHMYQNSSNPSSDGGVMLPKEPAVTYDPNFSAFA RVEIPSRKQSDSSGSHSTVQMSYGPSTPHIGDLQLADNVPLNLADTCVTWGPIGGPIL KRTSPPVANIAHMFGSYSNSPRSN PEX2_105230 MASAARLLTRPARQMVQRGLIAPSARHFSVSPLNRVQDDPVIPP PPKELRAEDYPPVPEYSVDLLTKEQRSMYDLMSPEDRTAFDEENTRMVAEFNDPRKRA AIFDEIEQSVLQIEKAEDLRYEEIRPRRPGFWAEDEPDELVNILEDGDEEINDDEITS LAHAEMELHREMREYARITAWDMPMLSKLAKPFTLPPQTHILRFRYTTYMGEQHPAEP KVVVELASQDLTPKYLTEAQRQTFLKLAGTRYNPQTDIIRMSSEKFGSRAQNKRYLAD VVNSMIKEAKEGDSFADIPLDLRHHKYKTRLQFPESWNMTEARRSQLAARRKERLAAE ETRAALVDGNSVVSDAIKALPSLNPALQAKATDERERVAVKIGARKKVARR PEX2_105240 MKLCFVTVGATASFQKLLEQILSSQFLEILAKRGYTHLLVQYGK DGQQVFQNFIDSDQPHHGLTLGGFDFQPSIDAQMMMTVEREALNQERGLIICHAGSGT VLAGLRLGVPLIVVPNPDLADNHQQELADELEEGNYVISSSVKDVGSAIGRAETQKAE VLSMRTGNTSLAEKILYAPSIQLPPRQSSESPILGREAVYTRACPSPTSPPIHTTLPA MAEATLHNVPIVIDNGSGTIRAGFAGEEIPSCYFPSFVGRPKHPRVMAGGLEGDSFIG SRAQELRGLLKIRYPLEHGIVTNWEDMESIWHYVYENELKTLPEEHPVLLTEPPLNPR AHRDIAAQLMFEAFNVPALYMSIQAVLSLYASGRTTGVVLDSGDGVSHAVPVFEGFAI PNSIRRIDVAGRDVTEQMQLLLRKAGHVLHTSAEKEVVRMIKEKVCYVSLDPKREEKE WMNSYHKSDAKAMDYVLPDGHKIKIGQERYRAPEILFDPELIGLEYPGVHQIVQDAIT RTDLDLRKSLYLNIVLSGGSTLCKNFPDRLMREIKKLAVEDMKIRISAPAERKYTTWI GGSILAGLSTFRKMWVSADEWHEDPEVIHKRFA PEX2_105250 MPSSNYRVVEPHPSVPHAGRPAVYTGRGGCGNVVNLKNTKTTDS RSATGPASLARLDSRIPTTFISGRGGAGNVHSSTERAIFSFDEELERDLRQAAPVYHV GRGGAGNTMYRDDCSNSSLSRKYSAASTATNSSTGSVADRARDMARRGLEKGWGKLKG TA PEX2_105260 MATAVPATETDELAASIENLMVEPEILISLRDGDLMGCSTTSEA AAISVSLDALAGFHSNGVFVFKDPQALEAYFSNTSSTRATATKLRLVPMHQCITNPIL RMHIATKMAEEINARLTLPPVNRPSPQYPIEPKGYEFVELVNDWRAACRAAPNEHEVE EIIFDLSGASRLCPSYISRLLQNMSTVLAVKAGGPFHSRLRDDESYQYQENVVKGLAS NRLYVELMQVEMQQEMIQFLSTLTTIGMGVFFPGI PEX2_105270 MSTNVRSERLAKYFGAVIHGKQEVQDLSNFKRFIEAVLDKSNPG IVVQQIISSKSALEALQIGLRLNLTPVFINGYTAKLIQYLNHREVKLLCNGQFLEQLL LIILEPRILWGAFLEAFRTRKLDEHAIQALCWLMTELLSLPASRGMDIRADAQTILDD GSLFSSSSVDIRNSGHKIKYLLEMKSPATTLQHSEITAGGRHDNDFADFRLTAIFPTA DEMGCTEKPFYRRAEDIAALSSGQRIAGHVDNQFRLLREDMLSELRDDLQIARGTKKG RRSAFHLRNLFLARIRCTSGTKDRLRPCTIGVTAQYGLEKLTSLSVDGRKDFLKNAPQ FVKHRAFGCLVRDTNIVAFATIEREIDELVANPPVVMLRIAGEEALKKSLLYLKLYQD VEFLLVDTAMFAYEPILKCLQERIDMPLTEELFLYERDQPVQDSSLAPWKVVKKLKEE YGCNVQGTLKTSMPVTLDSSQLDSLLAGLTQRVSLIQGPPGALLAKALHDNSKDKILV MCYTNHALDQFLEDLLDIGINPSDIVRLGSKSSQRTEPLGLFKQHSSYRRNQTTWNTI NSLEAEGNEQKDKLHDSFQAYKKLGANAESILDYLEFEEPEYFEALTVPEGENGMIMV TKRGRPMKKNYLYGRWISNQDPGVCSGLLPARCRDVWSLDQKIREEKERSWKRALLSE QAESLGVRIALFNKCQTRLSATLGEKNREILKSKRIIGCTTTAAAMYSEDIRHASPGI VLLEEAGEILESHVLTAMTPETKHLILIGDHQQLRPKINSYSLSTEKGDGYDLNVSLF ERLIHAGFPHTTLSKQHRMCPEISSLVRKLTYPDLEDDQKTKNRPQPRGLCDRVIFFH HKNPEDVFVEVSDRDDENSKGSKRNVFEAEIVLKIVKYLGQQGYGTEKLVVLTPYLGQ LSLLKQTLSKQNDPVLNDLDSHNLVKAGLMSQAGASHSRRPIKLSTIDNFQGEESEIV IASLTRSNNTGDIGFMAAPERLNVLLSRARNVLILVGNSETFVSSRKGQKHWKPFIDQ LKSEGHIYDGLPVQCEQHPQTNAILRTVEDFDRECPDGGCSTPCGVKLSCGVHECPSK CHQLTDHSKMKCMKIVKWTCPRGHCLSLSCSQVKRSCRFCIQEDQVKERKRKRDLELE KERQRKQNEYAQQLAEAQEEASHLRRVRRDEFDDTERARVLEQHRQEIEDLRNPPRPV SPPRELSSGTTVRTPPTVTEANSDSSDTTTQSRSVANDTVTQRASAQPTNRQVMPPPK ISAAKRDWDYQKQFYNAQSQEIDKLIEMIGLESVKTKFLSIKAKVDVSVRQNTDLSSH RFGSVLLGNPGTGKTTVARLYAKFLSSMGIIPGDKFIETTGSRLANDGVSGCQKTIET LLKDGGGAIFIDEAYQLVGSSFGGTQVLDFLLAEVENLTGKVVFILAGYQRPMEKFFA HNPGLPSRFPHELKFEDFEDVELMQILVGWIEKTYKKQMKVEDNLGGLYCRIVARRIG SGRGREGFANARAVENAMSKVSERQAARLALERRQGSSKIDDFFFSREDMIGPDPSQA LKSSNAWKKLQTMIGLDAVKKTVEAILDTMRYNYQRELDEKPLVEYSLNKVFLGNPGT GKTSIAKIYGQILVDIGFLSNGEVVVKNPSDFVGSVMGESEKNTKGILASTLGKVLVI DEAYGLFAGGTSDGAGSKSDPYRAAVIDTIVAEVQSTPGDDRCVLLLGYKDLMEEMFQ KVNPGLSRRFPMDQAFVFDDFTSGELDAILNLKLKEQGFGITDRGRRVVLEMLERARN RPHFGNAGEIDNLLNTAKMRYQKRLSSSKRPGSVPDSILDAPDFDEDFDRADKKESVA KMFEGVIGCESIVAKLEGYRQMAQSLRRLDLDPRTQLPFNFVFRGPPGTGKTSTARKM GQVYYDMGLLASSEVVETSATDLVGQYVGQTGPKTQQVLERGLGKVLFIDEAYRLAEG HFAKEAMDEIVDGITKPRFAQKLIIILAGYDSDINRLMSINPGLTSRFPESFQFDPLS PEDCIKLICELLLKEKRDLLSKSQAQFDLVCLESPDFEFTKAMSQRFDRLSKTAGWAN ARDVGTLTKTIFGKTLQSSSGKKLVLSKDTVLEALDSMINERCSREVYPQSPPSTPIK MGDQTDLAVRTQPLSKPVTKLESSVNGDMASSKESDMMDSETDKSTTTTIRDNGVPDE VWHQLEKDKALAEAKEKEYMRLKEEEKEQQKKMLKLKLEEEKAARELQDAKRKADEEA QRRHEEALRQHELERRRQEAILEKLRKQQEALEEARRKEQANQMKLRSMGVCVAGYQW LKQIGGYRCAGGAHWVSDAQLGSS PEX2_105280 MSSREKGRGRRGGRGRARATEISSPAPLGDIIVTIQHNEIESPA PDQEDDISRITNSQYLTSYNWLGGDKSRIIVPGEPPKWTPLSNPRKLQQDKGKYYRDR NAAQFPTYPLEPMVQAILADKPEFPVTSVDIIGCGNTMGNLLRFARGDGKPFRILVEV LGKTVFFIRRENSPTETIPGIRGYGHTFPEAYTRWGPNVDGSQSHQRVVEYEFAGMQC LVRYEADGFLPDLVPDPEEIEEDPVPVPDPKEESINPEEMLPSIEEKITSDVPSVSTE MASKQLDIAIQGQRIPQCAVFDLKTRSRSKRTVKVLEEEFPRLWLTQTPNFVLGHHTS GQFNHIRVQDMRNDVKQWEETQQPALGKFASLLQMIVAFARSVDNGKIEIERKEGEQV LNLREQGGAVNGVLPPAVASKWDV PEX2_105290 MSVRKAHNSGRNHLRNVVDYYQQIGQEKAQSVIDSITSSYAAEG QQAPNMMPPGAFPPPFGFPGMPGMPPPPFGIPPPGAPGAPGMLPRMSHPFPTPPPPLK KQRQAYPTYTGPNQTNQYPHPTAPGAHGLPFPPPFPGAAGTPPTGGFPPPLPNMPQGA NLPIPPPGGFPNFPIPPPGAAGFPPMPGQPGMGPGGPSQIPTGPRGLEGYPPPPGGGP PGGMDQRW PEX2_105300 MRLISLLTCFVAFLAPLASAVQLLESNALSVCMESSNFTATYLN VVFYPGNNSLEVSFDGVSYMEGKVVAEVILTAYGYEAYKSTLNPCAISGMGMCPISAG PMDLGPLSLDLPAGTSDKIPGIAYTVPDLDANVRIVIKTLDTNEKIACVEASLSNGKT VNQAGVSWTTAVISGLGLAASAITSGLGHSNTAAHVAANALSLFGFMQSQAIIGMTAV HMPPIVESWTQNFQWSMGIIPVGFIQTIATWYQRATGGTPSTILSQLGDTSVEVLKRR KRDFADPAMNLLKRATGPMIVKRATGMVKRSSDTSQKLLVVRGIYRVGFRAGMEDTNI FLTGLIFYAVFTCIVVIIVTAFKGITDLLVKSGKMKSDRFQDFRNGWKTVLRGILFRL TLIGLPQMSVLCLWEFTQRDSAAEVVLAAVMLLSMLVSLGWASQKVIRLAKRSVTMHK NPAYILYSDPSCLNKWGFLYVQYRATAYYFVVPFLIYTLIKGMFVGLGQPAPIVQTVA LVILELAMLVAVCILRPWMDKKTNVFNISIAAINFLNSIFLLVFSDVFNPPGMMIGVM GVVFFVYNAVFSLVLLILVLIASVYAVVSKNPDTRYQPMRDDRGSFIKDQTQLTTELD ALGATARGDMKPGQYNNNPFDDDTASISSGNGATVGRQMESTYPPQSNYPPHGSAAQA PRSPVDPSVPLFPSNASGHHNPPPGYDHYGRAPSPAGRSFDNTSPVGQSALSTTYRAQ NSASPWQRGAGYDH PEX2_105310 MPGPVDTAKSINKRKRKHGSKAESDVAKPVEAKSSPAVTPKKSV ASTPKASAEKSTKKRKVSPSPSAEEESDDEAEVAEDVEEVDAEEESDTEDTEAVNGTD LPTMEDVRLPQTEGKLQKFTELNLSEKTMQGINDMGFTTMTEIQQRTVPPLLAGRDVL GAAKTGSGKTLAFLLPAIEMLHALRFKPRNGTGVLVVSPTRELALQIFGVARELMAHH SQTYGIVIGGANRRAEAEKLMKGVNLLIATPGRLLDHLQNTQGFVFKNLKTLVIDEAD RILEVGFEDEMRQIVKILPKEERQTMLFSATQTTKVEDLARISLRPGPLYINVDHSKE HSTVAGLEQGYVVCEADKRFLLLFSFLKRNLKKKIIVFFSSCNCVKYHAELLNYIDLP VLELHGKQKQQKRTNTFFEFCNAKQGTLICTDVAARGLDIPAVDWIIQFDPPDDPRDY IHRVGRTARGAEGKGRSLMFLQPSEVGFLKHLKDARVPVVEFEFPASKIVNVQSQLEK LIGQNYYLNKSAKDGYRSYLQAYASHSLRTVFDVNKLDLVKVAKGFGFNAPPRIDIQL GSSLSRDKKQEQQGRRTYGSQPKHGAGLKFKRKHND PEX2_105320 MGVNTRRPILPAPTESIIDTTGDSATGTDLATDISRRTDKTSYS IPDDGSPITVSTRRQRDREEKLSRSQHDSHTSLLIEYFEGGKGSSGIVSRPSVRVRVT PSSARKSRDRKDHLQITESSGSRKPSYTHRISLPSPSKQKQLESGATDDHSVASNSAE EEGHHSSRREPVEIEFVNRGQESEMSSLSQDTRYMQMSSEVSSMPPDSMINASSAGPR RKRSQSMERGSPPEDEGLLKTPHRQRSRSLSHERIAHRVAEKLAESPQDASSGKHNGK GGNDYLEAETKPSRRRRHKYADEDIISPASSLLSTSAVSSNRKSDQYSIRSGASKSSI NNPKLLETVEDAIRRLILPELKELKKDQKVMTNTSKFDRDMATSYSSGTSSRDELGRR LSKHASAPDVMKPKVVLNKDSKDEGIVLSPETAPRNKERKSSKSSESSDMAVRWANRP DYTEQDKIRRQRSKGLRDAHAAARVGSALTAASLKHHNSNSSLGKNERRRNSDSRKSA SRKSTESMNYNETELVFQKHNVAPMPMHSEIDSELTRNSLLSERTASPTPEKQASYFD VARGSPRQAMSPASRTPTRNSVDSRYELGMRHGNQSHHNISVHSTSERDLHHQSQSPG TDSGNWEIAAAAAANLLDAVQPGHHEREGDYYDESTSRGLSPIQSLASDHTETHHDHY SAHGEQHVDGKNEIEPRLSIDSLSSAPSTNLARSTRQGTSSHSQSGIFKQHSQESPGL GYEHSRQGSQDNGFYGYDEESRRSVDDGDSEVDFMDKVKEAQPVTTGIAANPKFMHPM AVESAVASLMDPSVLESQISSTNRSQTDLGQRPGSRSPEKTGSEVHRGSPLKQRQDAF NADETSFPRRMGATSPPQSVTQSVEDINDSAMMAANLPREMESPHADAESQESESEIN TNPSIIQGPIGGVAQADHWGYDSRSPPADHYYDTQIGGSKGLNAEQQIGLDAEYYQTA QNIFGGDDYSAHSGDNQPRPLFGTPPGAKDEGYVSAANPMSPSIGTPKQGSRGPVGAD AAGMGSFDSPAGADDPFASGHERHFSGYSHGVGSPLYDSATGRGIERIQSQDIVALMD HLTVRDAQRNARDTEILVTLVRSAAEMRTSFEQMKRFIADQDDLIMDTSDRGHERTHK ALGGPRPLPPSASRNRQLNTADEEAKRKSIFKRALKGLSLKSGSDLTKIEGMLEQLLG EVEALRSGQDGLSPHSGTRMGSMEPSGYETPGPNGVPTETSRSPYGSSSSRPVNGQRR DSDQRVSTVHEVDEDLELDEGDQFLTSHLPVRDAPHHERSGSLPLDTPPRKPVATSAR STETTPKARKHKSSSSSIFPKISRWSKSTATSMGDGIRNSIQPSRKERPTSDESRSGS DLAPLGQYKYYDPQGDDRIRSTYTLDDEQDENRPPSPLVPSQVSEAPKYRGHRGSLEL QHPQPRQGPTGRYQSRLENEAKIYGGPISPTGSDQWESNPSLSAVNPPQNRNSGVSGA TRLSPISDAGYSETSSRATRTTGPPRPPKVKDAGPLIPERPPKVADDEEPTYSGDRAV SRSSAIRSPPTRKPTGPRPLTSAGSYSPGNINRTRYRGSPAQIDYEDEQY PEX2_105330 MQTKLTTIRHDGIQENTLGSLRLRHHETNEIILIPTPSRDPNDP LNWSRPFRYYIVIVVCLAVFFCNFLVAGPTVAIVETTVDFLGPKGLDFSSHISKIAFF YSTTALCQGIGMFFWMPLIVKYGRRPIYVISFILYFATSLWSGFARTYGVELAGRIVM GLAAGAGECLGPLTIADIFFLHERGAVMATYTAALSMGAAGGIIVDGLIMISHDWRYI YYVGSALLGAVTILVIFTFPETTYNRHIEEAEVAVPDDGRLDKADRLESTLSEYHETV VHSDPRAKKTYIQSLRLFPGTFTSEPLSRIFIRPVILIMLPPVLWASLVFAVTIGFLI AITSNFATAFSTAYNFASWQSGLCFSSGMVGSFLGIAFGGHISDWSADWFTQRNGGIR EPEMRLPSIFIGGTLAPIALILYGVGINNQLHWMVPTLGLGLLNFAIVQATNVAMVYV IDCYRPAVGEVTVSILAFKAAFGFLLSFYTNPWIDMEGYSKAFGEMACISGIIMLMGL VFYLWGKGIRQKTWKWRLMRKYGHWDTDREVGE PEX2_105340 MQIIWRESSDDATYEEARVGRVFNHRRPERFPLAVVKATCEDDI VAAVKLAAERNCRVAVRSGGHSWAAWSVRDNSILIDLGNYKFLDVDPARKMAIASPSM TGREINSVLVDQHELMFPGGHCPDVGIGGFLLQGGMGWNCRNWGWAPGPILGSSWRWT RHAQLGFLSMIFANSTLSPTGFPGIVTKFHLDVIPYPKRGFRSSGYIYPISMYREAFQ WVLDISPGFDQDTEIAAIAHHPDSDSAMVFFVLFVTMKNEPDEAEAALRSAQETRPVG TIEEWFCQEDSLEKQYINQGEKNPEKHRYCADNAYIQNDADVPSVLEEAFTTLPHKKA FSLWYAMNPCSRRKLPNMALSMQSDHYFALYTIWEEEKDDTRCLEWVRNVMKKVERHS VGAYLGDSDFQVRKSKYWSDENATRLMEIRRSFDPQGRICGYLDQGDLSGTNGLDNAH QWKM PEX2_105350 MDNKTLSGKVAIVSGSSSGIGAAIVRELSTRGANTVVNYPFSHL KAEADGVAASLPCPSVVVEADMSTTAAPQKLVDAAVARWGKIDILVNCVALAVNKPLE EQTLEDWDLLVNINGRGTFLLTKACLPHLTKGSGRIVNIVSISARGPPPNQTIYAGTK GMVDSFTKCWAKELPPKYGCTVNAVSPGPTKTEGFAAAGEEQMKLLQPIIECTPVGPR MAEPEEISFAVGFLCEERARWINGAHIVASGGLFID PEX2_105360 MSLTPVHFFSHGSTMMLGEESESADYWKKCGDEALAYGIKGVII MGAHWGCSGNNRLEVAMNPRPAKSPVAYVHPSKYIDYELVPDLDSGRKCIDLLSAEGF DVHANESLEWIHDTYLILIRMFPDRCPPTTIISTNVQYDPHFHMRAGTALRPLREQNY LIIGTGGAVHNLYRNVWGPMLRYGDNFSQETPPEKWALDFRQAVEDALTNNSGPALRR AMTRLMKHPHFRDAHATDDHYMPAVFVAGAAGSEADLGTTGRLMAETWELTNMCNSQF TIGEWPQVS PEX2_105370 MASPRAPPNSMPTNLFQCGTCSQSFTRIDHLGRHVRSHTQEKPY RCSVCNKRFGRVDLLSRHSALHDPHRESATTKRRCTEGNSAPVRVSQACEGCAENHLR CDDEKPCRRCQRKGIRCSLPTGSVEGTLPTPVTRLETKSPGNDGNSSSPLQQPQQPQQ PQQPQVVGGPCAPLGISNQIESVNPGNLSQLAASRATDVGADLTARSDAVLGAYSAVL PPDQDDSSPDLSPITRGGLVAFGLETNLDLSMVDLSFLESYNARAPFEYEAVTASTLA PLVHAVENEASQPDHAAREDQSVQRLRWRFVPVPQDHGYAEHGNLLLGGQVGPDTPPK SLRNLDMGPGPDNCLDLASRDKILSIMLSQMPQPFSLNAASFPSPELLDRLIRYFLTV PFSSAGAWIHRSTFTPNQSRAELLLAMAAAGAVLTPDLTLRKLGFAMQEVVRHRLPAV FEADNTLIRDLELHQAFLLCLEISLWSANSRKMEISESFRYPLITMLRRRGRFDAARY PLITVHPVDTDQILEDKWRLWIREESIKRLVYQLWQHDAHCSMMLLTSPLMSYAELSL PLPACPALWNAPDATQWKEIFCAQQARSQYVSRQIRLTECVLNMDLLESHRHMIDMRL SCTAVLHALWGLIWEYRQMSLLTSSSSNSSNSHSSTILGHSGLRSGGLLMASRHQQLT EMLNYFGIGYKNENALYWHSTLMHMHMSLEEIQLFAVSFEQSESVDRIPPAIEAWSES KEGRQAVWHAAQIIREIRALEPQYLRDFTAVALYHSTLVIWAYGMGVADLTGTTTTKV PIWLDSPETEHVQRFISFGRGQPMLHGDTPEVSAVDLCDPTTVLTLTLRLMYHNHSGP DAHEPPLVKNVVHSVQKLLEVTTWSRQIIIE PEX2_105380 MAASLRAVREAVLDGRMHNIFTRRTQLEALQQTLLDRADAIQDA ILADTSYVASEAAVEYLLTLNTLKEYHKSLNIDRALQDEYAIARGEDAANRRDPVGIV YIVPTSYTIFYSVLVAVAGALTAGYTSLTLASTKLSNSLQVLPVLIKKLLESSLDRST IAFVSTPATDEDLGPQHMRLHQDDHRPGKVVAVVDRTAHINHAAEVIVAARFSFGGRS PYAPDLVLVNEYIKEAFLMALVQASVSFLPSAGAIQGSERRQGKEDQEERGGLRTVSS LGRGKIVEADRLDPKALPDKPTDGRLLVHTVRSLDHAIDLSNMVGNLRGAYVFAHEKS AKYLAQFINADVSFVNHIPVEVLVGPTPPEQVALDRSMRYPTTIFSVPRPHYIRPTLL SQSVTDILRIKSVSTLRSTLALQSSELVSPAQRPLGGGVGFFEQGILIGLGLFSIPML VGVSALGYWTWNMVQKHGSRM PEX2_105390 MLSSASTSTTATASAASIRTARLRVRGYPADYDVYESNVATSNS SSQQVGTGATAGEIRAHSPAGWPDDYRRIPPYRPINRHLDQSQRRVYTSLGERAFLTI MFTGLEMNVMANRLWKVTGERLSENLFRYKLGGEF PEX2_105400 MPNFIRDIQKTDTTSFPYIFEQNVTVRTKVAGGLIRCNVYRPKT DARFPVIVTYGPYGKDIHYEIFHPSSFAEVNPEHHSAHSAWETPDPGFWTRHGYAVVR ADERGLGQSPGVLDTMSRGTSEAFFDLIEWAAEQKWSSGKVGLLGISYYGGSQWRVAA RQPKGLAAVIPWEGMSDYYRDRCRQGGILSNGFIKFWWNRQVITNQYGGPGRAARNWG PDTIEGDLPKEELAANRRDQTQDNVANRFRDEPYYASKEYTMSDIQVPVLSVANWGGI CLHLRGNVEGWTWAGSPLKYLRFITGRHDLPFYYHAEVEVQRSFLDAFLKGEDREGWS VPGKLAPVSIILRKGDVGFNDAKAEQAYLRRSENEWPIARTQYTPFFLHPDGRLTIDE TAVKDLPVTKISYPALGTLDNPQLLRVETEPFTQETEITGHIVAHLNVSVTPYPDSEI IPSDIDLFLTLRHISPAGQEVFYTGTAGDPVPLTKGWLRVSLRKTDPNHHKHRAWLPH RNYVSTDVEPVIVGDVYTVDVEIWPTNVVVERGGKIVLEVASGDTQGCGVFQHDSPID RSKEKFHGLNHIHFGPQFQNYVSLPIIPPKDD PEX2_105410 MMDFPRVALVTGASRGVGAAVARTLAAQGNTRIVINYHKNATAA EQLITELTKLRSQSSDGTVGNQCPWFTAIQADIASRAEMTRLVQQTVDRMGRLDVVVS NVGWTRMTDFMNLEDADNEADWDRCFDVNVKSHFRLFRACQPYLESSEGVFIATASVA GVKPSGSSLAYAVTKAALIHLVKSLAVIAGPKIRVNSVAPGVLMTDWGQGFPAEKIQA VQEKNVLKRLATPEDVAEHVKFLSMSRSITGMNAVIDAGFSL PEX2_105420 MDDNALRSVKRYITNHDANGVTGFQEQIPEALKWQQMANGALFS LAYATNETPVQLSDDKDLSVYKSNLEDLPGITIPGGTVLRMVDMNPGSISPMHRTVSL DYGVVLEGEVELILDSGATRLLKRGDIAVQRGTNHAWKNPSATTWARMLYVLQEAKPL EINGKALQEDYGGGMDDVKPSK PEX2_105430 MPARFLKSKQSPVPGSAQVDVDSIVRSVIDDVRANGDAAVRKYS EKFDKWSPASFKLSQADVEASIAQCSAQTIEDIKKVQHNVRAFAQAQKDSLKDFEFES QPGVILGQKNIPINTVGAYIPGGRYPLLASAHMTILTAKVAGVPHVIGCTPPIAGKVP HATVAAMHLAGADEIYLLGGVQAVAAMALGTESIRKVDFIAGPGNAFVAEGKRQLFGE IGIDLFAGPTEILIITDETANPFTVATDILSQAEHGPDSPSVVITTSEHVARESIRII DEILKGLSTADVAGVSWAQHGEVIVVDSIEEAWKLGDEYASEHVQIFTRRPRDALDNM TAYGALFLGENTCVSYGDKVIGTNHVLPTRKAARYTGGLWIGKYLRTVTYQEVRNDVA SGELGRLCGRAARVENFEGHARSGDLRAHLHLKDQFAWINTAKGDS PEX2_105440 MMTTTNGITAHASDETRPLKVLIVGAGIGGLTAAIALRNQGHDI QIFEQSHLATEMGAAIHLAPNANGLLRRLGIFAEQFGANPMERLTEYSATGELQRVLD LTESNKKWQHPWLLAHRIDLHNNLKGIATTATKTHNAIPLRTGSRVAQIDAETATITL EDGSQFQGDVVLGADGVHSVTRSAVPGGQVKAECRGKSAFRFLVSKEVAMNDPITAKL VQHPGELSIWYGSDARIIMYPTSHNSVLNFVVIHPENKSAADTDDSWGQQGNLEKMLQ IFDGFDPAILRLIGKADPQSVKVWKLLDMDLVPQWHHRRLALLGDAAHPFLPHQGQGG GVAIEDAISLAVVLSPGISTTEVPERLKLYHDIRHERATRIQGYSRIIGEDRTDDKQL DMYSFTNFNFGHDEWDNSTQRLREWTWNQIPNPYWRMPIAFGPMPGPRQTHLGVPRDG TKSTFTTASIKFKTSRTVLQNLLPPGRKGWRFTSPGTIAYASFSQTTLNKMEWLGGSG YKHIGLYIHGVEYVKDDGSVIQGTYLPILFESLTDPIVSGREELGMPKLYTSVDVYRR SSSYRIRTGWEGALWGNFLLEDLVEVDPSTTTGALSGEADAGILAYKYLPKSGRANKN IPAEEYAIFDPFSKAVPTPQPQRVYTTDKASIQIDALDWQQLPTLYHIISRLAEVPVF EIMGAKVVEGEGVPDVSGAGPIE PEX2_105450 MNHNKPTHEEVERVQTEDDPKALKHQTLGHVRLRHEHTNELILI PAPSLDPNDPLRWSTPYKIYIATLVSLAMIMCNFMAAGPSVAMVEIATDFKQGGDTTL TDWIARAAYFFSNSALLQGVSCIAWVPLVVKYGRRPVYIASLILYFFMILGAGLAKTY VGELTARTILGIGAGAGECLAPVTMADIFYLHQRGYGMAIYNSALSAGVSLGIIISGL VTISNSWRSIYWVGAGLVGGLLIVVVFFFPETAFTRTDSLDNVHTLEDTPHKESYWQT LKPWSGKTYTSEPVWRMFVRPFGLILIPPVFWATMVMSVTIGFLVAVVSNFATAFNTT YGFEPWQSGLCFIAGIIGCFLGTFAGGPFSDWVADVFTRRNGGIREPEMRLPAIIPSL IGCPLGLVLYGVGIAERWHWMVPTVGLGLLSFSITQGTNVSFVYCVDCFRPVTGEVTV TQLAFKSCFGFLLSFYTNPWIDQSGYKGAFCAMAGISVAFISGFIPLFFWGKAIRQAA MRWPFVQFVFWEVDREVGE PEX2_105460 MFYQPGVTEHNLPHDPFKACVVPRPIGWISTQNKQGQANLAPYS QFNNLTFDPPYVMFSSNQTVNGTRKDTVVNAEQTGCFVWNLATWDLREAVNISAEQVP YGVDEFERANVTKEPATLMDVPMVRESPVKFECEYHSTVRLPGNPPMGTVDVVIGRVI AVHIKDEVLTDGILDIKKTKPIARCGYYQYTVVTETFDMVIPGMSEDVLYGLEGSAKR NREAESRHK PEX2_105470 MTNTEPHLCFRSFVEALKADNDLVEIDSPIDPNLEAAAITRLVC ETDDKAPLFNNLIGAENGLFRILGAPASLRKSSKDRYGRLARHLALPPTASMRDILDK MLSASTIAPIPPNIVSTGPCKENFLEESQIDLTKLPAPLIHQADGGKYIQTYGMHIVQ SPDGSWTNWSIARAMVSDDKHLTGLVIEPQHIWQIHQMWKKEGRDVPWALAFGVPPAA IMASSMPIPDGVTEAGYVGAMTGSALDLVKCDTNDLYVPATSEIVFEGTLSITDKGPE GPFGEMHGYVFPGDTHLWPKYKVNRITHRNNAIMPMSSCGRLTDETHTMIGSLAAAEI RKICQQAGLPVTDAFAPFESQVTWVALRIDTAKLREMKTTPKQFSKRVGDLIFNCKAG YTIHRLVLCGDDIDVYNGKDVMWAFSTRCRPGLDEIFFEDVRGFPLIPYMSHGNGSPV QGGKVVSDALLPCEYTTGKDWEAADFESSYPEELKQKVLANWTKMGFREE PEX2_105480 MSAISQGEADRVLNLKSREREAHACYPCRKRKVKCDGLQPCSTC QKRKHPEICTYALTHSRRRSASRHSVARSASPRSPTVARNEPARRDDNSKDYVYSGDN SVVSILRLRASDANESVAREVGSVLGLRNTFSNYPFMDSKTPLERWKALLTVMPQRSE VLKFFHFYRVTAYPFNPILADIDRFESDLCTYLNAHASGELRDPEKISERWATDKSIG HVSLLLATLASGAHYSDIEYPERLELSTDFARRSFHALRLANFLFRPSLDIIQSLLIL GNALQNMGQSDAAWALLGTTIRLAQTMGLHTERSTVHWPEQVRMKARTLWSTIVWQDS LLCLCYDRPPIVSVAGWSLANSFFERQDLSFAEVMHLLCRISLDIMRQETYSVAEFDR ALEGLERLDDVRQRGLPYLRSRENCTTLQQHLEHLALRMHTSFCVSVFCRPAMKQSTP QPFLPHAGILRARAKGSLTDASKAFLDFQALSTVPLRSWSMVHTVLSSTLLLCIWEET RNDPECRNLQQKVIDVFSSSNSRINNDGASFSESDSQWLSDRHIRALVTLRSALEREQ ETGATETENWAGMASNMVPQFGPGPVGSMDMPDPFDVSPVTYLDSIMNLPMFDYTQEN GFL PEX2_105490 MLSLLSGAQTPSSSRNSPGGSPTETTMPTINHAPQQTRRRRIVV AMTGATGAILGIKVLIALRRLNIETHLVMSKWAEATIKYETDYHPSNVKALADHVHNI NDMAAPIASGSFKTDGMIVVPCSMKTLAAIHSGFCDDLISRTADVMLKERRKLVLVAR ETPLSDIHLRNMLEVSRAGAVIFPPVPAYYIRAASVDDLVDQSVGRMLDLFDLDTGDF ERWEGWQTEK PEX2_105500 MDQRPFAVFPISLLNTIFLIGSLSWLQLLYAVSYLAQPFWNTRR EFPPFNRAISRTETRIINTFLGTVPLSAYSSATAERQWYLSSGNHALNHANNTCTTSQ PIPNSLAVSAWPQQGYQDSDSSSSEQESPSSQPDTENPQVSSPIYETVRKLRSSTRGS ISKIASSAKSIFRQPLTVLPRNLDGSAPDEERAEPDLVPVPVRTSQPHFIHNAAFPVP MSASTRVADHFSDPGPSIGIKVQQPVSFGATIDAAVDSETVQMNRARKYHSVESYPGK FPVSDALDYSSLATAYAVRGRGTTSVRPFSDY PEX2_105510 MSAVACKDLRPRKFAPLGSTGTSNAPLLKGIVFDVDGTLCLPQH HMFSEMREALGIDRSIDILQHIRELPIADQATAVSKVQAVERRAMADQKPQPGLVRLM DYLESRGLRRALCTRNFEAPVQNLLNNHLNGHIFLPIITRDTPNLLPKPDPAGILHIA SEWGLANGENLIMVGDSIDDMTAGHMAGAATVLLLNERNVHLKEHPHTDLCIKQLDDL VDILDGGFAGTRENDEHGP PEX2_105520 MAKSSDEEADSRTALVGAPRTRSNPRSTSRSASHDSSRPAKRQR RNRNKKDSDLADFVPKGVAFSATSLPVDDPDNTSSSGSSSASSEDSDSDADKTTVANP HAGNTAPAISWNQGRKAAVRTTLGKRSAPTNEQPTQFEAVNDKYWRSRSASVSVNGED KPTTNDQFQNDDELEDGEIDSKSDTDDTDSLGSEADDSILLNIGDKMGMDGANDYDPA TLAHQHASTTGNSNLKGASAQTGPGSKEEAFRLFSIKYPNAPVALVDLSQADLEILAN VNTAVPGISTKAVFAPTGDDANDAANVATTSRNAVQNYAISHTKCLVTIVGMSTWKLN AILYGGFQSGISTPTKSQSQSAVPIAASSSFTLKGIDPDMITNLNTVIPPRESRPPSQ ASSRGRNRGWSPAPSPEEDDMMSRVSRGRGRPVPAPRGNTRGSIKFASGVGSKRGGDP PPRGPSRGRSTFSGNNNHRARSPNPPLPRGPPPPRGGGRGRGPQRGGGFSRGPRGGGG RGRGW PEX2_105530 MTSKQGRRRRSSSLIYQEPAESIEHTSDQAALPNLNANWVNSKG AWTIHFVCIAALKIFYDIIPGVSQETSWTLTNISYMFGSFLMFHWVRGIPFEFNAGAY DNLNMWEQIDNGDQYTPAKKFLLCVPIVLFLLSTHYTHFDLTHFTINFLATLGVVIPK LPFSHRLRIGLFSDIPEES PEX2_105540 MYLLSWLFKNPPLPMATMEEVPYRSPVPLQWELARQTEIFLEDS LWPQAYSLLFNVLASGTISSTKAVIPLPQHLAVAGTMLVHPRTTTRAESEYEKEAPNA ALRFLRLTNSLVGPMDAKFNLAFGFTHFESSRQGRRRGESPMVVEDGNPDTRPLNTKF NQDSSVWSCAEDFWHAVGWAFNCSVLHPARWERWQIWLQFMCNVLEDDWKEREKKYLE AKENQRDASVWSEMSEMSQTSEREGSQGAEPAKKESRKGRRHKTKVVEVHDDLGIFRE SLIFRYISSNATAGRNRRIMRAIFANGKSNLGEFKEVFKDELRIPIPDQDPHNTKKRA GDINLDKDDYGDYLNVSDEDLEADRSSTSTSPPAKGSNPGKPRRSKRTRRGTRNAMDE ATDPVKMPKASQTPSHESNIFLLGGYTSLALRQQLLSILSNVSEKLPRDFMPLDNLYD MFVENIRDLSLPIFQHFISPSNLPHLLPEAHSTLCELLLFVLRESSAPSSDDNYLTQA KLEKCFLPYAAATPSVGNNAKVSLLLEALMTLLYKSKMLSVTPSLAKAVQSGIARREQ TNSRDRKSVEWAYLQESGFRMKFMVEHILP PEX2_105550 MASVTSLDKDLRNMRLSRYTPQAAAEVRDWIEEVLHEKLATPDL LEGLKDGVALCKLVNLVVSPGVKYKQLSAPFVQMENISHFLRACQLPPLNLPPHDVFL TVDLYEAKDPAQVLQCLAAFSRRANALAPNKFPRTVGPQSKRGVVSPNATGSSSTGAY TPRSARSSSNVGDPARTGSPAKSSGSYSTWAKKGDEQDTTPAWNIHQYGYIGGASQGN QGVAFGARRQITTPGPAVPSLAEKEKRKREEDERIRQQNAEREEADRAHQRQIAEEEA HAKAEEERRWEEETARVREQERLKVEAEKKRWDDEKRQWEAEEQRRMAEEKEAEDRFE QERQQRRNLNDNRLNGQFLSQYQASKSQASDVAGAVEETAQSRRIKELELELQLAKER EHQYETERQVQPGKTGDQPQPRSQSRPHPVPPKPSYDLSSLEQERRLLRTEWQNTQDM PATSEAEEPQPIEEQAPPQPPRPLPVPKPSSFSASPALPPRDLPASPRPLPDPVAYNA NRGHESRVDSFLSSNPAPKSPTPAAHRFQDYTSTSEVDAENSRRAASQQKTKAGGWAS KSLLEREMERERARQEEWAENQKQTAAAAGRGNQDGTQGSGPGQSWDVHQYGYMGGDN QNRGGVGLGVGGARRQIIGPRPPR PEX2_105560 MVNSLWFKWKKLRLPWRKSFLVGEDLAGNTFWEFKDVMNAARFR RIVRFDPKTHFSDVQVTPQWHQWLRHVREHPPSIQEQQQDLVRQAQIKQLARLADERW ASKASVLDKPKTQQQPPATQINEATLNQPAKADPAQKIPASTASRETAPKAKPTPEPK TQKEENPWAKAKKTNPGEEWQPEAWSPSSKR PEX2_105570 MSGRAHGDLPIHGGRGGDRGGSRGRGDRGSSSRGSDRGYSGDRG RGSDRGGSRGGRGGSEPPSGMLTDPIEAPNARVHALEDRYVVESAKARPESGSLARRP GYGTQGRPIVLRANFFLMEFKPNVKFHSYRLKIKPEPKKGQQMFVLQSMFRKYPLFNK GVGIATDGATEIVTTELFPNNREPFVCSMGGGSGQGSSRSKAYTGPWEATLTLESSFS PVDMMTCLEDVSHRGEIENESPCLRVLNILMSAYPYRDSSVAIIGKGRNKFFRMDRRK QSMEMRGGAEAVRGYYSSVRLGAGRIFLNLNVSHGAFFNECLLSDILIAFVQIYGNDR KLIGRFLKGLKVHALHLEARENGSGVKEKPVRTIFFVATPEDGCNGPNPPRVVREASS ADNVQFWMGDEKKGKYVTVSEYFYKTYNKKLKYAGDMPIVNVGTRDRPVYLPAEVCEV LPGQPFRPEPSTLQRQNMIKFSCRRPPQNYASIMTEGLDILGISEGHTKAVGIKPGKE MITVSARILNPPNLLYGGKKTTNPRNGSWNLINTKFSQGASIGKWTCLWLRKRGKDIE EVLKDPEFEMDAFYRKLRDHGLSLPPPSKPYLQVLLGSDDRDNREMIKEAFKKIMKEF PFLVVLLPTTEGKIFDYVKYAGDLKTGVLTHCMLSTKFKGANEQYLSNNAMKVNLKMG GCNQLLQPANARFIGAAKNTIVVGLDVTHPSSTDPEIFPSVAAIVASTDYRMGQWPGE VRAQTRRQESIEFLKEMMLTRLNLWQKSNSGNLPQNILVYRDGVSDGQFAMVLVDELP KIQAAAKAVYRGAMPNITIIVCGKRHNVRFYPTNAKDQDRTSNPINGCIVDRGVTRPI FWDFYLQAQAPLQGSARPAHYIVIHDEIFTNPKVNTDRKPADMVQELTHSICYLMGRA TRSISYSTPAFLADKFCDRARKYLLAYYYENNQQVHNEDKFKGSTLNMASACQNSMVY I PEX2_105580 MFAQPYDHSFNDLFNQYVNMENSAADGKDSALSDFDQLFPLDSL SSDCGDLPPTVSTPKRHQSPQPWSNEWSLQNDGPAVDPFAFHDTVHPSAISDINLNNF EVPSRPTATHGLSTSPSTPPATPRRKPTRSALITPKSIRHRSPNERRSHLRKQSFSPS LMRSSNLSKARMAYPEVWAQQIQNFSLHSSEDRLPLSPPPSDVLIQHENMPTEQIMNQ PRDSAEMPPQYDARLYHQSPSVSMPSPNIAMSARQQQHYIAHPSSSTLTNSSPSSADD MFSSSHSSDPHSLSSWQSDSLHASSLSFTPDLQGQDSQWWSPMPSRVAQQQASYLTSP TPVRTTQSVGSQNDIMQGGLMIQFNPSYDMSAEHPFSSSNMLPATSQKFDTSFTTSQV HNVSRSPSLSPKAGTSPRDTHNGSISKPTHRRTHSRKLSGQSMNAPKPAKASGSSSRG SNKSVSVSFVNFTAHDSKKILTGVAPSGSSKTKARREQEARDRRRKLSEAALRAVRSA GGDVEALEAVLC PEX2_105590 MDSPITEKMSNSTLHRGSWGSVSSTFSNLEMSFSHDSCYGSDEV SVTSNGYTPAQSGASTSTTKPTLRPFLKSILKNSTISFDSDEESGSESGYSSDGIDSE YDALSDESDEEDDGDDSDFSVWDETNGDVPETHEDHTESFDDAFISFEENSVRFDPQV EYIDSMEVSDDEASDHQMTCHEMMMLARDSKGTQTLLDQLDKMDIAESDKTEDCHPEF VHKSTNQPEEFSRDAVDLDRDLFVAYMNGIHGISASKYETYLRVQVDHIRLGKETELV DPEGAPSLYLDLVSNHVTGTFCNLLAEDELNELIRLRAEEQTGNQTNSSSSTLDLDHH QALLNKIEKFLLDRLASGRVEIGPDELSFFAGGIAHDLGTKPLPAQV PEX2_105600 MQSTKAPDFLDTGAASRSRSPSVVSSDSQFSRVNLMSPPSVTPS PAFISPSAASEIISADQEFNAVDFVAENEETGASATALVTPAALSLLNGFLDNLLFNI LASSKSTQLACIRPAIADVLKPRLAKEVVASAEEELSEYMGGADDEQTEFRGGQQPGG DFDLVRSWKLTRLRCMVYTRLGDMEEDDEDEFIAQDSLGETDGAPRRFTSHVDNITPA ASIFLTSIIEYIGERALVIAGETSRSRLSAQLNNGDEFSGSGERKRIDRLVVEDLDME KLALNATLGRLWRTWRQRKRGTTLSRTLSRESFRPRGYSLANSRKSSIVTIEEPMTPN EPLPVAIPPPFDPAAVPLPMGDYDVQEIEVPGYIGDLDGEIQTMEAVVAHKVRPRSLM VFSSPSLVPKSSGSANSSPVSASAIEPSKSIRHVRTRSLPNGPPQPESPEEEPESPTD HPSPTASEKKQLETMYEDDESAEYVDAAETTSCIAVTEEHEYTPSFEPAAEASENTRM SILHEEEEEAPPAVSETVASLRAIAQDEDAMTDQSNSTRVSVVSLGDRPNRKDPEVIE GTGLRENPKLTSAIHRPTRQSSKDASLLNARSISDQTSDSEMQNVAESQPPMQGVETT PAPPAPPIEAVTSFIDHHATDDDQNNMAMTTTPPIRPSEAYTEMLKSSKSHPADTTRP TSGSGNSQRSKTRPRPAPLEVTTSNRSSSASASAIERAAVQRMSRPSMSSSIISKTRR SGSFGSARENTRPVTAGSTTSQVSTKLRGIMSRPQGESGSPRMRSSSETSRASGGSID SVDNELADLDKLINSDETIHYTLTPRSVREMTFPDLPNRSVPRSETAGVSDLADLLNT TTSSGEDKHRPHTSVSSRATGEMSPMSRTKSIDSPKHIPLTMRLTNMSSTSRSSKSGQ ARDARLEPSDSTRDFAEFMRSTGPASAPTESKNSISAESPRPVRPPSTFSSASRGNRL KLQARSAETRGSQTSDLIDFIREGPPMPAGAHRIPRAVAPFRNTIDSDDLHLDNTTYS FVSTGNGSTPSKSLTSLGSRSALLGSKATASGSATEFDEPQPVRKQRRAPDPYAIDDD DDDALLEELLEKEANPKPRREEESLMDFLRNAPPPPPSEQPLQPFAVSTPASNGLSGA SGMKARLLRSSHNSLRTQNIPTKVQSNYSAKVGQERNNGTMPSISNRQTDTGDLADFL RNTGPPPTPPRTLSTPMGSKPKDSGFSRFFTRRKKVEA PEX2_105610 MGTSPITLRAILSFVFVFFQLASALKFDLIATSGGGKNERCIRN FVSKDQLVVVTAIVGGTKGDGQKVNIHIKDAMGNDHGRPKDVVGETRQIFTSPADTAF DVCFENQLVGHNTIQNPSRPIELDVDIGADARDWNSVQSQEKLKPVETDLRRIEEMVA DIVTEMEYLRAREQRLRDTNESTNERVKWFAFGTMGMLIGLGAWQVVYLRAYFRSKHL I PEX2_105620 MSAPRILHRLARPVPSSLSTITRLNRQFGATALRLKDGDEAAPE VKAHRANQANKAPNQFVPNTTSTMTKDFPNVGQKPPPPEMLNSVDPNYRPSDPYPGRI EHFTGGRQDNGAQKPELGVGEMEGITFKVEPLKRVGEELATKRARLLYQSRKRGILES DLLLSTFADVYLGNMDYDQLVEYDSFLDENDWDIYYWATQDPPEEISPSAPKEDTITE GWKETGAKSGEWAQTIGAFRAAYRPVPSRWQGSEVLTLLREHVRDKSATGFEKAKNKK TGGGGGGLGRMPDVQVFNS PEX2_105630 MAEQLVLRGTLEGHNGWVTSLATSLENPNMLLSASRDKTLIIWN LTRDEQAYGYPKRSLEGHSHIVSDCVISSDGAYALSASWDKSLRLWELSTGNTTRTFV GHTNDVLSVSFSADNRQIVSGSRDRSIKLWNTLGDCKFTITDKGHTEWVSCVRFSPNP QNPVIVSAGWDKLVKVWELASCRLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLSAGDEIHALVFSPNRYWLCAATSSSITIFDLEKKSKVDELKPEFVE KGKKSRDPECISLAWSADGQTLFAGYTDNKIRAWGVMSRA PEX2_105640 MFRAASRRTSAQAAKLLQPQPKSLARSIYINSTTRPTLQPRINN GKSLASPYISGTRHLTIMQKIGRKYREASKGIWRKNPVLMPLAIFSVVGGAFIFAYIS YVELTRVGPQYHKFPPPVADALRTAIYYTEIDLNPAKAMKAYREALHIAFEMGIHPYS DEILGIKLQVAMMLEKAGLVKPAIDVLERTKNETLAWVEEVTAGNAAAAAEKVKQAQA QVQKTPANIKIDTEAIQETEEDLKAMAQYEARQRDKALKKVVGIAMKLGELYSSEYIQ DEKKAEVAQVAAVEICLKEMHRRQRLGLPVGSSSSGEGSDGEAWLNLTEIATALAELA ATYTAKERYELAMPLYMRALDLIRAAEGTSISCKQVVLLNDVATALVGQVQVPAKSQP QQPVTAQQTVEAAQKWAEKAIEVAAHIEPPLRDEECDITCVVATYNLGELAELQKKPE VAKQRYMEAKVLADGIGYEEGSTMAKEALKRLGKK PEX2_105650 MCGRYALGVRLAYIQQRMQEQGMQVDEAPDDDSVRETYNFAPGN FGAIYRAEITDKGSHNAQQDADNEPQTKDSKKGKDDLSSDAKLVDNAVIKYKLQSMKW GLIPFWTKRQPDYGSMMRTINCRDDSLIEDSGMWTTMKRRKRCIVVCQGFYEWLKKGP GGKEKVPHFVRRKDGELMCFAGLWDCSDEKLYTYTVITTSSNPYLKFLHERMPVILDP GGEAMNKWLNPCQKTWSKELQSILKPYEGELECYPVPKEVGKVGNNSPNFVVPVDSKE NKSNIANFFANTKTKEDTDPTPKKEAASPKVKSVKDEDRPAQDSEWSEKNAPKPVPAV KREHSPEALGVAEESEKPKVDSDQTGTSPQKKKLRSATHNSPMKKSSGKKAADGSQRI TSFFKK PEX2_105660 MFAARSFGRQARILPKWTQVRCVSTLEGSPYIYVFPNAGPASGT HILSLLPSEPVNPELAIGLATQLPPTTDSFKENPKFLNIVQEVCSEYAHEDPDAKSQA QVMVSVAGANLNSGGVLLTGQRGRRRGDLSSGASGQGGAGAGGRGGWIHVSDGRRPPD YGRIAWPEDMFGSLEVDANGQFVGENGNYQPSGTYRILTRDGIFGLSPFLREKLVQKL RELEK PEX2_105670 MAVNGNHTSSAENILDRSEQFWRREFKDFSATTFPIVSSLYKQS NLEVLTENIDLACGELDPVSAGAALALAWALVLSRYTGNDDVVFGLALELESNDFSNT PMLPLRLLVDPQQLAIEALNNTETKIMDRSTFGVSSTRELSDFGGDIAAGCLFGNILN VRQGQQKHPSALQSIEARKVPLVINCEIRTNGVDVEALVDHSAISVETTRMMLYQLGH SFRTAINRRSRGTLVGDLRSVCPQGLADLMERGVQPPPSLVHARVHDLIVAQCQSRPS EPAIAAWDGHLTYHQLDQYSSRLATQLVKLKVIQPDKIVPVFMERSVWVSVAILGILK AGGAFLLMDILFADDYVKQICAKIEAPLLLTSGLELNRARSLGLPVLVVGDRPLLADD EGKDTHLQSVYVQPSDACHGLFTSGSGGDPKVVIIDHAAVCTAWAQPTATQLTLSSSS RVLQSSSHAFGACVAEYLGAMIHGACLCIAPDFHLGSNLVEAIQMLNANFITLTPSAS RLLDPNQVPSLQVVVLAGEALGPLDLEKWQGRVQVNCMYGLAETAAFSLLADLTKPNS NYREIGLPTHTWNCWIVDTNNVEDLVPPGGVGELLLEGPSLGRGYLNDPARTAQNYIP APSWLMQIRPTAPHGYRCLKTGDLVRYSSNGTLEYIRRKDVTEVKIRGQRMDLTDVER QLARQYPTATRVAVDAITPSDDIGHNGVMLVAFVYTESMQNENNEMFASSTIESRKKA ATVLSALKEVLPTFMVPDLVVTVNRIPATTSGKIHRDRLRRLASALSRKALLAYGDAC LPETDGHSERLVE PEX2_105680 MPLAGEVIGLETTPQRGTSSSDFHDLNELPTCVDRSSEKSHTLA EGDEKESLRKQQVAKLARSFSIQSGQQKPHFLQGDDRESNLNPLSPNFNAKLWAKAFY DARHSAEGSSSRRVAGFAARDLDVGGYGIGVDYQMNVGNGPIKLLKAVGDFVRKHAAN GHQVKILRDVNALVLPGEQLCVLGPPGSGCSTFLKTISGNTYGLNVGQSSHLNYHGIT AKQMDNEFRGEAVYTAELDHHFPNLTVGDTLYFAALARAPRIVPSGMSREVYARHQRD VIMAMFGISHTINTKVGSDLVRGVSGGERKRVTIAEVALTYAPLQCWDNSTRGLDSSN AVEFCKTLRMQSDVFGIASCVAIYQAPQAAYECFDKVTVFYEGRQIYFGRASRAKQYF ENLGFECPEAQTTPDFLTSMTSPVERIVRPGVNTAVPQTPDEFAECWKNSNEHKELLL KIEEFNSQHPCHGDDSKQFALSLKNDKSRWQREKSPYTISYAAQIRLCLWRGMLQTKN EISVPISMLTISAVEILIVSSLYYNMPNDTSSFFLRGSFIFIMVLLNTFTTLLEIMTL YAKRDIVEKHSRYAFYHPSAEALAAIITDLPYKIILSISMNVISYFMANLRREPGPFF FYLLVSFTCMMCLSMAFRLLGSITKTMAQAMVPASILVLAVLLYTGFAISVPNMRGWA SWIRWINPVSYAFEALMTNEFHGRDFPCTEFVPSGPGYEHILPFQQTCTAHGSVAGSA TVSGIAFYESSYSYSYGHRWRNEGIIIAFMVLFAVVHLWLSDIISAERSRGEVLVFQR SKLLKTKARPQKIDEEHLGAELIPYEASSDSNSKVNVEKQQSVFHWENVCYDIQIKGE TRRILDNVDGWIQPGTLTALMGVSGAGKTTLLDVLANRVTTGVITGDMLVDGLKRDES FQRQTGYAQQQDIHLHTSTVREALVFSALLRQPPNYTREEKLDYVDQIIGLLGMEEYA DAVVGVPGEGLNVEQRKRLTIGVELVARPKLLVFLDEPTSGLDSQTSWSICNLIKKLT RNGQAILCTIHQPSAMLFECFDRLLLLAKGGRTVYFGEIGQNSKVLMDYFIRNGGEAC PATANPAEHMLKIIGAAPGAHTEVDWHAIWRESPEYQNIGKELAKLRELASEASGVVN AHDPSSYQEFSAPMTTQFMVVGRRVFQQYWRSPGYIYSKAQLAILSALLIGFSFFMGD NTYQGLQNQMFGVFIVLFVLMHLIFQTLPAFVTQRTLYEARERQSKTYAWQAFLLTNM FVEVAWYMLLSVFTFLCWYYPLGCYRNAEVTDSVNSRSTLIFLLIWVTFIWASTFGHM LISGIDNVEVASSIATLIVFVCYCLCGLLVPPSAMPHFWKWVYRVDPFTYLVTGFIST SLAQAPMHCADNEYLTFIAPQNQSCAQYMRGYINDNGGALLNPDAIGNGEDCRYCQFT ETDQFLANLGADFSQRWMAFGVLWAYVAFNVFMAVFLYWLLRVPRKSKK PEX2_105690 MATPSAKPGATPTHLSSPHPASAPLSRSLAHKSPSMRTPTGSGP AHAHHTSVSSHQYATPLAVPSGVDDTVNFSSPSALLALGGYTGISPSPAVHDGLVSAG MNESDIQNLGMQGIKLGVARDNDEEQRHRVEEVVQLLRARVSGRGVSRESVERLSRLE DFESIWQDDNLNIAGNFVDLEIDFYPGQDVVKDVSLRYATPEYTEGVRREEATAVLKR TLAQSPEDAECGKWRSLQKFHENLQWLAKLDKLSQEVNCFEALENLEENFRRIWVEES KNGKHGGEYQHLCAGVLGRPTMHKGTRIGLGLEYWVEQAKVLDAKRSLPSPDAMEIDP QHDQSSKGELDDQGRSWTVMIECEEGYPSLRISKEWVGSEVFTAGESTELLPSNGAAG SVNWLDPPQTTRLTHGNHDPMALDSSMLESSPPNRRFVGKLEPALDVPILAASEIYRQ LGMQLPQEFKMITYDALLVSESSPLTSPVSSPQIGRRKRRMSVHAVDSKGEQYTKQHN YTFQSFESITGCTIRDLPFSHPRQLADILPILRQYAVLANLIRKTFHLPSNQANATES SNATPKNTRAAPIQTPDLFAGHDGPLILNNDDPNEERLNLLLGLSNSDDSKNNSGVES STPSSGGKSSNKLSVDDVKVDVTLRIQLGQAPALMLLITDQRNHNETPEAARSIQTSR QIAICFEVGLNGHISVVDTAGLVDGETGGDTEMQGMDNHDSGLQDVQKKIARVLEVSQ DLSILVEWVLRWMQQRAGNG PEX2_105700 MFGPTSNIPCNPSLSYISYRSNGRRRIAHIIAFHRVSLQDQTAD WALVRAIASQHTRRLDWFGWRERFGRHQKDAEVKVWRRATIVREYGENGENIVLVASR FEYNTSKWYKK PEX2_105710 MASESATVVSNTGNLMKYMNLDQRGRVQAEYVWIDSNGGTRSKT KTLFKPVTSVDELPEWNFDGSSTNQAPGDNSDVYLRPVAYYPDPFRQGDNILVLCETW DADGSPNKFNYRHEANRLMETNADEGFWFGLEQEYTLLGTDGWPYGWPRGGFPGAQGP YYCGVGTGKVYCRDIVEAHYRACLYAGINISGINAEVMPSQWEYQVGPCPGIDMGDHL WMSRFLLHRVAEEFGVRISFDPKPIKGEWNGAGLHSNVSTNATRAEGGMKVIEAVMKK FEARHVEHIAVYGEGNEERLTGRHETGSIDKFSYGVADRGGSIRIPRQCAKDGKGYFE DRRPASNADPYQITGIIVETMCGGL PEX2_105720 MSAEHQHASVGIDKQPAVEAISEQPEASQSQTSSDDDESLDLSV ANIERIYRKLDRRIISAFWVLYFLCSAIRSNVGLAQTMNTDSGHDLASVLNLSRHQIS TGLALFYVCYVIFDLPSNLIMTRLSPHVWMSRIVISVGLIGSCLAAMKAAWSFYLLRL LLGIVTAGMWPGMTYYLTLFYPPSRIGKRIGQYFTASQVSAAVVGLVSAGFQKMDGTK GLVGFQWMFLIYGIITVALGFGLLWWLPDRPTPPGEPAPKRSWMMSWVPTTAPALKGA DAAVHYHDLKRVYHSSAWTLRDLLAVFLDWRLYPLLIMYFGVVGVGIGVQLYANVIIK AIDPTLSDISLSLLTAPIWIMDLIAILLVTPLSDRFHRHRAIFFSIPVLLQILGLLLT SYAGTDANPWPRYGGLLIVGFGLGPTVPITMTWTTEIFQPRHGEVGVAAASAVVSGLG NLGSILTTYALYSGWKSDYEAPGREKYRKSNLVMIGILAASILSAALMEVMLRFVDGR YRGDGDDEVVDGAARREIEFKILPILPCGTKDLARYSDLGQTNGTNAEKYHRSIVKQC WCWLKHKDYSKKVRVSANVN PEX2_105730 MSSNIAGTGTDSQPKKRYVVFSVRQVRGNLCKRIQKFKRFLTNL RIDRRSGLSSVRSGSSTPEEPLIPHTDKTKEDIEPQKPAEEEFAAQIPEEQEEFSPAK HLNLHPSQVRSGSGTTATGKRGSAESTPKDTTRIDAFPKGNFKNDVAHVPLINRWDNH PSMRRKPNQPASLHEYWDMQMRLRGMRHVPESNYSSSETDEEVPGRSENVTIRQDLPT KDDLGKNKRFDDKQDLPAKKDPGKKAMPEDINSS PEX2_105740 MLSPISFPSFKTLALSLLLANIATSTDTNENEPQLLSNISLVNT DDIFSLYSENPNLNARALECGIGYSECAYDTSRCCPIGGKCCGNGYCANIGETCCTGG GTCPVGFKCCDGTTGCAPLGGECCSGGYYCRAGKRCRVYKGEKVCCAYSGCIGEYDGI GSGATLTVPDVTSTATETEVETTRATTTYVEVDWDYYYTTVYWTYWYYYWTSYAPYTV RTVTSTTTSTRTIWSVYETNRAEATSSLSRKSTRYSFSTPYEATSLKSSTEPVTLSTG ASAPSATASDSTDEIVSAGPVIGASSAESVGVSGTGILAAVFIAAIGGLAFGL PEX2_105750 MKPYFGLRGTSLNIMIGVIAGLDFLLFGYDQGVMGGLLTLNSFV TVFPEIDTTNTKSSTSSNATTQGITIASYNLGCFFGAIFCIWIGNYLGRRKTIFTGSI IMVIGATLQASAYSLPHLIVGRIVTGIGNGMNTSTVPTWQSECSKSHHRGKLVMFEGS LIAAGITISYWLDLGFSFLDPSSVAWRFPIAFQNVFALVILFFVLPLPESPRWLILKG REQEALDVLAAILDLDENDPYVHSEFSAIKDAVLAASSVSFRDLLTMDENRHFHRVVL AYVNQMFQQISGINLITYYAAFIYENSLGMDGLTSRILAACNGTEYFLASLVPIFIIE KVGRRVLMLIGAAGMSISMAVLAITTSFEGETKPGIAAAVFLFVFNTFFAFGWLGMTW LYPAEIVPLRIRAPANALATSGNWIFNFMVVMVTPVAFDTIKYKTYIIFAVINAFIFP VVYFFYPETAYRSLEEMDTIFHKTSSVFNVVSVARNEPHRYGKKGELLINYENTEEHL RRASYVSEKKSKLPSEMEDIEARKGTHVEHRKDSSSDSSQTP PEX2_105760 MDQGQGGQGDLNWRLSAHPITLLVFLGIRIGALLMYLFGVLFID DFILVFIFTLLLLSADFYYLKNIAGRRLVGLRWWNEVDTSTGDSQWVFESSDPNVRTV TATDKRFFWLSLYVTPALWVGLAILAIVRLVGVIWLSLIVIALILTITNTMAFSRCDK FGQASTYANSALGGGIVNNIAGGLLGRLFRLTTMETNIHGDRDDGFTCGQCGESGHMT RQCPNDSAPLPLRQRDSNAGDAYGNRGDGNTGGYGEYAGGGDSACYNCGQEGHSKAEC TEPRKMGACFNCGQEGHSKAECTEPRKMGACFNCGQEGHSKSECPNPRVFKGTCRICE KEGHPAVDCPERPPDVCKNCQAQGHKTMECKENRKFDLNLVADMLPHEAWAAMKKASD ERDLDDFREALKIYSKAVPHATWADIERKMREDDFNVYIIAMEIDVDDVMSLIDLQGV LDREFVIGFFFSPKASRGHLRDRWPADAEENLERMNNAGIPYERKVPKCLNCGELGHI SRSCKREREEGNERVEIKCSNCDGLGHRVRDCRQQRKNKHGCRNCGSVEHRGSDCTEP RSAADVECRKCNETGHFAKDCPNVADRGPRTCRNCGSEDHIARDCDQPRNLSTVTCRN CEKTGHYSRDCDQPKDWSKVQCKNCGEMGHTVVRCRQPPKDEEPQDEPAFSPGSPNRE EPDLLGPEASEPFNFKRHDDNDDLW PEX2_105770 MAARNTLRRSLLYVPGSSQRFLDKSRSLTADCVTYDLEDSVTPH MKAEARSLVRRAIDQAAPPGIRERAVRINSVDSGLALGDLTEVLQSPNLTTIVIPKVN SASDLTFVTDVINHTLSQQPPTERTPISLLALVESAKSLTNLSQICAASPLLQGLVFA AEDFALDLSITRTPSLTEFLFARSAIATAARAADLPSTIDLVCTTYKSEKGDGSPPDV LQEECRDGRRLGFNGKQCIHPSQVKIADQIFGPDARETEWAMRVVIADEKAARAGRGA WTLDGKMIDVPVAHKARAIVRKAEACGVDVDALREEWQHQEPE PEX2_105780 MISDELLEKCLQILQDQALDEEEQVEKVEDFLRANTSLAGTPLE NAVLDILWRHRNRNLPDASPPVRHTVIRRSSPAPWQMARSSTPLSPHSTLGTSPAGSS SWMQSSRGPFARPPLSSTVSPFTSPRPSPRLALAQPIPHSPNLNAYEFSDQSQISDFY GDFGGDNNVDWLVADDANSTTSSAGGLSTTGALSATAVEFVPDMSPHDILRTVLGDKR SNDEIEAALEANSYDLGATIASLSQEIESEAIAKQHDDGRVLVGKSMTMEQVRPVTPL GSSRSPVVCKYWLSTGQCLRADCRFSHDLTTHVCKYWVMGNCLAGDGCPFSHDPSALI GNLSVTDGSRPVSPSLFQVDSGSDAFPPLQAADNGDQWANQYTGRYPAHLSAYQASKY APPGLLPGMGKRNGSATHLGRPSSRPSSRHQNRELNPAAPSVDDPDAFPTLAAVNAKN AGKKHHGKRGGHNNRETSLSKESVPSSLADVVRMTPSPVPGKTKSSSRNKDTKGRENS AAAQSIPPPQNIPWLETGTRANQQYIKYRTEAIRHGTVRNKFLQSAAQAWNRNDARAA KALSLRGQAENDAMRRCHREAARQLYEERNQHLSHKGLDESSEELYVDLHGLHPEEAI EYLEKILLKHAREGLRVVYAITGTGHHSKNGKDKIGKAVKAWLNEWRYLFREFSVPGE RGGYVGGILGIDPTSYDKSVAKELTSELMEANAGGEPPILTMGKIHLLKREEIETQDQ PEX2_105790 MPADYQSTARALSLSSSSPDPMPSSPGSDVHPPWSRAGRQSSGR SSGQDTSYQEEIIRRGTELYVRMNTIWEEMTLLKKIGTVLAALFVGISGIAFLVLTGK LFIWLGPVAEKWETSWLAAFILWLCVFFVSFPPLVGWSTFGTVAGFIFGVWKGWLIYA SATILGSTASFYVSRTILSGFVKRLMEHDKRFAALALTLKYDGLKLLCMIRLCPLPYS ICNGAVSTFPTVQPLMYGLATAIISPKLLVPAFIGSRIRLLSEKGEEMSAGSKAVNIC SIILTVGIGIFTGTLARAQELEAQERADIRDSLQADHAAHRPHRGFSEDPDVNKASTT LARDEEERIGFTDLDDDNVDLILGDDSDSEQPGPWKNSTRRSYHDEFTDNDSDGFAGE DDSFSLHTHVQN PEX2_105800 MYGAASMRFQAAEIPPDQESYMNQYVQNPEITVQGQEHLLSDQT ELSGPPAKRLRITHKAYEAASASIALGNLEHNNTKSQSFLSDGSYIVGSTERLDAGGS SSTPNESTTSTDVTSSFEIKASTSPAPNPLQSLPTRSVVFGPNVLDSGNVDLSKCRPR SSIPSKIHPSVYGEQCVRAAYASRLNPYTLHRQEQEFLQDHLCHVHVTIYLNIRNGIL LLWGRNPMVAVTREEALGCAKDYRWMNLASFAYDWLARNGYINHGCVEAPLAPVKPKR GRRKEGPTIVVIGAGMAGLGCARQLESLFRQYRDSNALPRVVLLEGRRRVGGRIYSHP LHSLKSETLPDGLVPKAEMGAQIIVGFDHGNPLDQIVRGQLALHYHKIRDVSTIYDID GSPVNEVQDAMAEKLYNDLLDRTGFYRHKAKIVPTAQGDREMIDAGRESAVDDGLTVR QYEEARAAGTIDLLVPTKRVRRGIKHKTAENEPSVDVASDLAGNSEDDPAALTCQTTG WKLNPGYTVNDTIELDQIAKASQAQTLGAVMDEGVKQYRDMLPVTTKDMRLMNWHFAN LEYANATNVNRLSLSGWDQDIGNEFEGEHSQVIGGYQQLPYGLYMLPEKLDVRTGKIV TDISYDITGSSKKQKAVVQCADGEKFLADHVVFTGSLGVLKQQQIKFEPPLPDWKRGA IDRLGFGIMNKVILVFKEPFWDTKRDMFGLLREPNNPASMVQEDYAANRGRFYLFWNV MKTTGLPCLIALMAGDAAHQAESTSDEAIITEVTGQLRNVFKHTTVPDPLETIITRWG QDPFTYGSYSYVAAEAFPDDYDLMARSIGNLHFAGEATCGTHPATVHGAYLSGLRAAA EIIEPISGPIIMPHPLVPEKSKVTRSKSSTPNGATTKKTRTRGSSSLSSTESPTLTQQ KPPSTSTSRKPTSTEKTRRDAYDQALWTTIYGELGSAPPRPAKSGLNPFLFYQKDYWA RCRDQCDEARRAASNNPNAKAPRDEIRAALGQMWRSATPAEKAPYLEQTEINRCANAE AWDKWKVDVAEWERKTFIVKDRWCAENPFDDWVMPEYLAENAPLVPVLATAFTNAVPA VKSEE PEX2_105810 MVHSKVVIIGSGPGAHTAAIYLSRAELQPVLYEGMLANGTAAGG QLTTTTDVENFPGFPNGIGGAELMDNMRAQSERFGTEIITETISKLDLSSRPFKMWTE WNDDEGSEPVRTADAVIIATGANARRLNLPGEETYWQNGISACAVCDGAVPIFRNKPL YVIGGGDSAAEEAMFLAKYGSSVTVLVRKDKLRASKVMADRLLAHPKCKVRFNTVATE VIGETKPNGLMTHLRVKDVVSNTEEVVEANGLFYAVGHDPANALVKGQVELDDEGYIV TKPGTSFTNIEGVFACGDVQDKRYRQAITSAGSGCVAALEAEKFLSETETHEEAKPAL PEX2_105820 MAAMAMRGSPDISNGSSNPHISNGAQRAPARRNRKKASSTESYE HEAEFDDPNTAQTSGRDAHSSSGQVDRSFSARARNQPEGNLNYTTRKQIPPSTSDLSE ESATPRGLPTEPYSPEPRADMPEIHVQSPPPAPGVSSPPTRSNTTRSVPASESRRDWA SERSPLQKLEVTLTGISKEEKRARVQQAEIKARERLARKKAEQEKAELIAAVAREASA QRTQPESQPTSGAARRNERRDIPIGDGMRNGHAGAPPQQRQPENTAVRHNRTVSTNPQ YPSFRRPEDPQFTRAEAAIPSTAKIGNVPRRSVTVSGPAAKPTSANNISHSRSMSQAG PRPMQAPSALRAETTDELLTPPRAPQDSAESQVKPKKQSVSFNVPPPTPPPIFEWRNA QPARLAAPDFDFQNFDMERSKAWWEGGGSKDRRKSRALPKNYKSPAQKVTGMTEHKNF QPNLFLRCGPLLRYTGIRRIRVDGSSGPIDKDIWRGSIMIVTKDSRSAYETPPTLRLF SQPMDLLPPPPVEISHEDGVQLAPEYVDPTAGLMKVGRDGRPLYVKPVEHIEEQVDLS FVENDDGIYELSPSMIDYTSEGIKQPMPSNRMHAVDGETASLHRDIPGARLYADSARD VTFWRFNLEVELSTTQQRIAYRINQGPALGFWVPPAGESMNIMFHSGNGFTPSADSDR VCGPDPLWRDILNEHQTRPFHVMIGGGDQIFNDAGITESAFFQEWIKIKNAAERYGAS FTPEFRAELEQFYLDRYAAWFSQGLFSLANSQIPMVNMWNDHEIFEGFGSYHDDFMQT AVISGIGKIAFKYYLLFQHHSVPDETETDEPSWLLGAHPGPYIEQKSRNLFMSLGQGV SFLGLDCRTERRSNEVLGEETCDLLWDRCHREIMKGETKHLIVLSSVPVAYPRVAMLR NFMNSRKSLGKAGVLGGLVNRSGGNVEVFDDHWAGKHLKSERTWLVEDLQDLAAEKSV RITILSGDVHLAAIGQFYSNPKLNIAKDQDYRYMPNVISSAIADIPETDLIADMLNKR NTVHHMDSNTDEDAVPIFVQDVNGKARNNKRLLPRRNWCSIREYKPGSTPPDTPESKM IEAPPPRPNKLQRTLSLGRADKGSSEKPGILRRLSTRGPPPTRTMSFNQGDETAFNRR ASVDGPAQPHENGDSYFPGTGAGAPPRPGNFHRRPTNLSQKAAKKAAKQGDDGAGVYI NLEGGLSITLNLEISPQDPSGVTTPYRLLVPALHYNGTEYDPPPAQIVKGWRKFLPRR KKNEGGADAQHEAEEDYSDDDEQDDYEDHDLINNTRANAATSQQHQPQYEGYPGSEEE DGDSEGEVPQHLPPNMMAEHSPSPEEHSRPRKKKWFGVI PEX2_105830 MRIVSGITATLGLAGAVSANLHPRSQETRDFFALHLDDTTSPSH IAQVLGARHEGQIGQLDGHHTFSLPREQTAQFDTLLNDLRTRRKLRRRSDGGIDSRET SDDPLDKILWSHKIAPARQRLQKRLPPVSVPYKTLDKREDAQVVAFRKKAMSSLGITD PIFREQWHLVNTLQPGHDLNVTGLWLEGITGKGVATAVVDDGLDMDSNDLKPNYLPEG SWDFNEGLPDPRPLLLDDKHGTRCCGEIAAAKNDVCGVGVAYDSKIAGIRILSKPIDD VDEAAAINFAYQTNDIYSCSWGPIDDGATMDAPGILIKRAMANGIQKGRAGKGSVYVF AAGNGAAYGDNCNFDGYTNSIYSITVGAIDREGNHPSYSESCSAQLVVAYSSGSGDYI HTTDVGANKCFSGHGGTSAAGPLAAGSVALALSARPELTWRDLQYLMVETAIPVSEDD GSWQVLPSGRKFSHDWGFGKVDTYTMVQLAKTWDLVKPQAWFHSPWLRVHQDIPQGNQ GLLSQYTVTADQMKEANVAKLEHVTVTMNVNHTRRGDISVELRSPAGIVSHLSVAREK DNMAVGYEDWTFMSVAHWGESPIGEWSIIVKDSDVNEFSGTFIDWRLNLWGEAVDGAK QKLHPLPDEHDDDHPYEDAHVATTSITSAPTKTAAPANPDDHHDRPVNAKPTPTPTNP TPVEDVKIPTNTTSSAAETSSPASADSGYISSWLPTFGASKRTQIWIYASLVMIITFF IGLGVYFQLQRIKRRRTTAHDDYEFEMIEDEDEMQPMTGASGRTQRRGGELYNAFAGE SDEEMFSDDDDAPYRDGLGNTQEKDGKDGTSHGGPHQEKP PEX2_105840 MDQHDDFDSVSWKHDPDSDVSRPTTASTDAAESSETHHDHNGKR RMSSAQEEPQAGPLADAVDLAGIGDGVLECQVDSPLKENDGTKDAYISYLVTTHTDFK SFQKPEFTVRRRFTDFYFLYKTLYREYPACAVPPLPDKHKMEYVRGDRFGPEFTTRRA WSLHRFLKRLTLHPVLRRAPLLTIFLESPDWNAHMRLHSTRVSTNTGSDGAATGIFDN FTDSFVNAFSKVHKPDRRFIEVREKADKLDEDLSHVEKTVARVARRESDMETDYAELA TQFRKLVPLEPAIEMPLQVFAASVEETARGMRGLKDHTDQNYLGSLRDAESYIMSLKS LLKTREQKQLDFEALVDYRNKAVTERDSLAANPTAYYASNPLTSSPASFIRSKMEDMR GVDHEQSRRERVRKLELRIDELTREVESAKTTSEMFDEEVIREVADFERIKAVEFRDG LGSFADSHIEFYQGVLSTWERFVAEMEGEAEPGHDSDAPASGAI PEX2_105850 MRPISRAVLRPYVCPTCRHGISAGRRQFASKSDSPDLYDVVCVG GGPAGLGLLAALRASPITSKLKVALIESQDLRKAKAWDLEPNQFSNRVSSLTPSTVSF LRSIGAYDQLDVNRVQEYQDMQVWDGQTGSRISFDWSMETSPFEEMRTVATMTENANL VRALLRRIAESGDDNLSLFSNSTVASIENGSDLKPEGPDLSAWPVLTVKPTGPAAETE PPKRIAARLLVGADGINSPVRSFADIPTQGWDYGRHGIVASLALAEPATQPFPASKRT AYQRFLPALGGPIALLPLPNNHATLVWSTTPENAAYLKSLPTPAFLAMVNAAFRLDMN DLTYMMGMQSSSSTSDSTPSHQDELTWRAQHTPLPSQIPPPATGVQTGTVASFPLRFR HAAQYISPRIALVGDAAHVIHPLAGQGLNLGLADVASLSKTIQYAVSHGMDIGDLLTL ERYSSERYLPNAKIGGACDLLHKLYNVPGEGPVTWARSIGLSVVDRLPFVKSFLMKNA EGY PEX2_105860 MGKDKGPTFNLKTPKGTKDWAGSDALLRDRIFTTISNVFKRHGG TALDTPVFELREILAGKYGEDSKLIYDLKDQGGEICSLRYDLTVPFARWLAMNTDVRN IKRYHIAKVYRRDQPAINKGRMREFYQCDFDIAGTFDAMVPDAEILRIVSEVFEELGW GGRYTIKLNHRKILDGVFAVCGVPEDKLRPISSAVDKLDKMPWADVRKEMVEQKSLDP EVADRIEKYVMNKGSRELLDSLLKDEALNANASAKAGLEEMALMMDYLEAFGVLDKIS FDMSLARGLDYYTGVIYEVVTEGSAGVQADAPEAQKAEKSGKKGKSKQLSEDDDRSND PTLGVGSVAAGGRYDNLVSMFQPKAQIPCVGISFGVDRIFSITKARIEREQKSDALRS SEVDAYVMAFGGKGFNGMLKERMEICQKLWSAGIKAEFSYKLKPKLPQQFKAAEQGAI PFGIILGEEELAAGKCRIKEMGLPDGHPEKEGVEVEISSLVPELQTRLAKKQQGGVSS LAQQLQGTSV PEX2_105870 MGGGKLASAAGINYLVGDGGGRDIIFIRGDSTVLQGSAMSQARN RSWTKWIGNCSLNRAQRNRN PEX2_105880 MKALFSKGSKNGASNPPATAPPVKKDLQVPQISPLEKRLQDMGS IRGDGSDKFYGMENYGNTCYCNSILQCLYYSVPFREAVINYPQRTPMDSLEAALAKNL RYQNPNAHLEAEALAAKQKASSPPSARQAGVPPNPNQKPEDKDSPEYKKKVALQTLPI LETKNNATSYGMSESLFTSLKDIFESVVASQARIGIVRPQQFLDVLRREHEMFRTAMH QDAHEFLNLLLNEVVANVETEATKQSFIEKALPPTESADSLGMMPSSTGSKSPNTTRW VHELFEGTLTSETKCLTCEKVSQRDEVFLDLSVDLEQHSSVTSCLRKFSAEEMLCERN KFHCDNCGGLQEAEKRMKIKRLPRVLALHLKRFKYTEDLQRLQKLFHRVVYPYHLRLF NTTDDAEDPDRLYELYAVVVHIGGGPYHGHYVAIIKTEDRGWLLFDDELVEPVDKSYV RNFFGDRPGLACAYVLFYQETTIEAVMREQAQENQASATAAAETGEAGKSNGSPFSPP LAHAQSASQVPPEHAIPFTPLSRAPTAPPLSTYPEEPQESHPTSPQPVQPPLPSIPDE TPPPLSPKKSDHQLRKERKAMEKEKDKNERNRLKEQDLHNREMQRREAEELKRAIEAS RADTVDFAENGSPRKSSSFGFLKRGSRSLSHRLSKDKEHRISTSDLSTAPLLPEVLRE APKEAEPARQVHKPVQPIKPVHPAQPVQSPVSSPRDFPPISNPKQPKQPIRARTPEPL AKELAKDQHNNHTKPGHTHTPRWRTFSFKKVA PEX2_105890 MFFFGLGKLFYVIVLIINAIAVLSEDRFLARVGWGRTQAEPGFG ATYDSTSVKAKSVNLIASVRTVMRIPLIVINTVIIVYELILG PEX2_105900 MGYTSAILALLFPVLILLHLIVAPYTKVEESFHVQAVHDILANG LPNGFNDLSLNRADYDHFSFPGAVPRSAIGAAALAMLSKPVILLNEGINGQILARAIL GLVNASSLAVYARGLRRSFGQPAAIWYILFQASQFHLIFYASRPLSNMFAFSITMLAM CLLLPSPVPTPQDQKQCSLALALLTTAGVVFRSELALLVGTQTLFLLATRRINITHTV IAGLVGLTTGLTLTVYLDSTFWQSFPLWPEFEAFRFNVLAGQSSEWGTEPWPFYFLNA LPRLLFNPLSYLLAIPVALRQPATRSPALALLIPALSFVALYSFQPHKEWRFIVYIIP SLTAVAALGAAYLWTHRSRSVFARLATRALAISTLVVFCLSNFVLLPASAANYPGGQA LDAMHYQHSILHDTDSHFDKTNSPINVYLGNLACQTGVTRFLQQPPSSGWVYDKTEDK AVKSTSGFWDRFDYIVVEADDEAGFMDADETSLRRALPSSEWEKMLVVDSFAGISVLR PGVPATGTVERRVIGAVAGSRAVDLFERLREYVRDALLRGWWVEVKMRPRVQVLRRVR EG PEX2_105910 MSFNKKYAGLPDLDPSPDIYETPDLTDEASTLPTATIRTDSDHD DAGSNSDIDREGVNPDQARMHFMGAAVDARDVNFSDSISMKRQAYRSKSMSRRRRRRR EDGVEEVGDLSDSEDESLERRLTRLRREVEDLKVEMASRNDSAETGNGSSQGAAGAEK SGENLGDGVAELSRALDNLHASRGAVTSSHSAAALLSQKLESGTRSTPGANTQSSTDA PKAVSTVPPPAVSSAGILSHAAAFDSRLALLESSIGISSSSNPFIADGINESTSHPVL PALEQLTSRLSALTGLLVGTSQASTTPGVPNAAPGMTTPHLEALSTRVRKLTTDAEAL TAARRKAFEAAKAVHNARLASSDADVVPALEASTDDDHAAKIQALYTTLPTIQSLHPL LPSVLERLRSLRACHAGAAHAADSLNELEKRHAEMASEIEQWREGLTTVEEKMQQGEA ALRSNVETVEPWVRDLESRLARLEGPSAL PEX2_105920 MAAPPDVTLQNLSGKWEMDSASNPTDPLLSLQGVGWLTRKTLSY ATVTSNVHQYADSENPSLIHVDAQQVITGGIQGTKEERKLDWQEREHVDHIFGTVKGR SRHIAAAKSEDGAVRPVIEIQTKVGSPEADAKVQKFLTGETLIDGSKSEGFLAEEGEG AFLQSFVKNESAGWTAEQVWGFEIVDGERRHTRRVVVTKGGKVASARLVYTFKGRNID E PEX2_105930 MAARRSIARSIPALRAVPRTVSVTARAASSARSLTTTSLASLVS RRAAVPSSSLPATRRLHATAQRLVPATTSAVSTATEYPTTHQKIANPIDTANFIDNEF VSSKSSKWIDLHDPATNNLVTRVPQSTDAELKAAVESAEKAFPAWRATSIMARQQIIF KFTSLIRTHWDRLAASITLEQGKTFADAKGDVLRGLQVAETACGITTQMTGEVLEVAK DMETRSYREPLGVVAAICPFNFPAMIPLWCIPVATVTGNCLVMKPSERDPGAAMILAE LAKEAGFPPGVINIIHGAAPTVDFILDEPAIKAISFVGSNRAGEYIYTRGSANGKRVQ ANLGAKNHAAVLPDANKNQALNAITGAAFGAAGQRCMALSTCVMVGETKEWLPEIAER AKALIVNGGFEEGADLGPVISPESKKRIEDLITSAEEEGATILLDGRGFKPENYPNGN FVGPTIITNVTTDMKCYKEEIFGPVLVCLNVETLDDAIDMINANEYGNGAAIFTRSGS TASKFQKECEAGQLGINVPIPVPLPMFSFTGNKKSIAGGGASTFYGKPGLQFYTQQKT VTSLWKSEDAVSTKAHVVMPTHS PEX2_105940 MRFNTALTSALVSSATLMGYAHAEEETADTTVIDKPAFTPTSLK APFLEQFTDDWESRWKPSHAKKDDKSEEEWAYVGEWAVEEPSIFKGIDGDKGLVVKNA AAHHAISAKFPKKIDNKGKTLVVQYEVKPQNSLVCGGAYLKLLQENKKLHQEEFSNAT PYVIMFGPDKCGATNKVHFIFRHKNPKTGEYEEKHLKTPPVARTNKVTSLYTLIVNPD QTFEILINGDSAKKGSLLEDFNPPVNPEKEIDDPKDSKPADWVDEVQIADPEATKPAD WNEEAPFEILDEAATQPEDWLEDEAESIPDPEAEKPEDWDDEEDGDWLAPTVPNPKCA DVSGCGTWTRPLIKNPEYKGKWEAPLIDNPAYKGVWAPRKIKNPAFFEDKTPSNLEPM GAIGFEIWTMQNDILFDNVYIGHSVEDAEKLRQETFDVKFPIEEAEEEASKPKPEIKE EGTTVTFQEDPVTFVRQKVNHFVELAKEDPINAAKTLPEVAGGLGALLLTMILIVVGA ISASSPAAPAEKKGKQPAGAPKEKKEQAVTSSAETSKGGATKRTTRSSAE PEX2_105950 MPKLASYKRIILCADGTWLASDQGDKSVPSNVAKIARAIATSGP DADGKIVKQIVSYHSGLGAGALPFQKTISGAIGRGLDIEVCKIYDFISNNYEPGDELF FLGFSRGAFTVRSVAGLIGDIGVLRAVHMSHFAEMWKAYCENTDGQPFIKTPWYQQNK DKLRLTDDIRIKVVGVWDTVGALGIPEWPFLGFATKLGIAMNKQYAFHNTKLSKNLDY AFQALAIDERRKTYLPTLWHKTSDAPAKELQQCWFPGVHRNIGGQAEDPPTAGDHEEI GNITFAWMVDNLSGMLTFEEAAIDVLLEQHQHAQAANNAKNNITDGWGCGTIVSNFSG LRGAFFRILGKQDRTPGNYPQGPDDGNDRATDEYFHPIVRIRKSKLSNYNPTSLYGYS AEKSDSDAGWMWHREDRQTVPEYVMRPEKKMSLVNEGGYKTTSSLSRLMCPKLLLADI DRDNGVTPE PEX2_105960 MVCGFAILSLWCLLCGPTLGWGDVGHRTVAYLAEQFLTEQGTEF LNKLLPQNRQFDISDAATWADEIKLKRPKTRTWHYVEAVMFLFHFFGDLHMPLHVEAY ERGGNGVEVCFNGRRDNLHSIWDTDMPHKINGIKHTLKHNDEKLASLKWAKHLLQQNK HRPTTAVECADVGNPQTCVKQWAEETNRLNCAVVFRKGLPYLKGNDLAGEYYNEAVPI IEEQIFKAGVRLGVWINALAEKHRIKTAFVVQEDRMRDL PEX2_105970 MATTVISPTEAVQNMTPNYEVRLLLSPTAVLSSKHELTDTVLSS FNTASTTAINVQFLDTCSKDIYKADWSARIRKTENKDEFELTYKKRYDITGSDIDAAL TTANNDGFDAGDTNYKAQVEWGYEKKTLSISRKKDIPSTDRGTDLPGIGKSRKMLIDE APGKFDNWHHDKWGTKALAMSRIFGNVLFRRSVGTWNGIELDLEVWPILNLAGTEDEY IVEASFKTKSRTTALAEQKSLADYLQSKGWLLAQDSLKTQLIMERY PEX2_105980 MVTSIEVSGLALPILPLFVNQIDAYVRGMEKIKGLWRYRQEFKG YSVGLRTQHAILLNTLEKALEGVVDDEDQVSELICDPQGDGWKDPDLPKRRAGSWTEI TKYSWETWLASLSCWNNYRIS PEX2_105990 MSSRLARDRKEKGERKRDEAQGKEEQRRHDYVKFMAEGLKTAFD LDRRSESPRERQAPPASDPPPAAAVKKTPAAPPPTINRLPVEITPGLRPPARPVDKNP GATNNGPVDNLPGPPADMIPARPT PEX2_106000 MSRWATRQAPPQEEASRGLARSGTNSAREGPPASTTTTAAASTT PAANNPGPATSLSPDSDVEMPPLPPSPSYLGLAIKYMLLCGDGVQEPVPDDECPLPSA MYLSLFYLSFPSQAPSREDAWAAASRHPVSRNGMARSGEFDSGINAGRMWTCIRTFFS QAAANKHVDLDAKNH PEX2_106010 MSTGIDNAGSLSGYHSIPEPIDTATVNIPLRDLNKLFPGIQCFA AMAVKFSGNPDSLDELRVLLILRNGKKGSWGNTWETAAGTPEEKDPTIINSAARESEE ETGIWPSKIAGNAFTCSFHHKDRKTGDPVLMRTLGFIIIPDNKETMAQRVWSSEMQQP VGHSSVEISDEHLDHCWFTEDEVRGATLYEQATPPGPFAMLLAKRDMVLLAFDLFRQS QRKKWPNSLDIVR PEX2_106020 MSVGRYTRLDEIGRGSFATVYQGVHTKTKTYVAIKSVNLSKLNK KLKENLSSEIDILMGLQHPHIVALIDCHESTSHIHLVMEYCALGDLSLFIKRRDTLGS HKYTRDMIAKYPNPPGASLNEVVTRHFLKQLSSALKFLRDRNLIHRDIKPQNLLLCPS PSSYRNGNAQVIPYKGNDDSYEPTTGLESLPMLKIADFGFARSLPATSLAETLCGSPL YMAPEILRYEKYDAKADLWSVGTVLYEMVVGRPPFRATNHVELLRKIEKGEDRIRFPE DNPASDDMKKLIRGLLKRNPVERLNFPEFFNNNVITDPIPGLVADDVPGPPRSPRPRQ LVTKAEESPYDTGPDDKFASPVGQRPVVPRLKSGTPPTATPMRRVGSPDRPASGIARE HQSGGTPPQRPGPVSLATAPGRQELIDRNAITAAMERQRNRNTYTGSPKASDSTARAQ EARDRAAQEVAFERDYVVVEKRAVEVNAFADELAHSPRLQGGLSRPGQTGAGTRRATT QGIPTVSPSSPHANAAKAMQVVSGRSRADSIHQRQHSYERRYGQSPTSATSAISKALN MASGRLFGMGFSPPLAITKSGRSPPLAYNPFPAYPPAHASLLITGDGSKPSATVDEDC KAVQAIEECATRSDVVFGFAEVKYKQLIPLAPSAPTDPSARPMDTNVEPESADPDDGL TVDAIVTLSEEALVLYVKALSLLAKSMDIAGAWWSRKNREEIYGESPTKDSMSAVAGT RINYVVQWVRSRFNEVIEKAEFVRLKLIEGQRRLPLDHPSHPDNHAIGSTAGSSSTAD VVVSPGVTAERLMYDRALEMSRAAAINELTGEDLANCEITYVTAIRMLEAVLEDDGTR SMPGSNIERQPGDKVVLDELQVEDRQVVVKLVSSMRGRLASVRKKVAVLSKRSSVSTP MVGKIPTSTISPVAYATGVTPPR PEX2_106030 MSAAQPSAPGLNVIALISGGKDSLYSILHCIRNGHKVVALANLY PEPQNKTPNPNQSKYDEEEDIDSFMYQTIGHSIIPLYETALQIPLYRQPITGGAVDTS RIYGTKTADKDETESLVPLLNRIKQAHPEANAVSAGAILSTYQRTRIENVANRLGLTP LAWLWMYPSLPTPAARSADTLAIRQAGLLEDMAAARCDARILKVASGGLDEGFLWENV SGGGSGGRMMRRYLVKAMSRFAAADDIRGAVLGEGGEYETLALDGPGFLWKQRIEVGS REEKVGEGGVAFVRLRGARCTAKSAWEISDGIAPSDIRRPGMLDAVFEGVLGSALDVS GSLDDSKSRAMTSTARSPDWPVCEPVHRLSGSTWTISNIVAPEAGPGAGAQMRGIADK VERVLRSFAHPKSGTRSTDDIVFATVLLDSMTDFGSMNDIYVSLFKKPNPPARVTVAC GDRLPSNVKVMVTFVVDLGARDRRQGLHVQSRSYWAPANIGPYSQALSVPLQNVSRLV YIAGQIPLDPGSMDLAQVEGSGSWIENYRLRAVLALQHLWRIGEAMQVNWWLGAVAFL ARGEHANTQAQVAWRLWERMHALPDNEDEDDDDGPQLDAWDIKYGRRTEELNDHPVPS LPKFTVLVESHTSIPPFLAVQVDELPRGSDIEWQGLGGRCEQVKLDIKGGTSATTMDS QYKYINIEIDAEEQEATPRTSTPLSLEAFAEDLRLFNRSPHPYHRSRRLGSSTPSEHG DRLQPLTSYSRSSRTTSDSGTEADDESTGILRGLPAPPLHPRKGLRSGPTDLDDWLPS LQPWPSFVRPNLRTSRRSSEEELEEEAFEERLRFRRQRRVEVLRRLLEAALLLSVGGV VLYQDGVRSLAWEWRKELAAHGLVVLGLYAAYPLVVRASQGRSWRIWRIWSTKRTYFS IPSSFDPAPLLYPILIPIFVSLSLSNHIPPLIMPNIVLSLSSLPTPVIPFQNRTNGFN TLHWMISMIPILVAEHFSLDHTVPKPLTLRGLDAESLILLFPLHQALIPTLDFLLTTS ILPAELQLLTTALINLYLFAASPQMEILKALLWLGGMCLFASCRHILRWEVSLARIPS WKFRRAPHVSQSPRKFLNMIDHRLCEKLSRTGHVPSDDASSDSDGPNGFSFSRPRKTK TMRESRSSRPPVEPASAVDKVTTEEIFEQHARAVHRRRHTISTFDEAVREERVRTTPG GRRKKMMGPALASFLSLTSAQAQVRKWLFAFYIYVLTLIIILGPIRKYVAERALQGQD PFGWAIGYLLGNFSKIRFWVLMLNLEYWIELPPRPDIDIMSASCFLGSIEHIRQVTFG PAITRLLLSGYCVLVLLTGLAIVVKLTSVAEVDTRRKIFHGMMVVMFLPAIFVDPAFC AMALALVLSIFLLLDLFRASQLPPISRPLTYFLAPYVDGRDHRGPVIISHIFLLIGCS IPLWLSLSDLPRTGLGPWAGWEIPSRDVSMASGVICVGMGDAAASLVGRRYGRLKWFW GGGKSLEGSIAFVVAVTCGLIAVRAWLVLGGWPVSGVESTEGVAFSAHFWAWTLVKAV LAAAGTSATEAILTGCNDNVVVPVVLWLLVRGLGV PEX2_106040 MAPTSVGQSFAYYPDSTQRQQGHYQSDIPYYSQMQYGQEQPLYS PQPMMNMHQMATTNAFRGATMSLTPIASPQPSQMKPTIIVQQGSPGLMPLDTRFIGHD LYSFPSTPPLSASGSTISSPPSAHGALPTPIHDTFFTFDKVEGVKEGCETDVHQEILA TPEWARSDSPPMTPVFIHPPSLTHIHGSDLLSATSCPSLSPSPSPVPTDILASHTLGS SQSFCDPRQLTVEPCVHSHAQDLPPLPNLSCEEEEPRAVVGSASVTLPVNENPSPSFS SSTQDPLSSLPTFDSFSDLDSDDELNHLVEFHPAANAVYMGGKRQRMHAYPVEDDGFL SEHSLEDSDDSETFMHSGLPSFEWTQTAQPQPESEEEHIEEPKIKKRSNRKSRKIAEE EAEAKALAACESSGDCCSDDGSVDDSESAPISVNRRGRKQSLTEDPSKTFVCTLCSRR FRRQEHLKRHYRSLHTQDKPFECNECGKKFSRSDNLAQHARTHGGGSIVMGVLDASDA GSVSFDDQHDAGALGQVMYEAARSTDVTGTPSVDRRAAKKRKRDLS PEX2_106050 MSVIEISSKQQFSSLLTSSRLVVADFHADWCGPCKAIAPAYNAL AAQLSRPNHITFTKINVDHQQELAQAYGVTAMPTFIVFKNGRVNQTVKGANPAQLNQV VQKLASEASQSDDAAGAGEESSSGELWVGGTVAKGYSDITDQVDVKGLELLNRDTDTA EPRALFNTSKPSGLAGKGKGKAAGQADWTESDTDEQLMLYIPFNSTLKVHSLQITSLP PADADADDDETPMRPRNLHIYKNTSHVLGFDEADGIPPVQKVEIQPGDWNAKTGTATV ALRFVNFQNVTSLVVFFVDGDGDSEKLRVDRIRVIGEAGANRSMGKLEKIGDEPGE PEX2_106060 MTRTTHAVDLYEVLSLPFMGSSSTVLSKQQIKIAYHKALLKHHP DKAGAVARDTGLGGSTNPTSTSTPNPLFSKSDGNPSQQLYTIDQITTAYKTLSDPALR AEYDRSLRLDRLKVAERENTGDVFHTGLEIVDLEDLACEEAEDGDCWYRGCRCGDDRG FLVNEEDLEREAEHGEIIIGCRGCSLWLKIMFAVEADEG PEX2_106070 MASAARTVSRAFLRSTPATSSFRTAARSTRFAIPANGLRASARR GYSSEAGSEKSGSSFGLWALGLGALGAAGGAYLYLNGDSSPKGPFIPTQADYQKVYDA IASRLADETDYDDGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFAPESDHGANAG LKTAREFLEPIKAQFPWITYSDLWTLGGACAVQEASGPSIPWRPGREDRDVAACTPDG RLPDAAKDHRHIRDVFSRMGFDDREMVALIGAHALGRCHTDRSGFDGPWNFSPTLFTN EFFRLLVEEKWIQKKWNGPTQFTDKTTGTLMMLPTDMALVKDKGFKKHVERYAKDNDA FFKEFSDVFVKLLELGVPFKTEDRFVFKTSE PEX2_106080 MACPDSNDSHLPMRLEAEAFSEPSRSHNDTQEYLLVRQYDLPSY DRGIGGHGHVTALVKSRATRIKIKSFSLHTFSSSLTIICVLETLRLQSFNVEDC PEX2_106090 MAPGISKREQARNEKTLTELIRTVPGNDRCADCDALSPGWASWN MGIFLCMRCAALHRKLGTHISKIKSLTMDTWTAEQVDSMKSHGNILMNKMNNPRGIKP PIPTDIDEADACMERFIRQKYQHRSLENGKPKPPSREDSSYSNPRARSPVESRKNDYD ISPEGSPPPLPPKSGRFFKFGLRSSSSASNLRRFGGKPKVASPTSESGAWSPPSPSRR TTGLGAPVADVTTASFESKMAALQQMGFTDDRRNEMVLKGLHEDLDRAIETLVRLGEG GKPASRSRTPIGTSSGVSARIVIPKPETSKNPFPVTTDNTFPEVSNNPFDRAVSNPVA HSQTQQPQTAAYNPFDQLNSNPASTQPLESSFQGLQVSQPLFPHSTGGYPNQTSSMQH SVYQQTYTPPVTSTFSQSPYVTSPQPMENSYNPFDQAPPQPQGGLASQTYPNPNSPQT NPFFNTAPQNQPTQPQQQQMTPLATQPGGFEPPRHANTMPFMSSASPFGTSAPFQVQQ QQQQSQMQMQPQQQQQQQQQQPSNGLGAYNPFQQGLAPNTAQNTNGYPSQFQSHLQPQ QAQQLMPQPTGMDKNSILSLYNMGPTQSNMTPIPEQPQQPQQSQQYQQPQPQTPTMNP YSQPSNPYTSMSQTQQATNLQHQPQTQPQSQPQQNFQPQQPTANSQPTTTNNPFFGTA STGSGSGLAAQAGINPYQQQSAGLGIGGMNGQPGAGTTPGTTPAMGTNNSPFSGMSSP PFAGANSSPFAGGQSPFSGPPPTNSAFPRSHMSQPSVDVSGLQKGRHSPDAFASLSAR YG PEX2_106100 MSTPNFPRRAPQTPRAPTQNILLLLIAFRLVNAFVVRTFFQPDE YFQSLEPAWQIAFGQGQGAWVTWEWRHQLRSSLHPFLFAALYKAADFLAFTFRVSPAV RAELLIAAPKTAQAVVAAIGDFYTWKLAVRVYGDDSRGSWTTLVATVLNPWQWFCSTR TLSNCLETTITIVAMELWPWQLSVGSTAGDRRYKNTSNQMRGTDRDRSVILSLHKSLP LAALACILRPTNILVWATLASIAWLRTSWPQRKILIFEVILCGSAILAVSSVADHLFY GIWTFPPLRFLYFNIAQSLAVFYGSNDWHYYISQGYPLLLTTLLPFAVIGLYTTLTAR KSTVGDSLQAAIRVQLAIVCLLMPFVLSLISHKEVRFIYPLLPSLHILAAPPLVQFFY PAIYSRAYPRHAPRRLILIFLVLVNVVIALYTTLHHASGTLKVLSYLRQQHQAHSVPT PKRRTNSTSETGISAGFLMPCHSTPWRSHLVYPTINAWALSCEPPIDLNATQKAVYRD EADQFYDDPDQFLRQNMAGGLRHLPRRPSYIAATHHSVSGLTPDETPVYEWPDYLIFF AQLEPTLHTLLRGAHYDECWRTFNTAWHDDWRRRGDIVVWCMDTVEQDAWRSNSQRHA QQSRDKQFDRIVEAFKQEGRKQKQKSWMGFHASSTPCKVSCPGEVSFLDEVPFLNEVS LLDEISLLDEVSFLVPSNNTGFLALASPHSLGDHCSEIVILEAEASLASFLDIGSASI LGIGLAS PEX2_106110 MATNGPFSHYHAASSAEAIESEKEYAAHNYHPLPVVFARAQGTS VWDPEGRHYLDFLSAYSAVNQGHCHPKLIAALVDQASRVTLSSRAFYNDVFPRFAKFV TSYFGFDMVMPMNTGAEAVETGIKIARKWGYKVKGIPENKAIILSAENNFHGRTFAAI SLSSDPESRENYGPYLPGIGCTIPGTEKPIAYNDKAALREAFEAAGPNLAAFLVEPIQ GEAGIVVPDPDYLQEARALCDKHNVLLICDEIQTGIARTGKLLCHEWSGIKPDLVLLG KAISGGMYPVSCVLGSKEVMLTIEAGTHGSTYGGNPLGCAVAIRALEVVREENMVERA EQLGHLFRDGLVAINSPVIQTVRGKGLLNAIVIDESKTNGHTAWDLCMLMKEKGLLAK PTHQNIIRLAPPLVITEEEIQQALDIIKDAVTDLPNLKGASEDQIIPPSEKKVKIDLE N PEX2_106120 MPAPEPLHEVVVADDKLQSNTSRSASDESKASDLDVQPTQKQKW YRRLNPLRLQKIPPVPTERSVSHEHGASFFSIISFQWMSPLMKVGYLRPLELQDIWTV NPDRAVDVLSGRLDAALEKRTESGINRPLLWALYDTFRFEFLLGGICQLFSSLLLVFA PYLTRYLIAFATEAYVAQKAGHPAPHIGKGMGFVVGITLMQALQSLCTNQFLYRGQVV GGQIRAVLISHIFNKAMKLSGRAKAGGQATPEEVKALEATKESLLKPEEKEKQQKPDT ALPAPGGVAGDGRGWNNGRITALMSIDVDRINLACGMFHMIWTAPISIIVTLVLLLVN IGYSCLSGYALLIIGMPFLTFAVRSLINRRRSINKVTDQRVSLTQEILQAVRFVKFFG WESSFLGRLKEIRRQEIRSIQTLLAIRNAILCVAMSIPVFASMLAFVTYALSNHNLDP APIFSSLALFNSLRMPLNMLPMVIGQVTDASTAFNRIQEFLLAEEQKEDIERDQNMEN AIEMENASFTWERLPTDEKDAEKAEKKGAAGPEPTEQSTLKEGHADETPIEPFKLHDM TFEVGRHELLAVIGTVGCGKSSLLSALAGDMRVTDGTVRLGTTRAFCPQYAWIQNTTV RNNILFGKEYDETWYEQVVDACALTPDLEILPNGDQTEIGERGITVSGGQKQRLNIAR AIYFNAEMVLMDDPLSAVDAHVGRHIMDKAICGLLKDRCRILATHQLHVLSRCDRIIV MDAGRINAVDTFENLMRDNEVFKRLMSSSRQEDMQEEETEAVDEVVDEIDEDEPSPKK AAPAKPAAALMQQEEKATSSVGWSVWKAYIRASGSYFNAIMVFILLGITNVANIWTSL WLSYWTSDKYPGLSTGQYIGVYAGLGVSVVLLMFSFSTYMTTCGTNASKTMLQRAMSR VLRAPMSFFDTTPLGRITNRFSKDIQVMDNELSDAMRIYALTMTMIISIMVLVIVFFY YFVIAIVPLSILFLLASNYYRASAREMKRHESTLRSMVYARFGEAITGIACIRAYGVE NQFRRTIRDSIDVMNGAYFLTFSNQRWLSVRLDAVATVMVFVVGVLVVTSRFNVSPSI SGLVLSYILAIAQMLQFTVRQLAEVENNMNATERVHYYGTELEEEAPLHLAEVSASWP EKGHIEFSSVEMRYRAGLPLVLQGLTMDVRGGERIGIVGRTGAGKSSIMSALFRLTEL SGGSIKIDDIDISTVGLHDLRSRLAIIPQDPALFKGTIRSNLDPFNEHNDLELWSALR KAYLVGQEKELEGEELPSGPASGATTPVTDNDVKARPIKTLTLESPVDDEGLNFSLGQ RQLMALARALVRDARIIVCDEATSSVDFETDQKIQHTMAQGFDGKTLLCIAHRLRTII HYDRICVMDKGQIAEMDAPVVLWDKADGIFRAMCERSGITREDILNTD PEX2_106130 MPPKRVAIVTSSTRSPRLNPTITQYVYDVLTSDPKIPTNHNETV DTDPRHITFEILDLAKQSLPLYDESVIPASLPAADPTPHYSKAHTRAWSAVVRQYDAF IFVTPQYNWSVPASLKNALDYLFFEWKGKPAGIVSYGGRGGGKAADHLRGILTGLRMR VVGTAPGLPVKFTGLPVGALSEEEEKVQLDERDLVGWREAGVEGMMRNLGMELVCELD KE PEX2_106140 MDKVFSRDYDPVPKGDADGDSDATITNRPPRRGFHQFLKRNLAA ITITSLLMILLLLVIAVITAITVEPFRKVLVVKGPTSDSLAYPESLEGQRQCLPPAPR VRYSCGNSTKEAEALGCAYDPLAGCWLHKECPHDFTHEFAHFNNGKPFVYFYDEAATQ QMKDYTELGNNPDFYWTAVREHLVHCLYLLRRGHDVHMRGARLDNMLGDLEHVDHCTN MLANWLRRPDPALEEIVVVLEIEEEGYRRQ PEX2_106150 MKSFSMVLFVAVAIAQRAVIGLPTENQKINPGKEVIIQVQRSNS LSPSKEMGVAIGLSSCASSPCRDADEVLGTILYNGPFNPVYHESNLAPYENFTVTVPA SATLGKSQINVAHAALIGAGPSAFLESLNQTVFVV PEX2_106160 MHVSDPGDPAPAFESEPAYLGLTPIHPAPAPAPAQFDLEYFDSP SVEPSHVAYSPCGPPPIYPVLLSPTPLGHPSCGPPMMGALLPYSQFFAPPPLNFAYTN PNPFMEASFPSEPVEIPEENSGDGLVAYRKFMNWIHTVYYSSKSPDAFVQAWQRALKE MKQASGPPHLPTVFILNQFLAAVSVNPNTVPWVESLQFDKGSLPASILDEAYADFLEF EACRLGGQLLVPGNIDTADSDVDQIYGFPKQYCPYHQRLTKHPVDECFRNPRNTKRKR KWRRRMVQMAAALEAEKGRRL PEX2_106170 MNSFSPRDFQEWVDRRQSTQSASTVSSASTSILFPSPATSPGSS SRKQSWQLYDDVVRLELTPSVQISFVKSGQLFKLKYKFIDICKDSTGALRCLELGGGL GQQTPFVHGFSNTKLPVPHLEHPKSGNDYPLRVSFMDQQTVQSASTVFMTQLSYKFDH WDDCVRFQELLLGSKLVFIGGMAEAKSKGRGEECISQNLRVLRGHNGKRVMLFFANSQ RRELKRYVSIPSWLVNCIASIKPPKKAGRPALLDLNPNFDILSQMRNLTIQFLDDDDC AAFCQMLSYDLTIG PEX2_106180 MGKFHARLYKTDRARDFDHEQDRYVRMRQIYETIDRQGFQWIVV LVAGLGFFLDGYTLFASNMALPMISYVYWRDDTSSLRLTCINIATLGGTLLGQVTFGF LADKNGRKKMYGVELVLLITATLGVVMSSTGVDGSMNVFAWLIWWRICVGIGVGADYP LSAVITSEFAPTKHRARMMATVFFMQPLGQIAGNIVSLIVIAIARGNSSPGEDITRSV DIMWRWVIAIGVVPGAVATLFRFAIPESPRYLVDIVDDPVTAEFDATTLFSDTPGMID SVSWGTTATCSAGSAIQLPPISSIASHSTFDHEDDDEYTRLPPATLNSHWRLARTDIT RYFWTEGNWRSLAGCSLAWLLLDFGFYGIGLSSPQFLAKTWGQLRISAASPTWMTDDA PDANIYTMFMETSVRGLIILNIGSFVGGILLIIFAHKLDRVALQKYMFLALAALFIAL GTMFICFYTGPPAVVALYIVGQIMFNFGPNATTYMIPAEIFPTRYRATCHGISAGAGK LGSILVQIFSTYYHFGSGPGNTSTRRHGIVLIVFSACMVLGAAVTHFWIPPVQQKQNG KTKLWGGKPETLESMSLGRMGRKSRDADCRKRTSRHRALSMSA PEX2_106190 MTTIESTRLRPANQPTPTSTPLSILDATVVRFAPTGAIWIFNQP ADLIKKTLIDHLRSSFIETLSKFPQWAGQLQWAPVNPKGNHTERFNRPLVVYGTDTDP GVEWTVIEHPLRADEIVPTAEERASSTTGSQPGAWVGDDFNESLFLSSNPLALANLRD HAGLPGMQVQISLLQGGYVIGIKMAHCLADAQTLMVFVHLWAYNSKAQFGTQPESPLM GDPVFAPALLDSCAAGDIDSPEPDQTIVDTARKLPLHRYSWWNSADEGGPGFLIPTTE NSKPPPAEIDYKRVSPSEPAPWASWDFSKKVRYTQLHFTGEELSKFQAVARKTGSRSD ISRLDALLAHLWVTITRSRGQAGSARPIYMNLSLGVRPRVSPALPDTFIGSLLFLTHV GGTGSSVCREILGETASRIRATMKGFTADAVGAMLHDAAHEVSPQRLWQGFLGSEHTI VTSWLRLQLYEVDFMGGNKPRYVHAVMPKMDGCVQVMDSAVGDGGMDVALYLDEVATG RLLDGDCS PEX2_106200 MIIKETLKDVDKPPMEYDKNWKLFCTYRRIHSHNLTNCDVLTGL GISSRLSTAQRKKKKKKKKKKKKKKKNAFTGAQPDLNTTPGTSTSGHPLALAFIGELL TEEEAAFQALCLRQDILSRDLTVGLAGVSDLTINATRVFYVVLGAELGSFILEFTRLS QITGDPKYFDAAQRVMELLDKEQDATKLAGLWPVSVNAKERHFDDDIFTLGAEASSLY KSLPRAYALLGGQVPMYRKMYENATLTAAGHNLFRPMNTEGKDVLLSGTVRVAITESG KSQTYLESQMQYQSCFAGGMFALGGALLNIPSHQEIAHKLVDGCIWAHQVVPLGIMPE AFDVVPCASQTNCPWSEWLWKQEVWKKANSLEPEPNPDLNVDTFIKDHRLPKGFLRIS DTSYNLRPEAIESMFTLYRISGCEDLLDAAWDMFQAMQIATKTKNGNAAIVAVTDEGS ELTLKDSMDSMWMSQTLKHFYLMFSSPDLISLDDFVFNAGGHPLKRPNAS PEX2_106210 MRNPNDLSPSRERVVPGSCNIELGQFPKTMSESESDLPLDPNAI ADNIINQLNLGLSTRDKAAIASLFLKNCYWRDHLCYSWDFHTVEGSQAIAAFVTEAPS SKIEIDRSTDFKSPHKAPIDSFGDVFGIEFYIKVTTENGRGNGIMRLAEHDGKWYIFT VFTSMIELKGHEENKERPFGVRHGEQQGRKNWKDRRIDEVNFEGKDPAVLIIGAGQGG LTAAARLKMLQVDTLIVDREERIGDNWRRRYHQLVLHDPVWYDHMPYLPFPPDWPIYT PKDKLADFFETYVKFRELNVWTQTELKSSSWDEKKKQWTVVLERKTESGTETRTLHPR HIIQATGHSGKKNLPTFKGMEDFKGDRMCHSSEYPGANSESKGKKAIVVGSCNSANDI AQDFVEKGYDVTMVQRSSTCVVSSDSTLKIAFKGLYDATGPPTEDADIYLSSIPLRLF KAQQVKVTKLTNQNDAKTIEGLEKAGFKVDSGPSDSGLLMKYFQRGGGYYIDVGGSQL IIDGKVKVKHGQEISQVLPHGLRFADGSELEADEIVFATGYQNMRTETRVIFGDKVAD RVGDIWGLDKEGEMRTIWRRSGHPGFWFMGGNLALSRYYSRLLALQIKALEEGLTTW PEX2_106220 MDFPLESPDTMQSYDSDTTSEERPSSPTNTKIQAKFQMARPPPK SNSLLRLSPKLLLQIQQLLPNHRPLPVLEIWQPPLRKSKLTRGFPQRPKLGTRDIYAT LNELYITNKQPEIQHSQEKDTQSYSIQEKDIIAAMCEPSTSHNPTLSSIHFRETKCIW KASPSSAGPEKGTCYRFIIRKEDNTTDPEQSRMIMQWEKRMLPANGNAASDTEQFVLM AIDRKARRKSRIATMTRAGFEISVRKSSILDHLRTCMVLTEPVSEGPADLDTWLYNLV LTLGVTVASREGWLS PEX2_106230 MSDEGDLPPPAGPLSRALWNFSSLWFIVPQGTGIIAVILYRLKY QFNGLTTLATIVWIYTIVQLGLFLVLYLLRTFLHTKHVLHQLRNNSAETSCLCCISIA FTSILQMAVFQYGDTAGLAIYVMWWINTGMAIVACMVIPFIHLKMQQSDTRHMQADML MPFLAALTSAAGGGVICRLADISPRLQVPAIIISYLEIGAGLALVAAFDTIILLQYFR RTSQTADMVYQDMIMCGPCGQASVALQALGEAIQAGSFAAYDRGQLLTEKAASPFAFI SHFIGLLVWGYGVFWWFFAILTICYTLHTQPGGWKQSRFTMSVWSVVFPWGTFTNAAV EFGRLMDSPAFDVFSTALLLFLVLMWVIIQILTLKGIVTGRVLGLDHGWRKRYSDRRP AAIYNDRRPGAMKEP PEX2_106240 MGLSNAAIIVIVLAACLAATALGASLFKHYKPADGETPFSPGYE QKLYMADVRARGFNNLRQLSWGERDLESRYPPAGPGLPGYYRPHTYTEDTNAYPKDTE SSA PEX2_106250 MAPKYPEFEPQGDSLRRWIERADEPECPIPMTKLTIPGIDPKFW YVHSSPESLGEEWEYWVHIFGLTVDDPASNQERIYRLESAVSVVKLTGELTLWVGRTG PGVIFMDNIKRAPNSTSFYMSEFAKAFYESRFPLKSLKCVIVTRIIQRETRSFIQDHI YESREGLGFRPKEPQTWESPSPEFCGILGTPIGKVVAAFVLGAYGQGIRRIPRIVTFH TGEDLCGYNLRFDIEDV PEX2_106260 MLIPFLGIFVGMTLWSQWFPIPGFTAPEQSFMRRLSGTFQLTME SWAFCDLVNAAASQREHPVWESRPHVGRGYANSTDLLIVSLGTDIIVRPGLVDDMPPW FPTPFLVPIPVSSWSSNGVTSSDPEEFVDIHLLGSKPGQGFFATLLFFGIWCFVQVPW ALIAYQRQLYSGLDTLIEWTLKVLEGQPQIARVQFVAEEDSSSFDEELDLLIAGPKET DEDILAWVIAADNCPESVSHVSVLSQSGGIWVHRITKGLGDEQALEPESACNTTKPAN TTDDARANLVSRHPWNSIDIYASDHDDSPAPAESLIGSGAEDERPDSTPAYFRRKNRP SQAKRRRDAKKAQQGKKELKHSNVLASYASSASLAMSLGSTPLSALAPVFVPGRLAEQ DDLQSGPLSPTPLFTNMGIDPPADCGTTSPNGNAPSQRSSRRRRRRQRPA PEX2_106270 MSSSTAFPQGEVFRFSFSGNGQLVLGISSSRIVILDVATDPVAV KHELKTRRRPLGTTIRDDGSLIAVLSSTHRVHIYQLSDHEAKHIQAITLNDAPRDIKF SPSGSVLALAFEDSIEVYAVGEEALPTDRRAVRCPRVDALSFSPDGAMLLGFTAEGIV TVTPPFYTETGTDASPEELEMRMWTTQILFPETIGCHITHVSLIAGQEESDHYWVMGY DKQLGAFRALQLNNNAGIVYFASPFLADESREMRPSMRPATDDAGELAALGFQDSELW IYGMPDLGASETTGTHFGGGHHYGGCAPRDNLAQLQKIVQQPKILIRGRRVSDMHGIT SAHWVRSDSRRRRRLVAVAPGGVRSQNFGEEDIPVDGGRILLLDFERSTMNGETIELD IEVGETAPKILMEPDSSLATEVELERRRTRLHRGDTATTFAAIGNSAITRESRALPLH FRRNSLAIPTSPSETSRGNIIDLPYDNTQPRSSEVLHRAATAAASTRGRYDPRYRNTL NLPRQIPHESDADNWVPPPPPYKRETAGPLPDDLQRMLLPPVNVANSTLRPEGEANRS RPQSVNFQSLETMTGARHRENSIHRDFNPDQLVPQDSFTSNFSQEQPGSAWRPPTTIQ PTTPTVVVYPAELPPQENTMPLPYLRASALGDALGDAYFPYSVSSPNLLHIPQPYEDE QGTVADDDHEIPQRQRSFQRRVSTEPSSLPPPTNEEWRRRIQDWNDNTIKERGRKRRG KCHVM PEX2_106280 MSPAPVQVNSSSKLPSPGFNAGARPYRSHKVRACDLCRKRKSRC TVDIPGQSCLLCRVQGADCHYQEEANADASILSAPDSKSWPGAPTIEAVPGQKRKRSP DGLAHMGQSIPPRQPMNGPSPHGTTSSGGRRGSDPRRKDMDDPQNESVLIVGPMVAED AQVIEKHMPPERLSQSEEPNSHPYNIYSSDPRKPVLYTTISRRRKGMRKGTPPGENQK EVLEQILGPFKHDLVRLFIDRFNVSFPIFDGESFWESYIAEDLHDPPAALVCQVYSMS LVYWKHTHKLACHPKPDVRYAINMTVAALHEEFSAPGLDTISAALIDLTGRPIFSMTG NAVSCGRMLSLANCLGLNRDPSNWKLSQAEKNQRIRLWWGVVIHDRWGSFGHGVPPQI SKNQYDVPLPTVDVLVPSNLRTTERVRAAHCHIYLCRLTETLGELLPLVYGLQHKPAR ETSKKLRQIRTDLDIWEDSLPDWLRGPTIHSGERIYGASSLQLAFLAVKMLVSRVELN EVNNSETENTEARRYFQTECRRSAEEIVAFMTSLRKDNFTEFWLPYSAFHLTSTATLL VRCAFETTDSDVARSCLSNVETLRSVLRRVREEEDWDVADMCLDHCERIMHRLPGTGA TMDQAFTTTTMADSGLVNPAAISLPETQTNNDIVDDMMSISNTFGTMDGFPFDMTGIW DVSVFQDVNLT PEX2_106290 MLSRAVLPLTRPNVFASAVRASTLSARPSPYTAYKLSPGIQLRA KSNKSKSNTPKAAPKDFAAEQPEFDTKAESTQSSEPVEQEQAPQFPLPDLTQGIPSTL AAELEGRMKKGPLNLTEDPAQSEEYGADGRGGGDIPKGGYETSIDRRKARMANIVYAA MLLAGVAGMGYLGRNWETEEEERQHPEIPSGWSPGLWYSRIKARTSDLTSYYKDPAFP KLLPDEDAEMRQPYTLVLSLEDLLVHSEWTREHGWRVAKRPGVDYFLRYLNQYYELVL FTSVPSMMADQVLRKLDPYRIIRWPLFREATRYKDGEYIKDLQYLNRDLSKVILIDTK EEHARYQPENAIVLDKWTGDPKDKTLVALIPFLEYLAGMGVEDVRTVLKSFDGTPIPA EFAKREKAMRERFEKELAEEQKKKPSRGLGNFAAALGLKPSSTLSGEQSPSEGLAQGK MLWDQIRERGQKNYEMIESEIRANGEKWLAEMAAEEEKARQEQMQSMKGSFTGIFGAG SKE PEX2_106300 MGFKKKMIHFEEQLSDVESEPDAEPPILLRFPFLHLPSEIRLHI YHFVLFTPTRKSALKRTGGSVGSSAKKSKPLAPSSHRIALFLVSRQIHDEATHHFYST QTFRVFPIQDFLRMPTIRALPRRHRSSITTIELIVGSSWTAPPKSWVVNQGLGLHDME LLRTLKVFVQCDPSHPVFEGFRISKDYYTDFCGKLLHQILERLPGLVQVEFDAWPSVE KNGHLMTRLLTETRDAQKKVLWGPDRGWSDTQDDTHEKHDLNDVDVAFDGLRAYSPDV VEALSNSLQTVKLES PEX2_106310 MDRLNRMLQAAQGMGMGGAAPGGDTPNLIDNSETVHISSLALLK MLRHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDPVFQTRMMEM LRQTGRPETVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVV IDAFRLIQPQTVVMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAIDYRKTGLEE NMLMNLHKHVWTEALEMSDFHEEGQHNVDRMKQLVLLAEGYEKRIKEETELSKDQLKT RYVGKVDPKKHIEDVSQKLIEDNIVAVSRQMIDKEASVARQSSKEDTNGAVMEVDEDL PEX2_106320 MALEPISDRLLGSKNKKVAYFYDSDVGNYAYVSGHPMKPHRIRM THSLVMNYGLYKKMEIYRAKPASKYEMTQFHTDEYIDFLSKVTPDNMDHFSKEQSRYN VGDDCPVFDGLFEFCGISAGGSMEGAARLNRNKCDVAVNWAGGLHHAKKSEASGFCYV NDIVLGILELLRFKQRVLYVDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEYFPGTGEL RDIGVGQGKNYAVNFPLRDGITDVTYKSIFEPVIKSVMEWYRPEAVVLQCGGDSLSGD RLGCFNLILGGGGYTMRNVARTWAYETGILVGEPLGSELPYNDYYEYFAPDYQLDVRP SNMDNANTREYLDKIRNQVVENLKRTAFAPSVQMTDVPRNPILDGMDDEADDVMDDLD EDENKDKRFTQRRFDQRTEKAGELSDSEDEDENAANGVRRQPGAMRRRNQVNYRNLEP DSGLDSGMATPQDGSSAADGEMDFPLDTKMADVPRTEPEAPFAATEASRADETPMTDA DNMAMESEEQEASTTSQHQSPPHHDEDTTMEDAAVAEPTISEQAPAQASIIEEKPLAE GSTASPSRAQSPPKEAAASTLEKSAEASAPTADLEATVTKEENLEHMAEPKKEE PEX2_106330 MSKRGRGGAAGNKLKMTLGLPVGAVMNCCDNSGARNLYIISVKG IGARLNRLPAAGVGDMVMATVKKGKPELRKKVMPAVVVRQSKPWRRPDGIFLYFEDNA GVIVNAKGEMKGSAITGPVGKEAAELWPRIASNSGVVM PEX2_106340 MSLRWLLSRTFALLLPLTVTATIYLYLYPVFNGCAFPLPQSVSQ ASEKQLYHNSVINTLFQHLGVSPSDSNSQPAIFRLLVLADPQLEGDTSLPFPEYELYP RMQTHWCAIQEAIGNASTSPLLNEDVLSNITTGLRTLATEDIPRAFRSGLKRIDLFGN DYYLAHIYRTLFWWTRPTHTTVLGDLVGSQWISDDEFAWRGHRYWNRVFRGGERVDDN ITRTGAAGWSQGEGSNAPPLEPLGADRAWARRVINVAGNHDIGYAGDISEARMERFER VFGRANWDVRFEHPPISSASAGDQVVTPTLHLINLNSLMFDTPALSAEVQSHTYSYLN DLIADRLSPVEDRSAFTLLLTHLPLHKEDGVCTDGPYFSFRDSDDQDGPDGVPRWLDG GLKEQNHLSDSLSANGVLQGIFGLSGDKNALAGGQGRNGLILTGHDHPGCDAIHFVNR TETTNDDGESRAWKWDVKRFDESQHTDDPSIREVTLRSMMGEFGGNAGLLSAWFDEVA GEWSYEITMCPAGVQHIWWAVHILVLVTLGVALLWFLSGDAQAKTAVRKVQPEHKTQN GRKK PEX2_106350 MVTMGPPQGVRPGDILEVLHEFGGRGEDELIMLPRDKIELIELD EGFGDAWYYGKNLRTGQTGLFPASMRGPLPGSPHHPPPPXPPPPPPPTIPPANISPIQ LEPTPADSSFASVGSGQSTPQASRHVSSDMQSPQIGSPTQHRSASSPLPRPSLAADIQ QAFRGSMDNHMNGEDSPVMNETLSVIDEHITDMNTPRHSVSTQEPRTINDSGSEYSSH IGHRASYINGHETDDEEQNQPTEQEVRKWSQNQTSRCLRGLGIDPKHCDIFEEQEITG DVLLDMDQDFIFMKEFDFGVMGKRLKTWHKIKAFQEETKGFSQQQAPRDSISSSFSGP SDERTLSRAGHTGPLLPRIPTLSEKTGAGYPRASAPVQHPRLASNNSSPMTPHTPPYS HDSPRRISAASLRDLNQTRRHSSIDATQRGMSDSVFAGHHQKKPSFDRSWTLNSGPQS MPARPGTSAGTSPGGFQNGPLTAESDSYANSYTSTPDQIEDLDRGYFSGTEVDSRRSR RVLQKRGSSTGVGVNNHSQKSSYAEDPYKVISPAKRQSRIGSMDSIRDAAKHVSPAAQ AYHGTPPKSRLRSLSTRVSTDRSGNSQSSTTESKTSNGGGFFANFSRAGGKVDSESPS SRASPLQFQPLKNAGPKFRRAMGLRAISDVVGKKIDTSVPPDSPRESDPTSARTGSTT PSATSKSSERHSTDGSGKAATDGHGFVVRPRTVKSKKETSAYTRGLEKKSPHEQMDGC DYSGWMKKRSSNLMTTWKPRLFVLRGRRLSYYYSEDDTEERGLIDITAHRVLRADQDP IIALHATITGSTALPANANNTAEGAGGTKLTTPSVIQSLTSKDGSGPFFFKLVPPKTG NSRTVQFTKPATHYFQVDNVQQGRLWMAALMKATIERDLGQAVESTNKQKTISLKQAR LMNQRPPELMPASGSTKTEQTIDEEEEEAGLQIEGLNLSQSDANSFEDTNGSLDINSI DEGLEGPLSNPLGDLDSGHSSLLPDPLAKTDSK PEX2_106360 MVSPKSRSHTSADHIEESNTTASLFLGGVRRNWMGPQTNLPENS ATRNTRVEVDPPIPSNSLPRELVLMSPVTPGETLTQTPSRGPVHTAKKPDARATALPS PVPSTNSHPSPVNADAIVSNRPSTLPPISTQLTAQRGNDERMAVRQPPPSGLATSQSV TQSPLTGPNWSTNSPSLTGTRTDASTQEHTVSESRPSPQQNGRAGAATIPSEAWEQWS AQLDALVDDLSAKGALSPVHPRETNVILARIDLLRQAISRKDTFYLVMHQLYCRYSID PSTLSQIRAPEMGMQSLIPLLEDNRMMHGSATIGFAHFPASPQQLMQTTWYLQVLSSV PEFLSRFGSQWQGIWLQARHSPLVSHLWQALACQSPILMSVMFMCVARRLHHEKYVKP LVELFWKDLKVFHQCRDYDLPRTTQQAHDFNFAQQYLGFPRLPEYQPQTPSSSHPAPP RPHNQTMSQAAPGVSSPYPRSAVAGISQPATNSPALSPLGAPLQHCPSNSPQGQVVGP AAGSLQFGVNSQVQNTPQYVYYSSMPQGQGQGQGVWYPVHPQMNPQMQMHRQVVLEQV PPSRGHQSMPGSNGQQMQRRTMMLTTSVAPANPSTTSLQSSALTSTQSAQSFHAPSPI SATPTTTTHMAQHQMPPQRPNNHHNRQPSRTLQSSGSPIARAPPQGQIPQGQHFQPLS NSAFLPLPGYRAPMMVNPNPTRLGLHLVDIRDPMKKLVKLDPDGNEIETDLFTYLNTF IVQPTVVDIDQPIYTWNFSFTHDERQKFPHIVRGKESQYSVWTFRPGCRTIRLRCIRL PGSPNTVTEKTWSTTSTFWPSVFYITVNGKELYVRRKVHNGKDLPLDITEYLKEGENC IRLDLILGQDECKTSKFVFGVEVMEVAEFDQVLTLIKSVSAADSRAAIKKRLSPITDD DDLAVVTDNLTIDLVDPFMARIFDVPVRSRHCSHHECFDRDTFIRTRRSVSGQTPMVD NWRCPICKGDARPQSLVVDHFLADVHAELARTNRLDGIRAIQIKVDETWTPKYDTDEA SPTADKVFSPKRKAEDSLGPVAMRPRNNVSSGSHSSPVRTQEHTVIELD PEX2_106370 MSEGPSDLSSSHLEPPSRTTEMQDPGAGDHTASESDDEHFSDAS EGNPQLRSLPQSGRTSPVPRTRVERVDSSTQHGEIPGTAAFEKREQDAVPDEIEVIPE GSHSQTHSSAGLEDQSLSPEGSPIPHTVVEKVDPDEPSHGEVPGTLAHEKRLADAVPD VVTKVSDSEASPTPLTFDPTPPEVGGTSTTEIPETRLERVDTIPAEEDLPPHPQAHTS STSDTSPDTVETVPDVSIHDTDLETKDLSLEDDMNDDHGYEKQEAGDDFDEFVEEQDD MGDDDFGDFDDGFQEPSTEEAPTGETAAPQQSHAPPPLIDFDTFKSTSELLDSLQDTL DILFPESQDTSALPPVEPIPDSAAIFSTERSLSLWSQLVAPPPLQPQNWVKSRIRRLF LVSLGVPVDLDEILPASKQKKLVLPSIDLEGTGAKSPGARSASQVRKEEGNDNNFEKG QAPSRQKATPRRGAPPAPEIDLSAVRRLCDTTDAALNGLTDSELKLHVQELNQVAQRA SSVLEYWLKRRDGLVGEKEAFEGVIENLVSHVRRVRK PEX2_106380 MAFSFGAPASTGASSGSLFGTAGNAFGANKDNNASSGGLFGNVG ASSTGNSASPSLFGGNAASGQSTPTFGNAGASNATNGGSSAFSFGGKAPSGTSTQPNS LFGAAAGSQTPNKSTESTPPASNALFGGAGTKSMFGNAAPTATPAPTGGSLFGNNSTT PAGPPPASNPPSSLFGAAKPGVSTTPTAAPSSTTPATSQTQPSNNMFGGGATKPLFGG GATAAAPSGGLFGANKPAESTAPKTAAETTSLFGAAAPGAGAQSSQTPSLFNNKAAPG GDSASKSAFPALGAPASTANTTLSLGAPATSSATPQKSLFPSTGSATSTAAPSTTPVT APLGGGLFGASAPSTTPGTAATAAPATTTPAAAPTGGLFGQAATTTSTQPATSASSAP LAGLGSQTTAASTTTAAGAAPAGPSALGQSTAGPAPPAQSRLKNKTMDEIITRWATDL GKYQKDFREQAEKVSEWDRLLVDNGTKVQKLYGSTVDADRATQEVERQLSAVEGQQDE LSSWLDRYEREVDEMVTKQVGPGESLQGPDQERETTYKLAEKLSERLDEMGKDLTSMI EEVNGASSTLTKTNKADEPISQIVRILNAHLSQLQVIDQGTSDLQSKVAAAQKAGQSI SARLGYGYPNNGMGNNNTADDFYRSFMQGR PEX2_106390 MLRQFVRPAATANRAVFTRSFSVAVPRMGEGDTGAPRSGGGASS GDAFTKREAAQESLYIREKELEKLAQLKKKIAEQRKHLDELETHIDGLSR PEX2_106400 MGHEDAVYLAKLAEQAERYEEMVENMKVVASADVELTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNESQVTLIKEYRQKIEAELAKICDDILEV LDEHLIKSAQSGESKVFYHKMKGDYHRYLAEFAIGDRRKGAADYSLEAYKAATEIAST DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKLAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEPTSESAAPAEKKEEAPAAEAEKPAAE PEX2_106410 MSRTHVRKDKKINGLLQKTPRCFPFPTPFCCGDDRHHAMPMTKK HFNAPTRAIQSNRV PEX2_106420 MSEASPVDTLPQPNDGGHMHMSSLSSSSSISDAETERRGRSERP RMASRKPSASILVPRDHPEIEIEEEEFPPDDARAMSPRRNSADLERLGKEARQTLQEQ AKALQSSLQALAERIDAVKSDHDKLENENKILQDYIGGLTRNMTKSEMTRSTKARKAQ K PEX2_106430 MLFFLSFSAVVNALAYPSQEVLGMSASSSSIDGSSKETVFGSTG SAPEGSIHDPILNTLQDLIAALQVMQDGYFVMWQGTWPTSIDWTAAVLGTHVSAALSS LTSGPLDIPGTDELQGLSFFRLENTVNRFFSQTSAFYFGENSFSIRNQAYDDMLWVVL GWLENIKFQNLHSDLHYISSNNSSGEPWYGTQFQNSAAHRARIFYELASAGWDKTLCE GGMIWSPYLMPYKNAITNELFISASIEMYLYFPGDSIDAPFLVDSQGTQTAWKHPHDP LHLQAAIDGYEWLRNSNMTGIGSLYADGFHISGWQSASNPGSKKCDELNSMVYTYNQG VVLSGLRGLWLATNSQVYLQDGHELIHQVLQATGWSNTSSQQWKGLGRGGVLEDACDS RGVCSQDGQTFKGIFFHHLSGFCQPLQPQEKRFLEDAVHRHAANDDWAKVYEQHMTRC RAYGPWIKHNAQAALMTRDRDGKFGTWWGRPYHQLDANEIVDSSTIPDGAVDYRNAGY DPQSSTTGLPPKDYNDRGRGRTVETQSGGVAVLRALYQWQSYNAP PEX2_106440 MSNEMEIDPPVAHDEDAPEQSDRSFEPRTQGNAVAVRSIEGWII IVSNIHEESSEEDVTELFAEYGEIKNFSLNLDRRTGYVKGYALIEYSTLPEASEAIQN LNGYKLLEQTLHVDYAFVRPPPSGKNKNGGPKGRATRNRSRSPNRSRSPEASGDRD PEX2_106450 MAFFLRRPFAVSSALRQVPKLTKTARFIHNSPIKPTQATKPQGV SSSIFAKSRQNFQNAFRRTYMQQSFNPAQGGNMTQKLVYGAAIIGGTVLATNFIFNRE TREDGGMPDYERSFLNETFMHTGLGIGIIGVAARALHMSGWSYRLMAMNPWLVMGVGL VGSIGSMYGTFYTSPDNYVMKYGCWTLFNLTQAALLSPLMFMNPAILARAGLYTVGMM GSIAFVGATAKQEKYLYLGGPLLAGVTIVALSGLAPMVLPATAVRTLMWSERLWLYGG LAVFGGFTLYDVQKILHHARLAERGLIKRDVVNECVSLELDFINIFIRMVQILGMGNN RR PEX2_106460 MASSLETISLPHLPLMPVHVALYRDVQNAASLKGQLLAGHTEFD RLKSHNVHSEIVFSLNPTNNITESFRRFGITDSTKDLLVIKVSVSPEITHESVAAHLD SSVQGTPVPFDDQTLSKISDINKIKKAYKLGALPSPTAKENDEAKQRLENSLLSAIAL RGS PEX2_106470 MANIADVIILSSSPNPPRSPPLGKHDAKPVPHALPRGATPPPMG STPDLAQPHTRSRFFPTPIASTKCPEDVTKPKKRSTKKDPTSSTSKQTAPSKSRQKAK KVADGPGTIVPGDPQPETVGTQNVQLKPTKGLPRKNAKRNDAGNMTLAGKVTKTSGDP PAKKSSKGGKKTVATKLSPSEDAPEKLTPKPKESNVLGTGEVLHLDEAMRRRMDWTPP RETAYEEVATVNDSGSQDKDHDLNLTGGFGKLLSDYNYSGLALNPRDLVHNANGGGPT KRRRIELVNPEIQALLNGGYSDSSDQKSAQGENMTSLRKPKKTTKGKPKKFTTLTARM TAQYSTNDAEEDEPVIDCLPDIRTTKGKRRKAKETEKQSSFTLLSPGAAVEFLNDQDL VFGTCSQLERDDSPQTLRETQQAIHVSEGLSYPGGTRDKDSRAIQESSTRSVSRLAGS RNMWCVGARDTEGSLIQPETLRVVDLTDEGEPPTKKTHDNVEQASQKSLRRDWFELEF ADIDSPPEKRPSSLPLTERSLDSDMHVQVPVPIPAATATAMAKAKPAKPAKPAKVANK TPIEAVDAQPTTSQAPSMPQYTGFTDVELSKQISTYGFKAVRGRKKMIDLLQQCWESK HGSNPTSDSQPIYQPEQPKELASKMDNVPEPASVAKAKATVKSKSGTSIKSQNSLGAT KSTSASQTSLQTTPKKNLRNPITGTSSSFIDVEEIQDSEEEIIPSPSQVQKHYTDIYS KSKTGSRVQHHSLDILTKRPTSSPTKPKVVSKMSVKRPSSSASTKATQTAESSKEISL AEISAKITQAVRAQPRLSPLSSSRGSRSRPTWHEKILMYDPIVLEDFTAWLNIEGLGL VGEDREVGTASVREWCESKGICCCWKKNASW PEX2_106480 MDTPDFTIPDDDLVNDTPSEHVPKAKKTRRSRYDPEPDWSAMVR AKVTKSHSRVGQACDRCKLKKMKCTPDLGGCACCLILNIPCKVTDRVTGETWVRGEAG QMRALIESLTKQTEDLKEQITQLQQKNAQLQDCLDGSYRANLMDHYESDLDPRNHHSL L PEX2_106490 MHLAIEFPKLSFLKADVYILFQLLYIEKSISQIKPISAFFCRLC MELKKASKISIKKTAR PEX2_106500 MSNRSDIPSEELTEYYEDIPAHWPPMPPTPFLGSSPYCTEPLAQ SSFLTPVSLPGGSFNPSPAISHHSQGYHTQDYQYNFNDPLSAPLGLGISAPFPNDFPR SSAPSPAYLYATDPSGIPHSVEQTPSPSPQGPPPAKRAKLPSSETPSLEKASHTPINI APNPEGVLRMEQNRQQHIQPTSHILPKIRAPGRGRRDPQGEDEDAFVEELRDKATAWK VVRQEFRERFNKDASEARLQMRLHRRLRERMVRWEESDVSMYPTRNT PEX2_106510 MVAWNVFRIIGDLSHAGSICVLLWAIHKNKSAEGVSLLTQILYG VVFIFRYLDLFDPHTYTSGTWHSIWNVSFKLFYLISSSYLIFLMMKVYPRTRERERAW KLGSWSVVGSVVLAPISIWLLDREPYNLWVIEFFWAFSIILESVCVLPQLLLLRQTTV PTVIDSYYLLALGSYRAFYILNWIVRLFRKEPFNDWIAVVFGIVQTAFYVDFAWVYYS RQRVKLRNGGVVDSEDYGNSWLVNRVVNFRASRGSADEEQHLRDEEEGVDGQSNHNRW GARGISIAADDTLESNGHNKGPHRDDSLDGFSGDEDETDDHRVNNSR PEX2_106520 MLAQQQIHPFHRVPTFEGIPIPTAPIPQQNLGASRKRPPSPTGS SAMMAAQGNPTGGVIDDPGAIPTVESTPKKKGRTNTPWTAEEEQRLKTMRDAGRSWSE IAKTFPTRTEGSVKKHWYKDMHYAEFAEDESVKLREAIKEYEANKWKVIGQKVGKPAK ACEQYAKEHFKNV PEX2_106530 MASENEITALAVHSNKEDRTTSKPETPGVANKDELLYAEATTNR RNPVGSRLGSVIRAFENQLIEYNLEARGIERVAVDERMKRNTWMSYLQVFLLWISINL APNNITLGMLGPAVYGLSFRDSALCAVFGALVGSIVSSWMATWGPVSGIRTLAFGRYS MGWWPSKIIVLLNLVQMIGYGLIDCVVGGQILSAVSPGGMSVAVGIVIIAVISWAVAT FGIQVFHYYERFAFVPQVIVVCILFGVSSMKFDLSTPSTGDSRTLAGNRLSFFSICLS AAVTYAPLAADFFVYYPENTSRVKLFSLSLAGLLVSFTMALVSGVGLGSGIPTHPEYA AAYEKGQGALIVEGFGPLHGFGKFCSVICALGLIANTVAPTYSAGIDFQILGRYAEAV PRVIWNTIAVIIYTVCALVGRSNLSEIFTNFLALMGYWVVIWIAIVLEERFIFRLRTG YNWGIWRDPSKLPIGIAAFTAFVVGWVGAVLCMAQVWYIGPIAKLVGEYGADMGIYVG FCWTALVYPPLRFIELRFVGR PEX2_106540 MSTTPGDPYRGFMVNYAPHGRGKDANREGIIPYSSAQLLQDRPT EIRYHSDTTQPPFGSSSTFIANRSSQRQQGSHYNTHGYRLSPIHGSRKRQRTDNQPEA SEDNYGYRPILQNLPMGDPSASAGESTDLTYADHVGPSSSHQTSNHDYIQAGLPQQQQ QQQQQQHHHHHRLPSQALVGTTSADQGSEPYQPQIDLSFMDLPGVPTPYPEPKAPKTR FGHKEDEMLIALKEHWKFSWRQIEYFFPGRKQQTLQVRYCTKLKERDVVWDDTLDQKL KRALEEYEDNKWAHISRKLGPGVPPAASMESSCQSERLSHHHSRPYWMVQAANHRRSD QSGFKLAQPQTSKLPLLPQTPRVIHPITSRTMFLQRTASALARRSPARAFTLAQRPFS SSIVRSNDKKWAPKQEGKILTFDEIKTEDDLFAPGAKPGTIPSDIEQATGLERLELIG KMQGIDIFDMRPLDASRKGTIEDPIIVNGAGDEQYAGCTGFPVDSHQVNWLTVSRERP LERCLECGNVVKLNYVGPEEDPHSHDHDHGHHHPPHVEPKTFADYVKPDYWYR PEX2_106550 MASLPPPPPPPPGWGAAPPSLPMAPPPPGYQPPADPNVAKFAQK KNEWLRTQRNRFGEKRKAGFVETQKADMPPEHLRKIVRDIGDVSQKKFTNEKRSYLGA LKFMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNETPRVIEPVFHAQWATMWM CMRREKSDRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDDAEDAAVYEWL YDHRPLLDTPHVNGPSYKKWNLSLPQMATLHRLSHQILSDVVDQNYYHMFDLNSFFTA KALNVAIPGGPRFEPLYKDIDPNDEDFSEFNAIDRIIFRAPIRTEYRVTFPFLYNTLP RSVKVAWYSHPQVVYVRTEDPNLPAFYFDPVINPISSRSVAPKNITVSHEDEIFGPGN NEDDEFELPGDVQPFFDVEELYTSDTASAIALWWAPHPFDKRSGKMVRAQDVPLVKQW YLEHCPQGQPVKVRVSYQKMLKTYVLNELHKGTPKAQSKQNLMKTLKTTKFFQQTTID WVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHL MREILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKLMHQIRS CKDLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERWLGNLLSRQFEG RHSKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNVVLQHLSEAWRCW KSNIPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGATVDKTVAKKNLGR LTRLWLKSEQERQHNYLKDGPYVSSEEAVAIYTTMVHWLESRKFSPIPFPSVSYKHDT KILILALERLRESYSVKGRLNQTQREELALIEQAYDSPGTTLARIKRFLLTQRAFKEV KIDMNDNYNNINPVYDIEPIEKITDAYLDQYLWYQAEQRHLFPAWIKPSDSEVPPLLT YKWTQGINNLSNVWETAEGETNVMIETELSKVYEKIDITLLNRLLRLIMDHNLADYIT SKNNVQLSYKDMNHTNSYGLIRGLQFSGFVFQYYGLMIDLLLLGLQRASEMAGPPGSP NDFLQFRDRATETRHPIRLYTRYVDKIWVFFRFQADDSRDLIQRFLTENPDPNFENVI GYKNKKCWPRDCRMRLMRHDVNLGRAVFWDMKNRLPRSITTIDWDDTFASVYSKDNPN LLFSMNGFEVRILPKMRNMNEEFSVKDSVWSLVDNSTKERTAHAFLQVTEEDVQKFNN RIRQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVNLLDTIVKCETKIQTRVK IGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPTSDKRWSKQTDTGITHFRAGMS HDEETLIPNIFRYIIPWEAEFIDSQRVWMEYSQKRMEAQQQNRRLTLEDLEDSWDRGL PRINTLFQKDRSTLSFDKGFRLRAEFKQYQLMKSNPFWWTSQRHDGKLWNLNAYRTDV IQALGGVETILEHTLFKATAFPSWEGLFWEKACLAKGTRLLRYDGTEINVEDVREGDQ LLGPDGEPRRAFNIVSGIDRLYRVKIGGEKEDLVVTPNHILVFYREGPSDGPENAERQ TVEITAAEFATLSTEERSLYSAFTSPAVEKGAEGSAAQMHSFKVEDISLESEKTEWAG FRVDKDQLYLRHDYLVLHNSGFEESMKFKKLTNAQRSGLNQIPNRRFTLWWSPTINRA NVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELEQ LGIEAVQKETIHPRKSYKMNSSCADILLFATNKWNVTRPSLVFDTKDVYESTTTNKFW IDVQLRYGDYDSHDIERYVRAKYLDYTTDSMSIYPSATGLMIGVDLAYNLFSAYGQYF PGLKTLVQQAMAKIMKANPALYVLRERIRKGLQLYASESSQEFLNSQNYSELFSPQIQ LFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQ LAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLDPLEVHLLDFPNISIRASELQLPF QAAMKVEKLADMILRATEPQMVLFNLYDEWLKSISPYTAFSRLILILRALHVNIDKAK IILRPDKTVITQEHHIWPSLSDEDWIKVEVQLRDLILNDYGKKNNVNVQSLTSSEVRD IILGMEISAPSLQRQQAAEIEKQQEEQKQLTAVTTKTQNARGEDIIVTTTSQYEQQSF ASKTEWRTRAIATSNLRTRSNNIYVSSDDIRDEGYTYIMPKNVLKRFITIADLRVQVT GYIYGSSPPDNDQVKEVRTIVMIPQVGNTRDVQLPHQLPQHDYLQNLEPLGIIHTVSG NEPPYMSAADVTHHARLMNAHPSWDKKTVTMTVSFTPGSVSLAAWGLTPAGYKWGAEN KDTTSDQPQGFSTNMGEKCQLLLSDKIRGYFLVPEDNVWNYSFMGSSFGSVEKRPIYV KIDTPLKFYDDQHRPLHFQNFAELEDIWVDRSDNFA PEX2_106560 MTNNRLQYRRRNPSGMATTFSNELLTDFFAFNRYNTRSNKVRII KTPGGELRYLHLKKKGTAPKCGDCGIKLPGIPALRPREYSQISRPKKNVSRAYGGSRC AGCVKDRIVRAFLIEEQKIVKKVLKESQQKAAKR PEX2_106570 MDFSFNSQHFDRVQLSEDSKPDLLSQPEGPPQFSVADWARWRSQ PAPMPPRQYEVHGFPRVADGEPYGLGPLPQELIGDANGYIDAYHSHVSPTMAGTSSEV MAPQTGEGHPDWPLLQPSHAFKDQQYNYSQLKPVQPPPDSPPSPLSEISSYHSPHSLA ASSPAMTVQTADRFSPRSSTGDNKEERSSHPPYSVLIYQALKEAPGHKLQLQSIYSWF EANTDKGGDPNAKGWQNSIRHNLSMNAGFEAVKEEVGPGKKAVNFWKLTPEAIHSGGI QSTTRYRKLQHQKKGMNSGYRGSTRQQSESKGVHLMKVVKSRSTNSPHNERAEAYHQQ QMISMNPSLGHEYGPTSLPAMQKYMQHPMGPVVGCTPMIPGNDTVFTDTAEPVPAFDV GHNHDWYAPESGPNRVHDPLEGPGRSSAWDFKKGI PEX2_106580 MSARFPDRSDSKRSRSRSPSSWRQPQKHPRRDDGEWRDRQDSDR RWNNERSGPSQQPRNMRDQVRLNLIQEDQQEREWVAQEDLFVLKQAKKKAEIRVKEGR AKPIDWLTVTLRIIDPTRDPLDDEIADSDLDVVDPDGVFEGLSQTQLQDLEKDIDTFV NLETNAKNREFWQTMKVICRDRQKTVTPEGRALNSVAADINKLLSPKSYEQLQNLEVQ VERKLNSNEPIDTDYWEELLRSLTVWKARASLKNVYQAIIDERVRDLRRQQTQEAESI REKLAPLAPVVSAGDTTNHAEFEGLDPDPMLQVRPEDKGLEIMDESAFLNQVARERQK IIRMGFVPLRHRAAEKSSAVVSTPTSTNAPAASVSTRFSSIPNEDFSQATKALYEREL AKGVSENEEIFTGEEAVSTSSQPQWAGKHRPRKPRYFNRVQMGYEWNKYNQTHYDHDN PPPKVVQGYKFNIFYPDLIDKTKAPTYRIERENGRKRGESSAEAGEEDTCLIRFMAGP PYEDLAFRIVDKEWDYSAKRERGFKSTFDKGILQLHFQFKRIYYRK PEX2_106590 MPSKWQRLRLSQQGLPPLLFQYTWTRQGYEIHITDLTYIWSERL PHKAITKRAEENATTIDPGEDPEQLNVLLEKIGEALQEGNESALLSSGTQPDSLEITT TTKLPSPLKPLKWSLKLSKEPMSSLTINLLLPLLREEAEWESRQRLLLSQIKQKDYVL SKLFDKVEILGVDLGSVFPSAAGSRTSRKALTRSEAAKFIKGIAPFEEQTWLAETGIS HEGGLATNLLQEISGPGDSHDLDTFTQAQGDWWHHIARFSEISAREASPIKEEFPNDK DKKKLTETTHIDAGGDSTASSEVDEFQRQETPPRLKPQYGKGKTLSPNQNPRKTSPVP PILPSEEDEATASDSDSEPEPAPRQRRIPSFSKSLEPTAPQPKAREAPKVPKGGLGKI GGKNKKETERRPSPSSSPSPAASPPAQVREAPKRLKGGLGMIGGKKKQAKEPEPSPAP SPPAQTRESPKPQEPTKSPEEEEGTSKDHPLPISPTQIPTVKAKHTGKIGMIGGKAKA KASEPARDKSPLPTSDTVAMSPKKATPAKPKADQLATKKEESPLPTPAAEKVPSAPPA EPETEDQRADRKREELKRSLEAKGKAPAKKKRRF PEX2_106600 MVPGRSDPNHCIAITALDISPERTHAVIAGKEILKTIQVSPDRS SEEFNIRNAVISYASTHHDAGVSMPHKDQLNVKDVKWSHGNHDRIIATAVANGRIVVY DLQRPGLQLCRFHGHNRQVHRLAFNPHLPSWLLSGSQDGTIRMWDLRSASANRGSSTC GSKHSYQGNSDAIRDVRWSPNDGVIFATASDSGAIQMWDYRKVNAPLMRIAAHDRPCF AVDWHPDGKHIVSGGTDRQVKVWDFSSSAERRQKPAFQFRTPQAVTNVRWRPPSWVGE SPASGEWQSSQVVTSYDKEDPRVHLWDLRRPHVPFREFDRYDSPASDLLWRSKDLLWT VGEAGAFTQTDVRYAPTVVNRRPMCSVAWSPNGEFVAFSQQRPRRRPLGVNAAEFLRP EEDEDSTGERSLSQSPADEIFDEAVLATSLRNRHTKLAATRPSKSLGSTPPGVVDFIP VLPLEQALAKLATPMPSQVGIITSIPGATNDSAVFRYLASHYAPLMDEHSEHRTQTDV LNSLIESLDHNAECADEVSLARLAQTWRIVKFAILQELQRRARDHPAKGGPKDRSSKD GGLIDRSRPDDGRQDRVKSRLFKGVMEAVPEAESSSNMTTPLARPLPDSPKDSWSSTD SQIPSLSDGAIDLDPLPPSVLSDHNGWGVSDRIPLPRGRVSSIDDANSSKYLWDPTQE DLDIEQRSAPRAIAGKADWRRRGHSEFPQANSEDDYDQKLEDKRAAIRDYKQFPKKPL TLESPMESNRPPRTDRYSRHDSSESFPMFSTSTDSSHPSKSIGASYSSGGHLEASKSL DGGQSASALRRGSILASTREESEDELFDQVVSETDRLHLERPSSPPPLITESTPLERQ PQPPLLDDTPYLGTLPYSTMGGISRVQIPLTPDGTDLNPWGIEAILKESVRYYHSSSS LVDIQAAAHLLQKLHILFRECEQILPYEECETVFKTYNEHLIRHSMDLEAAELRLFCV STYPAVYEYAQTDSFINVYCYMCQRPFENPTQDNSRCYRCSTPQAPCSICMSLDPPPE WVSEQQNSPITSSTLTSPDPDSDTTSNHSAQSSVPTEPLPASEIEVLEPFTVPRPKGS ALWTWCQGCGHGGHLACITTWLGDISTSEGGCATAGCSHDCGPGPRRELNRQALQVET KRRDSASRSAGVGLVKRDPWTKGESKAVEKVRGMLGVAGVAAATGGSGAGPITSTNNT SSPAPVSPGAMSPKRVRLVTPNEQEKESVASARTGPPSRD PEX2_106610 MDPHAALELLEYLRTSYPIFLLILFVVAFVANTTIAARKASKHE SQYFGPGGRPLPQRTRNVPAYKSQFSRNVKRVFNLLSVAILLTFLADAIIYIIHVMAA RSENWWRGQSFVVYVVGSFFVYAVLLMAMLDTSPSPTLAQFVCWSVAIPIELVIVSTS LSIYTSVHHEPIVGDPEGGRVRRSITFWESLEVGSNSVRILILFALVLLYACQSFSLK SHGKASQRTNGATEATGLLDSAGAENGNGNGHGNGTANGHSYGSTNGAPRPETSKPTD PWVRPTTIPSTSWWEYLSGYSLFFPYLWPSKSRRLQLVVTFCFGLLICQRIVNVLVPY QIGVITGALTKDDGEDFQVPWLQICLYVVYRWLQGSQGLLSSLRSSLWIPVSQYSYME LSTAAFEHVHSLSLDFHLGKKTGEVLSALSKGSSINTFLEQVTFQVVPMLVDLVIAVV FFMVAFDVYYALAVGISTFGYLYVTIRMAQWRAEIRRQMVNASRQEDAVKNDSMVSYE TVKYFNAEQYEFNRYRGAVGDFQKAEYHVLFSLTLLNTCQNTVFMMGLLVMCFICAYQ VATGQRPVGKFVSLLAYMVQLQGPLNFFGTFYRSIQSALINAERLLELFREQPTVVDS PSATPLAMCNGDIKFEDVEFAYDARKPALNGLTFHCQPGTTTALVGESGGGKSTVFRL LFRFYNSENGRIRIDGHDVQDITIDSLRQHIGVVPQDTVLFNETLMYNLKYAKQDASD EEVFEACRAASIHDKILAFPDGYNTKVGERGLRLSGGEKQRVAIARTIIKNPRIILLD EATAALDTDTEEHIQRALSTLSRGRTMLVIAHRLSTITTADRILVLSEGQVAESGTHE ELLAMKGRYASMWRKQIRAQKAAAEAQVLQDRAERIRTATTSDDSSSQSDEDRKVVGR RNN PEX2_106620 MHSPSPQLLRVLRTSLVNTRGASNLTRFCQIPSATATPCLVSNP STAMPSTRRNYSSPIRPTRMIPRSHAHKPASRDRGPESKEDTQTNFDSLNVLGNIPAP TTAIDACLDSGFHLNNGVKLTNGDGLLLVGGEAFAWRPWKAVEGAESDRVAKDAMLNA KGQFELDESVWGLLNLVWPKPGMLALVAFLSRICAFVHGHDMLELHRKITLRYANSFT TDMLILGLGGSIFPLSPETKRHINSLGIRVDILDTRNAAAQFNLLATERGVTEIAAAM IPIGWKARPL PEX2_106630 MAREESLLPPRLSEDGSSIRNEEEDYALLTGERTTPQHKGRVWP SWREIGVFSWALLATILVIVLAVIYPRKPAEPHDHHSSNTTWGPGGKPTGKRNMIFMV SDGMGPTSLSLTRGFRQYTDDLPFDDILVLDKHYIGTSRTRSSSSLVTDSAAGATAFS CGRKSYNSAISVLPDHSPCGTVLEAAALAGYKTGLVVTTRVTDATPACFASHANLRNY EDLIAAQEVGEHPLGRVVDLLLGGGRCHFLPNSQDGSCRGDDHDLIKVAAQNGFGYIN DRAGFDSLQGGDNATLPLLGLFASGDIPFEIDRRTQNETYPSLEEMTRTALKALSKAT EESEQGFFIMIEGSRIDHAGHGNDPAAQVHEVLAYDRAFAAALEFLENDSTPGVIVST SDHETGGLSAARQLHKTYPDYLWLPSVLDKASHSAEYLAAQLKEYLSGPGKDADDSTK QSWLRQTLKDGLAIDDATDHEVDALLHPVPNVTPSYVFADMISRRAQVGWSTHGHSAV DVNIYASSTKDAWPLVGNNENTDVGDFLADYLDLNVDNVTKLLQDTKSGALQSYDWMG DRLGMNFHTEGLDTYHGDFRKRSADDCGCGAAH PEX2_106640 MCLFVETHYSNCNHRCFELYLFCHEILNQLNRINDPDQLRNYDL PFDPDCPTCHPYTITMRATQPDMSYLSVISESNIVHQMMDLVESCPDCNTKGV PEX2_106650 MDRFLQDPESDKLLSEHNRALEAAEAVKGMQFNPVTTPDELLQK FKFQQKTNKEKSRSRESASSVDISFAQPIYPPCLAPLKGLRKAMVKDLLLETHHRGSY ILVRSISQVEKMTTVMAIVEDEQKDAIPLQMLNEKLRCQDGSVDKGQILLVKEPYLTL TFHGEYGIRVDHVSDIMFIPIFDKMVPSAWRERLPEDETSHWMAGDWLSMGNEHLNRG KLYSATEYYSKGLKCSPTEEEMHYLLYYRGLAFFQVNEWDASLRDLDAVPAGPKSDKA LRGKAQTLYRLRRFRESCDVFTKLCKKYPEDVSAKNDFREAIARLAEQKKGGYNFKKM QEKASKTYPPLLDHATWIGPVTVRQTKSQGRGLFTTEAVKVGDLLLCEKAFAYASEHP SRPRWSSALHVNTETRTTTRGGQFALASSIIEKLYKNQSSASVVTKLHNNGFKEVSTG PVDGKPVVDTFQIACIVSLNSFGSPTSSRGDHIHDARDASGGPETLHNCGIWPYASMI NHSCMSNAHRAFIGDMMIIRAATDIPANTELKIWYLVPAPENYSTDFRHWGFECCCVI CADVKATEPRVLKTRVRQRAEIAMALECKNRGRAETLIERLAETYSRPLEQVLHLGLW EPLALIAGVYDSLKQQGEAREAVSRGLAAIGFVLEGGVNGPLVVKKWGLAMDKLVVAW MILSKSLLEIAPESALQAERYARLAYLVFVGEEASFDATYGMSLKVAEPRSEEV PEX2_106660 MGKMEQIAVDPDFYSMVNGYGMDAQDLQSDTTSIASAIARGRLE NGRRYQAIKEDDYWGPSDEQQFEAFEIGHMMFLVLDHEQLNPFFRSPIGDSPKNILDI GTGQGSWAIDVSDRYPLATVRGVDIFPPPVTWMPPNCIFEVDDVLREWTWREPFDFIH MRLMYGAFPPDGWRKLYKQAYDALEPGGWIEQVEIDVRVYSDDGTLKKEHQLYGWGAM FIRCSERAGRSLRTNETMRSAIEEAGFVDVHEKRYKIPIGPWARDLTLKEAGHLQYGH WNAALEGWAMWLLTHFGEPEPWTKEEVQVYLAKVRKELSNPHIHAYEPGTRVWARKPT KEELEVKKEKEAKIKIETSP PEX2_106670 MVRFVTPLVLASLSVSAFAAQSCTASSQCKDKNYPCCSQYGECG TGAYCLGGCDPLASFSLDSCAPMPVCESKTYTWDNLDNSITQDKYLGNASAADWTYSG KVKTEDGNLIMTMPAKSVGTLFANNHYIWYGKISGKIKSSRGKGVVTAFILLSDVKDE IDYEWVGADLTAVQTNYYWQGVLDWHNSANISVDGADTFNDWHTYEIDWTPEKVDWIV DGTVHRTLKKADTYNATSKQFEFPQTPSRLQMSLWPAGQASNAQGTIDWAGGEIDWNS EDIKTVGYDYATVGEVSVQCYDPPSDATKKGSKAYLYTNSAAMESDIAITNNNTVLAS LGATGLDMDLGKSSSSGSSTASGSNSIPTSNGGSGGMSSNTTSSDSSSSGTSTTDASQ TSTTGFTQGTTTQGNGAAGQNERVLRGSLFGVLVALVVLVTL PEX2_106680 MMPYKHEPMGHWTQQVTWKLTRARSLVRESHEWFARNDETELSA ELRQIERTLAMVLEDSRLRPPPLSARRPPPLPPPGPIRSNRHIRERFTREPGNGNYRP QPTRESQTRIYASSTRDIQIVPTRPTSSQTFRDSSTPACAKNETSVIKPANSIATAPP PQGVLVDFDEDSTVVELLPGIVATMEVLQIAHIEPKC PEX2_106690 MAARTLDRMRKAQSLIRECHEWLVQSNEMLLAVELCQIEGRLAL FFENRCFANSPCPGLQQEELPRLPPFNGYRRRNRRGSYNGYRRAHPANRSGTEAPASR ADGGQNVRAQAAASTSRAKVSGLTCPDADPKPPRARVVVTRPSPPSGVLLDFDNDVEF VQLPQNMVTEMEKSLTADFKSKGKQHLSKWPAPGVSVRVEGDDKSLHQTNATAAHATS AGLDAPAGSYCSPPAEEGVAPVPSQLRLPGSPVSGSPERTSPHTSQTNQGVHEIAENQ KGNSMNCEGVPVSTITRGEGMLVDLDENLAVILPIGTEADAGGTTDPEEKAILVASFN SGVEYNLQLEDLEDDEIVFQGNAAKGICSDFKALFVGSDDDSQTARRTIDLKAIFEKY SEVVICR PEX2_106700 MRSALDVLLTAAQVVATGFIVWRALSLWAGAPCPVIILTIESIV PACFPGDILSNSNHNRNFEIGDLPVCWLPDSAFPIIHRVLRVLYEEQRNLYIALIIDN KLDNYMTPSFHVENLF PEX2_106710 MASSAQDETDSGTVTPSRDYPLATRLLETRLFHQYMTSTSHTLS QDGLSAHHLSMTIPRMAASCPYLLDSIHAFSALHLASVETDNRASWLNHAVRYQSQAC AGLSKVLPEISPPDYEPAFVSSIIIMLFAMGSRVLYLETRPLDPLSVVLEARTLMSGP AMLLSRIIEAGVDSQLDGWLCAPNTQETFETMENDEYGYPSF PEX2_106720 MASEADDQSRDIVTSLVRLHSIIDAIKGSDQPIYQATWQQLHEA IEPWPKIGPHGGPLAWPLFLSDKFSLLLKHGDWIARILFLHFGIAMRLLCHRWYVRDW GRRLVLATLDALDKVPQEWEETISWIRRAAARED PEX2_106730 MRLGFLAVLSLLLVAIHLPSANTSPLEFSERDPNVVIGSHGGIG AGKLGAVASESSICSGHGSDILKMGGNAADALVATEFCIGVIGMYHSGIGGGGFMLVR SAKGDYEFIDFRETAPAAAFEDMYKNNEDASIYGGLASGVPGEVRGLEHLHKKYGSLP WSTVVQPAISTARDGFPVTEDLVRYMASAVGSGEDFLSKNPTWAIDFAPNGTRLGLGD TITRRRYADTLEAIAQRGADAFYSGPIAETMINAVQRENGTMTLEDLKNYTVAIRDIS QIDYRGYKITSTSAPSSGSIALSILKILGTYKDFFSTEKSVNLSTHRLDEAMRFGYGE RSNFGDPLFVDGMAKYEKEILEQSTIDDIRSKISDVRTQNVSAYDPAGLESLDTPGTS HIAVADHTGLAISVITTINLLFGSQVMVPETGIIMNNEMNDFSIPGSSNSFGYIPSPS NYIRPGKRPLSSITPAIVERPNGKLFLIAGSAGGSRIITATVQSIIHSIDQGLSAAKA LAKPRLHDQLVPNQVSFEYTYDNATVASMKARGHNVTWVAPGQSSAQLIRVLPNGTFD AAGEPRQLNSAGNTMARLSNISVVVPLPSFDIDPLKTFDEDFFDRAVDNILSEEASIE ESRDEMVDNSIGISSPFAYDGGSDSSKLNVRRSQRTGANSPHFSTPSTSSPTTTSATK PKLRTSSRIRKSMTMANVNGRGEASMSLEKTTQPRRKEEVIQAEPYPVHEAGDHPSTV PEITENPALSTGDNEVTIEEKIFVSVDPETRLEVLKFVASHYFMTDQVQPVRRSARRE FIGQVRGVAAEAGMNDTAIDALVDHVRKTYLEDRGIATADDASSAFGGEVDSAEEAHA KSSHRKRRKSSSGQPEDKEHKKSKRRHSDKARRHSHDAMQLDEPEKVLEAHFPASIPT ESQENGYVEPEEPKHKDHTPDLPKMPTNIIRGSPTTPIDLTDYPPHDEFVLGADWVPL QNQRRNSIGGFKDFEESNKKVLTPDLSPNFPKEVIPESPRPENVVEEKRSKRREPGKT SQKEKNKRKRERRRERKKHRINLGGQKQGDSTDGAEKQPQGASVDRADQDQLPPSIPQ QTLSASPNGSRRSSSVTPSDEVQSKYFLGADNPKVVSKTKRETASSLYDLSIPPKTRQ LLKNLNLPPDFLSSDSSLSDTPSDFDSNWDDLDDSSSLIQIKLSPPRSPYLIPKSTNP QPRTPVKSTSFVNPEPIKTPQAKPLKHSPYFPRVLVDPESCLPFPPMDAPSFGLIQEQ LAHDPFRLLIATIFLNRTRGGVALPVLFKVFERYPTIDAMAEADLPELVSMINCLGFQ NQRARKCIALAQTWLSDPPNKSKRYRKLHYPRKLDGRNVGREECVDEEDLRVAWEIAH LPGVGAYSLDSWRIFCCDELRGKASDWKGTNATELGFVPEWKCVLPHDKELRAYLTWM WLKEGWIWDYNTGDLTLASDKMMRAAQSGGVAREEEGNWVLETSPVKAVNGLHGSD PEX2_106740 MFPLRLNRDDRKSKTVKAKTNKTNRKTSTKDPEDPTTARSSTSQ RRSSMPGLERSEAASFLESRTSLPYPTFSKAHSKEGVRASIPTPDPTDLTHDEGKNGH RENHHAPPSPPLTGLDQESRKGSIVNEDEKETDKPKKKTSIKIRASEVNRSSSSLRSK RDDSTKSKSSKTSRTETPTKSRPKDESEPLPRSSSYKSKTKVPEDRKLPKRSSRSTMS ASQSNVTLRDIGAESANGSDATSIAPNQQHSVPRKPMTPPTKPPSRTQNRSAMSHRHS ASDESIDFVKPVNPNSFGAPPPPPPPPEMPATIPRVDYLLKNGGLENKVSRALLASLG QKDPFSQGQPQSRAIAGKIFDPYNRLLDDYQKVMSKNGSLAVATGYRSVARRLLDRLE AVFARDISSEPCSCQVCDQAESDDRPDGVSWGEVLELVSGRRELPMWPPFTMAPTTVD TGAPGDEHIPMQKLDVDVPEEYREHFIRQSRKTKVAVDKWLYEQNDPGTSAPNEVDDE TLTFAMLTHLEPHQRSLFCSLLGINSSTPVPRSGDEKPRPKPSALVSSASAIQRLYRL SSLPRDPETAMYMLNNPTLHHVLATLSAISDDEWEILISGRFDGFLRSGAEDTGPATN AAGSRWGSRSNTPLGGGISRGPTPNYMDGSFRPSSQVNGVPSTPASFGGPIALDEETE IAALAEVERDIFLGMEALEDAFEALHCKAEVVRRALRERGAGLSVANQSRRGSFVEAR LGTPFSGVGTWESGGEDDFLDDDRSLAPDDSASNISSNRRRRPKRRTERRTPAPVEEE DEEDDGLGRGDTRTSRRR PEX2_106750 MSALILMGLMPKNNEHSLAARLTNSVELDIDPLPESHRRGLIAI AVMAFLSLIATSMLLGFITYRLFFWRGSYTRYIGYNQYIILIYNLVLADFQQSLAFII CLRWILTDKIQSGSAACFLQGLWLQIGDPGSGLFVLAIAFHTFLLVVWGRKMSYKFFV CFVVGVWGFVAIMVIIPLAMYGGDVFVPSGAWCWISDEYETTRLWTHYIWIFLAEFGT VILYAVMYFQLRRQIAASAILGNSQLESLKRLRRVVGYMTIYPIVYIILSLPLAAGRM ATADGDAPSIIFFCCSGAIITSSGLVDVVLYTLTRRNLIIDSEPSQDRSYNKFNSSKG KRGGETHLTTITADPTRKLGGTFTDDLDRDGSTDNIVPPSTLGHRDHDHEMTPIGKVY QETTIEITHEPAYPNEATSERSSKEDFSSPRPPHSVWRR PEX2_106760 MATIPSSTSPSDTKLILHKATVKDIPIIKSMIDAAYSKYIYRIG KPPAPMTEDWDQMIRTHEVLVLRDSERIVGSITFHKDKQTNSLKIDNVVVDPTSQGRG YGYYLIKFAEEEARKQGLGSVTLFTNVKMFENIGFYAKLGFAETDRRMEEGFERVYFY KMVC PEX2_106770 MPSNGAHDIVFRTSIAACNSRSHLQVYMQDVLGKIRESKYEDKW SNGIEKNGIASAKFYSPVAYTSNELDNIRVYYPSAENTVKDMAYDKSKGWYEVNLGKK RFMTASYSKLGACYLKEPDMTIKVYCQMADNTIQEYGFKGK PEX2_106780 MADLWGPAPEPTTGLGRYRILSSTAGIRVSPLQLGAMSIGDAWS SAMGSFSKEEAFKLLDAFHEAGGNFIDTANNYQDEQSEVWIGEWMAQRKNRDQLVIST KFTTDYQSYKLGKGNAPNHCGNHKRSLHMSIRDSLKKLQTDWVDILYLHWWDQTTSIE EVMDSLHILVEQGKVLYLGISDTPAWVVAAANSYARAHGKTPFSIYQGRWNVMLRDFE REIIPMARHFGMALAPWDVMGGGKFKTTEEIEQRKAQGQGLRSILNSEQTEEEAAMSA ALFKVAKEHGIKSLTAVALAYVMAKAPNVFPLVGGRKVEHLKDNIEALNIRLTAEQIA YLESQKPFDVGFPSNFIGPDPKVTGKASFLLAANAPYSFVKAPKSITSPE PEX2_106790 MSSKHEMRQLEEDLLENNNRNEYEIADELPPPTYNSLFSDDLLL PPPTTRDERRESVLIEDIGRFSIDLSAGNRTSTLIEQFLLPAQRPQIIQIQADSSSSD SLVKPTDDVKSLVGTHEIPLEILLMLVGSREEIKSVILVGQELHQHGHRVRVATHSIF QSLVHRAGLEFFSISSDPEHPIAAKDSGLMPDSSGLDRPQVLKNKKVLLNTLKECWNA CTHPGSHENKPFVPDAIIATPLAHAHVHCAERLSIPLHIMSNSPWTPTRRFTHPLAQI ETGSEIDYQLQNYISYLLVGESVWHDGAGILRESKIPHTYLWSSELLPKPEDWDDTID ISGYPTIGSPSPFTPSKALENFLDSAIDPVFVALDITQLNNPKLFAQYLVEASRKYGV YLVLPSGFREILNKSEMPKKIFLLENDPNGKEWLMGKISILLTSGDIPTVKEGVQNGK PMISLPLLCDQPFWATTIHNAGVGPAPLREKALTSESLFEAFQYCRQPSVKQVALRLG QRIRGENGTTNAVQSFYRHLRWEKVRCSDTNTEPVIYRMQLKPSFGGKVETDGVGISI RGGGSPVEPDEYPIHTRRDQIPELTEEKVKTTFLVDAKGVSKSLIKAIIKPTVSHIAD AHRKRENQNDVPVPTGSKAEQHKTRTETAMKVARNVGFGSAVTCGKIAMLPFKTVWYM SETASYGVRALQGLDRNDDKRNNQDMEQASNVNNPRVFDEGKVVELIKREGFQF PEX2_106800 MATSTYVLYNYTPSLVGAVIFAALFVLSTAFHLYQRIRSHAKYF NPFIVGGIFQIIGYIARAAAHFNKTSVILYALQQLLILLAPILYAASIYMVLGRIITF LRGEHLSYVPVRLMTKIFVGGDVLSFILQGAGGGIMSSGSEKSLSIGQWVIIAGLCVQ LLFFGSFVISSGLFHMRILQSPTEQSERTKHLQRSILPRNWPGLLFACYAVSLLIVVR SAYRLIEFAQGNNGYVISHEVFLYAFDAAMMFLVMVIMNVFHPSVVLQSDATANSSPA ESSVDRSKERV PEX2_106810 MSGYLLYNYTPSLASAIICMFLFIGLTFGHIFFVWKHKTIFFIT FIIGGLFEAIGYGARAANAHEAPNYSTIPYALQSLFVLLAPSLFAASIYMILGRIIRL VDGDSRSLIRATRLTKIFVLGDVLSFFIQSGGGGIMSSAKTASSMKLGEHVIIVGLIV QIIFFGFFVVVSVVFHKRMANNPTSAAMATSVDWHRYMLVLYVASGLIMTRCLYRVIE YIQGSTGLFQSHEYFAYVFDAALMLLVTIIFLVYHPSQIISGGHRKFDNMELMRGEGA PEX2_106820 MAEKHPIGDLSAPEANSLSAGDSDSSRTDVASDYELTGVTLVLV ITGLALSIFLMSLDSSIIATGIPRITSEFNSTGDIGWYGSAYSFSMCALQPIAGKLFG SFPMKVMFLGSLAVFELGSLLCALAINSPMLIVGRAIAGSGAAGCFTGAFCLVAVSIP LVKRPFYIGILQSTFGIATIIGPILGGAFTEHATWRWCFWINLPIGAVTILSLLFFFN PPTSDSIKSPPVLSRLQNLDLLGAVLFAPAIIMILLALQWGGTEHAWKSATIIGLFIG GAGLGVVFALWQIRRGDDAMIPPRLISERTMLFSCVSEFFAMGAVYISIYYLPEWFQV IKGASPTKSGLMYLPLALSDVLSATLTGASLKYLGYPNPYMLLGTGLMSIATGLFSTF SLTTPHEHWIPFQVLHGLGVGMTLSMPYVATQTVLKPEDIPVGTSLLQCFQFFGASVN LAIAEAIFDNKLNSRLASWGFDSEEVKKVISAGSAEARSVVSAAQLPGVLDSYNHAIT TTFYLGTSVATVAFLLSLGIRWTSVKPKPQPDISIEEPSLSSQ PEX2_106830 MDLKDKLANESSNVGSFTIESARPVVCSPGSPSNVSNSSISSSL DNGIERNQLPRAKSDNLELGSHTATVRSVDTSYSVNQGSTKGSSNVQEACLLRYFIEE LSPWFDHCDERRHFQLVVPRRAKHCLALRNAVFAVSSRHLCRLPQYTTSRGSVYHGQA LPGLTKSTSVEYMLKCIPELIQFPEIQDPTHQENIMAATVILRQYEEMEEEMEEGMED GGIENHVYERVNFLAITQTIIDTMVSTPLDHSLATAAYWIAIRQEVYYALTRQRAPQV RLDLERCQNASVANTMIVFASEVAKWRWGLKQSQKWKQLKAKYQQLHRNYLHELVPIL EEDADRTRGNMFPSIWYSFDAQVTAIQHLKLAEMILIAESPYLENARGALHRKTEAQV RNIVLCLCGIALNHPRCQPALVNAVIAITLYGEYFTLQEERDALLGIINQTMEIHAWP MKKAHQSLKRQWDVLDNVEL PEX2_106840 MESLNIAVVGLGRMGKRHVHTLLYRVPRARVVAVCTTDSNEIQW AKDNEEYTEFGITVYDDYDKMLAHPRLQAVWISTSTDVHASQSLAAISKNIHTLCEKP LSTNIAEAQSVVDAAKQNPSVKVMAGFSRRFDASYRDAGNKIFQLQSIGEPFMVRSNT CDLRDETGFFVRYAARNGGIFVDCAIHDIDLSLWYLNDPVPKACWAVGTLQHHPELKD LSDVDNAVGVVEFWGGKIAYFYCSRTQVHGHDVCTEIIGTNGKLMVNVVPQQNNVVLA DELGMRHEVQPEYWQRFEDAFALEANEFVEAIVKNKELTLKLETGITVMKIGQALQQA LLTGEVTRFNEKGEILN PEX2_106850 MDLGEYLFQRLAQLGVGSIHGVPGDYNLTALDYLEPSGLHWVGN ANELCAGYAADGYARIKGIGALITSFGVGELSAINAIGAAYSERAPVVHIVGTPHRDY QASGACLHHSLGDGNFRVFADMYKSVTCAQANLVDAWTAPELIDATLKECLLQSRPVY IELPTDMVRAKVPVPTFPIDFSIPDYDEAFEDKIVNSLVSRIQHCKQPLILVDGITAR FGVKDDINALVKLTGFPTLATPFGKSIIDENVPNYHGVYLGSAGGTIHQSWVQGCDLV LHFGVLGSDINTFGFTAQPPPAATVTFDKYSIFLGKTGSVPVNVRLVSINTILAKLLR CLNGLKIPVSEPYPGDPFLPREILKRLPKALDNKAIDQYSLWLRMSRFLQPGDIVMTE AGTASYGGLGFDLPEGTMLVNSSIWLSIGYMLGACQGAALAQREMVSDGTRPPGRTIL FEGDGGLQMTAQAISDIIRNKLDVIIFVLNNNGYTIERLIHGFSESYNNIQPWRNLQA PNYFGALDNDASYPVRTKSAQSWGDLQGILQLPDIQEGKGLNIIEISLSMDDAPECLS KFAEYVRKRNSGQL PEX2_106860 MTTSSAAASRFKPGDWMTLLSEGMHATKLRIDLCLAVHIPDSMS FEEAAALPMVHPTVYHALVNVAKLRPGQSVVVQAAVASRISALLMEKYNVLEAHIFHS CDTSFCKPIKRIMVGHDLDCVLNSSEDSLVVTHPTTNSPACGLSTAERTGSPVFHTLW SENERRNRVTILREDRTGQGGEITWTLQGGTTIPRKSIGEFITVNKSKVQSYLIYCTY LPQREVEVNRGAIPLQETQGFQSTMKNFIGATLVTAAVTATASRTSAPAPGPTGSSYA SGFDMTASWANLSPYKDADSFGLPSGVPQGCELSQVHVLHRHAQRFPTDYPLDGEGMT DFAAKLTNYSKAHPNKTVALGPLKFLNNWDYVIGQDILMENGAATEATSGANFWIKYG RLLYRAGRENAAAWSSSLNVYANGTDRPTPVFRTTSQARILESARWWLSGFFGNSGAN SSYDQYNLVVIPEQADFNNTLASYETCTFDYSEGDNAAEVFISRYTKHARTRLSAYFP RDFNLTSMDVLAMQNLCVYESTSLGGSSFCSLFTEQEWKDFEYNVDVQYYGDYAYGSP SGRAQGIGYVLELAARLEEKLINSSDTSINYTYTNNEAQFPFGQPFYMDMSHDDIILS VINALGFDYFKYGPKGLPVHIDHAPERNFSLSQMTPFGARFISEIWTCPRDVSFDDLD PVLYANPTIMSTTNTTKYIRFVLNNSPVPQDGLIGCEDSSNGFCHLDKFLSGIPTLKD KAQFQKACFGNYTTGSQVGDGAPES PEX2_106870 MTDGSDLQNSNKAELDRSQPGSTSDGPQEQKDLDEIPPDYATGV RLVFLMFTIFVSTILVSLEIGIIATAVPGITNDFHKLNDVGWYGCATFILAASTSPLW GKLFKYLNVKSVYLSAVGIFLVGSIVAAAAPNSVSVIIGRAIQGWGASGVFGGTLIVI NYVAPPRNHPLLIGTWMACFMVSTILGPVIGGAFTSGVTWRWCFWINLPVGGPIIVLL LLFLRIPKHIKKVPATWQEIIFALDLPGFSLLLVSLLCLTLALQWGGQTKAWNDGSVI ATLVMWIVLSIGFWVTEWFQGHRAMVPFSILKLRMTWSNALFCFISYAALYQVLFYLP IYFQSIHGQSAIISGVNILPFLAFFALGAIVSGGVIGKTRYTQPYELIGALILTAGVA LIYILDVDSSKAMYIGAEVLFGFGVGICNQIPMTAVQGFSKLEDVSSATGIMVMCQTL SGAYFVAIAQSLFANRMLQTVLSSAAHLDATLVLGTGASGLQNVFSGEDLKAVIAAYM VGIKDVFAFSLACAAFAVFLSLIIPFKRLPDHGKKDKLATEEAAEEDNKEVEETVDGE KQN PEX2_106880 MERLADVAHYHVTTNEALIGSIESLECIMLESVYQVNIGNLRRS WVAGRRAMSLAQLMGFHRPDNQTPYQALDPKTKYDTRIMWLRIIMLDRQLCLLLGLPE GCIDHSIASETHIANDCPMGRLEQLHCVAMSRILERNASKPSGRDLATTRAIDLEVQK AAGSLPSKWWLAPKLDSASTDLQAVFWNMRRFVLQISHYNLLNHLHLPYMLRPSSAEN RNEYSRLTCVTASREVLSRYNSLRSFNRIAYSCRTVDFLALMAAMALLLAHLDGHRDG AENLLANQYLSDRGVIEQMQEHMSEINRLNSDELSAQSADLLKALLAIDLEKGHGRVS VRGAGSEDILPQDGMGSEEESAVRVHIPYFGIIRITREKQQTAMTGTSSSSSSEAQLR LSSLTHTGAPISTNNTPNPLSFPFADVAAPFEFTAPLAHQQQPQCQTTFYDPGVSLTP SLLMQGVHPGLTAGSEDWAFQGVDMAFFESVMRNVGGNV PEX2_106890 MEWHTVRTMAQDWQREIEQKWPKYYSEMQGISDGAQLPFIDILI LNIRTEIAFGMFSDGCTSVYCKTDGHSFLAQNWDWMEEQKQNLLILDIISDSGPRIKM ITEAGIIGKIGLNELGVGVCLNALKVLGCDSSRLPVHLALRSVLESSSADAAVRQLEE VGIAASAHILIADKGKGIGLESTAKTMQCIDMNERNWIFHANHLLLAHDGVADSGWLA DSPFRQERIQQLSLEYGSSTGRVPEAIDIAHMLDDHDGFPCSICRFKKGPLGMDATLF SIVMDLQGATATVYLGRPCQAEEIIELDFK PEX2_106900 MSTKAIAGQIFDYIVCGGGTSGCLIAAKLASVPKISVLLVEAGR DSGILPDVLVPGKYVKQLQEDKNGLWELETIPQAYLGGRKLVFLRGKQLRSSSAVNYM ALARGPATDYDEWARIFGEDGWKWDNLAVISFTYSMGSLKFDSTFPTLPHYLTYAILN MSAVTGYDYPGYEQLGSISNISAAVSIPAGTRIVATSGQIADNHDPMWGTHAEKFEKS MINIERSLAVASPHITDARKLWEGIFYITSFHVGILSKEEQLQIAEIARRYLGKNKPA WAAICVNGLFPPEALVESQVQAAYWDRK PEX2_106910 MYAFGLTALSLLWACGAQAAGRRPVPPPAIAEATATYGTSFFEQ LIDHKDPSLGTFSQQYWWSDEWWTGEGSPVVLFTPGEEAAAEYTGYLTNRTLTGLFAE EIHGAVILLEHRYWGNSTPYTDLTTENLQYLTLENSIADLVYFAENVDLPFDTNHSNN AQNAPWVLSGGSYSGALTAWTESVSPGTFWAYHSTSAPVEAIYDYWGYFVPVQEGMAK NCSRDVERVIKHIDEVYESGNEKKIQKLKDMFGLGDLEFDDFASALENGPWLWQSNDF YTGYSSFFEFCDYVENVEAGAKKVPGHDGVGLEKALAGYAKWFKEVYFPGSCTGYGYW TDDNTTACYDTYNASSPLFTDTSMNNAIDRQWNWLLCNEPFFYWQDGAPSGTPSIVSR TVNAAYWQRQCSLFFPETNGYTYASAKGKTAAEVNEYTKGWDLTDTTRLIWANGQFDP WRESGVSSSLRPGGPLESRPSAPLNIIPGGFHCSDLILKNGAVNSGVQKVIDAEVAQI KTWVAEYYK PEX2_106920 MLKAIENIKIQILSAIVACRQSGKPNIARLAREFGVPYGRLRYR INGRKANSTFTTE PEX2_106930 MRFSSLTFILLAAGASLGFAAPLDKSSAKTAVTDTTDATDATGA TDVTDVTDATKWTCDNGWEVCGKCNGTSCKVAGINTVAALRAEMASTAVGARMA PEX2_106940 MNRESPYTRSPSNADSVRSDSKWGKWHWDYSPVPQPRTADQDLS LILTNKRSRTKPTRFPEREVYSGETGEPLHDQEAPKSRKKPNLAVEIHLADYHSKIES SIREKNDLGSERAALVSDRKDTEKTTVSAIETTQQGGDEPSCEVKLLKHQIDLLATRH SHMLKSLEDQLLILKDENSKLYGHLEWYRKMEKAKADYPDGDVDNVKELNAELERKDS EIGEKIKQNECLTEQLNSNEGLNSILKDFKSGHVVATRFVEEMVKLETNTSRAARVLV QCLSGPKIAELGMLPRGRTKLDSLVKSTVGTMDLLSTYPKLAMCALISGFIRDQVFHA GCWATLAQEGYMLRGYQEIIHKSFPQGTIEGFHRAAIEVMLEQNHQFRDCWVQSQVEN AQCTFLNLCNSFLDESKVKDAEKDIKEALNRLLTDAFYFRARCIPPNGSHYELIHFEP GDVFDSNFMDAWGVDGTPISVSDEKIQLIRLCVHGCLVAHAIEVNSIGAGSPNTLGQN FISTMDEGISTTGGGVLKSEKAIVLLGDNLEP PEX2_106950 MAPKRNLSQDIAYRKRQARQINATNGGPVALTTSGYDTVSSRPS IPAKTASANDKNGPGPKFIIGLDFGTTMTSVSYYKLKSGKRPVNVGRNAIKSVTHWPG SGRDQSRGEVPSEMIYLGSNEYYWGYEARRHLKHAHSTGASLDDSSRLIRLTKLILED PGPKSKENDALREVKKTLRYLGKSVKDVITDYLVEVFCYTKKHLKDHETFRETSPVEF SLATPAGWAMRTSWSMQEIVREAAVKASLCQPSEPLDLFIINEPEAAAAFALDVMVGT KRLQKGETFLVCDAGGGTVDITTYTVKAQNPLRLREAITPTGEDYGSTCVNRAMEKDL QDRFNSDSESEAVLSEKGISTKYQLFHDVFRNFEEELKRNFDSSRGLDGHEYLDFHGL EPTLQAGLNGSISFESNTIKISRSGVQQWFLPSLEGIALLIQEQLNIAAKKKLVMQKV IFVGGYSQSNTFRHFMEERFRRLQLSYPKDSGWEAIVSGGAVYRAIDKSNGPRRIILA NIGILQVEEQNRKLVAHRNIVPYFNPCDEKYYIDNCLNWIIKKGRVVEFDETFTEKYF QIIEEGQDLEIRQRIFYSEKDDVKDHYQLEHEMNRGTSQSAALIVVDLTELMDLYPLQ LKGNSGNRHYVVWYDIVARLTGRNLEVSLRYPAGQDVRGSAQVCIAASFRPGVA PEX2_106960 MDSTEYANRWNLAKHLHINFLGPVQPNGWPSIHERLFSDVQKLG RRGFDEFMESITIDTLEKPWRNATRNRAVRLSKLAEECFWGRRNESTWRFTIENEIMH RFSVEVACIQCRKRLWESEIPAATDISNTQVESLEARRRKRKPCQCLRGWGQNQDDGG INMLFSNRAEAAIKHYPPLEIASQPGRVKKYEAPDRVYGLKQTDNFKILLDSTDSRSL MTSTRQTLRETIEYSPFGPEGEPLLYPFLIMEAKPSNSAGRAEVDMQTAFCIKRLLKL QYDLRAATGDETQWPTGPLVWFLNWRGESWDVSAGFPETQLDTNANPDIYYTIVDLWH GNICGFDGALQLLLIVDYIFDWARDIYRPAILGELDVLATGDIMAPDPDVFSTMSRRI SSWINTSTDIQATQVSFSGEPQDLNYLNVICQEGVIRDASVIESRYLGLHITENDVDT FLLSFPSAKEASIWLSDILNCLSGSWRVNGETIEAMESFWTAEPRPIREDFRRDEAFY LNIAIHMFVSEKWEPIRQLTCFSITESALRMVFYKIGHIDAPDFTQHPQVGRNAIETL LGRIMKQSIMENLTAVVSMLCMTSNFHKKGSRDQCKVIVTRSNGVKAGFRVDKSSQLI RSVISVYESHKIGQREPQDAYLRFSRLQCQQVIQQREEDIAIWPQLPPLGLDQKKKGV LVDSLYQSEECPKHCLYVVNGSDDLEDLPGLLDRMSRDGPYFKTIQLRSGQWNEEKLC HINSPVKPKGKWSSKRDSDAFARWIQFLETEQTKRSHRPGDRSSSPMVLSSDEEIEV PEX2_106970 MPSTIQSTQSLPTYFIGHAGVGLLFDDRPRNKIVQASLRDIGEE IQSLSPRPKAVVVFSGHFEAGEIHGPGVIEVNVKRVTHIQHDFVNDFHDSKPFVYEYD WPHQDAPELAAQLWEHLRKAGIKAKRVERGVDHGVWVPFKVMFPSEKPLDIPVIQIST FHGTDLESQIRLGQALKSLRHEGYLIIGSGMAVHSFASIDEIIHAATEEERETIRAKI LAESRDFDTHLRAAVTKKDANERNEALLKLESLYEFKRSHPTVEHFTPLLVAAAAAGD ADVEALGVDLVDPGFSYLNLRFA PEX2_106980 MTEEQPRLPGKEYSDGKERPINLSIHQFYDGTTTPSDIHKPDPE GQPGILSYPIVKNGHQILVTWTPQEESVIVRKLDFLFLPIFSLMFTLMAIDRTNVSSV LTSTFLSDTSMTRDQANTGVSLLWLGIVLLEIPSNIILHRVGPHYWIPAQVVVWGFIE VLQMFVTNASGWYAARLFLGLAESGFIPGGLYILSTWYVPNELTQRTAVFFLGPALAG AFGSLVSAGALTLHEHRGLRGWQWIFIICGVSTITSGVLAFALLPKSPYHTGHLFGGL IRMQGWLNEREADILVARQARQQDNQGDGSNIKIQWKDITDVLFHWATWPYLIVCLSG LQSTNGLSTWGATIIKSLGFSAIRANLLNAPGSLLGAIFGIVLSAVVDRYSRFGYAIA FSAVWTLAGLIALYCLPITSQASWSFYAAYLVTQSSPNWQPINVTWLSLNFKTPQKRA VAYAVYSMEPLTLMKLKLDDH PEX2_106990 MLFLRHGLLAPFLVSLLLTANAVADDARTRARDALQTLQGWYNP QSGIWDTCGWWDGASCMNTIADLAAFDPLVMDTATYVFNNTFYVGPVSNPNPGPETKT VYTRGNQLSTSVNASQWLDQAYDDDGWWALAWIAAYDVTKQATYLELAEGMFESLANA WGTHCGGGGLPWSPNSTYVNAITNELFLSVAAHLANRVPERKQYYVRWAQKEWDWFTT QAFISENGTINDGLMDNCQNNGDTIWSYNQGVVLGGLVELNRAAPNNTYLLSANKIAK AAIATLADSNNIIHEFCEPQCLPDGTQFKGIFIRNLRKLQKVSPQDIYRKVISSCANS IWSNDRNEQGQFGVNWAGPIHAVVDASTHSAALDALVAAVAALGN PEX2_107000 MGFTGVSFYVDWSLVEANAGHVITNGIWSLDKFFDAASQAGLYL IARPGPYINAETTGGGIPGWVLRKKAVIRSDDPEYLNATANYVSTLGEIIERAQITHG GPVIMVQPENEYSTWPGVTDFPNDMNRNYMAYVEHQLLDQGITVPSIVNDNLVEGYFA PGSGQGAVNIYAIDSYPMRYDCANPNVWPTYRFPYDWQVTHERQSPTTPFSIAEFQGG SGEGWGGVVQNMCGQLVNEEAARVVYKNNYSFGVKIFNIYMTFGGTNWGNLGYMGGHT SYDYGAAITEERAIWREKYSEQKLEANFLKVSPAYLTATPRLGVNGTYGAPPSIAVTA LLGNGTQTNFYVVRHADFTSTGSTQYTLTLSTSIGHVEIPQLGGYLMLNGRDSKFHVT DYDIGGINLIYSSAEIFTWARGSGSARVLILYGGAGETHEFALPSHLGKPTVLEGQGH EIKQRGSAWLVQWHVTPARGIIRVADLQVYLLWRNEAYNYWVMELPESSPIGNFSSPS KSLVVVKAGYLVRTADLINKQLRLTGDVNATTEIEIISSPATKLKGITFNGEVLQTSQ TSNGNLWGTVKYDPPKLDIPDLSNLEWKSIDSLPEIKTSYDDSAWTPCTRTSTHNPRQ LDTPDNLYSMDYGYHTGSLLYRGHFNANGQESNVWLNVSGGLGFGHSVWLNTTFLGSW VGSSANSSVVHNMSLPSVLSHGSPYVITVLIDHMGQDEEAPGTDAIKFPRGILNYEIS GHTQSEVSWRLTGNLGGEQYQDLIRGPLNEGGMYAERQGYHYPNLPSSKWVLSNPVTD GLPHAGVGFYAASFQLDLPSGWDVPMSVVFNNSIQKNTEGNTQGKNYRCQLFVNGYQF GKYINNLGPQTAFPVPEGILNHGGNNHISLTLWAQDKQGAKLEGLKLVPTSVIRSGYS RPQAAPQPAWKKRAQSY PEX2_107010 MSTHIKPLILHAHATGPNPVKIAMALEALHVPFEVKQWDFSDNP NTGVKGTEYLKVNENGRVPAIEDPNTGVVAWESGACMNYIRRVYDNGNTIGPSGDSAQ DLVDFEKWEYFLLTTLGPMSGQTNWFRHFNPQKNEDALQRYTAQTYRCYDVLEGQLMK THGESILPSRVTAVDYHFEAWVRLYSFAGLSLDNYPFIKKWLALMATREEVQEAYIKI QGKK PEX2_107020 MTTDMEPKVHPFFETNTCSWQYVVACPERKEAAIIDPVLNYDPA NFVIATESADELMECALKNGYAVTIFLETHTHGDHLSAAYYIQQTLWSRGQPNAPICI SENVKIVQSHFAQKYQIPRQEIDIAFDHLFQPDEVFNIGDVTGITLHLPGHTPDHGGY KIGSNIFTGDSMFNPDVGSGRCDFPGGDAGELFRSMKRLLSFPPETRLYTGHDYPPSN EHTERDPLPYVTVEEQEKKNMHIKNGSSEDDFVKWRSQRDKEMPEPRLIHQAMQVNVR GGRMPSKSHGGKAYLLYLIDVPKVVVTGTRK PEX2_107030 MLRDSDIDSLNGHLASLSREQQKQHDNLQDLLSQFKSLLDDYSS LKSDYEEVKEGREKYKRQARGQDRNPFVLVLVDGDGYLFKEHFLKHGSEGGINAAREL SDSVKELMHSTMGMQAEQCRIMVRVYANVLGLSKALARAGLMGHEARSMSPFTSSFTR AQELFDYVDAAEKKEGSDFKIREMFRLFVDLNQCRHIYFAGCHDTGYGSLLTPYRGRG DRITLIKAAGFHREFEALDLPIRELPSVFMSTPLLNSKPPTGPAASPIMTKFNGGNGV PHLSNGSNGTNGANTTKPICKHFQKGICKFGNGCNKQHVMPNQSLNHQPSPKHSGAGD SPKQLWASPTVVGRSEDFLRSMLPLPSIKTEEFIPVNKDGDRIDPYYPHPSPEAFDEY HARAKEHKVCNSYHLSGECGDMSCTYDHSDVSNVIVEVLRYMLLQHPCTRAGACRSIK CYMGHLCQKPGCKAVKSWQCRFNQTAHTLDLQIARWDVPSDQSEIDQWSVSDASIGER SSYAF PEX2_107040 MRRMWTTLPHSVRAIAYEKLLLAGSYFYGRSLSPRVQRLPFGLY LRKGQPQEAVKYRVEARALGMVEKFTQMPAPMAIDVLETPDASHLLMTQVPGRPIGQL LNTMTDKQVENDVTDIKQYVAELRAIPNEVSKFQICNSEGGGILDWRIPDSQSKKLRI QTETDFNNYLTAMMTDDARRHAAKSHTASHAIVFTHRDLNPRNILARNGKITGIVDWE NVGFFPEYWEYTKMHDTVRSLIRWLAGVVDRVFAGYRDELHVENMLSDLSGPF PEX2_107050 MCGTRPTKSGGWNVTPRSSTNVPFSMSLALAYKLGSISPSALGA CKGILDAVPPLRLLPLPQHQRAVFMPSLGEGRSD PEX2_107060 MAATHGVCDPAFKRVRDLFEQRLASGEEVGASICVNIDGKNVLD IWGGHADAAKTRSWEEDTLGVVFSTAKVVVAVAAMILVDRGLLDVEEKVSKYWPEFAA NGKEDTKVWHILSHTSGVPHWDTRIPLETIYDTKTSTDMLAAQAPWYKAGEGSAYQVV CHGHLVGELVRRISGKSLKQFIADEITDPLGADFDLGVAEKDWPRTADIITCAPAPLP PIDPQSVAGKALSNLIMNPEDSQTPGFRGAEVGASNGFSNARALTRIGSIVSLKGTVD GKQYLGSKTIDQMVQERMSGVDQILFFHVRFGLGVALSVPQVVTFIPEGNICFWGGWG GSIVVMDLDRRMTISYTMNKMGLGISGNDNAKAYIEAIYEVMAENKPSASN PEX2_107070 MQFLELATLAQLVTSVSALAVAGSRNNVVKARTTPVVASATAIS ATAISAREYPTPYPSPSSTGEPQCSGYYTFSPSEWNEYGVTADGPVGTCVADDSSNSK GTCIHVSSGCIAINDSPRCQNSLAGPYNVADDDTPRHISSAYIYSKFDSGNHDSEATP GCELFVTWPGAWGDLDFTDDCLTDRTGVYKQCCSDETIATKSVINPYSVRNGFIPRGN GFVF PEX2_107080 MGLSNWVHNTNVRVARSPVGKWFRLEGSGHPLERKGSYFFTEIR AGLATFFAMAYIISVNSTITSATGGTCVCPAEDMGDFCATNVEYALCTQEVKRDLVTA TAAIAALSTFCMGLFANLPIALAPGMGLNAYFAYTVVGVRGTGMVSYSTALTAVFVEG WVFLGLTLIGMRQWLARALPKSIKLATGVGIGLYLALIGLTYSAGIGLIQGASDTPIE LAGCIESEFDKVTGLCHSYAKMRSPTMWIGIFCGGILTVLLMMYRIKGAIIIGILLVS IISWPRTTPVTYFPYTDLGTSQFDFFKQVVTFHPIKHTLSAQDWSLSGKGGQFGLAFI TFLYVDILDTTGTMYSMARFAGAINEETQDFEGSAIAYMVDAISISIGSLLGSPPVTA FVESGAGISEGGKTGLTSCVTGIAFFIAVFFAPIFASIPPWATGCTLVIVGAMMAQAA ADINWRYYGDAIPAFLTIAIMPFTYSIAYGLIAGITSYITLNGFAWCLEKISGGRIVP PNKDESDPWSWKVKGGLLPPWVKRAARGKKDFWKADEEYAEPRVASGEAVSSSSSSVE RVPLEKSREPTAAAMKSE PEX2_107090 MSHSPHDTSSNGSDDDISTIMTRPDRRFSEIGSTRSGTISRRQS NINDVELERINTYRLQHRSTVGSVAGITPREQWLPLGAGKPHPPSLPDPEQYIVEFND ANDPLHPQNWPLKRKIGISVTLAYTTFVSSFSSAIYSSAVGQISGHFHISTEVAILGV TLYVLGFASGPTIWAPASELIGRRWPICIGMFGYSLFAIAAATSKDVQTLMLTRFFAG FFSASPIAIVPAVFADIWNNQTRGVAIAMFAMAVFVGPFASPFTGGFITMSHLGWRWT MYISSIMGWLATGLCLLFLKETYAPAVLVEKAALLRRQTHNWGIRARQEEIELDWGEL ITNNFSRPFRMLFTEPIVFLISLWMSFVYGLMYALLSAYPVVFQGIHGMNLGVGSLPF IGLIIGEVLAGAYILFDQRSYTKKLAANNNIPVPEWRLPPAILGGVCFCVGLFWYGWT GWTKSIHWMAPTASGVVTGFGIYVIFLQCFNYLIDSYLPFAASVFAANTIIRSAVGAS FPLFSKQMFVNLGVQWAATLLGCLALIMIPIPLLFIKWGPALRKKSKFAPVFEPLAAN SEKGEEAV PEX2_107100 MMLPLCALLLIPLVNAWTFRYTNATDATEIVRGQEAQNCTNSPI GKEKLFTWDPEGSDLCVSIYRDTECVSRAGYSCGIWRRNASEAFAAFDVLLEREIDAK RQTSTLALTSTSTSATTSTAMSTSSSSSSSLSSTTASATATATPTESTAVSTDNAISS SSSGPSLSGGAIAGIVIGVVAAVAIIVALVIIFMRKRNKKNATVANQSGGYGPHEADT TGTSISGTTAVMEKAADSVTRPFRPPPGSQVVELVGDEGSAELGSSPISEMDGNSTKR NLNRS PEX2_107110 MAPIKIIHRTKSASLSLHSIVERSGKKGNWANKNRGVVLVFCIV FLVVVGIMALFAYRRMLQRKAEKESYETTTD PEX2_107120 MGFVNFKNYRVYILTSVAYLGSLLFGYDTGVMGSVLALSSFKKD FGLPLESSGFGNAENAHIASNVVSLLTAGCFFGSIFAAYINDKLGRRYSLMIFAVIFL VGAAVQVASHHEIGMIYGGRVIAGFGVGGMSSITPVFVSENCPPATRGRIAGLFQEFL VIGSTFAYWLNYGVALHVPEGTSQWHIPVGIQLVPGGLMLIGLFFLKESPRWLLTKGR RDEALESLAYIRNEPEISEAVQLEFAEISAAIDEELQATEGLTWRECLKPSNRYRFFL AFLLMFWQQFSGTNSIGYYAPQIFASVGLSATDTSLFATGIYGTVKVVATATFLIVGI DRWGRKKSLIGGAIWMASMMFIIGSVLATHPPVKEATSVSSASIAMVAMIYLYVIGYS ASWGPVPWVYLGEIFPTRLRAYGVGFGAATQWLFNFVITEITPRAINKIGWKTFLMFG IFCSAMCIFVIIFFKETKGRSLEDMDLIFGAIDEDQRRADVEHTLQKTQMMHGEHAET TETAKTTETTEHKE PEX2_107130 MAFLFMGLAIGAPMDPLETITSRDPSVPSESNNTHSPVTGQATG GDLTWYNTGLGACGWLNNDWDHVCAVSHVVFDRANVDGNPNHNPLCGRLIHIQRGGRG VDVTLVDRCEGCGEFDIDVSRGAFETLGNLDEGRVHTDWWWI PEX2_107140 MAHIRSHESGSSFGSSPSPQDAQDDPNKEKTSLVGFVKKTWQKT GLDRPTILLMMKGGIPPTIAVSIYQSKPVAHEYTTLGYLVAIVSILGFAIMPRAKFIQ MMIFDVLAVCIAACFALLTMFCSVRARQHTATNSADAYNSSASAVSGLWLFIQIWMVH TFRAKYPQLQFPVIIYAIFANISSIYAPQMKNMTASFKMVNQLLKSCLTGLGISTATS LFILPMTSRQVVFKQMAGYIGGLRSALNAHALYFQSLERDDMFGRTETYDDAREKFGK KGKVYSPEAEAIRGAVRQITDIHAKLHGDLTFAKREIALGKLGPDDLQAIFRHLRQIM IPVVGLSFVVDIFQRLSDYNRWNQPIDLNEPVVPEDIRERVVHEWNDIMRAVHDPFAV MIQTIDEGLLHTSYVFGLTKPPKRKATTKSSANNSTEVCEDVEATAENTAPGEKAFTE HFEKKLGEFRSAKRLALQTWAEEKGVTLPPDFFDHPASAEMLNTDFLDTSATQKERSR RQLYLFLYMEQLLYSTGQTVLNFVRYANHVEAKGKLLKTRLVIPGGKRLWKWAKSFLS AQDNEDDNMGDIHTQNNILQLGEAYKLRKDPEHLPPTTLFERMGDKIRVFPGFLRSFE STYGFRVACATMTIAIIGFLRDTQTFFTAQRLVWALIMINLSMSPTSGQSIFSFVLRI LGTFLAMVASLLIWYIPGEKTPGIIVFLFIFVSIAFYIPIKMFRFRAVGIISIITTSM IIGYELQVRRVGEAVATSNGQPFYPIYLLAPYRLAVVAGGIAVAFIWTFFPYPISEHS VLRQSLGASLYLLANYYSIIHETISGRMRGDEGEYLLKTSSGRKLEKARHKVFSKQML MLAGLRTYSGFLRWEVPVGGRFPKKQYDSIIVCVENIVNYLSLLGYASDTLMSIGDGE DPADTVWMTDFRRLINTAKVTTHEVTSLLCLLSASITNRQPLPPYLKAPRPYSFTKRL MELDSDILSLRHIAEPGFAAFAVLQISTRCIVGDMDRLLRLVKGLVGELDFSFHAVTT GESMAESRMPSRAGSRADLSEYASSQAGDRRKHD PEX2_107150 MTEVKVDQALFSQSVGKTVLITGAARGIGAATAILFNSHGANVV LADLPQLRHSAEEVIQKQINFPTRAIFVPADIVDWAELTACFEAAITTFGKVDIVVAN AGIMESESVLDMTNVDANGRLLESLEAGRVIDVNLKGTLNIASTSSYFGGTGVTAYIA SKHGVLGLLRACQDVARSHGVRVNAVAPFLTPTHITAGFAHMWDEAGLEKNSPDRVAE AIALVALDEKREGNCVLVAGKYLRELEELRAMLLSSWLGVDFTDFMRQAMQFFVRIGG YVLPKKY PEX2_107160 MIQETVAFLKDHWVLVLLSTVTTHLVYNYARKGLWRIPGPWFRG ISSLPRILSVYNNKSHDEDIQLHQKYGNIVRLAPNLLSIADPVEINQIYGIGTKFYKS GFYNLSSAYDDEGLVPDTFVLTDKTLHTRMKRNASNAYSTNGLVQMESWIDPVTERLL GKLHRQAGEPIEISSILKDYAMDAVFAVTFGRDFNYIENGDVLKMYGILETVADYMAI FGQIPWIHKFLLGRPFIASLMFGSGGGDKEMMQLAVSQVESAKQNPSEGGPLTFLQRL LLNQAKDPSSINDREIMTHAFGNISAGSDTTAIALRSILYHILKDRRVYDKLYDEFRA LEAPVQFADANKLPYFAAVIQEALRLHPSVGMMLARIVPAGGADLCGFHLAEGTEVGI NPWVLHRNPEVFPNPDSFRPERWLPSETDEIQRTLMNRSFFAFGHGAHTCSGRWISLM EMKKVIPSLMLRFEMTLVSEENYGFKNRWFTPQHGLHVQFIERTR PEX2_107170 MGTRGLWNLQVDDCWYRLRHPRIRVSPPEAAETLRRVKQIHDKT INLEQWERVSFPSPLDAYFDFVYTIDRDAGTFILSTWSCVDRILMPLALEASLADICE TSSISVNSLRPSPLLSIPNSGKDQDPESNSASLEPLNIQTCFPTAIFELQQQFFLDFV FLWRSWIGDPMTWRYGSRVFNAFARAILCLASWDFEVSYDCDPPLPINHSSIPGWKFP EEELYWFHGFLIMLQPNLESQSMLRTAITRAKAFISSSARTTRKVRSILISPGHIAFV ELFQHTVACSQVLPLLADRSASQCTPGFRVLAQVLSTDCWKETCVHREKRPSSMPPEI LSEILHHSEPRDAVSFAQASFKVERLYYDSVPQFKHVSVQRLNLSIPCCGDRTGLENL GVRCIRCHTWQHQKCIGLEILPSDNSFICATCLKEDSKATHLTPGGISRLHSRTERRT CAVTVDGSVKGLRVRLSKPAHLRPELRIIGDLIHSIPKGLVDFSIQFNGSFAGLAYGL DDLELDQNH PEX2_107180 MDPLSALSLATSVIQFVDFATKLISKGKELYRSTDGVLADHAEK AAISSKLSTLSNGLLSSLDGISATQGLSAAQEGLRDIAKESSVVAEDFLVTLDELKVV TPGRKWTSFRQALKTVWNKDKLEERMTTLDRLGQVVIIHLLLILNEDQIKSLATTTNE IRSMEGRVRDTLSEYRNEVKQELGQLLQQLNSLANQARTQSTSEDDRKRDIVRESLLN EWRNENDEVLAKILQTLQQKADYQNRRSFKRMFFDTLYFSRMRDRENMIDSKYDLTLG WVFRTPTDMGSMWFDLPSWLCEPGGLYWVSGKAGSGKSTFMKWLLHEPRTREALDVWA GDKQLLITSHFIWSSGTEEQKSVSGMLRCLLYELLIQWPDPIWELSPSRWRSWDLELG HFPAWSDDELIHTLQSLLRRSAHHHRICLFIDGLDELAGDDDRLEKVFHLLQESSQYS HIKICVSSRPWELLKNNFSSYPHLRLEDLTYSDIELYINTRLQANERFRALQQQDPRI CLQLVCEMIEKAKGVWLWVILVSRSLLRGLTNHDTATDLLDRLRGVPEELEAYFLQMF AKIESFYRVKSLKLLTLALYSPGGVSLMTCSFLDEDHTAFLLQTATQPFSEEEVIQRL QRAKSRVNIFCLDLLETTDWGSGRHVFYRQSVEFMHRTARDFLLENTTQHLLQMHNMK DFNVNLFTCRALLAQMQMLEQPTRLLVADFMKHAALLEKESPEALLPLTAHLDKLLDS QRNKPWSSESLPACPVKGWDCNTQGSKPLLSLAIQHGLTGYVQTCLTSNPNLVFKQQG RPLLDYALRRRIHSPLDGQAEQLDYPVGGPRDQPNPRLILLILQQGGNPNERLGSSTP WKLYLGYLSTFAKELNQLDKSSLQPWIQTTELLIQYGAARVLERHTVIPQQSMGRTRV KLSYRDITAWDSLAAAFGATEAERLYSLSWKLDATGQSMLWNSVRTIRNFMRWVG PEX2_107190 MRRHSIAEEIYDNESKINFGVPDSVRSVDDVTHNPALGSSIQLF ESDPSTEEQQRRIEVREWLVFICIVILAMMDSFNATILIPALPDLANTFAKPLASTFW VNTIYLLFGASSQLYFTMMSEVFNHGPVWIIAVVLATIGTGICCGSMSLAELIIGRMI QGIGGGGAMSLCFVIMTDTAPEVMQSRYSCYILLTRMCGSILGPVIGGLFVDNADWRW VFYLNFIFCALGLLAIPFAVDLRALKYIPMHKLRVLDWTGTTLAFLGLAAILVGLSCG GSSYRWGDWQTIVPLAVGTVIIVALLFYESKWAAHPQFGRRVFRSRMMAMTHLGCFLH GFVVFAHLQFFPLYFISTHYMSATLSGITVLAMVGLAIAPATVVGVILARELRCTQWI ISGGWVLTALASGCSILLDNSTPTVAWVFLLFTTGLGHGLLLSSYNVRIQNLPKDGDG SLSTLPTTMSYYMRGWGMAVAVPVGGVVLLNCFGNGLARVGLGRDIVSSTNGYLILMK DVSMTMNQRETITVVSVAAFQGVWDLVTGVAILGGVSSGFLWQKK PEX2_107200 MPAKLKYKLSQEQIDHFMKYGYVRLPDCFSREKAAEWAGDVWTR LGVSPTNKSTWTTEITHMGDTKEEAVSTFSPKAWAAICELLGGEDRVAPESATWNDAL IVNLGSPESEGTWPHPADLPGWHVDGDFFTHFLDSPEQALLVIPLFTDIQDRAGGTMV CPEAMKYVAQHLYKHPEGVTPYMYPRGQEPVGDPTETPFYSDIVKNCNDFHQMTGKVG DVVLLHPLMCHSISVNSLRHPRVITNPPVALKQPFKFDRDDPSKYSLVEKKTLEMLGK DRLSGWKIKGRREFVVPERLKDTSGSSES PEX2_107210 MGIAVGASTLQSDLDATQAAKPELEKVNWWQDPGLRKLYCWAAV LCVASATTGYDGMMLNTSQNLDAWQVYFDAPSGGKLGLMNAIYQIGSLASFPFVPFIA DRWGRKIPIMIGCVLMILGGLLGAFCTNYGMYVAGRFLLGFGNSLAQMASPVLLTEIC HPQHRGKVTTIYNCLWNLGALVVAWIAWGTMYIPNDWSWRSLTILQVFPAVIQIVFIW WVPESPRWLVSKERYEEALDTLAYYHGNGDKNNATVQFEYREIKETISLEMRFQKNSS YLDFMKTKGNRYRLALLVSLGIISQYSGNALFSNYTNLIYNSMGITEQTKKIPLNGGQ TLLSLVVSISSAFFVDRVGRRPLFLVSTVGMVLMFLAWTVVSSVFERTQDVNTTGYPQ VVFVWLFSVFYAIAWSGLLVAYSLEILPYRLRAKGVMIMNLTVQASLVLGNYTNPIAW ENLPHHWNLSLIYTIWIFIELCFVYFFYVETRGPTLEELAKIFDGDDAQVAHVDLQQV EKEIQITEKESPDHKEHA PEX2_107220 MRFHIAVLLGVVYLAFAAPFPNVSTHPLPDGMPNPSPSELETIE LNAHGTLPNGPPPVGISEGGIINLKLVAFNELFEVAFFHQLITNITDKVLGYRFTDEE DYDFVLKGLKVILAQEELHALDANNALAYVGVDPIEPCQYTFPVDDFDSALVLATTFT DVVLGTLQDVAERFALGGDFALTREVSSIIGQEGEQQGWFRVMQGKVPSELPFLTTSD LNFAFTAIQSFVVPGTCPNIDSIPLKTFATLNVITAPTAKTKNIKVSFEVRDKVDRDA LWLTYINQQNLPIVEPLRVVSKDNKTITAEALFPYEAHQMNGLTIAAVTTTEGPFPNA YSVANATLAGPGLFIFN PEX2_107230 MKITAILAALAVRAAAVGVSGAAEGFAKGVTGGGSATPVYPSTT AELVSYLGDSSPRVIILTKTFDFTGSEGTTTSTGCAPWGTAAACQVAINQDNWCKNYE PNAPSTPVKYDNAGTLGIIVQSNKSIVGSGSAGIIKGKGLRIVSGANNVIIQNVAITD INAKYVWGGDAITINNADMVWIDHVTTARISRQHIVLGTQASKRVTISNSFINGASDY SATCNGYHYWGIYLDGSSDLVTLKGNYIYHTSGRAPKVQGNTLLHAVNNYWYENSGHA FEIGAGGYVLAEGNVFQNIVASVETPIAGQLFSSPDTTTNAVCSSNLGRACQVNGFGS SGNLGGRADTGFLANFAGKNIASAAAYTTVVSSVTANAGQGKL PEX2_107240 MAPLIQTLVSGLALASSVIAQETDYLVDSQILTDPYLYDFPRLG GDGAAQFPMRTCHGFQLEEATVDQIQTELANGTFTSVELLKCYMDRVYQTQPYLNAIL QVNPDAYTIAKLLDAERTEGHVRGPLHGIPFIVKDNIASKDRLETTAGSWALLGNVVP RDSHVVHGMRKAGAVLLGKAALSEWADMRSNNYSEGFSARGGQCRSAYNFTVNPGGSS TGSGVAVAANLIPIALGTETDGSVINPAQRNSIVGIKPTVGLTSRAGVIPESTHQDTV GTFGKTVRDAVYALDAIYGIDPRDNYTSAQEGLTPVGGYAQFLTNQTALQGAVFGIPW ESFWALGDADQIAQLLELVELIESAGATVINGTELPHYKEIVSPDGWNWDYGTTRGYS NESSYSYIKVDFYNNLRDYLSEVNNTNVRTVEDLVQYNIDNYGSEGGLPGIHPAFGSG QDGLLASLESKGIMDETYFQALEFCRRTTREEGIDAALKQGNVTLDGLLIPPDVAQSV EIAAQAGYPVITLPGGVSDVSGMPFGLALVNTAFSEATLIKYASAIEDLKKNQGAKWD RALPQWRGYLERPLPVL PEX2_107250 MTIPDDILAANAAGRIPSGVSLEYLAGSRDKSAIAGIIFMVCFT GLLMIVRLFARAFIVKKIGLDDALAVVTLMLYIAFVILSIILINLGSGRHIEYIQYVL SLPTVRDTEVLDFIAHILYTTALFLCRLSGLAFYYRLSARSTKLHLSIIIAAPLLFAA YLPQIFLLIFHCKPVTGLWPYEWQSEPKTYTCLSWGLVYSVNSALSLACDVMMFALPA ALIRGLHVSLEKKIKLSIVMFPGILVILISAVRIWLVVQGQWDPDGSWAYNPMLCVEN AEIAATLIALSVPALKPVFGSLFARLTEYTSSHTRSRSTKITSLGHSKTIGTGAVSGN RDSKRLINWSKIGKDDYEMMPSEVSISRDVRGGSRGSDHSGDEGKDGPRSPGIRVTNE VNIVRDDESIVRVVKPGSRES PEX2_107260 MTLKYLITGATGGLGAGALSYLAANVPASEYAAASSREENRKQF EDRGIAFRVASYDDPETLEAAFQDVENLFFVSTNTFDIEKRRKQHENFVVAAKKMNVW YTSLAFGGYNSDSKAAVQQGHLMTEEMLKKADINFTSIREGLYTDAFPIFMGWYPSTS TVYLPSDGPIAFTLRSELGEANARLMIQGGHDREIVLLTAQQTITFSEIVDLINETTG RDVQFKLVSPEEFVRLKTADDEGGKSEGFFQALLSWYEDISKGETSTIDPLMAQVLDR QPVPPREAIRAFLTENRDYEWHQNYVNRG PEX2_107270 MASLRTTSRLLAASRPMFRPAFARTYATVEPTPSEQPKMKTFKV YRWNPDQPSEKPQMQSYDLDLNKTGPMMLDALIRIKNELDPTLTFRRSCREGICGSCA MNIDGVNTLACLCRIPTDTKSESRIYPLPHTYVVKDLVPDMTHFYKQYKSIKPYLQRD TPTEDGLEFRQSPEDRKKLDGLYECILCACCSTSCPSYWWNSEEYLGPAILLQSFRWL ADSRDEKTAERKAALDNSMSVYRCHTILNCTRTCPKGLNPGRAIAEIKKMLAV PEX2_107280 MDSLTLYVSVLALPLGLLALRTVYHLYFHPLSRFPGPKLAAATF LYEFYYDVIKSGMYIWEIERMHEKYGPIVRINPREIHIKDSAYYDEIHASASRKRSKD PKYAIAFGAPNSLVGTITHDHHRFRRGLLNNYFSKRSVVDLGPSIHDKVDKLVARFEQ AHQAGDVLHLELAFAALTADVITDYCYGWSYGYLDGEKGSKSNDLVDAVNGLMVMFHI NRFFPFLISVFRNAPPNLLRWLQPHMADLFDLKARLRQQADDTLKKQGLRKVDPEARL NIFDALTSLELPENERTLDRLEDESALLLGAGTETTARSITVAMFYLIHSKEIMAKLR AELKTVLATPLSKASWVDLEKLPYLTGVVNEGLRLSHGMTARLARVSPNEPMLYQDWV IPAGTPVSQSNYFVHMDPKLFPEPEKFDPERWIRAAEKGEYLSRYIVSFTKGSRQCLG MNLAYAEVYLSLAHIARRVDFALHETTTDNICVYRDMGIGCPKVGLFGVKATVAGLVE E PEX2_107290 MHVSYISLAFFAIGTIAAPAAPVAPAVPKPSNDFGDVIGRFPFN PTGSSTIDDNDDGFDDFPSALDAMHQALAEKQKSAEAAQLASTTHAVLAKPTSTHGVT NFPAPVVSQASTLSHPTPFASQPPVISKAAPTDLPTPSHGVIPTPVGGSSASPSSATP SPSAPAATAAPGPLGHLVEGLPLVGNLVAGPMDRLGLRR PEX2_107300 MTLQENRALRILDHASENHYGIPAMCCYNVEGILATVRAAEAKR SAAMILLFPWAIHYADGLLVHAAAEAARKASVPVTVHMDHAQTPEIIRYAADLGGFDS IMVDMSHYEKAENLSLTRELVEYCHARGIATEAEPGRIEGGEDGVADTADLEGLLTTP EESREFVDTGIDWLAPAFGNVHGEYGPRGIQLEYERLQSINEAVGREVRLVLHGADPF TEEIFKKCIDCGVSKININKVLNNEYVRVQAEMAGKVPLTTLLEEATNAMQKAVEGCI DRLGSGERYPSS PEX2_107310 MSKSSIGFVGLGAMGFGMATHLVKEGYPVHGFDVFPASVERFKT AGGVPASSLQDSAKDKDFYVCMVASAPQVQSVLFGDEALPQNATLLLCSTVPASYAQS VAAELASLGRSDISFIDAPVSGGALRAAAGTLSIMAGAPDAALEKGRFLLQEMSDANK LYLVPGGIGAGSNMKMVHQVLAGIHILGASEAQGFAAQLGLDAVKTAEAIKSSPAWSW MHENRLQRMLDEDWHPGASALTIILKDVGIITTSARQSQFPTPLCSTAEQVYLSALLQ GYGPVDDSSMVRQYFAEPIMKVASTKSEEEMAEALQLVLDLMEVTNLVAAAEAISFAR YLKVDLKQFYTLVADAAGASRQFMTKGLEIIEGLGEGANGESETLDGASSRLEKAVQK ARDLHCPLNLGNAALSVLFLAKKSGLGAEGSASVVKAFEQ PEX2_107320 MGSEYGITAESKAQIDLGPVTIWWAVWACVWTSALVAGMAYLIA HRDMPTLRIRGLAMSLTSIAILHLYWMPTQFATMIGAILPGDCAYWLMGTLLPCGMAL FHGSNTRFQHVAKLQRKYALDGYRFTEPPALEHKNGLINRLRAFGYTTKILIYVAIAM FAQVFLTILMWIISRKYHSSWGIPGTEVHGTPAEILAAQGTGWEWWPGVVSQFFWSWI VAPVVLWKSRNIHDTHGWRVQTIGCAISSLHATPMWLIGLYVPAMAPVNKVFVPPQWI CLSIMFIEIFTVFLPCWEVMRHQSLRQETLESIARWELKVKSTNSEEKSLHSDMTMVA SIMSGWKSNSSTSTFNSRDSILTMGALEHVLERNPAPLQEFSALREFSGENIVFLTSV AEWRSRLPQCIKDGTALKEKNKRDIVREHFNRALHIYAEFISVRHAEFPVNISHQDLR KLEDVFEKPTRLLYGEERDCDPTSPFDKFTFDLPSPASVDSGKTMREEMSGSIKDRVQ YWGDIPADFESSIFDAAEASIKYLVLTNTWPKFIKDHRRSSLGSIDTLKPGIEV PEX2_107330 MCNHTYHHYPSCGHISNWSMTSCQEYTNKLRLAGPERSASCAQI KPSHDLLLSSQPSMCVQCESEWAKNLSSHGDDQTQTSNSYRTIEGLDANQIIEVNARM VSDSTPDDRDTSNTDHSDNGQVFLGAAVGSSGNGDADSAGHSDNGQICSRAVAGELGY KRESVETELLDAEGAVCTSPSDASSCDTDSSGSSLCGSSLIRYNVLKLRIDEYLEKRQ RQREVGTDQKQQDTGASGRDENIYLADVDDPHVALEDTPPLGPVDNPEVGHHSCDEPA LDIDLVQQRIEATVLKRIEENNEKEARQVAITKLLDTALLKKDQDDRRERNAELGIEE DPHLWDTESLDRMWQAKDSTTETTANASIPISPRTCTSPENQRSSPTPDPLPQPNKHI YMGSMFASEESARQQGLLEVRPYGNYSGEWEGFMLADSEDDANHQGLLDPIHVRGCCA ERRADFHLYYGVMHAPSEVHAEKRWLEDIRRIPGEEGRFYGLLRADDLQHARAMGLTN ISHPWGCCKDGLFTMPERVRHRYTGFMCAVSLEAVGHMGLCGAEPVPGECGDYYGGTY HGYVEAYCELEAFQMGLKDPEHDGDCCAKTEVSDVSIPEAGQYTYYGYMYAGSEEEVV NRGLKDSLLVPGFDIKYSGYLQADSEDEAKALGLFEPSRIKWR PEX2_107340 MIRFIFTATAIITSSSAIYFYIFHERLSKRIAHKSHIGTLSTAT KPTSIESIPETVLSDEYFTLYDHSSKSVPRASLPSNETTDLLFKRLVRRNMTAFSRFP QALVIAMASKTPEQKNSFKAGYLAALDFEVGDLVCGVYRVVARRRDRVEFEIKMEAVD FVQGRLAISYEECGSQEEDGEVVFCSETVMWKRADEARKMPLERPVLRWMHETAAWWL IDSGVRYLMDLEG PEX2_107350 MPEHTERPEPTPTPAPTYSEAPEVVTPDHQNQGPVSPYSVTYSH TGQSLPSTLHSSYTPTPINGSEKQYPHEPDSIGQAPAYDVSTSMAAPPEKSYPGQPLP QHSQAQLQGHPQQFYTPSGHPSGYLTAVPLHCVQSAPCPVDCPVCGEREMTSVEPISG GTTQ PEX2_107360 MASNRYPGTKEVRKMNYEKRFLTACACFKSCLYEELRFLSLGDG LRSEILPVLRKLVKLLKAIFVLGEEMARTCPDCRTVRNRPTDHFLAQFERLCAGRIMA PLEQAIADLEADEMKPEPKPSVDFYVSSDLDDIRPNTILLSREPLPLYMTDGAPLQSL SRP PEX2_107370 MSLSAGSQLPCHQTTAIRLLADSLYESTRRSTGLTNQAQNELGL LLAVLSATETYTASLGAECVHFAVLKKKLHSCHVVLLELQKLLLHPDALGAQSLTSDI RARLSSVIFGLTEVNLNMMISSQKIIERALRCFVDDIRAGKREALIVSDALKDSSKLE EDGVWTRLQGELLATGIASNLLTLNRDFVISTLQKIADGSLPVTKENTAQTEKAPYFM VPESALELKQQSSLDDKDWLANEQPGLPFLPLPPKGFSHSDTRSPFSSQEQPYPEKET IREENFPIPVILDMQDCTDTQKEALPVEDLFIPVLTESNSQENDGTNLPIPVTVPISP KPPLDLDAFKVSTKSKKPRRMSRLLWEITSSKTAFIVAIKSNQHQTVQTLLSKGADAN AQKTDGTTALMAAVSFGHEATTRVLLEYGADMNARAVTGETALNVAASRGFDRIVRIL IASGANIDSGKGTGKTALSQAAAYGQDRIVELLLDCGADIDAVNNTGETALASAALNG NMRVARLLLDRGAAVDLMRYPWQTPLYKAVQSDVLCMVRLLMERGADPFVKGGAGRME TVFGFARRMQRREILEVFAQYGYHPGGGGPVRYQYF PEX2_107380 MDGLSAGASVIAVVSLAAQLAAGTHTLIKFLETVSDAPSEKQRL ESLLEQIYAIATCARNALEYQQRLHGDKHILADGIHTSLLNCQKKVQRIEQLVDRFKK TEEGRTVVSRKWASVKLALKKGDVLELERQLSQALQILNLSLTTQSL PEX2_107390 MGIKAGLDPRVLSSVFAAGTAQDNICDRFNPCPGVVPDAPSSNG YQGGFKVQLMKKDFALAVDLAGSVDATLALGQRGLETYQAASNDPECFDRDSRVIFRY IGGDENWAQKFQQ PEX2_107400 MLSDLLTSQYLQYKTDTEIVATWLASTAKRCGLDVSEGDNNQGT GKRATGRLKGKARKTAKAPPKPIPANENSKILKKSKYTITVKQFTSFAEHIAGYDNPP VGPVEVSRGFSLALDRAISARREFRKHGIEEEDEASQESHGFFVDVLERVRETLRPLI PKRQADTPAVEKDEKSLANRFEHLELEEQSEAFLNAPDAPKPAAPEKFTDPSAPDVKA EADLEAEVDLDRRETIFMFHLLVQDANAFMDIIEETWRGYKVGRVDLVSASMTTNTAI DLLRRMEEEMKPSLDKFGGGEKLIEAYYFARCRHFGEDPDYRERPSDGFNFKVYDQTQ DIFISAWQLLRSFTAICDPHNSPFYKPGFYGTYDPESDRKSKPARERFAEDMQILMEA FPEFYLLGFCAQGNLAEDELSRGLKAAFTTKEHPFWLVFAVQVFLDIHHVLRDQVSRA FTELQRSAMTMVNSIGSTLKMHEKLRIENWPLENDMALRHLQAEMNYWCATDPLQIEK ARLGRPTGEAFRLFKWHPLFCGLLLYRFKMVYQEAGITFAGAWGSVLYSLHLYNALRQ EKLLTARWADLDMLQAIHPESWIGGPPRTPDDYIKRFSLSMGYSAANFAKNRRDQRPQ ASKNGPKSLTEQGSVALMFKERFCHGSKQTDMTRADLQTIVEKAQWGADTDGDRPYDM MKDVPGDIVRNPTQRGPIHESHESMSAETLLRKLRLALQGEGPEITFDYFVTHRTCWL LLTKIKMACADQLRVLFGTGCIEKENQLPWMIGYIFLAASGVDRAANLAPKKQPGKVT SQLMFTAANVLDSMLASGMGALTCRILRERHDIHISEDSDSE PEX2_107410 MSSTIDSSNIPTDGTGVIQLDPWLEPHRDVLKHRYQVVEDWAKA INETEGGLDKFSKGYETFGLHVQPNGEIKYQEWAPNAQEASLVGEFNNWDVNANPMTK NSFGIWNVTVPAKNGAAAIPHDSKIKISMVLPSGERIYRLPAWIKRVVQDLNVSPAYD AVFWNPPAEDLYKFQHARPKKPESLRIYEAHVGISSPETRVATYKEFTKNMLPRIKYL GYNAIQLMAIMEHAYYASFGYQVNNFFAASSRYGSPEDLKELVDTAHSMGLVVLLDVV HSHASKNVIDGLNEFDGTDHLYFHGGAKGRHELWDSRLFNYGSHEVLRFLLSNLRFWM EEYKFDGYRFDGVTSMLYTHHGIGTGFSGGYHEYFGPSVDEEGVTYLTLANEMLHELY PECITVAEDVSGMPALCLPHKLGGAGFDYRLAMAVPDMWIKLLKESTDDEWDMANISF TLTNRRHGEKTIAYAESHDQALVGDKTLMMWLCDKEMYTHMSTLTEFTPVIERGMALH KMIRLVTHALGGEGYLNFEGNEFGHPEWLDFPREGNDNSFWYARRQLNLTEDPLLRYH FLNEFDRGMQLAEQKYGWLSSSQAYISLKNESDKVLVFERAGLLWIFNFNSKKSFTDY RVGVDVPGTYRIVLDTDEKEFGGLGRNVKETRFFTTDMGWNGRGNFVQVYIPTRTALV LALEETL PEX2_107420 MFKSGLARSFGRAAFARTTPVARAFQPIRSNALPALTARFASSD AALTGKVHQVIGAVVDVKFSGEKLPAIYNAITTTNNGQKLVLEVAQHLGENVVRTIAM DGTEGLSRGVVATDTGAPITIPVGPATLGRIINVTGDPIDERGPVKATKFAPIHAEPP AFVDQSTSAEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGG YSVFCGVGERTREGNDLYHEMQETGVIQLEGESKVALVFGQMNEPPGARARVALTGLT IAEYFRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGVMQERI TTTQKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSK SRMLDPRIIGDEHYATATRVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKLQR FLSQPFAVAQVFTGIEGTLVDLKDTIASFKAIIAGEGDDLPEAAFYMVGDINAARAKG EKILAELENKA PEX2_107430 MFLRTVSRAVPRSTAAIRAAPTASVNALQTRAASDHAIPNPTLA NIEKRWEVMPPQEQAELWMQLRDRMKVDWHQMTLQEKKAAYYIAFGAHGPRAQAPKGE GLRVFAKVTQLIAVSVALFYAVHAFAGKQPGTMSKEWQEASNEYALKEKINPIHGISK EGYEGKGFVQSPPAEKS PEX2_107440 MSLASGVQIQDDCITAFQDFSRSHGKTKYIIYKIADDKKSVVVD SVGKDQDYEVFRNELADAKDSQGRASPRYAVYDVEYEITGEGKRSKIIFISWVPSETP TLWSMIYASTREVLKNALNVVTSIHADDKSDIEWKTVLKEASGGKA PEX2_107450 MSSPKIILYTNHLCPWAHRAHIALKEIGLEYEEVIIDLSTPREP WYLEINPRGLVPTISYNGTIITESGIVAQFLADAHQTHLLPPSSPTENALYRARLNFF VDAFFSKVLPSFFASIRAADETERDAAAEQLVAAIVKEVEPLLADTEGKGPFFGGSEK LTLAEVQSGSFLLRILSFAKPEHGLVSAKLSTLLEQTPRFKRWAEATIAQESVNFIYD EKLVADKMRARFAPAAKV PEX2_107460 MANTNLCTKSDTNYTDLIYAELTICEKPSNALSGECVKGAANEP DNCGFATNTIGLCTYCANGTDSCCTKSNATTRCDGVAVPTVTLPPLTPSPSATGTPSH GLSGGAIAGIVIGAVVGAAILGALLAFLCICMRRRRRETQNEAALNQPNPQRKGGSPL TQQPPSPTSYNMVPGGRVTRMSALREMPSSSSPAYSRTSAAMYGGGAKYSDTSDSEGR GASPGAMSKRIPPVTGKRHGSLSSSSVLAGLESDSSPRSGLTNQYSSPEGVTSGRSEQ LSYFRDYYSQDEIHAGDKVAVLWAYSPRAGDEFELDRGEMLKVIGIWDDGWATGVRLP ERAEDQETNYREHRDSGVSSGSRMHGGSSPMLSGEIKAFPLVCICLPQHWHKIIDGGQ EDEEV PEX2_107470 MCSSDIFLAVLAVFFPPIAVWIKTGFCTADSIINITLTLLCFFP GLIHAWYIILKYPEQNDDDVAYEPIPGGGSRRRDLENGNVTYYYVSHQPIQHPSQRGY GTVNPQDQQAPAPANKSQTQTGDSGNAGSSSAPPPPTYAEAVKGDNKLQSQD PEX2_107480 MQSMPQLLRQSLRSSLQLTSTSSPVRAHFRPAFSVTRSTPRSFS ACVQCQFRRQPGLYSAFNDREKFSADTERLIREKEKELADLELASRDPVPIPGLEAGS SLDSVPPVTETDQTTAQPQTKDNEKEQSASQEEDASAAGTRSGGLPSYLESRRSKWSK QFSTVMDNVQSNVFVAGQRLNDLTGYSSIEALKNDIQFHESRLRTARSKVKQAKEEYA AAINRRSTSQREVNELLQRKHAWSSTDLERFTLLYRNDHTNEVAETETSHALSAAERE AEEAAGQLSKSILSRYHEEQVWSDKIRRMSTWGTWGLMGVNVLLFLVFQILVEPWRRK RLVKGFEDKVVEALEKQKALNQAMFAENAVSISALPTTTILPEVIDSTDESLVETAPS LLIEPKLAAAETDASTTGSIVSVTDANASQSLQTRLSNISSPVLSLEYWRQVASEFFS DRSIAASQYDLTAVALQSAAAGAAVTGLLFALIQSR PEX2_107490 MSLSSASSTLLRTVARQQLPTSRAAVTSCQQRRGVADAKSSFES PFASANERGSTLKIPNFSKYKSNNSPRSNQVFSYFMAGSLGLATAVGAKATVQDFLVN MSASADVLAQAKVEISLGAIPEGKNVIIKWRGKPVFIRHRTQGEIDEAREVKWEGFRD PQPDEDRVQRPEWLVMLGVCTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRIRRGPAP LNLEVPTYSFPEDETLVIG PEX2_107500 MAPKTVGEPDEITGQSALPIARIKKIIQLDEDIVQCSNNATFVI AMATEMFIQYLAEQGHNVVKSERKPRKTVQYKDLASAVSHTDNLEFLSDVIPKTTTYK QFKEKKAKDAANQNAMEKGQRTLNGTGAPPAPENGTGTGDATPQGDDSKATSPSVSRP MVPVSALIADRTVDPMATHDRDVEMQD PEX2_107510 MTSPRPSPAFDRSLSVSRERRSSRRPSGRSIPSPIDPEADSDNQ EAPAISEEISGIKRYEDFTTIDWVQDAVYEQSRRRAKRRSGTGFWDQEGILGWRRKMY ESYDAGQAWLVVTLVGMAIGLNSAVLNIITEWLSDIKLGHCTTAFYLNESFCCWGAEN GCPEWKHWTSFWVLNYVFYFFGALLLSSIAAVLVKSFAPYAAGSGISEIKCIIAGFVM KGFLGAWTLIIKSIALPLAIASGLSVGKEGPSVHFAVCTGNVISRFFGKYKQNASKTR EILTASAAAGVAVAFGSPIGGVLFSLEEMANYFPLKTLWRSYFCALVATSVLAAVNPF RTGQLVMFQVEYDRTWHFFELIFFIGLGVFGGLYGAFVMKWNLRVAAFRKKYLSQWPI TESVVLAGLTAILCYPNMFLKINMTAMMEILFRECEGGHDYQGLCESQNRWSMVFSLA IATVLRTGLVIISYGCKVPAGIFVPSMAVGASFGRMVGIMVHALHESFPQSAFFASCD PDVPCITPGTYAFLGAGAALSGIMHLTISVTVIMFELTGALTYILPTMIVVGVTKAVG DRFGSGGIADRMIWFNGFPFLDNKEDHVFNVPVSHAMTTGPLSVPASDFPVREAEHLL NDNKFQGFPVVEDRTSKILVGYIGRTELRYAIDRARNQGMVAPNARCVFTKDAADAAV ARRASVSQSSRSSDTFDAIQRNAGASFVDFSRYVDHTPLTVHPRHPLETVMEIFKKMG PRVILVEHRGKLTGLVTVKDCLKYQFKVEAEEHTLAATSSSEFGTLGGHLNNPAPETL EDRLWRLIQNVAGFVSGKVSRRPARPRDRTVPRQPEPADILEGRDADAAVELEDREDR PMIP PEX2_107520 MDPLSLSLGIAGVLPLIASAVLTSKKYVQTVRSARTSIAALIFE LEALGSTVEDLQDLLKGETLKGSAVRFHGSSVLLTCSAACEAKLRALCKTLDQESKGK ARRLLWPFTEKEHQKTIQDIRNFTNWMQFALSVDGCRILSQTSNDVLKLMGQQLEQFN TIQTLEADTLRILDLVQEQTHTIQVNSKRETRREILNWISSLKHHRKHQLIQASRAQN TGTWILKKKEFIQWRDGSSPSNVLMCHGIQGSGKTNLASIIIDDLLDSRSSETSPVAF FYFDHQDQSTQGTSAVLCCILRQLLEQLPEIPSPVAELYEKSGHQGQMPLHECERLLT GLVSGHRCAYLVFDGLDESEHRKSFVQSIQNVVRSRQIRLLVTSRPHIRDLIDLFQQH PNLKIEAHEEDLRTYLYQGLEQGGIHDIADQSFVDSLVENLMEGADGMFLLPVLRLRT VLKEPTLGDMEDRIQELSQTLSEAFADTISRIQRLPGSCSRLGMGALMWLSHTTRTLT ESELSDVLAIHSVRNAVDIKYRPATKTIIECCQGLATIDVEGYVRLAHYAIQEYLTEH SKDLFPRARAKIAVTCLRYLAFENFQDGPWPTKKAIKSRMEMYPFLPWAAVNWGRFVR QTETDEEVSSALFAFFSSASATAVANQVRQCSKGLNKKYWNADECRSFSALHHASRHG LKQATTRLLDSGDYSVNDVTQMGTSAVIQAAAAGHVLMTRDLLARGANPLLCNWYGDA LHCAMESNNPGTVRELVGWGMHPNIVRENGRTYLSCAMANDSADAFAALVELGADIVL QSESEPDGHIFFTAVLDGCDKIINLMIKRKWADINMRDPAGLTPVHYAAAAGSRKTVM SLLDAGANIDAVDNEGRTVLYYAELRGNKAVARLLLDSGARPSPQTQT PEX2_107530 MVSIQQSGLSEIYSRQGELSIENDFRALKVLSAECYDGTQAPIF EREILTHLRDGDQYQIGYDHVCHLLDDFEHSGPNGTHVCLVFELVGETLRSFGAWFVE SRLLNSVTRRFTIQILLTLDFAHEHNVIHTERGNKDIFHAIFDDEGRIKDSLPMNRPE LASEAFLPGLDQSVRDEFASFLHAMMKINPDDRISAEDILRHPWLDAL PEX2_107540 MDTHKALESGIHSLPVELIANIAKFTKSPDLCALRLTCRAMYQG SLCHFAKTFLHTLKTNLSPRSLAQVEKAAEDDIFCPYVRKLEIIRNSQGCLGPLSPDL KSEGTYIQAWRNVIKRLFNCRSFELRNSVYTKPDCGSDGITLDQATGLVLEAIATENI PMESFSIDIIKYRKRSHQDKDKLTQFAITTFGIPAFAHLKELCLALPDAERQTTDWMA KMIQCAKSLRNLTIIFSWRCEATSLLAQLSSVECLPEIEELTFSRMSFQSSAALGIFL YSIQSTLRRVTLSFVKLEFGGWRSILHELSGDVYQLDSLTLHSVLEVNDFLSFRKIPE YPLVEESLDRRIYRPFQFCFFDPRDKGFSYSGPALQQVLQRAAALAEIH PEX2_107550 MQLFSWPRSHLLEIGDQTWCPSWLHQHEQLVLTQLWNLQVPGWS HGSLAKQACAVFRENLKDLSSYTVLDICAGAGGPTPVLELELNKELESEGKGPVQFVL SDLYPHVEEWERISKKQQNVTYIESPVDARAVPRLAAKSRKECRIFNICFHHFGDEDA AGILKSAIETADSFMQVIIPSISFVPLANSILFSIFEITSRDLWTCLCSPLVFFWTFF VTLVWYWDSPVHLFFTFILPVAPLTIFVDGLISCLRTRTAKETWQLLDQPDLDLTNWK FHSGKKTVQFPFITLYYHVGIKS PEX2_107560 MKVFIVGGGLGGLACAIACRREGIDVEILERSPEVREVGAGIQI PPNGGRIMRDFGLLPQLIEHGSQVQQVDFRRYKDGRLLRSMPFGDDITEEFGVPWIII HRVDYHRILLDEAIRLGAVLQLGAEVEDIHTEQPAVLLTDGRCISADVVIGADGQMST VRRAVLGSPNSPVPTGDMAYRATFSREQLEALGDEKVNELCEKIAVTSWLGPEKHTIF YPLRGGKEFNLVLMRPDNLSPDSRKEQGDIHEMRESYADWDETLQKLVSCVPSVYKWK LTHLSELESWSKGSVALLGDACHPTLPYQAQGAAMAVEDGAVIGKLLGLLRAHYLNFT NSGGDPSISTRSSAQDLTANVLTLYEKCRKARTARNVQGAIMNRKLFHIADGLLQMIR DFVLGYAGVTRKSDWTWLSSFRQGQTLGLDVLEDCKKVFEEWRLTL PEX2_107570 MDQNEHQTDAHRRPKKLIFAPGDIAPTYNEPTPEPEPPALPAAT VLEALRTSASTPNSTTETEVLSNAARAHQFGTNPPLTISQIHGTNPLHQFNTWFRDPR LPASSAPETCTLATASLPSGRVSARVVYLKELDERGWVVYSNWGSREGKGGQVFGLGE NPDILDAIPEPGSEAATVTAEVGSGNKWGALTFSWASVERQVRVEGLLEPLSREESEM YWRTRERGSRIGGWASWQSRVLWSAEPVRMEENQRRKSVAKLQGVFNGDELVPVDIDE TDVEDGRALLEAKVKEMEERFAGVEDIPLPPFWGGVRLVPESVEFWQGRRSRLHDRFR YISTAAMSTSTPQSTPKSSTSPWIILAITSGAFAALNGVFAKLTTDDHTTAFAQSLAH LFGLESSPVIEMLTRGACLGLNVLCNIIMWALFTRALTAGPSTVKVSITNTASNFLAT AVFGMIVFQEAVGGLWWLGAAMMGAGCILVGMREGA PEX2_107580 MVFKPFTHLARQSFTKAFTHGYAQSVVAASQSYASTTPFNPLAQ PAKFSRTTQLQNAFSNASSSSGAGAKTSQGGSGNGDLGLAAYYAAWQNAQQTGDDSDW TQFEFKRRIGWKPTEEADTFNEDRAASDLSPPHPTKAAVNEDVSAQVEEAVAREMQIQ EEQAQAEEAALGAEANVEPMVEDVVSEVSEANHLASDRIVQLANSKKFAEIPAAFETL LREGYTPTVVAYNALLVAAIRLHPDAAQAIPKALDVYSDMLRRRVIPDEDTYETLVQL LVTRSHDIIKAKEALEQERVRFGGMEEPGKFMLQSSELERDLLAEDTSLSIAVKLFDT AIARHNRLVFPLEMYRHLIIACAKEGKVEDMIRIYAHMESQKMTPHATIFPSMITAFA STGDLRSAVECYNEYRGLAVSDDSGVFSIVQRQDGQVYAALIRAYLSCGKEEGATQFL DRIRSSFDEVTEGRQDRWSAVEAVIVEDALVQHSLNTGNHSKALEQAKNQLHDEARNQ AISRICIAAADAGDLPTASEAYDSLPTAPDVRQNPAISLLALQVRRGNVSAARSLWIM LTTVGQATPDLVQPTAMYAVALLKSGNVEEGLHQARNMFKRIRNATQDQHAAVANPVR EQITESLHLFGRVLMQSAAVLSPQSSMTLLWSMLENGGLVSPLAEHAVANLGPIGISQ LKAADLTLALQVQAGMLVSSGSMLFDVAHPVRFAHMLDVALSSALPLDLYTTGLIDQA VGKLFVSRPDVVKKWQDYLEAGSQTSVSPSQMFLSSDRQSPVSMAETSITTPSATPES FDPYAHATDFRGSAIIAEELENTSGRSETHLNEALTRLNNMRRTGRHPRYITYAKLIT AAAKAGRMTLAHDIHSMARADVPLDMNFSVVKYGWVSILDAMVAACLTLGDRKLAAQY HQDLLALGSAPSANTFGLYITTLKESTKTFDEATEALKVFHRAVAEGVEPTSFLYNAL IGKLGKARRIDDCLAYFAEMRSNNVRPTSVTYGTIVNALCRVSDERFAEEMFEEMESM PNYKPRPAPYNSMIQYFLNTKRDISKVLAYYERMQARNIQPTLHTYKLLIDAHASLEP VNMAAAEAVLESMKAAGHQPDAVHYASLVHAKGCVLHDLAGARKVFDAVVSDRRVRLQ PCLYQALFESMVANHRVTDTEEVVQNMFTRKVEMTAYIANTLIHGWAAEGNVSKAKSI YDSIGIQKREPSTYEAMTRAFLSAEDRASAATIVQEMMSRGYPSAVAGKIVDLIGGAS ASL PEX2_107590 MPPIRSRSSQNSIEQEGRILLAIQAIQKKEISSIREVARRFNVP RSTLSTRLNGVQNRAISRANLQKLTDIEEESLQKWILSIDSRGSAPRPSTV PEX2_107600 MASTLPSGSTVLVTGITGYIGSHVADQLLQAGFKVRGTVRNVEK AAGLLKHWESKFGAGQVELVVVQNISEPGAFDEAVKGVSGIAHVASNVSFNPDPNIVV TEVVSSAHSILDSAMQSPSVKSFVYTASTTALGPAVFNVAQKLDETSYNEEAVENAWA PPPYTMDRALSVYAASKTQAEQAVFKYATENKAPFVVSSVVLGVNFGKILDPSIPSSS GDLVKQIFNGNSEWAKTVEYQWFVDVQDSARLHVAALTNPDVKNERIIAYGEHFTWNE VLKHLRELRPNHQWVDDFTDPSQKDISEISNGRGLALLQALGRPGWTSLEDSLKLNLE AV PEX2_107610 MEGGSNSSWTVTRSTVLTKSETTIPTPGPSQVLVRVNAVSLNHR DHTVLHHTYPLPTKANLVPCSDGAGTVVGAGPGSSWATRSGEVVILHPNTWLEGDLRN FDVESVLGGGDAHGTLTEHIVLDDVQIQEAPTNLTVEEASTLPTAALTAWNALFMGPI PCGPGISILTQGTGGLAAAAGATVIATSSSDEKLEVTKLLGATHLINYRKIPNWSEEV LRITSGRGVDHVVNVAGSGTMEESLRSVRQGGVVSVLGMLSGSKKTDLVPMLMMGGKT LRGVLGAGNKEMHEQLVKFIGHHDIHPTIARVFEFDQAVDAFAYMEKHSEVGKIIIRA DRLITRENV PEX2_107620 MGILASSSESQFASAVLGEITQQREQNHLQDEELKKLRKDILDI KETKRTTIEDMFAANENEKAKQRDSLAQIETLRATVDEKESKVTESAKNLGTLQQKIA KLESNLSQEVAKVLQSAKDITTLQTNLKEKDKMVDQMKTAGSKLKSILSSEQKKNEEL AAANASMNTELQAVKAYIQRLEEFPVQSSGIDENSVVTKFTSLWTSATSELSPLLYQD VLEETLKEKAIWERLKKADKILLPPSFPLIASNSSGAKAVRFALILAVLFREIDRRIF KPSYFLSESNSLREALGHLAVKNSEKETFCRRILLSIDPHAEQVTLKAEIQAVVQRMS SYADGLFPEVQHDLFCTKIQSIVQNAVEFWLPTQRSQQKFETDFEELFDPDDKDRDLF PFAGENTTLVAQDHGPYLLNVFPSISLVEDGYHNPLTKIIQLRSSQELYLAAQHEATQ ITSSVTTRRSHTRPRRKSTAASNGKPFLGGNLTNGKPMGSGEGPSNIDLEQVVLGLGF YGHSFTLEDASCNTPGCRFSGGGKAGECTGTTEILSDNEINRVLKEYDLPIQYDEAAG VNWMIWNTNQWVSFNNAWILKQKADFANSNV PEX2_107630 MSAASNKVIILIAQQLQSQADLATLVQVNSRLNHLIKHILYRYN LQHRHRHGILRAARLGLTTAVVQFIKEGYPVEDRPIHTEEHPPRPGFLPWDPCSCRLE HPILCAAEYGHSELVKYLLRVGSGPDFENNLGETPMHLAAKNGFLSVIKVLLEKGCSI PFLIDNDTKFTLAPIKEAALKGHTHVVEHLLSYAPSPRDYASSTLPFAAISGNIALVS MLLGHGADIDYKYIELYAPRIALCPHDERWHGSIALSVAARLGYLDLVTFLLANDSDV KATTPSLRETALYLAIANGHEEVIEMLLAHGADVEGGHISTAIEQRNKKSLEMLIIKY GTENRQTNFLELAAEAGDTEIFQILFDKGFKDQEKAFLKAIEYGQEEIVVLLLSRGTD PDLPTIGECAIGKAIVHRDVDIIELLLCHGAHIYPETLRSAKTFAPGHIAKLAEQFPV HPLEKKAMYPSIYQPSKQGGRWDNDLGSAQRRLW PEX2_107640 MEEGLILKRATCCQTLTRIENLIKQTPSQHIESSDLKAAGIRHL PLSLGEDAQHHPRFFKPYQEELPLPPKGSEDRLLKFKPNPNHIMWETRAMQLLFTDHF YDCWDYALEKHPNNPPPSGSYREIGDYRFGQLLECIDFNWYAVSVADYPAGNYPHFKV IVESDVNGDDRLLRGEIMTITNIMAARLRTKSLRPHIVAPLLVLSLMGPRHARVLEAD LDGEILNIRASRLYDFTQRNTDVAQLLTRYWLGDACGQTTMERS PEX2_107650 MVVTAREPSDSSLPSLWHSACQDYGRETGISLTDERFPKVQGPE DLSRQLESERDNFEDFRMKKRPLLHAMQMVLAPFESWGDLIAGAAAAAFPPASSIMGA MLLMIRAARRVSDAFNVIVDLFQKLGNIALRLDSYKGVTPSEGMKIIIVKVLVNFLRV CAASQKLLSHGSLKARFSKWAKNILVEDTSISSLLDNLEELTNQEHMMVSAHGLNLTH QALRNTEELLNRDDDRKNRERLERIKAALDPVSASGQVFSSITQNRIPGSGSWVEDRL RSWWQGSQPLLWIHGGPGVGKSHLASKIITEFSNESSATPAPVVASFFHRNNDVDLRS LNKALRTIAWQLATQQSSFAVHAEDFCMKEDPENNYVLWEKLFLNYFTDDPPVTTACL VIDGIDEAETEEQDVLFSLLESSFLAEDDISRTSPLRIVLLSRDSVRSMLDEHSLSWI PEIEVGNNENKEDLHEYVSQKLQKTKLFRGYPHLLEETIEEISQEAEGMWEWATLVIR AVLRCRTKEQIRKVIRTMPRGISAMLREELQRLSKELSEWEELSGDEASERDEPASQI QQLNILLSFVALAQKPLTVRQLEIILELILKEEFMGLEDDIRTLYSSLFYIRANDEDN FDEEGDVVTLRHSSFYEFFRTSAESAPIHVNVDQAEVNFLYVFLYSLKENQTPYSNIW IGYLWEYAEKFIPSHLTRANPEKAGNLREDISTLLASLFRNEQDREWSLREVDVQGSA SYSTYPSCDVSELGSYWLDAHDQDTANKRAEAVLHWLLPDSKQSFVDQARASAMTSDA CPFTVLFSLMVARWIQRWLEPEEIKHDDGLPAVAPAILTVYNTMEKGIKESDAGSLTS KVSDVMWNDRDPTKVLIPAQSQKLQQTAMWHARVAQALLLKNCFVQALEHFQVSLDKN HATPTFSTQSLFVIHRDMARAYTQLVMHKEALEHLELSESLRSTFEEDDSRDDLIDGL LNKAQMKHHAKLTDDAIATAEEAWNLLLERRGKHDDDFFPFLSIFLELNQTHRLRSVL DLAFTHFEETADSRGLFPDFERFILGCSVMNPRIIYRVIHYALTPQDQDYLDLTTGAL KKIDTQPYRPEELRFLLATALFEKGRRDVGVQGWYETASLSSVSPSVRNKACRKRSIA KITALCLEDADIPFWERPALILNENSELGDICLVLSSWLRARRDITNARDVLRWCVKE CFSLLSDDDPSNDINAFVRLFRVSLAVTHSDEDLGAALYLIKQHTEPRRRVAYNRTVA TELSDDDAQLEVSSTLQNVQLTADEDQCLDGGTDDHIDDALYTGIVCDLCTECSSCKR EIGSVHHWYFCRSCALSTLCWRCYRQCESGDLSKIPGICDPEHKFFYTGPLLRPSERV PKGMVPLISSDGAKEIIRIEEWKDRLAEKWETADFTFEGGLSAWCMRVLPEPQRTRWA TFFQT PEX2_107660 MTQTLLATPHHPGRSGRGSESDSSLDEIAQEKQNALAATLGHHG KDLDNSASPKRWKSFWTAFRHLAHLTPKQVDDFMASYVIYNLDWSDEKQMTESLGPNY QEKVGDCLKSYYGVLNHLCALGDVEKMYIPPWMSPKSSVLENQILYERSIAQDIGLGP GKKVLDLGCGRGRVAAHMAQHTGAQVTGLNIDPNQVEQATTFNKEHGLQNNFVTQDFN DLPLPFADESFDAFYQIQALSLCKDLPALFREIFRVVKPGSKISLLDWISLPDYDASN PEHAELMRRVKPLIGAVGTPTQESLEAALKEAGFEVVRSENASIDGLQAPLIDTVDVY FRTLRQAILALVKVHVLPKHFKTLINRLCLDGQAFVKMDRMRLATTSYSIIAQKPKA PEX2_107670 MSPLPSSVTALSAPGKVLLTGGYLVLDRGYTGTVFALDARIHVI VQQLRRGHRRGASGSGPAVSAEALSNSGAEDQDGQDTVVVRSPQFVDAVWEYGVQRCG NGGGVKVIQKGDGPRNLFVETSLSYALTYISYVADSKDFGSLSVTIMADSDYYSETAA SRGPASQGRGGRFVNFGVPLFEAHKTGLGSSAALVTALVSALVIHRTMQPEDLGAGRD KLHNLAQAAHCAAQGKVGSGFDVASAVYGSCLYRRFSPSILESLGDVGSAGFEERLFP IVEDSDPEHPWDTECVDFGMQLPRGMQLVLCDVDCGSQTPSMVKKVLEWRNNNRKEAD ILWASLQNNNERLRQELKRQAQHPDANPEGDFSDIRTLIQRTRQHIRTLTRRTGVPIE PSVQTELLDSVSEIEGVIGGVVPGAGGYDAIALLIQDDPTVIARLRDHLVNWTSTIED DFGGKIGNVRLLGVQHGSEGAKNEMLEQYAGWV PEX2_107680 MSTTDQPESGPTSNRDINQPPVTTSPQDQQTTAPTTELQAQADP VADTSISSVHDQTPANQTQVHPATGPSGETESAPVTAPPTTINPPQTFDNAPAVALAQ QTTPPSMDAEEPKTAPGTSTEHSDDGSGKEVEEDTDAGPSLVITLLLTTGSRHPFTID GKYLKKRSVNVENSDPFLMSVYTLKELIWREWRSDWETRPSSPSSIRLISFGKLLDDK SPVSDSKFSKEHPNVVHMTVKPQEVVDEEDAKGAKAQYSRDGEATTGLKCGRPASGKA VVCCLHPSILIPNLSSLVRLLPSPPPSIYLLLRRYA PEX2_107690 MSLRIAPPSGNATQTSNLTTRQQAGLQAPRPSKGAPSAPGLPDT LRNKLTQAATAHASSTNSSGEIPSSTHPLEARLIAWRATQDALKMEGLRRAYGIAEPV RRGMELKIVRDGTFRPAVLGGVRGGNVHEDILVIGGRDSEVQWEDIYHGDDLREPPAF HDEMEKRLKMDF PEX2_107700 MTQLFSPIALRALRTLIHRPAISSPLCRTITTSPAISFASKQSP ITSRLNFHPVFRTAAAGFSAVPKRQFSSSPIIRATYNQVRRGIRTGQRARRGGSPALK GTPGLKGVCLKTGVTKPKKPNSGERKVARVRLSSGKVITAYIPGEGHNIQQHSVVQVR GGRAQDCPGVKYTLVRGAMDLGGVGSRMTSRSKYGAKKPKTN PEX2_107710 MSINIRYARYLLFAVMALAVFFFVSKSSIPMPTTGLTTPTPKAP TYDSVKSQKPQVIGAHHAERVNATFVTLARNSDLWDMVKSIRAVEDRFNHNYNYDWVF LNDEEFDEEFKKLTTALVSGKTHYGVIPEEHWSYPEWIDQDKAKEARQEMDRKKIIYG GSESYRHMCRYESGFFFRHPLMMNFEYYWRVEPSIELYCDIDSDPFRFMKVNNKKYSF VISLYEYVATIPTLWDSVKKFTGNHPEYVSEGNGMEFISNDGGETYNNCHFWSNFEIG SLEWLRSDAYIDYFTSLDKDGGFFYERWGDAPVHSIAASLMLKKEEIHFWDDIAYYHV PFTHCPSDEKKRLDLRCSCQPKDNFDWKDYSCTKRWFDITKMEKPNGWDKKYD PEX2_107720 MAFFGFDTTLPRDRDGSGPKGFFENPDPFADIARANALGDDDVI DFEDTYDGLGDQLPDDQDEFNDDTFGGAGPDTSVGRDFDFFGKTAQVSDAIGEEQVRF SLQNPNAGRVAPQPAQVPVQPAAPQQTTKRSGYEKYSDPGYIPDLQAKSSVWGVSQKK AEPAAAAAPSRKMMSLEEVEAQMRYHGHTTATPAAEAFLRSMVEQPHYLPQLQHLQTL PDGFPPVPPEFIQAQMKQGHLPPQMPHPPPGMPELYGGHGHMPPGAPLHMMQNANLPP QNMPPPGPRHGGPPQAQAQRPQQPQQPQQLPQHQQGPPPSRGSNNGMPVITNPQQLMH LTEEQRNAYLVEDAKRAKRNHKIFLLSRGNGLMTPQDKNFITRIQLQQLVAAAGNVAD SDPEAVLAEDFYYQVYSQIRGAPRQHPHQPLGHFAQTYLLQTGNRLGGHRRGNFQSAD NHMQRMQQQVQRAVEAAKAKPKNKQLIIEGSLGKISFSNAKAPKPMLNIKRPDSSEGP KPKKQSDLSLSDRKSILTNLEGVYSALMAMEDMERTMPPPPEEGDAEAIQEHLEWRQK LHSLNQKLWRSLKVMEPIVPNSTTPHPFIAFLSYPKGKKAIPRIFRHIDQEQRVTILT MIVVHLDSLDVVRLAQPVPGEAQPSLGVREAIDLFSLAVMPCLLGYVNEAPFNIIIGL LGLVINQTHVQTLARTKVGLGILTMLLSRAEIVKEAGQASEHDWQQWVEKFNVLFDTL ELGLNDIFPGSISSGDDMYVWQFLAAVGIGASPEQQQRLVIAVKDRVMETVGYSKTLP ADMGSQRLNNVNLFMRAIGLDVELLG PEX2_107730 MSAGSVLVAGGTGYIGSFTALALLEAGYKVVVADNLYNSSREAL NRVELICGKKPEFYELDVTVENSFDQVFEAHPDIDSVIHFAALKAVGESGEKPLDYYF VNVYGTINLLRSMVKHNVTNIVFSSSATVYGDATRFPNMIPIPEDCPLGPTNPYGNTK FAVETAITDLINAQRNNAINAGNEAEAKKWNGALLRYFNPAGAHPSGIMGEDPQGVPY NLLPLLAQVATGKREKLMVFGDDYASHDGTAIRDYIHILDLADGHLKALKYLRANSPG VRAWNLGTGKGSTVYEMVRAFSTAVGKDQPYEVAPRRAGDVLNLTANPSRAHTELGWK AERTLEQACEDLWLWTKNNPQGYRQEPPAELLAQLKK PEX2_107740 MPIPIIAQGIRDGISSIPHAWTILRIAPWLLALAALKYYFEGAR NGSERLMRSKVIMVTGGTSGIGAEVVRHLATRGAQVILLTRQPPSDLYLSEYIDDLRT STKNPLIYAEQVDLSSLHSIRTFATKWIDNIPPRRIDCIILAGGTAEPSTPRTLTIDG VDQEWQTNYLANFHLLSILSPALRAQPAHRDVRVIFATCSSYIGGEFSNLDSVARGKQ KVVKKRTKTSPALYEQPKGVYALSKLALTIFAHSFQRHLNAYERPDGLPPCTRVIVVD PGLTRTPGMRRWLTGGSLWGLALYLLTWPIWWLFLKSPVQGAQSILYAAMEQQYGRGG GGWLIKECREMDYARTDVRNDEVGKKLWEFSGKMVEEAEKESAVMRALERKEVEVEKQ KLEAEKAAKEAAEKKGKKTDGSRRSRKGQK PEX2_107750 MASEDEDYFLPPEQQRPFSSGVRRKRVQFVRSSDLDTTTAPTPS SSGTSIADTYLSIVMKQPAASTSPSTPTQRAPSPPIRTQSAPPAQDIIPPPPPTAVPA APEYCDVCSLPLPPQATADATDQKARPHEASLAHQICLTHSHPPSHLDRTRSGLRYLS TYGWDPDSRLGLGASGREGIREPIKPRVKHGTAGLGTGLDRDGDPLPPRPAPPVKVQK LNPKQLRNKIAEDKKRAERMRKAFYQNDEVLKYLGEGV PEX2_107760 MGSSIINIQRDFILNTIRNTGGHEWKVLVVDETSKKLIDGAVKE EEILNLNVSNVEQLEHRRPSNPDMDALYILSAESYVVDCLMADFEVGRYRKAFLVWTS SLDPQQRSRIERSQMARDRIADFYTMNINFYPRESRLITFRDPWSFPVLFHPGCNNLI RRHLEELAQKVVSLCASLGEYPVIRYYRPRSPTHEAAVMCSHLARFIQNELDQFAQHQ RDFPPQTNRPRGVLLVVDRSMDLFAPLLHEFTYQAMVHDLLPVTDGDKVTYKTVVNQG AKNEEVKEMEIGESDRVWVDYRHMHMKDVLGKLGEDFAKFRAANPQFADDNDKSNVNT IKDMLGGLTEFQEGKDAYTLHLNMAQECMNYFQSRKLLEVSSTEQSFATGLDENYKKA KNLAAQLVQLLDDDSVVQPDRLRLILLYIMYRGGLLGGDIRKLMAHAGLPPKDGGVIA NLELLGVRIEKPLKDEKPPVHPLFTRRNPPPESAELSLSRYELAIKQMLEDQIQGNLD PTSFPFTRPHTETDGAIAAQEMQSQQASLRSAKPTWARTRSIDQPRQRIIVFMAGGAT YGESRACCEVSAAYNRDVYLATTHMLTPGLFLRQVSDLGVDRRRLDLPSERPKPTAPA HLFEREAPPAPNPPPQKKPVSTAHLNPPAPPEALMAGMSINSNGSGSSPASSGSGKHD KKDKKDKEKKEKKEKKYRFFK PEX2_107770 MQPWTGGSRSLCALRPLTRFAVRPFTTTSILQRSKKVKPEISPD RKSDAKRSKTAIGASAGRAARQDIAAARDFNELVEATLRDVYSKLNKSGESLEGWTQF ERQIKNACKSDDNKASAQWGPLRHIKVALQKAYLASGIQGLREEVEYMQYSENITARF SAPILEAQQEVTDLRWPAEWYPRARSMQRTIHLHVGPTNSGKTYHALQRLAKSKNGFY AGPLRLLAQEVYHRFKADGVPCSLVTGDDVKFPEDDQVPRITSNTVEMVSLGQEYDVG VIDEIQMIANSSRGWAWTRAFLGAQAAELHLCGETRAVPLIRELCALTGDNLEVHRYE RLNGLEVMPHSLKGNLKSLERGDCVVVFSRKGIHTMKADIEKTTGRRAAIVYGGLPAE IRTQQANLFNDPDNDYDFLVASDAIGMGLNLSCKRVIFDTLVKRGPTGLQRLTVPEIK QIGGRAGRYRPANATESTNEEPNVGLISCLEEVDLPYIQQAMKLEPPPLSAAGIFPPE SVFRKFAAYFPPGVPFEYLIKRALEIAKVNPLFFLCDPASQLENAEIIDTVKGLPFED QLKFMVSPMDRKSSGSRDVTGAMADCVAEHHEGRLLDIASLNLEVIEQPVSGSNSYLH DLESLHKAVILYLWLSFRFGGVFTDRTLASHVKELVEERMVRALTEFSANKRLRQGAS LKRQIALQKQLLEQERMGVAGDWMKPSGEGEQMEINLPAEAASEVAQAAEGEPSNDEL SLFEPSPEAQGEEEGSSPDLDDSETDPTESYYDTEVPKE PEX2_107780 MDDLESLELFSLVSRVTNEFENHLGIADKTLAEFVIDQHLKCNG KFSDFKKFFDDMGGEFPQSLLESVDRMVLTMHPKYKGKKAEKDNGASDANDDMALLDA LEQKSRVFKGLAVPDTEKRWAEEDYTDRQVADEADAQADAMDDTFAMLEGLAGKAKED KPHASRNDRGTRKRSRSPENNDYDRGRRRDKYRSRSRSRSAQRYNKNDDLVDEFGRSI GKYGNREDSRRNGHSDRRRHRDRDEDNDFRRPPPVELDDHPVLFKIYDGTVTGVKDFG AFVNLKGVKGKVDGLVHVSAMQEGARVNHPSDLVSRGQPVKVKVASIDGTRIGLSMKE VDQVTGMDLVPQKRLASGANMERLDGGFADDRYGSLGSDVPVIEGSGGRPMRNRKRMT SPERWEIRQLIASGVASAADYPDIDEEYNATLTGEGTFEEEEDVDIEVKDEEPPFLAG QTKQSLELSPIRVVKAPDGSMNRSAMAGTNLAKERRELKQQEAQDKAAEKAADVDLNA QWQDPMVAPEERKFAADLRSAQQPKQDEAVPEWKRATMGKNASFGKRTTMSMKQQRES LPVYKFRKQLLDAVKDNQMMIVVGDTGSGKTTQLTQYLAEGGYGNNGMIGCTQPRRVA AMSVAKRVAEEVGCKLGAEVGYTIRFEDCTSPDTKIKYMTDGMLQREILLDPDLKRYS VIMLDEAHERTIATDILFGLLKKTVKRRPDLRLIITSATLDAEKFSEYFHGCPIFSIP GRTFPVEVMYSKEPESDYLDAALITVMQIHLTEPQGDILLFLTGQEEIDTACEILFER MKALGPTVPELVVLPVYSALPSEMQSRIFDPAPPGGRKVVIATNIAETSITIDQIYYV IDPGFVKQNAYDPKLGMDSLVVTPISQAQAKQRAGRAGRTGPGKCFRLYTEAAYQSEM LPTTIPEIQRQNLSHTILMLKAMGINDLLHFDFMDPPPTNTMLTALEELYALSALDDE GLLTRLGRKMADFPMEPALAKVLIASVDSGCSDEMLSIVAMLSIQSVFYRPKEKQQQA DQKKSKFHDPHGDHLTLLNVYNGWKNAGFNNSWCFENFIQARQIKRAQDVRQQLMGIM NRYKHRIVSCGRDTMKVRQSLCTGFFRNAARKDPQEGYKTLVEGTPVYMHPSSAMFGK PAEHVIYHTLVLTTKEYMHCTTAIEPKWLVEAAPTFFKVAPTDRLSKRKKAERIQPLH NRFAGEDDWRISAQRRQGRGGGGGTWG PEX2_107790 MPFIIQPCFPEDAPGLAATMMGARLTDHHWKCLWDDPSAERIIP GAIERLPWNLVTGTETKRHQKVIDVETGQVVGYARWSLPPILVKKGDVWLEAQVAEGT PADRIVYEKRYQENTRNGQPIGLNPGEMMRFRSAPLEEADARIMSDGPFLTLDYLTTD PSFWRRGIGSMLVQSGLEIADQQGVKTYVMSEPAALKLYLNLGFKLVDTVTVDYSHYG GTEPMVEYFLVREPSQIESVGA PEX2_107800 MSHITEHPCRAKRRKLDDPKPEKQIVTSNIKSAASLRDLLSVQQ NAPLAKQGIIKFKEFLVSIAHTEKDSDKAEKLRVLKEYCDKQITNSGKEDETSVCFAD IIQSWSFGDSNNNEPLLTSVPSVLAIFLKTISSELEFREFGIALCKHLLQKDQLRLFN RGLTATKSKEHLISPCLRLLTEIVTFDGGAVARQLYLRRYITFKRLEVFLTPNKSQEI SEDESNKSTLRRNAQRYLLANLRVQHASEKNDIIEQHKITRSFLEYIRKDPRDTVLEI IKAIERDVVQDSALSRKSKSKFFNRHNLERLVTLYGYDRESDEPNAGGVVIANEIHRV MMNVCKTAGLGVLLPETGWYPVGTDPETLAVDDDACIELGLDSPIYVDKYRESVPVRN GSLSFLIQCLRPDVDSLQIELLVSIFRVAPELIADYFSRKTMFISDPKATPSWMAESA FLFSTVQLPVPANCGWKDKQPILPPPVSIVIENILPRPLGQKIMTRCLNQNAEIITLF AVRILTLAFTKLRAVLKIFQADHGQGQLFWNQASAKLLAEFSRRCPAVKDVVVLFRRT EKDDLTQQEAVAELLTCYYEVMPDIALEENFDVSLVLVDVLKRLEAPDVSTDDSESLL SQLQSILQIAQQSASLRWWQKPASMQYSPFTSILKVLMDTSDKDSSRSISTLLKTVLV DNSVLQSSPHAFASVLSSLENSEADALHQQLVFFDNCVSRVAKKPVHYQDLLQSLSED VSRTTSALVAAIVEQWPFVVKSGDASTEAAVGAWIASLLGNLKQAGESTKVLKAARDA LVEATETKKIKSLLKKSLKEVDDADNEDKMDIDSGFNVPTSSNKASAVDLDEIFGSLP TEGTTHNALNKWEREDIAEAVDQGHVSELMLCLCSQHEEVRRQAFANIIRFMAKLKES TYAEWRTVFTLAGELLETVNNIGMEKPVPWIVGECASNCLEVLTDPLHKVYGKVNKFL QKAPSWEVEKIPTYWVDKILLHEPELDDGYFEEIDWLLNLFIKALRTEADMEVYRRAN VFERILSLYQSPTLGPGARKKILHIVYRAAQVGGSTTLITRSGVISWIQIQAAEADAK EAAQLAALARALYEKCDRERVDGWSNGTLGRVVDEIQAQV PEX2_107810 MATSLESAPTITVFRGFPEKGCYTWSPFVTKLEARLRFGNISYN VDMGSPPKGPRGKVPYITLERDGQSQSLSDSTLIAKSFIESGHLEDLNRRLSPVEKAQ DLSLKALFEDKLCFYQRYERWVVNYYAMRSKILAGVPWPVQVIVGNIIYNKNVRSLQG QGTGGFSGEEIATFQQEIWESVNGLVSAAHAKHRDRDGPFWVWGGDAPTEADSVLFGF VVSGLVCEAAPETKQILHSYPALVEYARRIHDKYFPDYQLWE PEX2_107820 MSAGPELDNATVLEWLQAFHRASATLNTDHWLDEFMTEDVEMQY ANNPVIKGSEVRQMFKTVLGKLDMMIHDIRYFDYVAPRIYQAATIRYLVKGDNLNTDE ITIPGFAVFSVRKDDAGRVKCYRAETFLEPSAVFQRIAEKSSQP PEX2_107830 MELRSKIVGHLINELRQAHIEEVQFDDDREDDIFTIVLYWYLKD NSAKFLLERQKAREHIWSTTLFSGFERRSSRSIAHTTERALEYCSPLAPRFIRRESLC APNSFYGERDPQTGQLNQPYDYSDDEGQPTDLEDDTNGGFITEEDKSETEGYLQEELS KMYLPYVEKPMKYGKRANRDDDSGDKHAKKDNSETKMPSQEEMSKRYLARAQKQMSYG QRARPDINSQEESILLEEPLQNIAKIHTTQALIHLLERTNEEFHERYGNILSRIGMLS VKEILQDAFTPEIRSLFSALESGSIGLDE PEX2_107840 MLARSKNSFTTSTTPSALLIIGKFGATIHILHLLPENDTIERFS LTTPASTTTFRHGGATEQAREQIGAVTLHVGDGETADSFLDDVETRIRKLRNDSLSSS LAQLQIGNVEVTQLVQEFLQPAALDAIHKREERDRSIHATQTYPVFIVYIMRWRTRMI PSEPTSFP PEX2_107850 MSHAIKNVALAGASGNVGARVLDALLDLKFNVTVLSRNSKSFPT GACVKVVDFTSVEDLSAAIAGQDAVIDTTFSPEVDTPLRLIEAAAKAGVYRFITSDFG LDPDLLGVHDIPVFSRKKVSYEAVKKQAADNRLTYTLVACGAFLDWGLSTGFAGLNFQ EKTAWIFGDGSNVVPWTTLEDVGKATANVLLHPQETLNRPVYVHSVFMSQNQLFDAAK EALGNKGWTATYNDMEPLFQNALADLQSGNISDSTFVVQIQYCLATKALAHPWARDDN ALVGLEEWSQEKVKVLIRTVTNKAM PEX2_107860 MASLSEIIELEPEEEPWCAGYAPSQGRRCHARTNARGRSTAMML LNEGTKDLRAGRNIDTLLEDLAPYVLCTRFHQSQAWDLARRWKRQVRTYLDSQVVSTR YTRPVRTPSRVISQTAEANMEERIAVLQQRLREAKEEVRRLKVAQSGLPVTTNPSHRE GRNMSAVGYSSTAGRASSGNPPFKLKPVVKQPMYLDQSKLKPLVKQPMYPDQSKLKPI SQQSMYLGQFKLKPVVKQPMHLSQFKLKPVVKQPMYLDQSKLKPVVKQPMYLGQFKFK PVVEQHLFLFRAQDLSQDEDWDEEEESENSGGEDHADDDQDDDDDDDTDDEEHPEELV WCKARCGVNFHKKCIDQWLETNHASTCPTCRSNWKH PEX2_107870 MFPPPPVGNLNRRYKEIQASYNEDYKRFLLQLSERLHTLGTPRP IEASLAYQVEIKSAQAKLKKAVAMDWENLLRSMPEVTCPYCFHAFPANEMANIEKWHS HVKKDLDPYVCLFEECETPEELFSHSNDWLEHMRGHNLRWQCNSKSHGVQRFTSRDAY LAHIKEIHPSSCTRSQLDILADRSTRKISRVFNSCPLCGKVDVNYDSLVAHVVGHLRL LAVKSLPPYEHDDDVYQPSDTDDASRGKSIPASEGERGGSDQMSIDTTILGSQGQDSQ LNYDMNNSTFFVDESEFDGIPEHDRWKFEWGPILNFVQPDPLAFDPVIRNMTALASVR DRDNNPERRPADSSWYNPNHIAIQDMDPSSRWVDCMIKISRILSDTLENGNSIMDLAH FRVAILGDDYDWGSDVQFFGDKILHQRNFRTRDPSLKPMTFSNRGRITQAISMMCPQA GFLLAGLDSHYSASRGIILCTAKSVSRAVRWATSHRASIICLPEAIERPELKKEATDL NNAVDEALDAGILIFSSTRNLREWDNRIYAVSAHHSECFRIGAVEDFSTTQPGLYFDN VDFLFPGYFLMQTDSADLGIKDLSFFQRSGPSVATAFATGLAALILSCGHLFNSESSE GKWPQWATGDLPHQDMKDVFRAIGTGVESVHKFVEVWNFFDNVIAECEQAPSDQRTKI LAQIPERLEARRLAFLFPDDMDEPESNFSHNSISAEPLGLISAPNYPGNPWGFGSLEP PWDMSNSAPINLPAYPAPEHNPPPSLIEPWGLESPEPPWDMSNSDLFNLPAYPILEYN PPSRLIESNLPMDNRENQDSYMERHTFIRQHQPYQSLDTDSFTLFRPSQAHVPFPTTR YPFPKLDIPKQSPDHYENHPDFHETGKGDWSDDSDEETYPPSSKI PEX2_107880 MNLAPSDAWSYNRIPLSLLQETVETFREVAELLKRSETTPRAHF YTHLWAHYILIREHLHRIEFVVEEDNPHVVQILNILETRVQAEILSQGTALRKFPGRR YNRLLLLSKLWQEIKAHNTDAKFEFVKKYIDLQPDRESSNEFVEYLEEWENIIVAQYP ENSSNQSRRQSGSRRRKRRNPSDDVWDAAESLFDALLRSKDCGCRPVHDFASSLNCDT DEQDPDDIDPDDLLVHQCPTLVSLGVMLLELFLATPFEILAQQSGVYPANRMNFTDAD TVFQNYKAEIPRNSQFYYAVEKCLDPSQPIQREQEEPYSTNSQREHTHQPSHSYPNAD DADDKTLELFGDENISDIHTTEACRNYEIWESRYRAVYEKFIKNPPDNPVKIAILDTG VDETHPDIDACGEQIKGRHNWTDGPPDKRVNDNTGHGTFVAALLLKYATDAEVYIAKA IDYAVNEWKVDIITMSFGFSTREIDGYSELENAIDRAHFKNVLLLAAASNSGANNDRA YPAGEEKVICVHSTDSNGNRSHFSPTARADAINIGTIGEAVTSAWPMHLSSSLTLPVK QKSGTSFSTAIAAGIIGFLLQYVRLYMPGHAEKMKRKAKMEAVLRKIAQKTASSRPRD DYHYFSLSQHPSNLFGKQQYFVNDTLRDILDHA PEX2_107890 MASKTWLVTGASSGLGAAIAEAALQAGHKVIATARNPTKAAEAN PQISNLGGIWMELDVTSSGTMKVVEDAVNEYGGVVDVVINNAGYSLLGSIEDMSEAEI EAQFNTNVYGPVRVLKGVLPFMRARKSGTVVNISSSAGVDALPACAMYAGTKFALEGM SESLSRELAPFNIRVLVVEPGSLRTNFWSAYVEPSAGMNQDYTGTPLEHVLQVFKSNT GAQPGDAVKCAQRVLEVVDNTGMGAGKGDLFRLPLGSDCYKRFQTKIENLQGNLLKAK DIAHSTSY PEX2_107900 MRMTKCIILLATCATIAFSVTVHDSAATDGRNSLGGVMSIQAHE LPEGISLSDLRKCVENPFGRELYLDEASLAPFDNENKKFKSD PEX2_107910 MWSVKLADKPFVYSIFGIQYPTGNPTKSQKDLTEEFDGLISGHA VHIERLAQDGCATPFTGKSHIWLAYWKSSTDYQRWWARDNVSQFWADLPIDAGMWREV LTPSPRRSQYGANQTEPSGLGHLGERFDIGHKAAYWGCYRHRMSDHTSDKMVSPVKEK LEPHRPLCSSSAERSGLDTRPARVHLTQFPDNICFVVEGQDHSAITAEEQQYWVGNFD DSVTHWMKDLMEAGPESGILDGRICYDPESGLFREGEPKALSYNKKVQLFYFQDLREM EQIGRQNKGHVDLRKQFLEAYGPEGEMVSGKISLRVETTVLKSCEMDCEYVGCAEGTG FMAFSSHPNHFS PEX2_107920 MSSGPVRVAVTQAEPAWLDLTAGVTKTCELIAEAAQNKAQLIAF PECWIPGYPCWIWSRLLDVEMNVAYIKNSLRLDSPEMMQIQSCAKTHAIAVSLGFSEN DNNSIYIAQVCIGPDGEIKSHRRKMKPTHMERTIFGDASGECFSSVAELPFGRVGHLS CWEHIQPLLKYFTFSQKEDIHVSAWPSLTPHSGKSDLWSMSAEGCHSLSQTYAVESQA FVLHCTALITEKGVEEMGTGSGALMSSPGGGSSAVFGPDGRRLTEPVDSTTETIIFAD LDMDQIIATKLFADATGHYSRPDLMTLNVTKKVKKMVQSDGEPVIVKAMEEA PEX2_107930 MDRRKYWDENYVKSLENQVQALLALQNSSTINTIPHIVPRRSAS TILDSSLHLAPLGKSHQYEHCAPLNYVADDETSHQSQTAMEELSVMMWRTNLADAVAP EEKQSTKNPPTRGNILQPEAPPQVPPQILNICGKVTRIYEVAALFLKCINEDHQFTQY ESSDFFLQFPNQSPDRLFLHTAMLAAGATFENKKDSLKISDELAELSEALVFKCFRQS PSIYVIQGLIILSWRSLALGCDHFGWTFLSMAAGMAVHLRLHVLALDEFDTISNTGTG LADVQTFWSFYMTDRTSISILGRNCMLPWRRVNVPAIETFFPNEGTSLAQVSFTWQCK LCFSSTFETLTTTEQVSLLIATHENLSHFFKSRDQRLAIKRGATEKPVLLFHMAYRMA ILVTMPPFLRLFSKMRNENPNTSQLMPVVLQSLTAAATAMVRLVSDYYKIYGFQKSNP LLIHHLLSACIVHLMNTTTKSFTLRRFSTRSVRKCLALLAGIKIFWPSRSQKSVDLIK VLARRWDVEFALPEDTNLILEMGFTSDFDPPGKRQSHQDFPEKGTGSNVSLYDTSENT MGPFDSLFEEENDRALKDLLYGEDGQNVFPMNNSLLDHGCPDMFPMFQDFGHFGDNLV DLP PEX2_107940 MATKPNINRYLKQSLDHIFQNNKKWVSSKKETDATFFDKLSAGQ SPDYLYIGCSDSRVPANEIMGLEAGEVFVHRNIANLVPNVDLNVMSVINYAVRHLKVK HIIVCGHYNCGGVKAALTPADLGLLNPWLRNIRDVYRLHEKELDGIPEEHARYNRLIE LNVMESCRNIIKTAAVQQSYQENQYPIVHGWVFDLGDGLLKDLKVDFEAELADVQKIY CLSPGSSSEH PEX2_107950 MGAHVSTQPTLRSLEPLLTAESKRLLQIDLERVSLENIQTCILV ANLCVAHANPSSEFLFFRTAIAMMQLMETRTTQANDNAVSHELRIRIWWALFAADSWC SSSLGLPRQMKDWPRPARLPMDERLFADMNIDEPLNDPKGPCKSPGLWAHMATLIELF SPIQELNWRAANSEGLQPDQIEQDTDRLARLLDHWEIALPHDLQLTESNLIEHSERGT GGIFMGLHLGFHHYAVLLFYQYLDTRSMLSTRARQFATRCKHHALSYSTWLARGRQQS GCEAVYPTVAHMATVSSSVLLHTLLFGEENELPQSRHCLGANFEALLELEEYWPIVRT MVRIPFP PEX2_107960 MILSVVLSLVASAAALTLDIASSGGNQSSSLLYGLLYEDIYHSG DGGLYGEMLRNRAFQGSSSAGTASLARNMDYWNPVGGVTLAIDQSSPALSSSLPYQMR MDIPAGTTGTVGFYNEGFWGFDVDSTKQYIASFYMRGNYSGAVNCYFNSTTADELLGS TVMNIDQTSSAGWVQSYSATFQPSKTGTDGNNTFYFTFDGSKLAGESVYFNVLSLFKQ TFDNRNNGVREDLAEALLNMNQKYIRLPGGNNMEGNGSPYEWKWNETIGPLTDRPGRP GTWGDINTDGFGLLEMMQMAKDLDLEVILGIWAGLYLDGEIVSQANLQPYVDSVMNEL EFLLGDESTTYGAKRAALGFSSPFTINWIEIGNEDYLNGGTNSYYSYRFMAFYDAIHA VYPSINLVSAINPNPVTSNGSSLDLHIYGNENYFVSLFNTFDQASRSYPVFVNEYAAT NTGSNNNGQVGAQTLGMSCAEAIFLLGCERNSDVIVGSAYGALIKNYNEAPDTVAVIK HTENEVLYTISYYVQKLFADHMGTRTLPVTATDGEFGPVYWSATASSSSTILKLVNYN GQTGSSNAVQVNVEGSSKDIATLITLTAPSSTSVNNLPSLGGESSVITTTTLSGSAGS FSISFSKPYEIAILVV PEX2_107970 MVEIMAIRFEQHPSGLGVNCSLPRISWTFSAVDESISDWTQSQY EISVRHEAGSPSNFSIKSPNSSMVPWPSTPLLSREQAQVRVRVYGSWKDRPNSGTHSD WSAWSSWAVVECSLLSPQDWTAIPISSPSVPMSHKDEPLRPLLFRKEFVLPGGVSSVR RARLYITAFGVYRAYLNGSRIGDHEMAPGWTSYHNRLAYQVFDVDSLVYQHGSNVLSV EVAEGWYAGRLGFGGGKRHIYGDRMAVMAQLEVGGLAGGRFQVVSDTSWKCHPSPIVK SEIYNGELYDARAEVADWHQHGPRYCDTDWEDVSVLDFPRARLYAPNAPPARTTEILT PRKIITTPSGRNILDFGQNLVGKLLIHSMTLPLNATVSFTHAEVMDDNGELGTRPLRG AQCVDTIISSGQSFIDWSPKFTFHGFRFVQVDGWDPRTEPSWQSNIRALVIHTDFKRT GRFECSNPAINQLHQNACWSMRGNFLSIPTDCPQRDERLGWTGDIQVFGPSATFLYDS ISMLSEWMEDVACEQSDHHGVPPLVVPNILDHVWPSIPQALWGDVVIILPWILYQSSG DADILRRQYPSMTLWLNEGVCRGEDGLWDPELWQLGDWLDPQAPPDEPGDCRTSGTLV ADAYLVHVTSLMAKIASILERETDITHYSCKAKRLRALFQDKYITLSGLIVGDSQTAY SLAIVFGLLSTQQQLATARYQLAMRVRMAKFRVATGFAGTPLVLRALNDTGNLSLAYR MLLEDRCPSWLYPISMGATTIWERWDSMLPNGSINPGEMTSFNHYALGSVVNWLHETV GGLRPLEPGWKTALVFPQPGGSLTHSAVSYESIYGRWSCQWSIGHDTDRDGALKFCLD LTVPPNCQAVVKVKGAKDGSNNLEENVGSGKHQWSIPLYPLPWPPQATAPFITNPGQG VK PEX2_107980 MRFPPKVYQFLVGTFAALGSFLYGYDLTIVAEVVSSGSFLNYFS PTTSEIGLVASLLTAGAFVGAGLAYPCSDHFGRRATILTGGLIFCLGGGLQTGAQNYG YILGGRFISGVSIGVLTMIIPIYQAELVHPDIRGLVTGLQQFMVGIGGVCGSWISYGT YVSFSDNRQWRIPLGIQIVPAALLSSLIFFFPESPRWLISQGQQERGLQTLARLHANG NTSDPWILAEFEQIKAQIATENEHSQVTLYQSISDKSNFRRILLACAMQAATQMTGVS AIQYYSVTIFKQIGIDGTDTLRYQAINSIIGLIGELLLMLIVDKIGRRKLVVGGNLAM CLTYVISTILLAQFPPEMNNAGAHWGFVIMTWVYNFCFASMGSLSWMIPAEIFDTATR AKGVALGCMVSFAFNTMIGQVTPIGMATSGWKFYILFVVCNFTNAVFFWAMLPETKQL PLEEMKKLFTETPWFVGNRTTSDHRITETSMLAERIKEQGLGNKNGMAEHEEFTA PEX2_107990 MKIARQPLILSLLGLVDLARCNVLPKWNSYPGHDPAIENRTLDE IYESAKQETGDLIVLWGGDAVSQGESTIAAWQARFPEIKLNLTVDVSKYHDSRVNRQF QRNGTDGADIAVLQTVQDFGRWKREGRLLPYKPLKWEDIYTAIKDPQGAFVGAFIYGF GDLIYNTGLVNESNVPSSYGEFVRPEWKSKLTLTYPNDDDAITYLFSVIIDKYGWEWF ESLIEQDVQWVRGTGEPADYLAEANSTRSLSFTTGLSGAKNLASKTPEDTHLFWPQTG AIFASTPRPESAKLFMSWLLSDEYQQQFVDGESYLVRKDLTSKAGSVWDDKFSSLTQF ATFMENRELVEWWRLQFETSIGTAQGLSPVLSYYGSR PEX2_108000 MGRSCCGATPSQADTINKFVPKNSETSSRENSCGNGDIQNNDDN NTDSSAKATDTCYQEPTDSCKGKARPAACEGGCCDQSSEAIGEKDVPSLGASTSKEAC CAEDSTIKAGMLSPSVSCPGTPWLSKPATSVEPTVAECCQGKPSPCCDETCLDRLALR ECDTGSSTHRMETAGYSCSSISTPAEGAKINRGACDRHYRSVREQYAARLTALGCICR ALLALGKESCCEPRKRSSLGKGRCSERPLKQSLSRVSVESRRTCGMPRSRSPGRSINH GGTYKIAGGVQKSASQGCSANSCCKPNTTTFSDNCVDPCAPEADGRKIKSADSSCADI CCTPKKLSLPKDKKSGTVSITPDLEGQAIGVEHAVLSISGMTCTGCETKLNRTLATVA GIKNLRTSLVLSRAEFDLDLNLSTMDFVMKHLERTTEFKCEIITSNGSTMDMIVSGDP FLIVNQAWPDGVSDISLVEKSTIRVSFDPEVVGARDLIEKHWGEIAKLAPICADPALA AGSKHVRHSGFMTLLSAVLTIPVLVMSWAPIPKREIAYSSASLALATVIQVVIAGPFY PTALKALLFSRVIEMDLLIVLSTSAAYIFSVVSFGFLVAGNPLSTSQFFETSTLLVTL IMFGRYIATLARQKAVESISIRSLQAQTALLVNPSNSSEAQEIDVRLLQYGDTFKVLP DVRIPTDGTVTSGSSEVNESMLTGESRPVEKNPKSRVIAGTINGSGSLVVRLTRLPGD NAINTIAAMVDEAKLSKPKIQELADKAASYFVPVIVGLTIVTFVIWVAVGIAIQGKTG SEATVQAVTYAITVLIVSCPCAIGLAVPMVVVICSGIVAERGVIFKSAHSIEVAHRAS HVVLDKTGTLTRGKLSVAVEHYLENGESCLSLLLGLVGDSKHPVSVAVVNHLRHKGIF ATTVPDVKSLTGRGVEAKLANQSLQAGNSRWLELSTHPLVQPVLTQGYTAFCFTIDGA LLAVFGLEDSLRADATHVVKALQKRGVSVHVVSGDDEGAVQNVATKLGIPEGNVHARS SPADKQVYIKSLLGNSSDGKVPIVIFCGDGTNDAVALAQATIGVHMNEGSDVAQSAAD VVLMRPDLSGLLTVMNASKVSVRRIKFNFVWSFVYNTFAVLLAAGAFVNSRIPPQFAG LGELISVLPVIIAAVLLRWSKI PEX2_108010 MARRYEIDELIWLRGSPLVAKPPGLPPIEEWMPQPDPTTTQRKT HGTRDANNPAETTGTNRRPSFFEPKHISRGSNSEDIVLGPPKTAFASSRIGGKGSFDL TDRPAARPNDSDETKNDRFNFRDKFFKDRDAPEKELDRREAKPGPLTARRGEREDWNA GRPRRTFGPDEQERKPRRNGEFDRWESKEGPRDPNAERVPRDKDGRFPIRKEGQPPRS KYEGSWFREEQAQEAADADDDKPPLRNREWRQNQTRHGTEREWNRGAKFEQEPEWLDA NERDEPRRAHTQEDFERWKERMKAGSGSSAQPPAHTEEKREAHIEEQKPESRRTDGEI FSNSGAQFMSDESMERFFGLLGDSKAQPQTPEVSTPIAADPVVKKEPSPFPGKPGKSS RFAGLFSPMPESPAREPEPMPYFSAPPQPQHYQQPQPAPESMPFPSHSADQEGFQRIL QMLGGQRSENSTPHEMGLPPQQRNISMMHAEQQHAALSMSSPSREHPHRSDYMGAGPE NPMAQAGPKDPQALERAHLLRLMQQVRVGPPPAGHLPQQSPNPGIAPPPGLMPEGMPQ GMPRPPPGLSAQKTPTFLDDPAIANMQRPDSEHLRRRPANGPPMGFFDDMPFPQGNQG PMTPGGPITPGGSRPPQGANQPPMPLQRPPGLEHLPPPGWTGQPVPQQGNVPNPMGPP PGISTPGRGMNPNFPPGMLPMPGNAPPMNERQGFPRGLPPGMMPPPGYMNGPPPGFPP MPPNPEAMMGLGPGAQGPFGPGNPGPQGPPPSSRHLLEMFGQSNGGDIRGGMVGPGQF R PEX2_108020 MDPADVDISLQVDDNRVAHIQTESALPHIRPSVEDYFDERPVTV SISTGVKRKRVTTPTRVQAPPAAESPDPLPWYLDPAYANSLDSSPAIDESRLTRLGTE DLEESVWSPPPKRKLYLGAMAPLEPSELPDLPPMTNPSSRVWESHRPSGLDFTIYEDP QDQESPKVSPLQEGFHTIEEDKENIFLTSSDYGTSDEEEENTRPNLAWNEASTGPRDA FGLPLNREMSDFVRPHSTPLPKLHMRRGREVLRTLWADETQVPEEGNDRLRDEILTDA QLREVEHTEEGFQQGRTMLRPNRPSAIRENTPVQAPGNFDRDVRRVLDFHQHEGRPAT PEGNEGRRSVTLEESEVQPQQPQPEQEQ PEX2_108030 MQSSDKGEPRKNRAPEMGQSQFKLSDCHLTFGPHEGIQYMSQST IYPAARSSGNDQSTSGGLKYPRIKKEFPNENEQGIKTWCRSSQLTIKQPPNIKQEPGI KMEPQEFNNAPQFQGRLVIDLTEEDDDLPTQRPMPRANLLMSAQKHHKATKKSIESVE QPVESLFVRDDDSSRSISSNTSNPLLELGENDISGFNEYQRQFQTLQSPSMEQQIEFE KQREKELERGRKFKNDKICDLTVQAEPEGGTEDENISSALKEQPQPKDPQPEEKIEAD LTKPARVKRPVTRVSKAGIQKAKDVGLAQLLSKEGAKKHSTANEPEPETEQASVTKGN RKKHRHHPTILSKEEIRSIFKNDGGRKKAKDPLPPGFVSTEKNKQKAFREMLASIPVA EQAGAREDISILNEATQTFNPSARSDGKGKWKVRGLKASLMVNQCKRETSSSKPNGGL LCDVMGFGKTLSALACIVNRRLPSEPEGPTLIVAPRSLIDTWMLQIRLHCELGAAGSV IAHCSGAHVITDNLAHDLKQRGIVLTTYSEISSSYPDLKLPPELKTDDDIKEWWNREY EEKSGVFHQIHWHRIILDEAHIIRNRMTRTSIAVRSLSGNFKWALTGTPLHNSVDELY ALFAFIDVPHSHPYDVFMHNFCDGTDLTKNRLINTLRAVIHRKTHESRHMGRPLIELK RFDLKEVKIDFYPVEKQIYTAIAEKFVEKVNVTEKKKQRKCILTMILKLQMFASHPLT AEDYLKRVCNFNNGLVTKLKGWVKDETSPGSPSPSSKIAKCCLAGKYQTGMPTAPLRP QTPSENLRPRPPGNCAKLVSKFEMKIKELIREEAYYESDHRTWFCPGCDGLPSRAIIT DCQHLYCEDCFDALADEERKTDGVTRLCCSCRIPIKKAAFYGIYDDFDTPPLEDAESS SLGSAGQQKRPATPETSADREAQPRKRRKGRDSGHTFSEWLLADGNLISAGDESESES EDGAEDQNPDEGDVPCEEEVDKEQDWIADFGRSMPGAKFDAITAQVKKWLEEDSTAKI VIFTQSREIHLDSFQNDNETKIMIASIKTGGLGLDFSVANKCILVDLWWNEAVQDQAF FRLWRLGQQRNVECIMLMVKWSIDDWMEKTQKRKAKEISEVLSQNVLMDRNTLKELLQ MFGTVTDDSRNGFRVYLTSKDASKAASNVPKATSRATSAGFTTRSAPAKKRS PEX2_108040 MDQQTENTVDPKEETSQEVPKEASKEAPKEGPKECPIPANNMEV KSEPESLAESLTKVPDVEIRIPGPKPNETLRTFLSSKLQGNGNPEPEDSPNNPEKEPL YDTDSNSKDEQSSDEDFQCASEEESDSASDEESDSASDAESSSDENADYDPTLPRPRK KGPPRERKKKAKTSAALTEKVKGLMTSNILSTANVNANANASNIPVSQKRDKAKALAE EISKLPTDNQPQAKKDMFSLIESSKRFTPSAKVVNMNWQVKGLNTLLKHHQLKAAAWM IDREHSNEEPNGGLLCDEMGLGKTLTVLAIIANEKITRRFNAPTLLVVPRSLIAQWLD QITVHCAEKISKDVIEYYTGSHTSYSNVVRVMEKRRIVITTYEQVCTSYPKLKPPVTI KTPEELEAWREGEYTRRAGPLHKVEWHRIVLDEAHSIKNKDSATSIAIRALNGRFKWA LSGTPLHNGVEELYPYLHFIFTLERMEYETFLKKYSNGLHEILETVLHRSTYSTRLLG KPIVTLPGINNRVVEVELCQAEKHLYREIQDLGIAMLNGLAETKERQTRCILAVITML RMFVSHPLLAQKFLKVILTQGVIKGLKAMAQEKGVTETSSGIILNLILAVGDKVAPRP RLPGDFSELHGIYHNKILQVRQYKDKFQEFLLRCCPCCEELIQGEISFVVTSCQHLYC KGCFDQLPDQNGKTDTITRVCKSCEKPIEEAGYSDDSPENSPKKSSPKKRKQSKPSPK GKKIFAKRSCPSFKKHVLYRDPSDDEWNEPIENEDDWISRVGNRMPSAKTTAVRDLVA NWVKEDEDVKIVIFVQFLKTAQILQFMFDEENWKYALITGKVSPTSRDKQIELFGKDK DVKIMISSLKTGGVGLNLTMANKCILVDPWWNEAIQDQAYCRLYRIGQPRVVEYVQVV AKGSIDTWMINLQKQKAHNIHQLFSTDSLKEILGLSGDIYEKPEGGFSIFNSRGDKHA QTWVQAVESVVLNEGNYSEED PEX2_108050 MANDAVHWLVARDSSDDPQDTTPTLSGLLTTLIPALISFVVMVL LFIILRKSNRRMYMPRTYIGYLRPWQRTPDSPTGTWDWIKAMYQLPDTYVLQHHSMDA YLMLRFLKLCSVILFVGCCITFPILWPVNATGGGGKIQLNMLSISNIHETQYGRYYAH CFLAWIFVAFIFFMITREHLFYINLRQAYLFSPAYASRLSSRTVLFTTVTEDLLNKDK LRTMFGRDKVKNVWIATDTKELEEKVKERDAAAMKLEGAETKLIVLANKARSKALKKQ GSAENPETDIGDGQFDDESGSVAARWVEAKKRPTHRLKMLIGKKVDTINWARSEIERL SPEIEELQAKHRAGETKLVSSVFVEFYNQSDAQAAFQSVAHNLPLHMSPRYIGLDPTQ VIWSNLRIKWWERLGRYAATLAFVCAMIIFWAIPTAVVGAISNIDSLTKIVPFLKFID SVPSWIKGVITGLLPTVMMAILMALVPIILRLMAKLGGAPSLAAVELTVQNWFFAFQV VQVFLVVTVASSATSVVSSIIDNPSSAASLLAQKIPTASNFYISYIILQGLSFSAGAL LQIAGLILGKILGRLLDSTPRKMYTRWSSLAGLGWGTVYPAFTFLTVVAIVYSCIAPL VMGFGTIGLYLFYFAFRYNLLYVSNATIDTQGRSYTRALQQLTVGCYLSMVCLIGLFA IGSGANRMALGPLILMILLLIFVILFHLSMNTAMEPLLNYLPKNLEAEEESLLAAENT NIGTLNEKSKTEDLNGASGSISGPRDSGVGNVDNGLGSVDSAEKGLTETSSPAPKPNV FTKFLRPDLYHNYHQLRKLVPSSSEIPTYPAEVERDAYCHPAITSQPPLLWIPRDALG VSSQEVAHTSRVIPITDEDAYLDENCKIQWNEEKGEPPIYEEKIAY PEX2_108060 MSENERRLVSTLTRPSAVPPLGPSDMDASAPSASGHVPQTAKPG RRRRAAESTSTPPKNQHFYFVDQNSSSKEKRAHVMRHHVQEKRKQRKMSRGTSPTEKT LDYTSYPAKKEAGDVEKTRLESSSTAVQNPPNGETSLQIRFSNMKPQPHTSALPHIGS PITILDASRRDPFASLPIEYDNLDIELADYWRNKLTYWSGQNLHVKNQIFRTAMGNPL SFKAVVLSYCARWKAQLYGMSDSPEIRRHVGEAAKLIDNATSGSALLRADDLAMALGG MALHEGRFGNKQRAQMYVDQAVQVMRPRTGTNRPVEVFIHYVRYLMMPEGPPISLTEQ QWLLTFLRGAEDLMRQHSSPEYLLQAPHRLKAFEMECPLFSLLSSGPRPSQVPHESRV YVVRDAHTQEVTRTAALIYITAALWDFQESPNKTDRFLHFLCTVAKQHHLDRDPACET LLWLLLEEGHETDLQDPERGWSTGELLRAHKRLRPDLQFQFNEILMSFLSFQTPIRGV TAFEEELRHSAHSSQ PEX2_108070 MSSLFDAVIQSELGSALSRDQNLRSDNLPSSRRSESNGPMSDMN AFPDDQVVGAGSSAVSRMRNPYAPGPPPVVDEAAEKVQQAFEELLESYIDAPSSSAPP SSGEFLSDKYYIAQIKGMKKFELSTLYVDFTHILNPVLADAIAGQYYRFQPFLTKGLH NLIAKYEPEYFVSHRMTASASSRASTSVASAYAGVSDNPDLERQIREKTRHQQTDKLF ALAFYNLPLVSRLRQLRTNQIGKLLSVSGTVTRTSEVRPELSLGTFICEGCKTVVPNV EQTFKYTEPTECPNNTCGNRVGWRLDIGKSTFVDWQKVKLQESSHEIPTGSMPRTMDV ILRGEMVDRAKAGERCVFTGTLIVVPDVSQLGLPGVRPEAVRDNNSFRGNEVGGSGVS GLKSLGVRDLTYRLAFLSCMVTPDTTTPGQKPEQQLSGQSNNILASLNQNQEADIGDE SAQEAFLQSLTPAEVQDLKRLVHSEYIYSRLVDSIAPMIWGHRQIKKGLLLQLIGGVG KSTSIESLKLRGDINICIVGDPSTSKSQFLKYVCSLHPRAVYTSGKASSAAGLTASVV KDPETGEFTIEAGALMLANGGGICAIDEFDKMDIADQVAIHEAMEQQTISIAKAGIHT TLNARASILAAANPVNGRYDPKQTLRNNLNFSAPIMSRFDVFFVIRDDPKESVDRSLA EHIVNVHMNRDEAVEPELSTEQLQRYIRFARTFRPVFTDEAKTLLVEKYKELRSNDAQ GGVGRSSYRITVRQLESLIRLSEAVAKANCVEEVIPKFVIEAYDLLRQSIVTVEKDDV EIEDDVPTHANGADEDQEMADGDNEGDSPMRDVAEPAQAPAQPERQKTKITYDKYAKI LNQLVRRVHEDEVNSGEGVEQEDLVLWYLEQIEGELNTEDDYERERELAGKVLKRMVK DKILLRISGSGLTDGTDDDAQQNDGKVLFVMHPDCAYEEM PEX2_108080 MVRLSALFTLALATVSLATTNSQCQKEFNSCRVGADANQAQCSA NHAQCCSDAFDTCRSGPDANQAQCAADNAACKGQK PEX2_108090 MPMASMDALGAFTHMKDNIPAWITRVSELATHTHKRHDEYSDAY RRHVNFKPRRRKNSSVCSIHTNDLVPIAQRKVPSPEPVAVETPQDAPEQSQRSGRKRT IDEAPSVESDEAYNMLVSTRHNIIIEYDGHTQQTLETIVRDIGVARNNLRRGQMSLMP RTGLRSGLLSKGMMNMPSGLGQTGPLSCVRSTRTTSGVVGISGTSTLRKDSPFDFADK QLELAHSLCETAAYQVLRSGDCGTELDGVEEKFKMLLEGAINEVDRLMAEKKQQEEHE QKQQEGTAEGPPKPPPTPSAARLAMVAAIAANKPSMTTGGAIEVDDNSSLSAESIDIS AFRSSRIRV PEX2_108100 MPNDNPACADCKAKKKRCIHRNQPVKVTEAEAVPVNMPSPSDPT PPPVPTPAPPPASVTAPVASPGAATRGRRKAAEAKAKMSPAPADSSDELSSVPSEAEQ KSVVNKSTKRSRRAKPAAEPQPGALNLSADNIQAASTMSVHRVWARQLEDDLQELQRS MQAFNEAHGDTIAAAQAIERTVEGWIQTWAVSGR PEX2_108110 MITPSENPRAPWRDLLDSHLEQTPGYEFTVATIGYNAQQRPVPR LRTCGCRGFFPELELHPKGQEAMDQQVEGGGNPPVFESDLISFTTDARMDKLPQLESS GHAIEAVFWLKGLMTQWRIKGTAYAIGNPSGEDAEEKISRTEIRKELRAKGGTDSDIA KWTWEKAVTKYFANHSPIARGSFRNPPPGQPRSSTPSQLDLKLGQQVLDLHDPVARAN FRVVVIRPEEVERLDLTDQENGKRWNWTLTDGNDGSRWNEVELWP PEX2_108120 MLPYRDRVMKIALGTASPDRSVCLEWMLHDTVMAMRSVDEVLAN DVTQGFCQLLQAQTSQERTTIKTLGSYLKSREIDMGRTLYTALIRFGAKLDLTTVELE KTAALESTAFRHVGVMNDIYSWEREWNVYQANLADGAQPFSAIYILANETGLPYAACK RLMYSYCRELELALKQSTDEIRHNSMDSLTHKLEMYIKGLEYFMCGIELWIVMALNIP KENIEKPVLPTNSEPPDSIHENAEAADKGSKSRIKNPSIGLDSGQAPGYWARRINARP WSKGIHDYATRGTLLNNMTQMKHLREPVLRISKTWNGNQLDAIICYKKPNIEAIHAQV VGPELDDKECCSSCRKGHGPFLACVKVPKSPDEPQVCANCQFGVQDKRCDLSKLQPKQ EIGADEENPGKPSTSNEPNIYKTIEAQKEVLETQLPQATVNSPTQTVQGLPEGQKLLM ITYFQRQLRHFDANIISLATLLNDMKIQREVAARILEDLKGTGTKDRAG PEX2_108130 MGDENMNLKPVESARSLASTFSPIHEVFFIAIVCMGQFMTQTNV GVCLSPLDIVGDSFGIDDPGILSWFMAGYSLTVGTFILVSGRCGDLFGYRRIFIIGFC WVALWSLVAGLSVYSNSILFIFARVFQGIGGALLMPNALALLGATYQPGKRKNMIFAI FGAAAPNSALLGSVFGAIFSQLAWWPWTYWTQAIVALACAGLGLLVIPSIHHDTPFDK SFLGLLKALDALGGACGIGGLVLVNIAWNQAPIVGWSEPYVYVLLLIGILLIASFFYI EFRVAEHPLIPFHAFSRDVSFVLGCVACGWGSFGIWIYYFWRFEEKFRQVTPLMASAQ FAPVGPMGIIACVATGWLMGRIRPGLIMVLSMTAFTLGNVLMAIAPVHQTYWALTFVC LLVIPWGMDMSFPAATLMLSNAVERKHQGVAASLVTTIVNYSISLSLGLAGTVEVHVN NGGHTPDDVLKGYRGALYLAVGLGGLGMFLSAIYAIKGYRDERESVKAKNEEAQE PEX2_108140 MTAPPLDLSHHLSYATKNKKPSSVKGFYKYFQIPGIANFAGGLP HVSYFPYDTLEAKVALPHRFESTAKDTADANSVRVTVPKESPIPDVTRKIDLATALQY GTAEGYPPLSTFLRSFTRDHLHPNVPYAGGPEVLLTCGSTDGFAKVVEAFTNVWNPDR DWIQQREGVLCEEFAYMNAIQTALPRGLNVAGVVMDGQGMLASGKGGLQDVLENWDFR RGRRPHLMYTVTTGQNPTGGTLSVERRKEIYALCQKYDVIIVEDEPYWNLQFPSAYEK TVHYRGSSSEPNLYTKNYNAEGKSSGYAFLDSLVPSYLSIDTEGRVVRLDTFSKTVAP GSRLGWITAQPAIIERLTRITEVSTQQPSGFVQSLIAEMIVGQQDNKSGKSSKQIATG WHMDGWVRWLEGLRAGYETRMLAMCTILEENKYLFHGSSEDHVDAWEVVDRVQMYDFV WPMGGMFAWIEIRVDTHPLRSQYSPERLAQALWIHLTKKPHLCLVGPGSMFAATPTSA QKAHRYIRVAFAPMEVNEVAPFTQRLVEGFRSFWLRKDLDGLSDEDAVDALNLPGVNF LGNGC PEX2_108150 MRSLIGLALLPLAVAVPHTSHKSDSTYDYIIVGGGTSGLVVANR LSERKDTTVLVIEAGGSVYNNPNVTDTLGYGKAFGTDIDWAYETTAQEYAGGFSQVVR AGKALGGTSTINGMAYLRAQAAQIDAWETIGNKGWNWKTLLPYFKKSEQFQDPAKYPF LDGSGVAFDPAYHGFTGPLKVGWSSTQLNDGLAQTLNATYQNLDVPVPYNQDANSGDM VGYTVYPKTVNADLNIREDAARAFYYPFQNRTNLHVWLNTHANKITWKKGHDAIANGV EVTLSNGEKTVVKATREVILAAGALKSPVLLELSGVGNPDILSKHGIATKINLPTVGE NLQDQMNNGLQFESKKTYPSGKSASYVAYPSADQLFPNSTALGAELLRKLPAYAAQVA SANGNITKARDIYRFFKIQWDLIFKDEIPVAEILLSASGASYSSEYWGSVPFSRGSIH LSSADPTAAPIVDPKYFMLDFDLHAQAEAARFIREIFKTEPFADLTGAETTPGLSTVA AGADDEAWSKFIYSKYRSNFHPITTAGMLPKELGGVVDTSLKVYGTSNVRVVDASVLP FQVCGHLQSTVYAVAERAADIIKGEL PEX2_108160 MYLLRISSRHLEISLPFIAGESGAYQLVFLENSNIFIFQCFVES SLSLPKSQIFKIGISRGINSMENLEKHAASSENADPTKGEMIELRGSYTEEEEKAVLR KIDLVILPFMCFVFFLQYLDKQSLSYAGVFGLIQDLNMTSSQYSWSSSIFYVGQLVAE YPFIYLMSRLPLTKFVGATVIVWGIICMCLAAPKNFAGFATVRFLLGFSEGAVSPAFV TITSIWYRKKEHNVRTALWISMNGLAQVLGCFLMYGIGKNNSLPIAPWRVLFIICGAI TSAAGVAFFFLMPNGPKDAWFLNAREREVLSLRMAQDRDGGDKASFSFAQLKEAIMDP KAWVVFWFGVLVCMQSPVLTFASLVIKSIGYTNLETMLYTAPSGAVQVTLLWIGVGLV FIFPNQRTLVVLVLIIPPLIGTVFLMKLDVTAQWGLIVASWLSSCITASMTPLLSLAA SNFKGNTKRAVVNGMFFIGYCAACIASPQLWTHSPRYTSGVITSIVTWCLLFMVVILF RFLCIWDNKQRDEQAASSGDISVDQEVKLDENGLPQTDITDKQDRQFRYVW PEX2_108170 MSQTTLPGSDIKPWTRPAPQGYKFTNANIVDVESGTIKENITLI TSEGKITYVGSESSIPASQTNLETVDCQGEYLCPGLFDAHVHLCAVPGFSDLSKAFGN PNDVHLLRQPYTASQMLHRGFTSIRDCGGAQLALKEAIEDGVFPGPRVFIAGHALSQF GGHGDLRGPHEATECCGGTHSNNHLGRMCNGVPECMAAVREEIRCGADFIKIMGSGGV ASPTDKLEHLQFTAAEIQAMVECADNAGTFVTAHAYTVKAIRHCIDNGVRGIEHGNFV DPPTAKLMAEKGVYLTPTLIAYAQMASERWKNYLPIESQTKNSIVLKSGLEALRVASE AGVTMCYGSDLLGPLGSAQTHEFALRSQVLSSLEILRSATVNPAKMMGCADELGQIKV GFHADVVILNKNPLEDVTVFDKPDAHVLGVMKDGRVYKSRWDALLEDGQIPVRVQ PEX2_108180 MDFASKRRRRRRVADENRKRAPRACDRCKARKSKEKSASVQDTA SSDLTEPGIAGASSNSQSQVLQDDVSHNDPLSPASVLSQRLAEHVVAGQSKRVPWPNI LSRLREAFSLDPDAAPEERDMGIMQANMTRPKALHPSEVARLHAAIDAFPPRHIADFL LSVFIKHATDTFFYFDQDQILSEIDQFYMDTTSPLRSDLSFVCLVMATFALGSQWTPL ERPDEFAVSLHRENDDLGQVFYTYAKTLIPDLIDRPCLRSIQAPFLLGVYLMPASAIG SSYIYMGLALRKALAFDLHLNPEDQAVDDREREVRCRLWWSIYSLERCTTVKLSRPRS IDVNVVKVPHPSPLPALDRLQTYNNIQFQMAYTRLIKILDRVAEPDAVTEAEEQSESK GLASDLRQWKKSLPPDFKLDNINPKDSRYRTIFHLYLNYYYAWITMGKVALVTVARTS LRCHMFPTSRPPDPSEATLRQSRYCAKAGRKLLLLFENLTQTRNITRFSFTDFQGCSI ATIVTLVAGITERDSGYNARVKFGLDCLRRMATGNTTAKLGVKFVEAVQSITNEAAEK LHRASSMVNETQNVQTSAGSDYNQWAEWLTRLERSQILEQRVIHEVEPDVPFSHTALH PSQSNQNTTDWRTAGEQYISESSLPQNLAHDSTILREFQSIECDLLSGLQSDDQTFLM GLTGLDVLDFSGFT PEX2_108190 MTTSRFLDSFPKLGTQHSEIQRAVEKVARFARDQDESAAQAVQK SQTTFEALSTENQHGLIQYYGEDLSFYLEIDIPPDLSRTASPIKEFQVLLFDIENLHR ALARVRGVEANITNVFLGLPSIQRLMRESRDEELRLLELRSLAETQLCTQFFALDREQ QDMLWLWYHDSMGISGIQRDDNAQHSYSARS PEX2_108200 MGYTHYYAILGWDTPEWQKAWTQLIQDVPNIIKEARVPLSGPTE DEDKITPVVIDSEQGIDLNGVRGNAHEPFILRKPGAWTFCKTAQKPYDVVVTSILLRI WILAPKNLDLGSDGGYEDWADARDLCATLWPAEPTDGLWPQGNEGNDAEAEDESDQVN PEX2_108210 MSSVCLLKTKTPVIFEPNGTYGIIVFGSEGTQSGVLRTCAVVSL RTTEDSVHYAQFETVGEIKSIASFLQWPDRGYLVPVAWDDRQDREWIVG PEX2_108220 MAEHVFFPDKPPKPLQIKYDGLLYDGGNWDQFPIRYGWKLETGA EKFPRRAIPKKFHSGIASWLYFGMLHYVFGDKLDQSDFLLCREEGPQQYITTKHLHKY IDDAKEWKKKKLGERAVDIVKKVCEQLSVYGNYYVQDDMSLAIRLVCYVLWDIAVKRD GPQTQTSHVRLWMFTGENETKRMVAEGWCPLDAAKCRVAGGGVDTPAYLLQLMRVKAE WNTITHESCTNTECVANNVDESDYVTRHVQEDCTCSHLQSNVEQLHTILRDGGVPLLM ITPSGEDKLGDQTFKIEIVRKRVGKQYLAISHVWSDGLGNTQGNSLPHCQLKLLYEEA RRVLTGGEYVPRYEGGPFGPLHTGAARLAHFAGSQALRRDDSVLLWIDTLCIPHQPDV RSLAIQRIREVYVDAYRTMILDSEMRHVSTSSTTHLELLLRVLHCSGWMRRLWTLQEG LAAKSRLYVLFSDKAVNIATIADELLTKLDRGKLPIMQERIANFAMGVWFTFFKHTID STSKFERFVSLVASPFEKSDITKDQLIRWNWFNVATRATSKAADRPIILAGILNLDVK VILEVKGSDERMRKFYSLIDNFPQGVLFQPGPRFEEEGMRWAMKVCQYTKEIQYLSGG PGKITPRGLQITLYPSWLFSSQKYQIVIPQERLTLRG PEX2_108230 MDEAAASFRAQWVSPSDLFSILLIIGGDVIGLALAALAGGPITP ATLSFGWVSYAISALLTAQSEDRLMPPSPDSSPRVINLSTGYTRFNRSWTLGRVFQNY EYWMPAEVEQQLQNHPVLYQDEEAWIADTEMSEKATGTTETYPNSRKYVSPHQARLCV AVYEWALDGRYPVAQPGYDWVHWLGIIVTVFQIGISAIPFALHGDWSIFLVTVAGTIL AYASGALPQWRKEKWACRTLDKRKDIALTLGNGMQHVIVVLGAKGGNTTRMMTFLLTV LWFMLLLSSTGIQAHAWYLLAVGGIGMMQNLITAGAPRQPEMLGIPIRLAMRSNSRNE ASPIPMVFAEFKVMHTLMELELDFKGAGRALLPEFFPGGGGLQSWEEKWWSSNEPDVR RQLLRAAKEKEFNKQMRRTQAG PEX2_108240 MPITKYFTLPSSVKELALSPDSKLFIAFISSSDPITKQPWCPDV RDALPHINKAFAGDDAPELAIIEVGQKPEWSNPRNVYRTTWGTKNIPALVRYEQVNGK VTETGRLVEGEILNKKRLLNFIV PEX2_108250 MRVISPMFSLAGIVAASMATAAPNVSAGCRALQTLLENSLFFVS SSVYKYEAANFWSNTELMAPGCVFRPQSSAQLAEGIVALGNANAQFAVRGGGHMGIRG SNNINGGVLIVMSNLTTLELSKDQWSDVYEYLQKFELTAAGGRLGPVGVPGLLLAGGV NFYGNQVGFSCDTIVNYEIILADGSIVEANKASYPDLFWALKGGSSNFGIVTRFDLET IKSPKVWAGSHTVSAEYIDQFLAAAATYASDIHDPKTHIVPALVFGEPNLASVILFYD SDTISYPDIFKPFTDIPAISSILGFKTVAEFAAETAAVVVDGINDVFVAGTVKGTSYK ELLSGISIINQTFFNELPKLYAQIPTANISTIQLDWQPIGADWMKASEAHGGNALGLD SSQIYLCYAEVVEWIGSAYDDVVASWVEETTYKINNATQKAGLYEAFNYIGDAAGFQS IFPGYGAENHQKLRDIAKKYDPQGVFQTLMPGGFKV PEX2_108260 MHILVLGASGAIGCLFCDIALKEGHKLTLFVRNANKVPEHIRLS KGTQIIVGTLEADPDLEEAARCGADIFVSFAGPAFGAQGTPLADGYKLLIPKLASQNI TRVLILCTPSFRGESDVVTWKWRTGEWFMKMFSSGQYQEMIGVGTAVSSLSDKDGIQW GLFRVGGLINGNEAPVDATYLGSGVDNTWISRASVARWVLDEAIQGKWVGRMPYICNK PEX2_108270 MRLTAELIQSSLTYINPLTDRELDLRGHKIPTIENLGIAKDQDA IDFTDNDISSLGNFPHFPRLRTLLLARNRINHIQPALPTSVPNLTTLVLTDNNVSELA DLDPLRTLGRLTHLCLLQNPVTRKENYRYWTIWRIPSVRFLDFQKVTDAERATAKELF GTYEEPSSLASKIMGVKSRTFDVSAPAERTPAEKGVRVQLTEKERKRVEQLIRDAKSL QEITKLEKELNEGRIPGGADADGDEAMEM PEX2_108280 MGKWRYGVVLDAGSSGTRVHVYRWLRNAAARKQAHVKELKSLPE IKTKEKWTKKIHPGVSSFANRPELVGSDHLAQLLDHAKGIIPAEDAKDTPIFLLATAG MRLLGNLERQLLLDQICSYARDNSDFLLPDCGVHIQVIPGVTEGLYGWIATNYLMGSF DAPEKHDHGKGHNTYGFLDMGGASAQIAFAPNVTETEKHAEDLKLLRLRNIDGSVQEH RVFVTSWLEFGVHEARRRYLDALQTAIGPDIKELPDPCLPSGLRTALDGKAISAIETE TYLLGTGKFDECLRQTFPLLDKDAPCADEPCLLNGIHVPAIDFDVNHFIGISEYWHTT HEIFEMGYKDKAYDFNTYQERVQMFCSQDWDSIEYGLEQHKWGKKVDHQKAHEVCFKA SWIINMLHDGIGVPRVGLEDTTGSSHNGTKEVLAHGKEKGYLDAFQAVNKIDSTEVSW TLGKIVLYASSQVPLEIEEGLPVGFGSNAPGIPADFQYPSVQLLPDSDSFHSEHWHDA LFDGDSPRRIPGFVLFMLIVVMAGFFLCGRSRRSRIFNRVSNVLRRGGPSHPNHPKKR KGLKSMLPFISRSSPSYERVLEDGVPDYELGAIESDGSEDGGRLSEADSARLEPPKRA SSWGSNTNTPSFKYALDNSSTGTIGLGISGGGGGSGIAMDRAGLVVRTESRDHLAPIA LGPTTNGRRSRAGSPTRSHQKSPSMTPLADD PEX2_108290 MADGPVYNASTPTGGDPLKVDVNAQYVGVEWNYTYIIFCSFIVW LIIPGIGLLYSGLARRKSALALLFQAFMVVAVTTFQWMFWGYSLAYARDASPFIGTLK NFGLRGVMVAPSPGSADLPEIVFCFYQLLFCACTVMIVVGGAFERGNMVPSLIFSFCW ATVVYCPLACWTWNSNGWLYNLPSLDFAGGGPVHIASGWSALAYAFVLGKRKNIDQPS QAKPHNTTLVFLGTALIWFGWFGFNGGSALNASVRAMLAAFNTNTAACTGVLGWVLVD YIKHRGKFSVVGACEGAIAGLVGITPAAGFVSVWLAALIGFLTSIVCALLQDINEWLH IDEGMDVFKLHGIGGMVGAFLTGIFASQSIAALDGVTEATGGIDGNGVQVGKQLAEVC AISAYSFVVSCILLYILKFIPGMQLRVHEEAEMIGLDRAQFFDEQIGERVLLDDLRGP SSPASVLDGQVPVVVGSQETKK PEX2_108300 MSRASPLSAQGQSPDAIQDRYAPDPYLSAASDPEEVLFQASAIR LTPTPSWGSPGHSAGPGSSPHEDARVDSTIQGYLNPRTNDYTDRSTCTSPISDTSVFV EPKDIELASGSTWQNETLPSYRIGEDNVPVDPAWGSSMAPNVFQWTSSGHNPNSNPSS TLAGLEPHGNSSTQRSIPTSYQSGLGVFNTAAEPSKLSVLTNEGLITEPASSVTRGRS PVVKITTFTRGDSPEERSTSPHGGFSQSFAHLSANPSELEDDDDNDDDDDDGYCHSVS SVPVKRSKDGGWIPNPSTHLAGIDPRSRGDEFVPSPNDIHIQRERNEKNEDISHWSVS VSAANSDAGENSPSPPRPRPHHVSRRLRARSTGDHPLKQEDYFNLKSRTRDASFPGPG VLVHESSDDLSEDEASIGIYSDEPSADVDEPGRYDRGTPEIYSSFSPTKAEESIHLYP WHDPPRDSTPRSQAMQPDSSTDAMIAFNRRVRDLETASLAATIDNNSIINVGAALENF SFTEQPKKRTSLFKRQFMQASSVLKRQASDLSIGQSNSYPGLPQRDTESSPAKPSYSP RNGFLHRRHSRSPSLSDALLSMTSQMAAVGGSQPIRAVPPAPSPNPERSSLNIQFKGR GRSRSELPQPSKPGLINLMTAHGGPPVPNITSPRVSSDADQTPRSTPVGPETGCAEDE NDVDTISNKGVVMDFPAVQSLPVPTIEGFKSQIMQLNPRLEPALIHRLAHEQNRRYKI LVDLQQKHSIAAANHTCKSGNFCIVQGGQPTLLQDPKAPNDPEAGHSQFQVTGFCYGH EQQYSPGDGTGAASQFPPGVPLPPVTRLPARFECPICFEVKTFQKPSDWSKHVHEDVQ PFTCTFPHCTEPKSFKRKADWVRHENEKHRHLEWWTCTFPECNHKCFRKDNFVQHLVR EHKMPEPKIKKTGKTSSTVDAEAVPNSRREQELDRLWKMVEECRHDTAQTPQQEPCRF CGNVCSEWRKLSVHLGKHLEQLALPVLRLAKPSNAPTYVINPSQVETNRGSSAATYPS VAPYHPGHEGPKTASTHLQPQYAIRNTSTPTNPAFSINNVPLTNYSTISGGELSMEPE SMTDSLTPDQFSAYSHTTDQFGQTTLHPAQAQAYPLHQNSVTYPPPYNAVPRSRSPEA DLMQPSYPLAQFLPHPALYPDGNYPAYQPMDSSIPYTSATYSSGCPSQM PEX2_108310 MEETQLDWNDSTDILQGIMVGHEGHDLSDPKSSNLPFDAANPLQ DLQFGSFDPTLNHSLLSQCFSTPRDLCYSQCTHSRLAGDQDAWNPLLATGVPATSSIS HMNMPVQIPDQDCCFSQHPYSEPSENGSQYMDSYHSADSGYGGTSCATQSVVASSYGV DSSSPQIDMTENMSTESGPSFDRPQYGSVSEPSYTSEFMGSPSQLTDASMRCEHPSCN WVGKCPSDKRKHEARHKKLFKCDVPNCPRKDGFGTINDLARHKKCVHNKEPERGPKMM YMCFGANCPRPTKRWPRLDNFKQHLNRMHHEEDGEALLKKSMDWYEKVILHQPEQSFD EHSSRDESVLEVEEDEASVQSTTDLEPEYGSMSQQRTDIFTFGAATPRPPQYGTPPLA DSTSSQFPVFGSLGLSTTSLDSSAERGITRPDGSVADAADNLINAMTKVLNNRGRRSS QNIDEGIGLETDAAQLSHPQRQMLQKVFSVALERLSSDNEVAVQDPDSEKRGWFQCDA CPKQTRLRCEMKKHQKRHERPYGCTFPHCAKSFGSKADWKRHESSQHLGVPSWFCTEH DMQTGASCERLFYRADIYTHHLRQHGIHEYHVTASAGNNRLDLAGQSHFWCGFCNHRV PLKSHGPEALDERFNHIDIEHFKKGERGQDWRFPSSSFKGDEMDQAKDVPIQTFDHSR KAATTGERKRKYVAA PEX2_108320 MFSQSVRRLIPAVASSLSARAPISRRTFTSTQAIFNSSQKPSAY KEGMNQYRTFTGPFAKVFLGGVFVYQVLYWTWLKLEMDETKVAKNGETNENQCITLSC MLLTLSAEQVAVLEKEAREITSTQK PEX2_108330 MARGAKRTLDEVANKSDSDDEDYSDHAHYSRSTGRKSKASPKKK SRRAPKKRRRGSDDDGLTSDEEDISEDFEFDEVEEESEEEEEEEEEEEEVERNVRGTA RRRTTQSRRPHYEEPDSEEELLNDDGEKETRASPRKSTVIKLKLPPNYLAFSKRVTRR TRDASEDIYALTNSGRHIHTVERGTHTPEAEIPSPSRRNARVDRIAKRTIIDEEDEDA EGYEDAEDVINETTIQGSQMEVLESDVPQGGAEGDTLPVNDGYHDPIDEEMPDEDVVP ESENGDAKNNHSEHTDELSTTRRRGRLSHEQPHEENATQDAETNEESQLRRSSRKQPS RSSQRKSKNEESDFEPEEEPSNDEEEDSEPESRASPRKEIQAREEAEDSSASRRPGLR QRPSRTRAPSEEAEELAEELEDLTGRARRRVKQTVVYEKPRRNRKDVDYRIIRPEMLQ PNEDTDNEVTGSPSRRGRGGGGWQRTLFSTLGPFGGGGNSAILGVPGGPTAVGGADSD SSDDEGAQQPNRAPGVAVAATHNQSADPGQNSAGTPANLGKFNNKQALADADPLGVDM NVNFDHVGGLQGHIDQLKEMVSLPLLYPEIFQRFKITPPRGVLFHGPPGTGKTLMARA LANSVSSEGRKVTFYMRKGADALSKWVGEAERQLRLLFEEARKNQPSIIFFDEIDGLA PVRSSKQEQIHASIVSTLLALMDGMDGRGQVVVIGATNRPDSVDPALRRPGRFDREFY FSLPNIEARRAILDIHTKEWDPPLPSNIKDELADMTKGYGGADLRALCTEAAINAVQR RYPQIYKSDQKLVIDPKTIDVAPKDFMLAIKKMVPSSERSTSSGATALPPNIEPLLRH PLSEIKSLLSEILPQRKKLTALEEAQYEEPDDGAGFTRELLLQEFDRSRVFRPRLLLR GPHGMGQQYLAAALLHVFEGLHVQAFDLPTLLSDSTRSPEAAVIQLFTEVKRHKPSVI YIPNIQLWFQTVGPTVISTFTSLLRSVPPSDPVLLLGILESQEEEVDDGLLKNMFGFS KKNLYDLSAPNQDARREFFSKIIEFVKTPPKGFPNPENRKLRQLEQLEIAPPPPPKPE VELTKEEIKAQRKKDYISLNLLKTRIQPIMDQVKRYKRFRSGVIDESQIRYLWEEENP NIVTSDLPRDQQNTFRPYEKAYDKHGVLGLRETATGKFYYNLEIVTIEKRLANGYYKR AIDFVADVKRMVKDARQTGDPERILRSSELLTNVEVDIAGVLVAEPALMAECEQVYLR EMAREKEAAEREKRAQAENAASPILEPTNVSHGNAESDLLTGPIQLGEEFPDNGDDRK AASRPVTPMSHFKSFANGDHHGGGSDLNEFGSHAVGASNGTHGDGDGDVFMSNSDDQS GSKDTQGSSFGPSAQPKPPYSHTAPSQQIRRESGLSSFSQRGPMTPMAPGSQPADYTN EASTTQTTSDKKSSEHLSNQNYHTQSPHVSRTEYPDLTQYPDRVSQEDHLPDTQQNDS SQPSPNPRDSLLSNADTQENSGLNGSQSQSKPQPPLFDAPNIPATNASANLQSILSEE AHEPFVLDLEVAERLHTQLTLSTSGFSVEQLEQINTNLMDYVWQMRGEWNRTRVADGL GKTYNAVLEDMQEMQEIAPISQQTKDILANLSFQ PEX2_108340 MFDVDWLSLAVPIAYLGILLGSLATFSSLYRKRKSHKAASLEPW FPAHLQRDIYFSLLHLEPSANSTKEKKTPAIPDSIFKASLLRRAAEDIRRIMTLRSQK QALTTLVQRGSVGDDLWQRFQRAEKEMEDEVKDVVSEANAYVPGWGQTIFQSANEMMN NDIYRRRMDDQQKKLEEERQWWNKKKTSIQEGFMKELNEGSAAPTNEAKTTDTITKSF EAAPTTPAAGTSVQGSDDDAVLVEAETSSNTPASPPSAKNKKGKGKK PEX2_108350 MLRQAIQGARWCQHVARSPSTRAPRRVFSAVAPRRLNFGGPNDK VTFYEQESSESKTRRRIDPEAEDIEERDEVKKELAKLEDELKVLEEGPFAPNSPFMKS LPEEDRKIALEALRKFDEENPKPADEPGLHQVFDKELDDMLREEFEGLAMEEENWEDG VKSRRRLAARRSAAAKRAGAESHPYAIKFNNSLRQLQGKMSDERARQDLWKWYRRCKQ TIPGFLTIMPERALETIWDAQAIGESDQATRLTHLQILVQDAKSIGQELATPQILVYI TTLHESGSTNLALEQWEAYQAELSQKKEDLEEYWKLGVRLFAADNNPQRAQDIALAFL ANDRTRQPRVLIPVITAWGRLPGKEAEVKAWALYLQLKTFLGSDMTMEDYDQISIALL TAGRLDTAVAIFKDMMVTGKDPANDSTSLYKAALGLAGNLHASSINENEVNKVSLSAL KVLPRQFQNRFFYASWMKKLIGMGEVDSAALVIELMYERCVKPDSKHLNGIIAGWLRD GNTSARDKAERLGWAMIQKRIDVVWRRYQPAETTPQLRVRSGETESVRMPQFMQREVP PASIETFSILLLHYTRRGDDDMVKYLVKCLGDAHIKPNSYFMNHLLYAELRKQDVKKL WTKFQTMSTAIRPDVETYACLWDCAKLQYDRGRTAFDAGFPSARQLYANMISWYSQLS PRAQKNTQAQIPRALFDQIVRCFCLSKDLSGTLVVLHSMHSAFGFAPDETTARMIVLQ IARLAGVPADTPKRRLRRLSSTPRSKENIGQVSRLLELLGDRKAENLRLQGLSLEQLD PREMEQYQLEIMASLLRIVMSRADALDPVQVENKLEVAAKEMLAEHIDLGVPLGADDS KLL PEX2_108360 MDADGLNDALGLSNDKSQNLGAYIYQPPKHGKSAGERPSKRRKV SSTAGKDEQIDRQRFVPLLNGDENPESIQLRYDAYQELWSKQEHKIQSILEDVDAGVL TDVLSFVRDTSPQTCDGCIPTALVTVGSNVSSLSRLLSRLNGQLISTEEGSVVVLESG DAPNLKTTLKNIIRAAVTNTDGNDGYQKFLTDRAGPRLLGYDLDLLHDYVQRKGTKKL VLALRDSEAFDPGLLTDLLSLFKSWLDRIPFTVLLGISTSVELFEGRLPRSCVALLQG KHFEVQEAGNCVDRIYETLQTNLDTNLWLGRNVTNTLFENTSDYFQSPEAFSRMVKYA YMSHFFANPLAVLLANPDSTVLAQSKLCEAVRNLPSFRMFCEDLAEEGSIKQVRDMLE DDEYLIQEAPKYLDANQQRMRNMFQAVRVVHTCLQYTQSAKKTNVSDLSIRALSGELS ESTIVEEMVATAKTLDSDKLDELLVRLQGVLISPEADAIQEDLRILLETCSDSGPLRS GYNINSTVTKTTVVQQRIRLSKGQADLSEQAVEYTNIVDRLVALLQVHLTETLINPQD LFLHEAFLFDLRNPLKETFAPRPRFAIERALATPFDYLLSSSDVTMTKVSAKQPATAI LYQLYLDSGALVNVHDLWQAFYGVFETEDGDGCDDRVVMSLFYGALSELKAFGVIKNS RKKTDHLAKSAWMGL PEX2_108370 MAPFSGDGVLWLCLGVSLFFAVRGIARDLRQVVDLTEIKHVEKE DKIISEGTEEALKLDTLLKLSQSTSHDLRAAALRIISERSTKGDTRDLLLEELASRNK VHQRRALNAMNFLVSNRALTRTSICTRLADVSTFTAIINCLCNLLEEHVEKTSTTNSP ILPKTRPMGEKKALNILNVLLPENIPDALEAGVVSRWLCRYPFPCAIAEPSRRRDVVI LMKTWWSDDVIMSAIFSALSSHPDGIKQLRKYGLMGSMIEENDHDDEDDADSDVWMVD ADEAGGSFGRTPSRRLQEGSAEEQALRRRRREAMVLSDGGRPIGNDDIIQRPID PEX2_108380 MPPSQLKQLKASLRENGVLGPQKSKKEKRQNAKTGVGAKNRNQR EIALQAIRDRFNPFEIKTINKSSKFDVTTRDGNTTAGGGARPGVTKSLGEERRRATLL KEMNSRNKIGGLIDRRFGENDPTMTPEERAAERFARESQRKMRKESMFNLEDDDDEMQ LTHMGQSLSFDGPNQDDFEAGDLDDAESDEEASRKRKRFPDGDETQDQDQDQEDFIDY GDEEQPGRKKTKAEVMKEVIAKSKFYKAERQQAKDDDEDLREALDKELPDLFEALRSI KPAAKAAKPEAPKQDFSDMNPERAAMLEESQNSKDPEKEYDQRLRQMTFDQRSKPTDR TKTEEEKVEEEAERLKKLENDRLRRMRGEQLTDDEEDNMDQDEMPEDDESEIDDAMHF GLPSYPTETRPELGVEDEDDFIIDEDLVETDSNASLDMGDSDMEEEEDESSDESDGPD GEDEHINGMTLPTEDSAAASTAAEVNKEANGKLAFTYPCPKDHESFLSITKNVPVEEI PTVIQRIRALHHPRLRPGNKDKLGRFAEVLVEHVSYMGNKTEQPPFAILENIIRHIHS LAKTHPLNVTIACRAQLREIGKERPLNLLPGDLILLTGLSTFFPTSDHFHTTATPAHL CLARYLGQCTTTSLTDLASGTFAASLCLQYQKASKRYMPEFINYTLNALCNLAPTEPT VKFGSFPYRKSQESVQLVPSKKVTPRKLQFRDVTTSPSDPQAQEELKISLLTTLVSLL GSASDIWVEKSAFTEIFSPVRAVLQYLRQSLKGKVYAAAREPIQSTLGKIDSHLAQAR LVRRPLFLHDHKPLAIKTAIPKFEENFNPDKHYDPDRERAESNRLKKEFKRERKGAMR ELRKDASFVAREQLREKKERDSEYEKKYKRLVAEIQSQEGRAANEYERARGRR PEX2_108390 MAILFAICSLLSIASASTVVDLGYASYEGRTQSTGVTQWLGMRF AAPPVGDLRFAAPQDPLSVEGIQQATEHGSICIPTAASEGRAVPLGTSEDCLFLDIYA PTAAVKGSKKLPVFFWIQGGGFAVNSNANYNGTGLIKASGNNMVVVTFNYRVGPYGFL AGEEIEKGASLNNGLKDQRKALKWVQQHISKFGGDPKHVVIGGDSAGAASVTLMLSAY GGRDEGLFIGAAAESQSFAQMLNVTESQFAYDALVTATACNSSENTLACLRNLDVSAL QQKNVERPYPGAQGKPLYLYGPTIDEDLVPDYTYRLFHEGKFIKVPVIFGDDTNEGTR FVTKSTSSVEEADTFIQNQFPAIKQTHLARINSIYLTPNQTEEFPDAGSYWRPASTAY GEIRYICPGIDMSSVYAAAGVPSWNYHYAVQDPANENSGLGTPHTVEVNAIWGPANTG HKAPASYYTTNAGIVPVMQGYWTSFITSLDPNTKRLPSSPNWQTWGQGGDAYQRIFIR TNETRMETVPQGQRNRCKYLTSIGLDLKQ PEX2_108400 MASEDEDDYMNMVIEEPTQKETFTQKKRRELREVPYLPHSKTPY LSPAKFQTNSNILFHMQAEARGRVPSKAERAAREAVRREEALATSTLNPTNKGFQMMA KLGFKPGGVLGKPVTTSDSDTTDTADSFLKARAEPLNLTLKENRGGIGLDTEKKRKLR EEAEEAAKKIKHEEGSYRDRVREERELKRIEAQVRAAQKVAERLDAESESGAATDEDK EQGTGSSATSNKEGVDSTDPCQPRDGPDVATKKKKKKPIKLTSQINVLYRGLIRERER NDSDRQTRHALLSSLPSSFFPRARLPEYDDPTMDREDKKALNGDLDQDTSTLEIELEE DDEELDAFNALEPAERLHKLVLFLRETYRYCFWCKYAYETDLELEGCPGLTEEDHD PEX2_108410 MAIFDSEKRSRGLRVPSLAALKSSAFQKKSPDSVPSDESTSPNP AAFPAPPPPKPAPPPNKELPLPPKVNIPAASSNNPYFPPIPSGNAIPERRPVERVPVP TQSPPPRMTGMRSKKSQPDFHAPQQQPTPVTYSPPPQVPVPAPAPAPIDEANSPQPED FIPTPDSAEPPLAVPELPREELAPSPFVPPDVEPVAPKLNEIHLTCYQQHRAMPVAQN TWCPMPCMTCQKFDIEIRHRCVFCCLRICESCYQILQKCKNRSVEELVDQIVA PEX2_108420 MSHRPLRAQDVFYLVLTAQHTAAAAALHIFVSHIIGSSIHTIIP LNPAKSETSSGIDHVLRFNERDSLSSEVAHTDEYIKRAQKYRSLNCNDCNRKFCLDYE LPTCKGAKEEDVYTTCFHVLTGSVISERDSRKDEAVVFIFIFATGGLLAWAACKPWIE RYVEAARERRSYIPVAEPDR PEX2_108430 MTETTRLHITPFTQDILPSVLPASIRNLATEISFHEISTFPENN YGYVTLPNMEAEKIKKKLNGSILKGKKFKVEAARPQKRHREEEDVVPEAPSSAKKKSK KSKKQASEDGVVEGFELPTDRKVKRGWTESSDAKQERRKSEKKEKKSKEEKLQPKSKY TDKSECLFRATIPPNRASDTNSDDKKAKKKKKSKDNVIHEFEKTVTQPSFLRTAEESA APTATFEDGKGWVDSTGNLREPASEKISKDNYRPGQIPGAKEKRRAAKSAGKDAKKRS RKAKTPEESSESEDYTSSSGSSSEDSDSESEVDENKADENPEDSSASDEEDVKPQSQE ETKSAEPNDGDANTSTQSDSDEIPTEAAQEVEQDSSAKEVHPLEALFKRAAPTASDNQ PAPEAEAGFSFFGNDIESEDEPQIAEPQTPFTPFTKHDLQNRGQRSAAPTPDTTAATR HMTWNESEDSDDDVSIDSPVTKARAEAGATMEETEFAKWFWENRGDNNRAWKKRRRDA AKEQRQRDNRKKGIKGKS PEX2_108440 MGNSQTKESRSTAPSSRRSQLSPGASDASSRPYHGSRSARGSRP DLSILGIGSHDREVVTLENRRETKQEREARRLEKERVARLKERERSMKEEHVDGGYLV TQGVYVGTEDYNKVVVRQLMIERRLAPFWRGLNDFSESWAEHQIMAAARGMPIPLPDE IPPELEYKLSKITDKSSVDPTNIQHLTVPITSRSQSYNSDASQSSNPPQSLPSASPIA SGTSTSPLFRTRAKTLAALTTSKHNSQTDLTPRELQLPKDPFVNGQPIEVNLYKDASE CPICFLYYPPYLNRTRCCDQPICSECFVQIKRPDPHPPEHGEPNANAPSVEGEQPEST ESQLVSEPSACPFCVQPEFGVTYAPPSFRRGLTYAADPGARLSPNFTSPVSSTSSLSS ATAAVPGRRRATSLSATDPTVVTTDRIRPDWATKLSNARAHAARRSAAATALHTAAYL INPSASGSDSRGFGIGRRGMRRATGGEGYSGRTVSPALNALAFLTERTGADRTPRAAG QDTDSASAEEGAGHPAGRSSSRRNRIDDLEEMMMMEAIRLSLASEDERLKREEKEARK EAKRREKEKEKEAKKADKVARKTGLYSNNASASALDVLAESSLGRETSSSSSVIGEDV IGEEITASSKGKGVDRLLPSTAEETNCPTLLDPAAPAGQSVDQSEPSRQSHLRQVSSA SSSFSSVMETTPEDGVGGPAAGEGNTSSLEPMFNFRSLAAVIGDEEKVDDSTEHVEDT SNKPQTEGSSSSATPSVHQPFPEVNPESAPIVESHAESLDHAGTLPKELESRSVEITG SPTHPEATL PEX2_108450 MALTPQARSHSSPDSFPTTQLFLLAICRVAEPIALTSIFPYSWV MVKDFHVDNGNNASFYAGVLISAFSLAEALTGMFWGALSDRVGRKPVLISGCVGTMAS LILVGMAPNFWVALAGRALGGALNGNIGVIQTMVGELVKRPEHEPRAYAVMPFVWSIG TIIGPAIGGLLAKPAEGFPSLFPADGPFGKFPYLLPNLVCCVLLLLSIIGSWLFLQET HPDMQPGEAHEHFDQAAEQLLLVTSSATANAGVDLRAESYGTFNRVHLHNDKSWNVQA DGSSPTWKKLPKAKAFTWRVTMLVVALAIFTYHSMTYDHLLPIFLQDKDPQGSSHSHH SPFDIPGGVGLSTRTVGVIMSTDGIIALAIQSIIFPVLAHYLGIWRLFVIVTILHPLA YFMVPFLVFLPSQLLYVGVYSCLIVRNILSIIDYPILLILIKQASPSDSVMGKINGLA ASAGAASRTLAPPIAGLLYSSGAEIGCTALAWWGSSLVALIGALQLWFIQRDKCSSAT VEPAAVCHYVALQEQPHVDIVHIIVNGDDAHSEAVIVSN PEX2_108460 MANSSPFLHKTLDQPSEHAVPSSPLKKGWTSHAAPSPNAYDENE PYYHNEPENENDDFNDAESYQQGASSPFQFDGREDTVDFQKLREMRKTSSQSHLQLEP LEEESPVSSPFRPHATEDTVDFRGLREVDPMSPGLDKRLALGEPMSSPFRPNASEDTV DFQNMQQEQQSSPLPYPQLEPLEEESPESSPFRPHVREETEGFQKLREVQPMSPGLGR RLALEEPASSPFRPDVREETVDFDLLREMQNDSPIPKARPLPDPASPPFRREARSVTV DPSESHKVQENSPKVSKYSSPTVAPSSPIRPEVLETAADSPKLRELKQDSPMAPKRSS FVAESNLPVHDEALETVDSPGENAHVESPGASKSSSPIPVPTSSFRLSPRENTLDLHK SRRISRASLGLGDQRSVAATPRKRSYDQTPQDLEDDLQMNERHKKGMVSRPDAPAIHI DAEEEPSVLHDQSVLSAASTVYDRSAIGNSMIEDRHNEGMSTVLHEDGDKENISHENS MVDDSMDETCLSTFSAMPDMTSFAKLRAQSPMKSMRGSVAPSPAPTIDGHRHSVDPTT PGTGRRAYRASAYLEANSPMGSPTPRPRGPRDMAHPVDSANLLDFTDQMNFFPRQSLQ SARFSPSRRSPMRSARQSIRSPGKMSTLLDFDIPAAPTPRSIPTVTPRELESLKSGFL SDISSLKATLSGKEAEVASLKQAVADAERRVGEALEEVRNEAAGKEVLEMEQVEWERR VNEMETVLRSARSELVEGERERDRLSRKAEEAEKSKETLEHKVVELESQLSAARAAAV SSTGQASSSRQSSNSAEDTAREVQDAVEKVARELHVLYKGKHETKVAALKKSYESRWE KRLREAEKKLTAAREENEHLRVERDTAQTDAMAAANTSSFARENDEHEAEKRVMEAQI KGLQREMAALKDNTERMRANLESERAEKGELVAAVDEWLAMQQAPAPSQPRDREPSVA SSVHNDHIDEPAQPSREVTPDNFRRSVSRSVSGSIRPPATSEKRIPRFGAPGGHSRGN SGGRSGIAMPTPGRGGIMSSIERMGRGGV PEX2_108470 MAPNPAVPASMPLANVTSQIQQLLDPNFGNTRSASGFLPDYIRG LPARLQKEDIDYLAVKGALTIPDVTLRNELLKSYIHYVHTYMPLLDLEEFLQNIVQND GIHRISLLLFQAVMFAGVAFIDMKHLQAAGYQTRKVARKVFFQRARLLYDFDYEVDRI SLVQSLLLMTYWYETPDDQKDTWHWMGVSLSLAHTIGLHRDPGNSRMDVRRQRMWKRI WWSTYTRDRLIALGMRRPMRVKDDDCDVPMLSLDDFEFHPFSPEIVAIVGNSEILQNV SHQRELALMFIEKAKLCLCVSHVLSAQYSVLSHKFGGTMETTMMLVPKKSTAETFEVR SCDQELEDWLVNLPVETQYAASSGSKLSEAEEVLHSHRALLKMVYLTTSSALHRPQVL PAIPYPSTDAELQDMSRNKVRFAAVEITAIAQDLHCLDLTRYYPTTGVTVLLPAVIIH LLDIKSTDPSIRMTSLQRFYQCMRILQRLREIYASADFATSFLEAAIRKAGIQLTVSP QDVQSSSASSHPRDQPTTSSDPFDSTAAATIPSRLHTLTPPPDSLAQKIPDLTYPKLT GPSAGGRSTGDLFASTPPHSDGSENGSTNNLNLNANRNKDRDAFAMPSEMSLTELMDL ANDAEVTQNDFDALINFDDPGNTDFFVDDNAQQNGANGAPAQEKGFDFDVDNVNMMGF DTDPKTVDFAHLADSSLSD PEX2_108480 MVSPAIKRKSEHLSGPDSKKPKGGSITAFFGAPKPKPLSNQATS TSTPTPRPSSFNKAKWVATLTPEQKELLQLEIDTLDESWLAHLKDEVVSTEFLNLKRF LKKEKDSNVKVFPPEEDVYSWSRHTPLHNVKVVIIGQDPYHNHNQAHGLCFSVRAPVR APPSLLNIYKGIKIDYPDFESPPDKGGLLIPWAERGILMLNTCLTVRAHQANSHSNKG WEKFTQKVIDLVARVRTNGVVFLAWGRPAGTRVAKINKEKHCILQSVHPSPLSAHNGF FKNGHFKKCNDWLALRYGEDEIIDWSLVPSKKSLLAPCVSDKEDSTALANKVPVEPQS AKTEDVKFQPSKVDEFDDDDAIEALVAAEAANSSNPKRCRHLIPKWEPSNDVNRQSPP QLNHGPGRPIHGDDSNREQLPTSAHIDRAQTTSDTPPSFSAPATERFVGDLNPESLIR ERLDEPTGSPLRDRIGLWINSPAAQKAKPRGVQREQATAEEANKYAANTPAQRPIYGQ AIASLLQQRFAAGMQACEQLPLATRHHLSAIYFSKVNHIVPIVDQEFSQAQAEGLASV FLEKAICLAAAKTRAANPHLRLVSNGPILPSRQFCSEIYKGLVVAMDAELEPDRLTRI RILALMSLHCEGLEGAEAASLHLCQAIHQAQTIGLHLGRPNQSSTDSLTKLFWCLWTL DKMHASIGGRPVLLADRDIGIVKPNVSAQTSRGAFEVWLAVSDLLATVISFYRPSAET TSGWEEGFPAFEDIVGENTRGDLDFATLGFLELYYHSVAILSCRYKPTENIDSTRLSS VRQGLAAVRIHSIVASECVDDLPPLPIVPYSVTLSMGVSYRQLRSSRLITHVDRAKAS LEACRSILENLSLYWYSAEAMARLGQKALHQIQGKPQSSRTNAMVPQHVAESSHKQID TDSSVSGGLEKIVTAPQGNDSPRAKRQCNNSHEIAAVSPAPEIAMDVLQAPLGDLDTS MQEGFADIDTLFGEFLDISLPTHFWDPIFMETQGQTNDPQS PEX2_108490 MRSFAPLVLSLLGATAAVHAAEPEVVDADANVVTLTTDTFDDFV KEHPLVLAEFYAPWCGHCKALAPKYEEAATELKAKDIPVVKVDCTVEEELCRTYEVDG YPTLKVFRGPDSHKPYAGARKSDAIVSYMTKQSMPAVSNVNEENLEEFKALDKIVIIG YVASDDKAANESFTSFAESQRDNFLFAASNDAALAKAEGAKQPSIVLYKDFDEKKAVY DGKLEDEAILEWVKTASTPLVGELGPETYSKYMAAGIPLAYIFAETPEEREQFAADFR PIAEAHRGAINIVTLDAKLFGAHAGNLNLEPEKFPAFAIQDTNKNAKYPYDQNKKVDA KDVGKFIKDVLDGKVDPSLKSEPIPETQEGSVTVIVGRNYQEVVIDNEKDVLVEFYAP WCGHCKSLAPKYEELAALYADVPEFNEKVTVAKVDATANDVPDSITGFPTIKLYPAGA KDSPIEYAGSRTVEDLVTFIKENGKYQVDGLADGAKKPEEHAEVTAVPKATESPASDE KDIHDEL PEX2_108500 MARDQGVLLSDRTTPCSATWSPSLPPPGSDAPVYLPQVSDGGQC IIYFLGAEINTASFALYTFSLSVLVQAILIISMSGAADHGTYRKKLLIVFAFIGSIAT MLFLVVVPKVYLLGGLLAIISNTCFGASFVLLNSFLPVLVRHHPSLKESEEPRLSGDN ATSPRGSSLSSSAGDIDRTNVDDSTPLLRPTREATKTSAATITSLELRLSTRISSNGI GIGYIGAVILQMFSILVVVVVRPPTFSLRLVLFLIGVWWFIFTIPAALWLRTRPGPPL LGSDGKPLHSWIGYMAYAWKSLGKTVTRARQLKDIVIFLAAWFLLSDGIATVSGTAVL FAKTQLNMKPAALGLINVIVMLAGVFGAFSWSYISNFFNLRASQTIIACIILFELIPL YGLLGFIPAVQRVGLGLHQPWEMYPLGAVYGLVMGGLSSYCRSFFGQLIPPGYEAAFY ALYAITDKGSSIFGPAIVGAITDRYGEIRPAFVFLAVLIIVPLPLMLLVDVDRGKRDA LALGAELDGIPQGSEYGAISDGQTTEDVLSEY PEX2_108510 MPGVVMDNVNIEGSVRRPGLNEARNGAPNAFDNADKSGHLSGMN GSAYVNGASSGIAASNQHPRALVDKAVENVPTSPFKLPHITQGFFPFGTLVNRSVQEC WNELSELITELATIQVPTSGGSIPTNGKPAGNQSSENVHKKLRLLDFAHAKRAEFIKL LVLSQWSRQAADVSRLIDIQGFIRTRHQSYDAALQYVGEVKRDLVRAQVANPDLKTAV EVLSKGRVASLPDLGYKPPRPLTARATLKKLLKLNRIISVRLALHDLVPPPLRNYRVH DGRVTFTISGEFELDLSVAEESNTSQFFFVDIRFLFSPSSPIPKGRIFNELDGKINDL LRDDGLMGCFQFLHGLVLTNKINILFKQAVELSRGLWADALRIELLHRTLVVQYWPQR SGPKSWLEIGVKSGHRDGSFSDPKPGISHLGFRWMRDGQQVSSDAIRFNPSDLSMELI LRSVIALHTSHLLSTAFTTLKKHVLFSNHTLSLRAQLSPTEPGDCRLDVQLTASRSLR VSIEPMSGSITLWGTPHVLERLDGDRGLYKSSIEEILSRVARLRCITAVDEIDSGTKA LGLEPVNQRVLGLDPRKLFPPGVMRTAFFTHRLWNRHWVAAATSSMDGDRWWLVQLRP TEAAKMGPFHSIGDHSMGIPQANVVSETLVPPQRRLNYTTCAELVHGLTGILAIHANA RYLADLPAMHFWPSLKELHLGTDLTVPDLLFNYSSSALPPAFQVTLPFGTKKQSQFKE MVRLVFHGIDSQSRSVIMMAHGALKTRVKSLVPLVSNMDASLIIEDKGAGFALRLLVP AGHSVVACLFERLQRLECVLSILQSLIRKGMEPKSLSLSRIEFGYGPENKFSAFFDIS AEGPSLSDYVDVADAVSKTKPLFQLKLGIKFESSSPHRRIQEPLTVALNQRFTEAGVE STLQLLATTLPLLRSLDQITPQSNQTDLSIVQIIARKPTVYLIHYPRLKSRFHLSAVM HQDRLVWMLRDANGMDQSGRVQVAAFIQEKLYQSKGDGWNGLGDGAVASINKVGNLIL ELHECLNAPHLQLDGEVEAKPEQILNQLHIKGSTSAAPQAIGNTDVITID PEX2_108520 MDASTPRHPDRFRMATEDPIPSSSPAFGTPARPFRIPKGNAPPP KTSILPILLPPSTLRPVAFRTFTRKHNLTISSSALQTLAVFVGKNCGSGWREEGLAER VLDEVAKIWKRAGGGVIVEEGKGASLKTILQTLEGSMSGGRVIVGKTTSQDGSLKAST LGVDDGRVETAGDTSKQGNAEEEDTQLPLHPRHWLKVIDAFDLPRLTYNVDKKYFEAM KSKPSLFPSPSYKTALFRDRYNLVYQRLLRNESFQSSLGVAGVPSLQRSSSNLAHRQS YKLTPIANLLGRSGTSHLLLGLLAISPTGDLSLVDLTGSVALDLSHARMIPEDGAWFA PGMIVLVDGIYEEEEMVKGAALGGNTGIGGAIGGQFVGISICGPPCERRDISLGTSNR HGSGQLSSSGGFGWVDFVGLGSERAQGARMRRIQEKCLRGDQEAPETIRLKVAIMSEV NLDNMKALDALKKVFSTYNDLSLPERPQAFILIGNFAQKAIISGGGRAGSIEYKEYFD SLALVLSDFPALLQHSTFVFVPGDNDPWASAFSAGAASTVPRQPIPELFTSRVRRAFA TANSELNRSQTPAPAGDAIWTSNPARLSWFGPVHDIAIFRDDISGRLRRSAVNTTLDN ESDVTMHEPSNSATGATVLAVGESQIEDMGQQQGTKAETASSGASMARRLVKTILDQG TISPFPLPLRPVLWDHASALQLYPLPTALVLADPEAAPFCMTYEGCHVMNPGRLLPEG GAPMVRWVEYDVLRNRGKIREERC PEX2_108530 MADPQDVPSTISEQEKRTQLEDLIARAAAKDATKDHDSASELYS QATELQAELNGELSPENADLLYAYGKSLYNVAVSKSDVLGSKVAGEQQPQSSTTSSTN TSSAGSKSTSDSLIQGAIANSMADKSSSTKRADREPEPTESKPFFQFTGDENFIDSED EDEETAEQAEDDEEDDDFANAFEVLDLARVLYLKKLSVAEENDKGKGKSAVVSSDIKH IKERIADTHDLQAEISLEAERFSEAVTDLRTVLELRNSLYPLEDPSVAECHYKLSLAL EFASAQQGDEEDGNDKPKVDEEMRKESATQMEHAIASCKVRMAQEEQKLKAEKDGDED KATATKRKIANVKEIVTEMEQRLVDLRRPPVSIEQNDQANEAMLKGVLGQIIGQSATD QKAQLDAASKGATDLSAFVKRKPTKQPSQQPETAAKRPAEEPAGNGSKRSRVEDASA PEX2_108540 MQRFLDQDSQSTVLATCYSVEPSTSKVHGFHYCTTLGAGKYLGY SGIPEVPSGTKSSLLSPPHDILDSRAERTWPGNRPEYKGEATHNIPEECERLFCDKLS ATFLGERNSVRQESLGMDVYQNIQSNQIRHQHDRIQRWIEVWDYSSDAIYRGFVAEHN KERTLFVFFEDRALEHGLKSGATITFTFIHLDIPSNLEKPLRMSKDRVKTLQFPKFLL PSVFSTKPSAGLSPTDHRPQNTKTEKYLDGHVSYLRCAGCASHLCWTSQIISKGFTGR HGRAYLVSAEPVATAVSVSASSSPTASLPNTILQRPVPRQLVTGAHTVSDVSCTFCDS VLGWKYVAAEEESQRYKVGKFILETKKIAASSCWESPPGVDRTMQADHPYEIESGPAD TEFDSQDEDECEDLFAGIWSPGLAARRRNREIKRRPAMWGIS PEX2_108550 MSSSVHFKFKSQKEPSRVTFDGTGISVFELKREIISQSRLGDGS DFELSIYNEDTREEYDDDTTIIPRSTSVIARRLPAARPGKGGAARYVSGKMPVNARSA PRNEPSMSRVTPGTGQSVNNNVLELNNAQTEEEKINALFNLQASQWQEQQQEMANATP VPFGRGRGKPVNVPDHPPPPGYLCYRCREKGHWIQACPTNNDPKFDGKYRVKRSTGIP RSLQTKVDKPESLAPDGSTEDSRNTGVMVNADGDFVIAKPDKAAWELYQEKAKASAAA AAEAAAAEESKALQARGLECPIDKRMFLEPTKTPCCQRTYCNDCISNALIESDFVCPG CATEGVLLDNLTPDDESASKIKEYEADKAEAKKEKEKIPAGPDGTPVPEVSETELKES SAKKEQSPPNQIQETAPAQSKKRSAEDEPTNWSEAANSEPGNVPKKQKADDSHSDGPT QANSVQDGAPAVPPANFNTQDMPFNGFGPMQSMPMMPFSAPGFGNEAMGFMNPMAMAS ANGFPSGMGPGWNPMNMPFNPLQAGMFDPSNGGMPNGFPNMFNGDPSMMFPMPQNGFQ GPSSGMNNFSNQQRTAFSTPYSREEDSPYFRQPVNPQRHQARNRRVRPSDYREL PEX2_108560 MPTVHLLDYVAGNIRSLVNAINQVGYDVEWVKSPEDVKNADVSQ MPRTNFTVKLILPGVGHFGHCLSQLDKGGFLEPIRQHIEAGKPFMGICVGLQALFQGS EEDNDVPGLGVIPMRIQKFDDTSKSVPHIGWNSAINTGSDGTKHESFYGLRPTSKYYY VHSYAAPYNPGVLESDGWSVATAKYGEEEFIGAISRGNIFGAQFHPEKSGVAGLRAIR AFLNGDQFQSLPQDLITGKENGLTRRVIACLDVRTNDSGDLVVTKGDQYDVREKSGAD AGGSVRNLGKPVDMAKKYYEQGADEVTFLNITSFRNCPIADTPMLEILRRTSETVFVP LTIGGGIKDTVDTDGTRVPALDVATMYFKSGADKVSVGSDSVFAAEDYIHAGKKLSGQ TAIETISKAYGKQAVVVSVDPKRVYVDKPEDTHHHTIKTQFPNSNGQTFCWYQCTVKG GRETRDIDVRQLVQAVEAMGAGEILLNCIDKDGSNSGFDLELIRDVKASIKIPVIASS GAGVPAHFAEVFNKTTTDAALGAGMFHRGEYTVTQVKDHLQTEGFLVRQFEAEI PEX2_108570 MLIGLCGGICAGKHAIAEYLIQQGFQLLELASKPQSHITESGDD LRLQASEIRKKEDPKSSELTFQDADSLLDFATKRWQERWVTTDISDATTLDRFLLRPF FLLVSVDAPVSLRWKRFADRCWRRQLDPPELEKFVMWNDHNLYDKDIGRVYLTDKAQL RLFNSSSSLEELHSALQTLDLADEQRLRPNWDQYFMQLASLAAQRSNCMKRRVHGAIA EMEEESVCQPVFAFMPKKMHYWKQAARGFERGRSSIVIPQVGISEVVYSQGYNMDNDS AAILQEAGVRLRQFHPPTNGLIYLQAPERPTSEDQ PEX2_108580 MQYTPFASDIELPFYTSLASHKINHDKLDDSARPVLGLYEIRPS DPEAASCRIQIHGNALAGSEAPAGYYRAEDLKKYKFHYWFAFPAIHSDPQWVPVRSTD QLSQPHQDLDIDNLKGTHLSSHESTALVEAVQTWSYIVDHRQRGFFLARKAKQHSDNA SSSDSEIENAEPDDSSNSNWQIASLSEYENGFFKNVAAEDRYFCFSDPSNYEQAPGWM LRNLLVLIKQRWGIERVQLIRYRDVHAKRDQGRSTVIQLESGSKQEAQTPKSLQSQGS LPLPKVTGWERNSTGKLAGRIVNLTEYMDPKRLADQSVDLNLKLIKWRISPTLDLEKI KHTTCLLLGAGTLGSYVSRNLLGWGVKKITFVDNGTVSFSNPVRQPLFNFEDCLNGGV RKAHRASEALTQIYPGVETTGHALSVPMAGHPIVDEGVTRADFDRLKTLIDEHDAIFL LMDTRESRWLPTVMGKAAGKIVMNAALGFDSFVVMRHGITEDENPAELGCYFCNDVVA PANSIKDQTLDQQCTVTRPGVAPIASALLVELFVSLLQHPQGAAAPAPIARNTERDSH PLGIVPHQIRGFLSNFENLSVTGKSYPSCSACSDKVVSAYRDQGWDFVRRALNEHGYV EELSGLKEVHEKAEAALADIDWDEASDNEDIEIL PEX2_108590 MAMAQEACALDFEMSMRTMHLEKEYEKTLSDSARLLDGERDRVR RMELLLSKFENEALRSQLEEANGHLLGFTSADSEACAQLQEACQEIDHLELQAQASSS EINRLKEELSAQKNNLTSYNTVLAEKLHLSRNFSTLQSELEQLRAQNASYQAIISEKH EMERQISSLELQLDNEKHAHERTQAKGSQQMTEITQLSARVEELRNELAGKLRAKQQQ ERDNHNQNSAWANQRATFEGKIDSLKQQLRSTKDKLQEAQVEIQQRRSLKSHAVNESE SSSRTVPLQRPGPSGHAGVTIATPGAVRVQEKLKRDSAVPGDKSAFSITPFLNRTGAP SDSPMSSVGDEDDILGDTDTPHGLLSKPSTFGEPRRIGSALRRQLSPTEDRLPITKST KSRARDGAMPMSAPENEVKKPTYRLDRRVPPTETDELHEQFEHEHAKPKKRKLGGQRD RSLFEEEDEEEAHPTKKFGRKLAIGNGRASALGRLCSGVVVSEKVAASRTQPHGRVLG VDIIPAQPPKGVSTIQGNFLAPEIQTYIRDFLRSPDRGRPRQPGFLNDSSVSLLEPNP DIERTPKAEKTNMRGDKILERTVDVVLSDMSAPWHQTSGFWKRSLSAPYNRMMNTSGV SFRDHAGSMDLCHAALRFSSDVLKAGGHFVCKFYQGAEDKELEQQLKELFKKVHRLKP ESSRSESKEAFFVGLERKS PEX2_108600 MKLWTAIFLLFSVVSGVLSASSTPDKFARYQSLSRSGPVDLDSA TYEDLTSTPRDYYAVVILTATDPRFGCLLCRDFESEWNLIARSWTKGTKPDDLKVVFG TLDFDNGKAVFQKLMLQTAPVLLVFPPTIGPFAKVEGNPLRFDFTGPISADQVYSWIG RQLPEGPKPQLVRPINYMRIVSAITILMAVVTAIAVLSPYLLPIIQNRNLWAAISLIA ILLFTSGQMFNHIRKVPYVAGDGKGGISYFAGGFQNQFGMETQIVAAIYAVLSFATIA LALKVPRMEDVKGQQLAVLIWATVLFATYSFLLSVFKTKNGGYPFYLPPF PEX2_108610 MAKPDDVRMGNILASSATGTSFLILIQLASRVFTFASNQLILRT LSPVVLGIAAQLELFQVSILYFSRESIRMAIQRQPILSSPDNPKSSLEADSAATDSQS VASQAVVNVSYLSLAIGIPASLLFTMLYQCFVPEEASETAFFYHSVILIGAASLMELS IEPFFSIVQQQMLYEKRAAVEMPAAFLRSAVTSSAFIYASQVNYDLGVLPFALGHLSY SLALIGGYSLALLRRTNTTRFSFLLTRIQSRDPSNYFLGRFSRQLTSLAANVFFQSLV KHLLTQGDTMMLAALSGLEDQGIYSLASNYGGLIARIIFQPLEESSRNLFSALLSRDE DGKPKNIHIRTAKDHLVDILRSYQLMSILIFPLGPMMVPQLLHILGGRQWASPKIGDL LSVYCYYIPFLAFNGITEAFVSSAANSQQIRKQTAWMGAFSTCYALAAYMFLEVGNLG AYGLVLANIVNMVVRTIWSYSFIKSYLHRNGCSLHTSEVAIRPASFILCALASLFLGG FGLELGFIKACALSGSYALLM PEX2_108620 MASEAASQVTIGALSAIFDETKPQVREPIVQCVQIKALPAQPNQ PERYRAVFSDIANYVQTMLATQANSVVTDGSLRKGSFVRLKSFQSNSVKGKKILIILD LEVLKELGEAEKIGEPKPLEVKAEEEEVSQPTTISSNGFYGSKMEGAQAQPNSRVQPM PVPMSTSSAHATIFPIEAISPYSNKWTIKARCTSKSSIKTWHNKNGEGKLFSVNLLDD SGEIRATGFNDQCDMLYELFQEGSVYYISSPCRVQIAKKQFTNLNNDYELTFERDTIV EKAEEQNDVPQIRFNFTTVADLQTVEKDTTTDVIAVLKDVGETSQITSKSTGKPYDKR ELTLVDNTGFSVRLTIWGASANNFSVAPESVIAFKGVKVSDFGGRSLSLLSSGSMTVD PDIGEAHRLRGWYDAQGRSENFTSHASLSNATNSTGKTDRFKTVAQIREEQLGMSETP DYFSLKATVIYIKQDSTWCYPACLSENCNKKVTELDPGQWRCEMCDKTHPKPEYRFIM PISVSDHTGQLWLSCFDDTGRNIMGMSADELMQLREDDPSAFGEVFQGANCQTWSFRC RAKIDNFGDQQRVRYQVSSSQAINYSDEASRLADIIDSYSIEILVMGKRSHLEPTEQR PKKKSKSEKPAKASKDKQENGDEKTTSYSDASALSKIPQSDIDSFLTENVIKISDPSS PDASQFRPILSFDHLPECDAGLYAQLKSFPAPTPIQSTTWPLLFAGRDVIGIAETGSG KTLGFGLPCLKKLIDGKSSKPCQPKAVIISPTRELAMQIYDQLVKFGGTEKTQVTCIY GGVGKDEQRRALQKAAIVVATPGRLKDLQNDGSIDLGKVTYLVLDEADRMLDKGFEQD IKDIVKPMPVSRRQTVMFTATWPRSVRDLAATFMKTPVTVTIGGDPSADPRANTRIKQ VIEVVDGRDKEGRLVQLLTKSQRGHQSPEKVLVFCLYKKEAMRIENLIRNKGFAVAGI HGDLNQSDRFRNLDAFKNGNATVLVATDVAARGLDIPNVKLVINVTFPLTVEDYVHRI GRTGRAGAEGLAITMFTETDKGLSGGLINVLKAAKQDVPEALLKFGTTVKKKQHDVYG AFYKDVDMDKTATKITFDD PEX2_108630 MASCVHTGATDLNPPVSSQAVYREDCTQCFDSIDDVAGLNVCLH CFNGGCAGNRDHALLHYKRFGHSLALNIRRTPKQVQRDEPPPKISKLSIAAETEEDRY DIATSVVCYSCSHDNVDKASGKLPAVIEGVMTAMTFSTREEVKAWEQEFVPCEHTLCL VQQDKTQAVLTGTDSLQHSTGAGANEIANSNHCSECDLGENLWLCLECGNKGCGRSQF GGTKGNSHGLTHADSTSHAVAVKLGSITAEGSADVYCYKCNEERVDPELATHLAHWGI YLAGREKTEKSLMEMQVEHNLKWEFSMTTEDGRELAPVFGPAFTGLANLGNSCYLSSI MQCIFSVAGFRERYYQLSEEPPLCQAPAQDLETQLRKLADGLLSGRYSQPDSRVMLSA DIPEVAHQKGLAPAMFKYLIGQGHEEFATMRQQDAFEFLLHLFKSVSLSKHTSPQINP VQDFRFQVEQRLQCLHCKKVRYKVDEQDNISVAVPARPATTSDETGRQYEPVNLSECL DIFTSAEVVELTCPACGSQDGFSKQSSFKTLPRELVVNARRFELVNWVPTKLDIPVLV DDKPLDFSSYLAGEHDTDEEQLPDIEESTAFVPNPEALEQLMGMGFPAVRCEKALHAT GNLDPETAMNWLFAHMEDPGIDEPLALDQVGTSGSTEQDATKIAQLGDMGIEASRAQR ALAATDGDVNRALDWVFTHPDDVVEKEEPSVGDHNAQSHSGSVGLTGLPARYELRSIV CHKGTSVHAGHYVAVIRKTLSGQSGLSWVMFNDEKVVKFEDVEAMKKTAYLYFFTRV PEX2_108640 MAFSLLLTAFEPYVDIPFNVWLTIILILTYGCALRNPGLLLLIV LGVSATIFAFNTTATLGEMTKTMCVLPLGLGSVLTFLVADRSLQTRFLPAFTTYVNFA VYANIGMMVGTPAGGTLRGMCSKIACVALFVWIVQKGHRVGWKTVIVHDNLFVFTAVS KSWIFAHACYRFVLLTLPCFGSGRRHRLLELYSLTLTFALSSTSKLPFEYFFGMADTL VVPAIVGWSATATTFNIIPRDTVNDDLLSSRIGTGADAFLSAVALAVAAFACFKIASA PR PEX2_108650 MVYSSDGFSWTPSTGRQEGLPTIGVVKPSSRSVQPEDDIHDVIV IGAGYAGLVASRDLATQGKTTLLLEARDRLGGRTWHATIDGFNYEMGGTWIHWHMPHI YHEVSLYGLHNDWIVTQNPGGKEDYFTAITESEQRNISHKEEAEICGRVFRIFCNVDG NDLRQTWKYAFSTNQSPELISKWDKLSCQDRLDQIRDQLSAEEASLLQAQIMQMGGNT LDKIGLVGVLRWWSLGSHTPTGLNDIGLHTRLRSGNSELHRRIFEHALSTNNLSYRFS APVQQIEDAEDIVTVTTRDGKTYKARSVICTVPLNVLASVKFSPQLPADKVAALQQQS VNRCNKVHIDINGPDYLSWGSMATPGKGLISAFGDHLTPANDTHLVCFGPDPECSAGI SLDNLDAVKDAVVHLLPENKQGEAVINRIVSHDWNNDEFANGTWSFPPPNATAKNLSV LQRPHGNVFFASADWSDGWCGWIDGAVQSGMQTAHQVILEQRKTAVVKKKVLPKGLSI DLSIDLSKGIVRCVI PEX2_108660 MAPPILPFRDINLHASPSHYAFTSPSSRQAPTLVVDRPTGDLRL NDGPLPGAKRISSIAGILGILKLKLDKYIIVITKAQPMGRLRGHMVYKVAGTEFLPMR ERPLHDTDEDAYLTVVKDLLRRGPMYFSYSLDITNSFQRQSQNAPNVPMWKGADDRFF WNRFIQSDLINFSLGANDTSGIRYGPQPGADPYILPVMFGMMRITPARVKSTSFTFAL ITRRSRHRAGTRYFSRGIDEQGNVSNYNETEQIVILNDSAGGLSGFGGGQSMTSGKAG QDLQVYSFVQTRGSVPVFWAEVNDLKYTPKLQVREVETSVEAARKHFAEQIRIYGENY MVNLVNQKGREENVKRAYEQLVRTLVTSTSESTEADERTPEKMHVLEPGHKEKEMDRL HYVYFDFHNETKGLKWHRAELLMGRLNDGLTQGGYFRGVESPGAPGGQLDTRSTQTSV VRTNCMDCLDRTNVVQSMLGRWAVTRQLMDAGVLRPGETANDDQEFADLFRNIWADNA DVVSKSYSGTGALKTDFTRTGKRTRAGMLQDLNNSITRYVRNNFMDGPRQDGFDVFMG TYLPSDSTFANIQLFLDQRPLVIQSVPYVLAASVFMVLVALFTRRLPDATVWPLRLFM IFWFLVAVYCFRFVHGHGMLYVNWPKLNTPVAGAEGYQDALIKARSDPIIGNWLPSRR HQRGISNARLVFLEEGKTRIE PEX2_108670 MASRQAAGARPGARFAQFKLVLLGESAVGKSSLVLRFVKDQFDD YRESTIGAAFLTQTISLDDTTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQ ASSLDKAKSWVKELQRQANENIVIALAGNKLDLVTESPDKRAIQEADAEAYAREAGLL FFETSAKSSTNVKELFTAIAKKLPLDQAGPRNLRATPRPGVDLRPEAPGTQGAGACNC PEX2_108680 MLRSSIAPGRQLLSNPVRQRIPSQWLSRANASNRLAGQRFFADS KPPTTGGPTPVSPSSESSVPPETILKAAEQESKLPPSPPAAAPRKSGRFRRFLIYLIL TSGFAYGGSIFLALKSDNFHDFFTEYIPYGEECVLYFEERDFYRRFPNALRHQNRLPV VPREEGKPVTIPGKSGLSWKLAEEEKANADSKKAAHESHTKSTTAKPEDRNATVIKAK EDTASKHTTKETKSESEPEAKKPVSLDEPRQPAVSESTIELLKLQDGDDAVVQDLVKT FNDIVTVISADENSSKYSAPVAKAKGELEKIAEKIAAIRSEARNTAQEELNKLHATFD ESARELMRQFEEVRSTDLASFREEFEAEREKLAHAYQQKVNTELRHAQELAEQRLQNE LVEQAIELNRKYVHQVQSLVEREREGRLSKLTELTADINELEKLTAGWSDVIDTNLKT QQLQVALDAVRTVVERAETPRPFVRELVAVKELAAGDAVVEAAIASINPAAYQRGIPS TTQIFERFRRVASEVRKASLLPEDAGVASHAASLVLSKVMFKKDALSEGDDVESILVR TESLLQQGDVDAAAREMNTLQGWAKILSKDWLGDVRKVLEVRQALEVIEAEARLQCLR VDIVALPAAMSQQPNEPPVRSSMSPANMRPRDRSPATAPSLLRSDEGSFRQTQPRKND GQASGELEGTTLGSGSRDGDRRTPGQRRTQSSSGFLLDSLPRARSTRVSLHRPRPSEP PQEKRSVPEPDIVVPKKRSRFPWSRHKHQASGVMPEDTSSSLISVQQASDPADRQPSG SSSQPEAQEENVTHSTPGLDRDSIQIVNLALNLNESRKRTASGIPPASEGRRPISVSQ PAVLTVDGYAYSPRARNFQRDSSYRNFTQVSGNRLSQGTLPSLEQSSVVNLLPPSAIE DHRAYEFFESTLARAERARNHFDLFHEYMRLLPSLPPLQDAAKGDAESKPRRPYRGYN PLQMIRNRKVRYREKCSIDAAAEGWHDVERVHQWINEVEQKYSQREYDQLDCLKLPPF QQGRRHVSMGEQEDMDVMPTSPESSLRRVSRTSSMKARRPRIDWKISPAELLADAAWL EYSTNKTKVVDKDGRKLYPDPTKLVIMDKSDDFGTPNKQQRLSVEVADPGEARSSPRT SVSGSHPALAQEFKSVGRGRHRHRFRSHSHSLRTRSASSKRKPSRWDHVKMRSGSVSS SSSSDTHPSVDEKPRMSRENIRDHVQRFVEHAHSGSRTSRARSPAVHGADIDRGRTPQ PIEPLSVNTKRARKHRKRSVSSAGSVDDRHDPRMSLEGMDSTAPNSPARVGYFPSIAV NLSPPSSRSPSPAKKGLRHKIVSRHERSKSKQADREREREDELLEPEAWRQRNPTTPA RTEGASKLEPSPLPDVVSSSYDDQGTQEGNRVDGHRSRKGPHLPESKLRGIFKGPGRI AEIVGNEVSKVGDLILKKDADPESRKSSSATTFASDDSDSDDESRGDRKSGPKGLLRR LPTFTDEPGRLTRRDSEKNSSRSFIPSLPTFTSPLRQDERSEAADVTSSSSHREGNQD SRDSPKKFLLPRSRTLDFSPSLQTGRTKRREMKDPSIPFSLTQPPVTGLANVRASPGL SPEGRRSGLSGASRSWSISGRSIHTLIDTGVPGKPEVERTRALLLSSGIKAREITRRA HTSREPVPHWLQSAVGPVASVPQVTRAGEFDLAAQCLLRRFEHTQHSFQQSMHHFSTS TSSPLRSQLRNLENLVNHSLAPRVRATANDAEDLCVQLNTTSTLAVKQLSDALDKGLR KRRRRLRWIRRTGFMVLEWALVAMLWWVWLIVMAFKLVRGVFRGAFTGIRWVLWL PEX2_108690 MLSTKDYSVGWISAIKPEYVAAEVFLDETYDRPADLSPDDSNDY TFGRIGKHTVVISALPKGAYGISSATGVAKDMIRSFTNIRIALMVGIGGGAPSPEHDI RLGDIVVGVPDNGECGVLQYDFGKAIQGESFKPTGFLSPPPRSLLGAVQGLDKQYTIK GHKIEEAVNEVLKNYPRLTREFGRPEPATDRLYKSDIVHPSESKAPCPTACGDDESLF IIRDERPEYENNSKVHYGLIASANQLMKDAIIRDGFVKKNDVLCFEMEAAGLVNYFPC LVIRGICDYSDSHKNKAWQGYAAMVAAAYAKDLLNRLVPAQVAQEEKVTQVLQAGNTN HNTNIIFGGYNSGVQIGQNNGTFTQGAARSGW PEX2_108700 MHDLLKDSPRDTPHAFAVNTPSDLAGVEEAARAGDFDVLRSVTY NRVWYMGQSYCASRESIDSCEPTLHSLWYVYCQCAKHVSYESFEQDKWILDILRTRGR GPLTRPAPGGGIDIARTTNGTVWNDLPFLATDMTEFWINNCAEMDSKQRLNAATFLAK LASTRVANDQLCQIALLLFRETFEAERPLGSSNESDAEKEDSQRSIDFLRIASLLPSA CAWIREAGQNLILLSDFSWNDCSHSTIATCGFIFTQSELGQRAPSGFSPWRWLYWLKR LHQIADEASKADEMILAEQAAQAIDIMLSNVKERNSRIVRVFEAAGDAVTQDKDFMGL KKKW PEX2_108710 MYDRELQFSTIAYIVKDNEQDILKLLSKKLHSWYIQSCIAVPRP IIERGENVPVFNGDKSVMVETEPSVVTGSILISLAEGEVNCKGSAFCERIGSSCDDAY RKGIHSNTYSTYLEYVTGC PEX2_108720 MHQSWNRWCSLRRMIFAVASTCGVFTYLIWFGMNSDRDNVPRLL TQLIPAGHCTCQSSTSFQCADCLTCVASPSSLEPKHLATWSFQYGRDDQNLGLTESQC QAAFPGLFQDIQRGVKYWKSQGRISRDDLSTVPFEDGMARAIISNGDLYVVATRAKGD DHRRKILGTLGSIHRALSASSNRTLHPTIEFIFSIEDRVDDVDAAGHPVWVLSRKASE ESVILMPDFGYWSWDKSNIGPYGQVVQSIMAAESNLKFADKERKLVWRGKLSFAPKLR RALLDIARGKSWSYVKELDWSKQANFLSMEDHCRYMFIGHVEGRAYSASLKYRQACRS VVVAHKLQYIQHHHYLLVSSGPEQNYVEVERDFSDLPKRMDELLKNPAKAEYIANNSI KTFRERYLTPAAEACYWRALWEGWLKSRRMSHATLNGRRSIGDSETKQSSISPATPSS RSYKEASTSRWQLQSLFQSFLEDRPANTMLAVQERRLLVSWDLKAIHYLRRCLRTWIQ DKVPGDAIGAFLVTILYEQDFHLDYRLTMMVQDRQTQLHIARRLLAFYQIRDEAGTPI WDNVTVYDEDARPKQPAIHRKSVAGSFAIARKELPPRIPTPPPADPRWPLFMGALSDP EIEDLMDKLGDDDQHSGEDLPPLFTGVREEDIPIIQAFRRFNLHKDDSSEDLPLPESR TDRIGDTSKQTNTSQDKPLPPPITRKPLPALPTDKPLPAFKPLLPLPPLSRISSPSPS SVRVSTFKSGKKLKVRWADRMPDRHADIQQGDIKDDLRSSAPARPELSASGNQPQLSR PEDIIHAHIRTPSHYTAPPPPPQLRKKPAVEDLRKAGEGSG PEX2_108730 MRSPSLARLQSRAVSGLTRSARFQPQTLLRQRCASTAAFRSAAV APAYQSKLGQWDQRRNASAVASAVLEAAKADPESLSQAAIIDSLDPVEAARLSMCRNI GIAAHIDSGKTTCTERVLFYTGRIKAIHEVRGRDSVGAKMDSMDLEREKGITIQSAAT FCDWVKKDKEGVEQKYHLNLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQSQTI TVDRQMRRYNVPRISFVNKMDRMGANPFKAVDQINTKLKIPAAAVQVPIGAEDEFEGV VDLIRMKALYNVGESGEEIVEKDEIPEKVKAIAEERRTMLIETLADVDDEIAEMFLDE IIPSEDQIRAAIRRATIGLKFTPVFMGSALANKSVQPMLDGVIDFLPNPAEVENLALD QKRDEASVKLVPYNSLPFVGLAFKLEESNFGQLTYIRVYQGTLRKGANVFNARNSKKV KVPRIVRMHSNDMEEVSEIGAGEICAVFGIECASGDTFTDGTLGYSMSSMFVPEPVIS LSIKPKQSKDGANFSKAMARFQREDPTFRVSYDSESDQTIISGMGELHLDIYVERMRR EYRVDCETGQPQVAYRETIGNRVEFDHLLKKQSGGPGEFARVMGFMEPTGVLEENKFE QQVIGGSISEKFLYACEKGFNLSCDKGPLIGHKVLGTRMVINDGATHMTDSSEMSFKN ATQQAFRKAFAESQPSVLEPLMKTVVTAPTEFQGDVIGLLNKRGATINDTETGVDEFT VYADCSLNGMFGFSSNLRAATQGKGEYTMEFSHYEKAPPHMQKELIAKYLKAQADRHK K PEX2_108740 MFSLRSVFGASSGALRQFLPSVTTRTASVRPFSHMIRNSLTRLR GQVKSGNAVAVANGSARQVEQVRGMKTRSSVKRLCDGCKPVHRKGRVYIIW PEX2_108750 MSDETTSGVATPVTPKMSGLALTEYTAAPTPPSEREQRTPGLPP NWGIPEAFLLPNGYPDYLRLILTSRVYDVIEESPLTHAVNLSARLKSRVLLKREDLLP VFSFKLRGAYNKMAHLNNEQRWKGVIACSAGNHAQGVAFSARKLRIPATIVMPSGTPA IKHLNVARLGGSVVLHGMDFDAAKDEAHRLEKLHSLTNIPPFDDPYVIAGQGTIGMEL LRQANLDKLEAVFCAVGGGGLIAGIGVYLKRIAPQVKVIGVEAYDANAMAQSLDTGDR VFLKEVGLFADGAAVKSVGEETWRLSREVIDEVIQVSTDETCAAIKDAFEDTRSIVEP AGALALAGLKKYIAKNPSPDPNRELIAIASGANMDFDRLRFVAERAALGEKKEALLSV KIPEKPGAFAKLVEVVLPHAVTAFNYRYAREDSADVLMGISLSASTGREDLAKIMGEL EKGGMSCRDLSDDELAKRHVRFLVGGRCEVADERIFMFEFPERPGALAKFLTTLRPEQ NISLFHYRNYGGDVGKVLAGIQCPSPEKEDLEAFLRDLGYPFSEHTDSPTYKTFLRSE PEX2_108760 MNRDPVPSSFDEGNPQFTEETGTQKFTRRLKEEPLVPLGCAATC YALYRAYRSMKSGDSVEMNRMFRARIYAQAFTLVALVAGGMYFKTERQQRREFDQAVE VRKKQEKRDAWLRELEIRDKEDREWRERHAAIEAAAKEVGKKPAPRNEPEAARSSIEP ADEKSIGIMDAVRALISRD PEX2_108770 MLSWIYPLRIIQAILSLATIGLTAYEIASLYDEWSYSNVVYYML FNGCWTTAIAIPYLGLAPLRFPRFSHEIAIPLIELLTGGLWLSGWIALAAMIPSPGAC HYVSCHALQALIVVGAIQWATFVITNTFAILDLKNSRQNAKNHRNDASPEMTGADANP NTTAATTTDAAV PEX2_108780 MAAFSQKSIMGTIFETTERYTEWKPLGLGVSGLVCSARDQLSHR TVAVKKLAEPFKTPAIARHMFREMKLLRQLRHENIINLTDIFISPSEDIYLVTELMAT DLNAILKAKTVDDQFAQYFMYQIMRGLKYLHSAGVIHRDLKPSNILVNENCDLKICDF GLARIQEPHMTGYVSTRYYRAPEIMLTWRKYDEKVDIWSAGCIFAELLLGEPLFPGTN HINQFCVITDLLGNPPEEVVNNITSENTLNFINSLPRRDRKPISQLIPKANADAAALI DKMLEFDPKVRISATEALESPYLAPYHDPNDEPVAAEKFDWTFLEANLPADIWKTIMY SEVLAFHAEIDQSSQSGPMKPIRQVDGMDIG PEX2_108790 MKSVSPTSPSQPVSPGRAKHLERNRVAANKCRERKKREHKQIER RLTDETEKKDILLAQLNCLREEVWDLKNLIFQHAECQDHQINHQLARMTQTVLQGPPN QDPNSSLPTRSSPTFSTGTWSDESVADDANANPIGPGAYNNDWTVLPAIANDFTPYEP NGNGFTDSLFENFINADNGYT PEX2_108800 MRPSHRFLAAISRTQPSKLKKPSISLDHFIQRQRVLSLWREIVR ALIKVPPSSTRTELHRYARDEFERHRNVSDVQHIRYLLSTGKSEFETMRRYIDEQIAG PEX2_108810 MKHSAPQLKDQSLFIEKAYINGEWVDAKSGETFEVHDPATGKLI GTCPELDTSDVEKAIQAASEAFPKFRTTLGRERARMLRRWYDLMVENADDLAKLITWE NGKPLADAKGEVNYAASFFEWFSEEAPRTYGDTIPASVPGNRVITVKQPVGVCGLITP WNFPAAMITRKIGPALAAGCTVVAKSPQETPFTANAIAELAHRAGIPKGVVNFVTSSK NTPAVGELITTHPEVRKVSFTGSTNVGRILGKQSATTIKKVSWELGGNAPFIVFDDVE DIDAAVMGAINSKFRSSGQTCVCANRIYIQEGVYEEFAKRFVEKVKEFKLGGGFEDGI THGPVIHERAANKAHEHVQDATSRGAKIVVGGQKATALGPNFYHPTVLTGMTKDMLLA SEETFGPVAGLFPFKTEKEVVDLANHAEVGLAGYFFSGNVHRIFRVAEALEVGMVGVN TGLISDVASPFGGVKQSGFGREGSKYGIDEFMTIKSITFGGMTNALQE PEX2_108820 MFYNIINSERRSGDTATVTNPRTNEALWEVAVAHETDLNDAVKA ARASFTSWKLLSIEERQKYLLKLADELEQRRDQIHAPLAAETGKSNILANIEIDDTLA FLRFNAPQSLPDKVDYEDGKLKIVSTHHPIGVVGAICPWNFPLVLAVGKIAAALVMGN SIIVKPSPFTPCSTLKFVELATSVLPRGVLQALNGDNDMGRLISIHPRIDKISFTGSI ATGKKVAESAAQTLKRVTLELGGNDASVICPDVDVKTVAAKVAGGVFFHSGQMCVATK RVYVHETIFQEFRDSFVEAVKNIKIDLSGDQSPLFSPVQNEQQYKIVKDIIADCKENN YTLLCGGNTDDKHLGLFIAPVVVGQPPHDSRIVQMEQFGPIIPLMKWSTEDEVISRVN GTDTGLGACVWAKDVDNAERIARKLEVGSVWINSAEIPNARGYCCGWKQSGIGGEWGN QGLMSYSHTQIIQLCK PEX2_108830 MSSGSKQRVPSYQNDSQQGLKFYFETQKLAIPIIQPHEVLVELQ VTGYEGVGRISAVGSWYTSEAATVGRLVGIGWIRDACGTCRVCDGDIKDETRCLEQVF SGRDVPGTMARYTVVPERYITPLPDGVPSEMLAPIMCAGATAYKALKVANLPIDGLST YRNRWRRAAKTSLHGRRSRCPLDFEKEDNLRSAVLLKTEGKLCSAIIVCAGATAAYEK ALDCLDYHGTLVAVGIPPPTSKISLHPLPLIDYGIRIVGSITGDRVDITEAAEFVRKG LVKPRVTGIGLHELESYAGRVNELDGKLVVRLGAKVGKPQILAVDIIRPLRLNMALQN VPTIRHILLDAAVLGNKLSYSPKTEPLSDEDLDRIAKQLNLGRWNFYGALYGPERIRT ALWETVKEAFSVIPGVKFYFPEDTPENSILRIRHKTMQGIPTYDELKWIDWIPNGAHL FFSPIAKVAGEDAMLQCGITKKRCQEAGLDFIGTFTVGMREMHHIVCIVFNKKDLEQK KKVQWLVRTLIDDCAANGWGEYRSHLDVMDQIMGAYNWNNSSFLKFNEVIKNAIDPNG IIAPGKSGVWPTTYSQVTWKL PEX2_108840 MQIFDPLTPAEAIHRIIALQQDGSCKSIWYCIAASAYASANAGP QVTEVYKVAIANTTSAAERRHILQRILETLIKGTMLYGVPRLLNSFYPLVSYVEKEGL LEGTSPEPVLDVRKNMANPFDLQERGLKYFQNIYRDDMDKIFAPMHKFAPDIKQLSVL LEYGPYLSETSILSPIETSQITIAALVALDVPMQVKWHMRGLIRNQGTADMVEYALHI AEIVRVAEGVQLKNGLPDLRAIQEETLF PEX2_108850 MRTTLACEWCRKSKLKCHHNGSPPCKACASHAGRECVLTTPQVV RRQPKPRIASRYPYLENPGPAERAPDNNADVEPPELTPRPLESTNPVTTVDREIVRRA CQIFTQQFPEFGFLHKPTVLDHIQTNTISSLKLCAVVALCARYLPEVTSRYGSALAAS EHFAEYVRKNIMERVTSHADIDVIQTLVLLGLHDWGCCNGFRAWMYIGIAIRMAQMLQ AHLGDDGKSEKSQISVVLRESIHRTIWACFVIDCMLGCGKHRPRSFEAEKIEIPLPMN EEDYIFGTDASADPMYLTSHAEAPLPTQKLGVEYCLSLTIQGFDIWSTLSRWICSGGR RSNLPTSSYPPWHKTSFWHQTMTALESWRATLCPRLLYSSSGLNLQAQISRTQGESFA IINLLYYTMVIYLNREYIPFLPHRVSGPCGPIDSPLLKEHAPPGWWDRGSRELFGSAS SIIHIMRGLQKNGIQLQTPFTSFCVFTAAATLSYASAWPHMAPGTENAREMYIWGLEW LQQSCKLWKIAVGWCDTLQNVDTLYTCLKRDSLRFHHVGREPFMDLEDSIQRFAETKA GPLPAAKILLALSGTPQLEIREPGRDVSSRSRQTDTHDRGDQDGTVDSQGSVGLAQQS PLEYTSRDFFASQDLIASMMGEGIGLDWFHELSW PEX2_108860 MVDFNKKRPSFNLGVVDVEASDMKNIDKNADVGLQFLAQAEKIE YTEEEEKAVRRKIDLHLLPILCLTFGLQYLDKVTTSYAAVYGMRKDLGLVGQEYSWAT SMFYFGYLVAQAPSSYLLTKFPIGKYASFNVFLWGIMVLLCACAKNFAGLAVLRFFMG VFESAIGPCWVTMMSIFYKNGEQGSRVTTWYGFVGLAAIIGALLAYGVGQTDSGVAEW QLVFLICGGFTVLWSIVIFFFLPSDPMTARFLTPRERLIAVERLRSNRTGMRSSIFKW KQAMEALTDPQCWMIAAWAGISNITNIAGSFLPLIIQDMGFSGLTTTLLTLPVGGVEI IAMLVAGLLSSRMKNGRTVIMFLVSAPTLVGIVLLDVLPQSSTWARIVGVWLVLCVPA GYAILLSLISSNVAGFSKKLMTTSMVFVAFCVANIVNPQLFISTEAPRYGTGLRGMLV SISLVLFLCILMCFYYNFENRRRDRESAKLSEGQQVVTVENEEFFDRTDKEDWVKFRY SW PEX2_108870 MAPMLKETTGGMELPNSASSQPYWSKSPSPSLLHRSLHEKPIVA ISASGLEIALQNGSKIIDACGGAAVAVIGHGNLEVIEASLTQMKAVSYVHTLTYTTSS AEQLADLLVGHKPGGLCKAFFVGSGSESVDGAMKLARQYFYEKGEPQRTHFIARKQGY HGNTFGSMSISNNLSRLAPYSDILLPNVSHVSPCYEYQFRGEEESNTEYVARLAAELD AEFHRVGPGNVVAFVAETVGGATSGCITALPGYHAAMKAVCRRHGAMFMLDEVMCGMG RTGSTFAWEQERDEEGQPVVPDIMTLGKGLGGGYAPIAAILVHENVIDVLDRGTGCFN HGHTYQAHPVSCAAALAVQKIVRRDRLVENCARMGHLLEAKLRTHLGKEAYVGDIRGR GLFYAVEFVKDRQTREPLDPSLKFGPAVQKQAFDMGVAVYPGAGTIDGFKGDHVLIAP PFTVNEGDLEQIVTILASAYRMMVKKLVKLH PEX2_108880 MQNVDSIGGLEDLNLPRRRGQNVDGTEHSLDRPWVKNPPTSEHE CLNLNISVPCTPQSPELEPGLPVMVFLHGGAFAYAAGSAGMYDGRVLADISRDTQIPT IIVTLNYRLGVLGFLASEEIMAYNKSHGEAGVGNYGLWDQVEALRWLQKHIRAFGGDP SRVTLFGQSAGGVSTNVHLLRGEPLFSGAILQSGLLPLCGIMTVEQYQNVYEKTLEVL GIPTDLSPQERFAQLLQVDEARLTASMVDVFMIPVVTLALCDDHVLIKSPMPSYNSFS TFQPPAWCQHIMIGDAGNECIIWNKAYRDLKAEGLLSRCAAVVGLEAARKLLSIYNIT PSLSPTETFYAIEKFTTDGMYLAMNYDAMRAFPSCFAYHFDEPSPYDNEWGGLAHHSL ENVFIWSTLRHTLPPAQQKQSERMARLWLRFAQGEEPWERFSRAEKMMIFANGTTEIK TPAEDSGRGYERWAQVQREGLQEAFGRLSDDLCILRRNLLDMNVKPGPMEVVKPAPTV GEMTGEIGIL PEX2_108890 MTTYIENGATFPKQQDTLLHEARRATHSEHELTFLRALRLYPKA VAWSTFLSLTLIMEGYDMKLVGSLFAQPAFQKRYGQKLPDGTHGISASWQAGLSNGAS VGSLMGLLINGYLSERFGSCNTMLASLAFMTATIFIPFFAPNIEVLLVGQVLMGIPWG IFQTLTTSYAAEVTPTHLRAYLTTYVNLCWVFGQLLSAGVLRGVLTRSDQWSYRIPFA LQWVWPIPLMIGIFFAPESPWWLVRKNRLEEAKAALLRLTSPGRIEFDADQAITLMVV TTEKERESGTGTRYIDCFRGVDLRRTSISCCCWGIQILSGTSLRIYSTYFYKQAGLPT EQAFTMSIVQYALGIIGVFVAWILLPRFGRRSIYLWGLAILAVLLLMIGGLGVAESRS PSPADSSGGSGLAWSIGSMLLVHTFFYDVTVGPVCYSLVAEIPSVRLRSKSIVLARMT YNILNIISNIITPYMLNPSAWNWGAKAGFFYGGTCVLSLIYTYFCIPEPSGRTYAELS ILFQRKVSARSFAKTHVDLAEARALDRDSPKSD PEX2_108900 MARVAQFVVSGLLAATLANGQSFDGTARSEDAFSYVQPKNTTIL GPYGHSPAVLPSPNATASGDWEEAYAKAQKFVAKLTIDEKADMVTGQPGPCVGNIVAI PRLGFPGLCLQDGPLSIRVADYASVFAAGVTVASTWDRDLLYERGYAMGQEFKAKGAH IALSPVAGPLGRSAYAGRNWEGFAADPYLTGVAMEKTIRGHQDAGVQATAKHFIGNEQ ETQRNPTYDSNATVTDVIQEAVSSNIDDRTMHELYLWPFANAVRAQAASFMCSYQRLN GSYACENSKALNGLLKEELGFQGYVMSDWGGTHSGVSSIEGGLDMNMPGGLGSYGLVP EAGSFFGKNVTYAVNNGTVDESRVDDMIIRIMTPYYWLGQDKNYPEVDPSSADLNTFS PRSTWLREFNLTGERSRDVRGDHAKLIRKLAAEATVLLKNEKQVLPLKAPKNIAVFGN DAGENTMGPVNQATFEFGTLGSGGGSGTGRFTYLVSPLEAIKARAQKDNALVQYFLNN TQIATKNVTDLWVPTTPDACLVFLKTWAEEGADREHLTVDYNGNDVVESVAKSCNNTI VITHSSGINVLPWASHPNVTAIVAAHYPGQESGNSIVDVLYGDINPSGHLPYTIAKNA SDYNAPPTTKVSTNGTDDWQSWFDEKLEIDYRYFDAKNISVQYEFGFGLSYTTFNISD INTERVADSIPSVPEEQPIQPGGNPALWETIYNVTVSVTNSGDVKGATVPQLYVTFPD STPEGTPPKQLRGFEKVSLAAGESRTVGFELMRRDLSYWDVVSQKWLIPEGEFVLRVG FSSRDLKEVAKITPVSA PEX2_108910 MATKLVFYDIAMRPPVEKNNSPVNTWKTRLALNFRSLPYSTNWV QLPDISSVRTGLNIPACRKFTDGTDFYTLPILVDPTTSRKLGDSFDIAVYLQKQYPAG NDLFPPQPLDYVFSTDVAILIPLSECDEGEYPEYARFNVNVDSAFTSHVILMAQGVPF DPATAEEMKAEFVRRAGVSCWEDFVLTGEQREKLIRSFRDMLGELAKLFGRDGPFLLG DRASYADLIVGAWLRMASMTLPREEWELVRGWHGGVFGRLHDALEIQAATGCVGAALS IGIGAVISAHGGTGTSDALIPYRISVDNVSYAHTTHEGVTHNFINTSVLYHDWHHVHA IDQPMNLTVSMLPDGRVHARTVGFSAMDCGDNCPDKNATNQLAARSGSVPSHVQEIVF AWSARKGWSSKTETRLKGAVFGAAYYVTHEPSGAFQFCSSAYLTDGTIAHVGFNFYGD KYTPNIPPAC PEX2_108930 MENYQKIEKVGEGAYGVVYKARELNYPNRIVALKKVRLEAEDDG VPSTAIREISLLKEMKDPNVVQLLNIVHSDSLNLYLVMEFLDLDLKKYMDALPISEGG RGKPLPNGSRISLGLDKAMVRKFMAQLLEGVRYCHSHRILHRDLKPQNLLIDREGTLK LGDFGLARAFRIPLRRYTHEVVTLWYRSPEILLGSPRYSTGVDMWSVGAIFAEMCTRK PLFPADSEIEEIFMIFRLLGTPDEESWPGVTALPDYKSTFPQWKRSGAPLVPGLDSAG CELLEALLQYDPVKRLSAKQACLHPYFRKGSSYYSGRAH PEX2_108940 MSVQDNARFDFIIVGGGTAGNVVAGRLAENPNVKILVVEAGVGN SKDIEEIRTPSEAMQLRGSQYDWAYKSTIVKRDDYERIEKPNTRGKALGGSSSLNYFT WAPGSKGTFDMWEEYGGKEWTWDPLVPYLRKSTTYHDDQKAYAPELKKIGQNGPIPIS HSELIPEMQSFRDLLTSAWKSTGQPINENIFDGEMVGLTYSVNTIYNGRRSGSFLCVE KPNITILPLVHSKKLIIDNADKTCKGVTVITGSGQELNLYATREVIVSQGVFETPKLL MLSGIGPSAELKKHGIPVILDNAHVGQHLLDHPGVPFVLRVKDGYGMDDYILRKGTPH NNQAIQAYQTNHGGPLGSGFLEMVGFPRIDKYLEKDPQYREAKASNGNKDPFCPYGQP HFELDFVCLFGSAFQWHYPTPSKGNYVTVMVDLVRPVSEPGEVTLNSDDPLQQPNINL NYFNNDLDIIALREGIRYTYDVLKNGPGFKDIIEDEYPWEMPLHDNKLMKMAVLDRSQ TSFHPCGTARLSKNIQQGVVDPNLKVHGIKNLRVIDASVIPVIPDCRIQNSVYMVGEK GADAIKRDHVDFYKS PEX2_108950 MGDNKYYTLAEGCPVASNKMAVMIRDRKRQGGGLGLLQDTHLIE TLAHFSRERIPERVVHAKAVGSYGEFEATRDCSDFTCASFLSKVGKKTSVLQRVSTVG AESGSADTSRDVHGWSMKLYTDEGNLDWVFNNTPVFFIRDPIKFPSMNRSHKRNPRTH LPDASMFWDFHIGNPEGIHQLMVLFSDRGTPKSPRYMNSYSGHTYKFTKADGSFKYAK IHVKTQQGIQSFTREEATKIAGENPDYMIQDMFEAIERGDYPVWNVYVQLMTPEEAEK YEVNIFDMTKVWSHKDFPLQQIGRLTMNRNPQNYFADIEQAAFSPSTMVPGFAASADP GKSRQFLNFPPRISPKLTCDSVLQARLFAYPDAARYRLGVNYQQLPTNAAKVQVYCPF QRDGKMRFDHNYGGDPSYVGSTIKPTNFYQDVKGSNPEALFLHTEHEKWAGEVSAYSS EITDADFVQPAALWKLIGREPGHQDRLIDNLVLSIKDVKYPDLRKAVYGLFSRVDKVL GSKLQERTEAAIKAA PEX2_108960 MSESPSPIPHFDPSTSGIPTAPPYGHKRRMSPRASSSSSGTPQF TFVTGNIQSEARSHAMREHWKRRHQHNQEAKIYHQKRLSRTLPLLPKSGTNEDVSQTA DAISSPWLEQNWDMDLVENQEKHSGIPAQLLYGVSQALSSSRPDPFQTCPVHLTSQHQ KLLHHWIGTHAAMMFEDLDVTEFNPMRDVWFPLDLSNASSFNCIMAHSAAHLSHLYAG TLPRRGSNSSDALKYKIEAFRILRIWLGDPEKELSDDSFAAVVRLLTFERYWGTEEDW KIHRDGLQRMIEAKGGVEALHHNWRLELVVYL PEX2_108970 MGSVYTKGLSSFPFTHAAVELLRHNFQLSIQSSSHDTHITEWED QMLGCLFSISVVVQESISVLSNGDSATLTGPNILDGLEISLRHSRHVWGRSTHNLRSI LYESLTRLFAEGEFKVNYVMDLVQVLGTLSLEARQGVEKCLLNLLYCLGNHDSRSSSR LLIDDGWSPDSLLSSMHGH PEX2_108980 MPQDTHQPTDHKESFFEKILDHHHHKHGNEGPAAEDKPEGSKGG LRSELKKEEGGFKKYLEEDKQLEEEGQTYGGLM PEX2_108990 MPSSSAEMTFNVLTTNAIDLQRLLETNRTTSAQIVQEYLAQIDR YEPALNALISPAPREKVLEIATARDEERQNGNIRGPFHGIPIILKDTFVTALELGMST TVGSYAFIGAKASKNGAITQRLIDAGLIILGKANMTEFAGMKMTMMMPGWSAHGGQTL SPYVGKIEDNEKILGHSAPGGSSTGSAVAVAAGFSPLAMATETIGSIVTPSTRAGLYA IKPTIGIQDTTGLYTMTEFFDSPGPMAKSAADVRVLFEILLSRPFSSPQLDSWEGLSV GFLDPKIWTMSPDFCAQFEGTAEQMVDEYEETVSALQSGGCLLKYPIHCKDPSVLPAT ILRIAYWDFKNICIPRFIRSFDECSVTSVADIVKFNEENSEKALPTPFVKQNDLEGAM NAVEEKEQIDELKQDLRRAARDILDDLFDKEKINILVAPGDSSLCVHASAAGYPVATV PIGQLRYNDRPFGLCLVARADEEETLLRFMGLYESVAKPRPVPKI PEX2_109000 MPRHQLIECLTIDGTTISGWLYTIEGPAAPAIIMSHGFNCVKEI TLPDVAESFQSAGYNVLVYDARSVGASGGIPRNLSDPLQMAEDLSDIYTYVARLPSVD SSRIILWGMSFGAVISTTCAAIDRRPKGIVMVCPAFSFVQPHKADNAYRQLIKDRVSQ ISGNEPHSVRPFTSKGDNLIGFGGAGGPGGVEAAMLMKAASELGLPTFRNQITLQTYQ KLALFRPKEYLDMIRAPVLMIIPELDDVSPPQDQREALGRIQSLKKEYFARGKGHFNI ATGEGLMEIIAVTLGFFDSILQHTF PEX2_109010 MVDINSPTSRQLLNHSEATLAKETSVDNKIYGSTNMKQTPFRQE PVAVVGFACRLPGGNNSPQKLWEFLERGEIASNQVPRERFNIDGHYDGSHKPGTMRPK GGMFIGDHDLADFDASFFGIGGTEAIAMDPNQRQMLEVVYEGLENAGIPLEKIDGHPV ACYVASYASDYGDMQNRDAEDRPDNCGVGVGRSIMANRLSYFLNVKGPSITIDTACSG SLVGLDLACRSVQSDEANIAIVATSNLYLNPDHVMDAGSVGRAHSPSALCHTFDADAD GYVKAEAVSCVIVKRLSDAIRDRDPIRAIVKGTASNSNGRTGGIASPSYEAQAAAIRS AYANAGIVSFHETAYLECHGTGTQAGDPAEVRGVGSVFAATRTGDKPLLIGSIKSNIG HSEPAAGNSGLLKVIMSIEKGVIPGTPLFIKPNPKIDFEGFKVKVFRTAVPWPDEGYS VRRASINSFGFGGSNAHAIVEQPSAVMRSFYRSSYALATEGDGDGDNSDDSWENDAEH SDRPYTLIVSANDAQCLRENVRALTRHLINPRVHVQLADLAATLSERRSRLWHRAFVT TRTTEVEEKDFVFGKNRGQAPKIAFVFTGQGAQWPQMGKEILQFFPWTRTILDELDTA LQSQPDPPEWSLIGELTEPRAGEHLRQPEYSQSILTALQLCIFAVLEAWGINPSSVVG HSSGEIAAAYAAGHIDRASAIKAAFYRGRAAVNCKSQGRLDSDVGMLAVGLSSQATLE YLDKYKGSAWIACFNSPSSVTVSGKQSTLNNLADEIKAQGHFARELQVELAYHSELMT PIGEEYFTLLEDDAQFQPLQGDGACKAKMFSSVTGSLKESMPDVLYWKSNMVSPVRFS EALLELVKTDAPTMIIEIGPSGALAGPISEVLKTLPTGEEIQYCAAWARGGNSGKSLF DVAGHLFAIGGPVNFAHVNEYDGTEVRTLVDLPNYSWSHTVKYWHESAASHDWRYKQF ITHDLLGSKIPGTAWESPTWRKHLQVADVPWLRDHKMGPDILVPAAGLAAMALEAMYQ KYCALNLENVVAPNDLAYRFRNVRFERAVVVEESKPTTILLTLTNVPSSKEWHEFRIR TVALSVSYEHCSGLIRIQDPIGDDEALKGEQLAPLKNPQSAQLWYKALQEVGIRFGPT FQTMKSVESFSGSRTCRTIVSLEPPASRWDPQSCYPFHPAILDGFLQSATPANAAGER SLIKDSVIPALVDDMIINKIPCNLVEGLSVAESIYTGRGRRDVAKSWTANIAIHHPHT GALLLRLRGLNYIRLDVDEKPDVHVFSTAIWNPDISLLTQDQFIHLKPSNMGSSRIDT VLDLVAWKVPTLQVLELNMDEEDTSSLWLEGGDERARSAYGLYHLGSISPTKMVALKS THESKPNTEIHLLDLGKDKLGLPARDGLYHLVILKTAMRCDVATSAESIIERLDSLLR PAGFVLVVAPAEPIETQGNEPTQGKLIGDIDGVSTQEFSPSSGSMMPLPQSGSVLQIN PEPDHHATAYLWRQLVKTPQTSSSQQRHLTVACFNDDAPTLSSTLKSSLGAAGWSIQT VPITRVAAVANNNDASQSVLMVLDELFKPVLTQIGESEWEALKVVISTGQPLLWVTKG GQTPQVSDPDNALVQGLFRAIRREDPQANLTTLDVHSATSPATNQAIEWLLEGLLGGA GGETEYAERDGVVLIQRLTPDRAVNEFKAAENGNGFQPVVKQFHETKAQVRLQAEKVG TLQSLIWCETAVGEVPMEPGMVEIEVIAVGVNFKDVATTMGIVPENEYTIGCECAGYI KRIAPALQTHLQVGDRVAAMASGTYANRVQCPHRRVHRIRESLSYDEAATIPLVYLTA IYSLYHLGNLQAGQSVLIHSAAGGVGLAAIQLAQYKKCDIFVTVSTEKKRQFLAHTFG LPENRMFTSRSARFAEEIRRETNGRGVDIILNSLTGDLLDESWRLTADGGTMVEIGKR DIVDRNSLSMEPFDRNCSFRAVDLSYTRTITNELVGNLLGEIFDLVNSGHVRPIHPIT RYPFDQVISALSYMRSGKHMGKIIISSVQEATDLQLPIRSAVPMLNLDPEAAYIIVGG LRGLGGSLAVYLAQHGARYIVSISRSRVHDSASARVHANCDTYGCEVVEAKGDIGDLD FVRRVFRSIQPRRVAGLIQGAMILRDNPYETMTHDDYITSIHAKVSGTWNLHLAAQQE QPQPLDFFTMLSSISSIIGNKGQANYAAGNAFLDAFASYRNATGLRANTINLGLIEDV GYVAEQGSTLEARFDRRQWVPINEGTFRRIVSYSVFQQQGSIRGSINSAQLITGLAYP LDANSNATADLKAEPRFGFFFSSHSIGGNSSNNDNGGQDDPATGAIKALHLLHVSQAD VTALRKVALELLQMQLIKILRLEAEMEPGKPLMTYGLDSLSAVELRGWVRQKIGAELS TLDITNASSLTALSERLVSKLPSVR PEX2_109020 MKYVQLGTSGLRIAPIGVGCMSFGNPDGRYKWSIPEEEAFPVLN HCYESGLNFFDTANIYSSGISEEILGKAIKKYNWRRENLVIATKVWGPVGHGVEQPMA MTDDEKDNSGYVNQYGLSRKHIFESIDASLKRLDLPYVDLLQIHRFDPRTPVKETMEA LHDVVKSGKVRYIGASSMWAHQLLEYQYTARIYGWTEFISMQNLHNAIYREEEREMYP ACAKFGMGGIPWSPIAMGFLARPWGDFSTTTRGEVQGQGFRGQPTTEGDKNINEQIEK IAKQHGVSMATVAIAWSLSKPFITAPIVGLSKKERVDEAVRAISFELTVEELKSIDDL YEPKKVFGHQ PEX2_109030 MEEPSKKRIAIRPFSDRLCAWPDEDWSGITDPKTRRRLQNRLNQ RARRLQNKELAKAFGDGKDRHDDNSLTTKEHAITRQTNERRPAKHAATDATSLISLEE IEDVRILDSHSIATKIKMQRLEMTARRYHMLGSPRTDLLLHLIQFNFTKALMENTMIL GLTSDQLDDDAISPFNTTGPWQYDYESYLPPSLQPTVIQRSIPHHPWLDLLPIPHMRD TLIRAGDFEEETQLCIDMKGSGNAGPEKTGIIVWSDPWDAAGWEVTESFARSWGWVIK DCVDLAHSTNKWRAMRNERPLFRMS PEX2_109040 MLRATAWLVSLLAVANAGETIKSSTSGPENCQQACAQLSTIFGS AVHYPPDDSFVIWDAKQQEVHPSCRIEPSSASDVAKLLNVLVDHWCYFSVKGGGHSRN AGDSNSVGGVTVDLDLLRQVEVLDNGTKARVGGGATSIQVYHALESHNLSYVGGRVGS VGMGGFTLGGGTSPFSNKYGWALDNVFEYEVVLANGTITTASETHNQDLYFALRGGGN NFAIVTAFTVRTFAQGPVFTGQTSYSPNQTEQVLDKIYDLFTDRDLTSDVEMGYDMYY SYATGNDDFTLMGIQRYGKPIQNPPVFRDIDQIPTLSRTTAIRSMSAVSNGSIAMGTT RNLFATLTVSPSRSLLSEGLQIFREEVEPIKAVPGLRTNFISYPMQRHAIAAMKQRGG NALGIDLECDEPLFIILLSTAWSDASDDVAVNTMTANAIRRIESAAQDLGVANRYKYI NYASAQQAREVFPGYGEANLQRLKDVQKAVDPRGIFTSKGLWSGFVKLV PEX2_109050 MVPEKERIELIRMRQQIQNTGDKAQLHSNKTVVVPPSSTPIQID RDENGFTCTIAPPQANSIMRQFESLALESYIRNSPDIDHLLSLSKLNIQHAIIENTRS IGMTMEWMQDDDAISIFNTTIPGFSVSIPPSLQPTELQRRIPHHPWLDIFPFHTMRDN LIALQHIINDEDLCHDLMAFWDTRNTGASLLVWGPSWEPKNWEVTPAFLLKWGFLLKG CEEFVIYTNRWRAKRGEKPLDWKTTLALIYRSPGE PEX2_109060 MASLYTEITPAAVRNAKGLHLITCLTPNGKKVQILLEELKDIYE VEWTTSLIDIDTDEQKKPWFLKLNPNGRIPVLIDNTGQEPFPVMESSAELLYLVETVD KDHHFSFSNGKEQSQMMQWLIFWHASGQPNQGQLNHFGRFVAEKIPYAVDRFKAETLR VYNVLELHLSGSLTSQPREYLAGNGLGKFSIADINAYPWVLVWNRSGISEGEMESYPH VKEWIGRIEARPAVQRGTGDMYDEDVHPELLVSTASE PEX2_109070 MSESRVETSDISVGSQEAIGEKTATTVLATKDEHGLGDDNSESD PDVIIVTGADAAVHLLSLRDDFDNVLTFRSILLASGLACFQAVMNQIYQFKPTLVTIQ GTFIVLISYFVGNAWAKFLPRGDKFEARWRAKGGEGKLPLWITVMKFFNNGAWSLKEH AICSITATSASNAAPTSQVFAAQNLFYDLPLSAATVILSMISIGLFGYGICGILRPIA VWHTEAVYWSNLPTVKTLQGLHWQEVKNSKPLRYFWYAFTGMSIYEIIPAYIFPWLNS VSIPCLAAQKATGEKAAILNNIFGGSTNNQGLGLFSFSFDWQYLTSFQTSLPLKLQVH QAAGLFSCFIIMIGIYYGNGWNSRDLPFMSTRMLMNNGTSYPINDVFPGGVLDETALF KYGLPRLTGTFAFAMFMANAAMGALIAHCILFWGKDILRAYKSAREGRYDDLHHAHMA KHYKEAPWWWYIAVLVGSFILGLIVTLKENITLTAWAYVISLLVGCIIAPFSVILFSR YGNGIATNNLSKMLAGLILPGRPVGNMYFAAWSHNVIANAVTLSGDLKMGEYLKIPPR IMFLTQIYGTILGGFINYALMISIVTSNRELLIDGNGDSSWSGASIQSYNTNAASWAL APYIYKVGTPYGAVPVGLAAGAAAVVIHRIVYQFVPKIGKIDVAEINLPQYIQYAGFI PYNQSQTCVIFTWITAGFYVQYYLRNYKPRIFRDYSYLITGAFDGASLTVLFVLSFAV FGAGGKSHPFPSWWGNNVNGNYDWCPVAE PEX2_109080 MTAPAQSSSFIPSDIGPVWQRLKGEYYLAYHAEEVARLGAQHEV FLKSMGRLVVAPIDLTRHHLRVLDTGTADGRWLRDLHASLPSTSGHQYIGVDSLSKLF SSNLPSQITLKEQRIDATWPAEWQGYFDYVHQRLVLPGSEHITHQQTVINLCQLVKPG GWIELIEQDHDTPNPGGMAKAEKVIRELFTQAGHGYDYPRRLREFLENASMEDIKVDV FDIPIGALNPDPELAAKSTWQVRSALEGFMPTARGIKCSLPAVELEDMPAFTERELKR VGGYQRLFVAYARRPST PEX2_109090 MPSKTNCVEAYLLLRYPVKSRDGNTTIPTCPYVYPNGQGNVGKF LNGETLGRKWRKEHGPIYRIWSGFTPEIVVGRPEDIQAVFRDSNMHLKGVNMNSGWVV GELLGKCLGLLNQNDWTRAKAAVVEPFHQQTSPSYIKLTESRVKRYIQNIENEKGQGG RMILEPAENLMFLPFWILGDILYGGLDEQMERQLDELQKLRHELWKDGIAGGLARYSI GRFVPGARLRNLNKFKPLWNDFNDQAYERAKGLTLPDAPPIVKMYRKIETGQISKLEF LHTMDEILFANLDVTIGNFSWNPVFLAAYPSAQEEIRQEMRRVREDRGDVGWKSYLSG TSTFLMASILETARLKPMASFSIPQAAPTQRVVGNYIIPAGTQFVVDTHGLNIGDANW GADRGQYRPQRFLGQNAATWRYRFWRYGFGPRQCLGKHVADIMLKTIMAYLIENYRLT PLGKDGQDLEDWQKKPQTWISLAIQPIICTRLRDFK PEX2_109100 MDRIEGTLGRVIKSLEEARNVLQGECRAELMTRLHNSETLPDRK LYELSGEATQLLHEVELLLEPRPMILANYFLGSAKTKCLNAAVELYIPDILKAGPMDL ATLATASKARPDRLKQVMRVLCSDSVFGFDRKSQRYSLNECSQLLLTDHWTRWWHWVD LYGNEFYDMARGIPAACREGETRMPSQIAFDTDLSMFSWFAAQGSLHRVHRTLGGGAI AQAPGIVQDYPWGDVADGKTTVIDVGGGGGGLIAALLRAVPNLQGGVFDRPEVIDHAT ENFHGDSGIYRDVGSQVALKDLHKGDFFQSIPSYKVYTMKWCLHDWNDHQVVQILSNI RKAIILGPNSRVVLLEIMLRDGHVGHLSRLADLSVFMAASGVERDEDDWRDLARKSGW KIQYVFHLRNAWPVAMELVPI PEX2_109110 MEEVILSIIARHVGVPRASITPDSNFIQLGGNSIIALSTAAACR QQRIALTVGDILSSETVSGILRAAKSASSHSSANGMQSPGLNETSASSSSFEMITKNS SQAEPRDKGQIQFTSSPYQQYSECIAQTEMQMLLVSGGKKTPGTNVISYYQQVAPVEI PRLKQAWQAVIEAEPIFHMAFNEDRLVEKRFLVLSWSETILRDGDAYKKFIDEAESPP MVTPSTEFSVVTLNEPQGPQKSTLIWHVHHALVDGISGGILLARVNAVLNGGAVQLGR PFTDVSRELAWYQVHNAHRAKSFWKTYFKPSSAEETILLPSPELEGNRIRGATGCVTG NIPLKKVHSCCRTQTISPAIVYYAAWALLLSQYTACDDIMFGVVLANRDVPIDGILET VGPLMNMLPFCLQINRRHCASEFLQSVSHNLNTLSEYQWSKAEHGFSRNVSSAMAIQF DYEADKPQSPGGPSSYTRIQSGFPVGIFIGPKHRVQFNYSKDRFHHRDIGHICDAFLS TVEALLIPNQNLASCITQVIGPSVLQTLAKFGNWQSEETKSPGSETLVSLFTEQCRRS PQIVAVTKGSTAMSYCQIDESSTKIAQVLLRHIAPGDVVCVQADRSINWILAAWGVVK AQGVYCPMDPDSPPPLRNSFSSIANARVFLATSEASKNSKPSDCQICYSVDGILAMWV RNIGWHNSVRPNDNAYLCFTSGSTGLPKGVLCTHMGIVAFEKDYEGRMMVKPGRKVAQ VMSPAFDGSIHEIFATLSYGGTLVMNDSTDPFDNLKHSDVALLTPSLARMLDPIDYPN LRAVWLVGEPLTREIADRWASALPTYNMYGPTETSIGSAYTRIHLGDEITIGKPTRCV RIYILDEDWNLIIPGMIGDVYIAGIQVSRGYVGRPDETDASFFTDSVCPGPGQMMYKT GDRGYWTTGGGVALLGRADRQIKLRGFRLDLNDLEIRIVRGYPSATAVALARVNDTLV ALVQPVKVDIDDFRAKLRNLLPSYAIPSLVRAVHQFPLTSNGKTDYTAIVKSFASCSN QRTLPVVSSCLQDARSKIIDIWRTVLKLDINHPIDGDDHFVHMGGHSLEQLALASHLS STFSKDIHPRQVIDSPRLRDQVALFSSHTERVAGCVLNGDAAHSLAPLGESNISPIEA EWWEKYNLRKGSTAFNINFVCHLGGGVDLLNLVDAWNTVLARHDIFRSLYCANGAQLV RIMSSSCPKVCYASVIDIQKTINYDFNLSKDLPIRVYLSLDLLVLVASHIILDLTSLQ AVLREVELVVKGGRLPPVTRRYSSTTRWNRPVTSEDLEFWDASLRDLPLHPNPTRTTF HGTSRVYKLSEEVMSNITEYSIRYGVTFHQLCLAAISLCLRQDRPSDQPVALGAPFLN RGLDDIDVVGLFLEPLPVRINAPSDGSGIAYVRKTQQSSRDSLSHAIPWHTLLDHFGV HPDHPNLPFMEAIVTFHDYRAVRIFDVPGVAPLMTWCQGAKFNLMMEFCAQRDGSLFL RIEYDNVNYDASKIDKVQHSIMLVLMGLTRGLDIAGIQTELETVSDVPPYVGDRHFGM QSLDV PEX2_109120 MPSREPTIRPQGHSTPRHRESACDNDRELYLQICTPGPDGAIHW MIIEKLPRSDRCTRLHSTGYKGNRKLAIEHGKRFESRSVEHTHYLGKFHSSYSALIKR EASKIPLQSCQLWACYLMLRLERKGLLEVGKFDHYWNSYEHNFDEHYGEGEDDDACPI HGHDDARRIHRHDDARPIHRHDDACPIHRHDDACPIHRR PEX2_109130 MSAIQVQTTTPRQSPARFARKYGPAFNRFDGLSNVSICDQELGP IHIDCRFRHSKTQLGVLGTAQNQAGILYVDLTFSQPKDCPLTSAVVWISLEEEDKDWK KEHKFRKRRTKSTPMNSSRNDLDPFEIAKPRYGKSRFLQFTHDFGPKQLEGRPTNMTT KSVMHLTPEVNVLGNGGGGLGYDREQTFTQASRWMFTGNLLPGSRLLANPENINYFRA MVYRTLKWELSKDDLQRQSTHSSEIQTAFTFEHDMEPFYIRVEIQGKLKRNSKYLRSN VKQLFKFPSDDQKEVGKSMILVIPDKERKLTRRLDPIAKVLPFQMERLNLEGIRVQFP DSLPVSYQDAPQVAQYLSAESDTAVEEGYSCTDPVDSTKGKQSSLPAYKSPEILPSSL VPQPIVQPIPRGLGSIKESPIVAQEPVLISLKDKISVPSHVQPHSSMDSTQIGENGVL PTSQNSKLKPDHLSSRVTSLTQNPSEKELNELAAQIAQFPVLVLIFEWVIYVVTFLPV PEX2_109140 MDMERLTSRLGRRNTRSLFNSLDRSHSSDDFSLVAPKQNSEVQR AQNPTIQEVIELGPDRPGSPISSETSSEGINLHELPDNAQILKFASKTKTDTTLHFVL NIVDDIEGQLEELGRLKRWGHFKEALEYFESNLEQHIDLPMVKIEYADLLLEQGAYRK LIQLGLEAPSQPKYIPVRGVYRPELYCTHFNAIHKSARFASESFAADDLGGDQMDKFT FYLHKRVNDQRNSHRKFDVGIPFDFSEIQIIRNQLKLLVQIRDKDPDDNVSPDFGIGF WKDIYDDLLMGGRIWEFCDLFNAMLPYLGQFDTWKALYDAESPEAELNLSLERLQQDW SVDQYDESTELAMLSILVHIAHELGPRSGYGIPNNDKAANIKLCLRKAEFYAAEIQTH SPQLTRSRPYLQWVIAKECFDRRLNCSSSYRKLRESHFNNCSGEVIGDGQIPIYVPVG PTDIGWPAPDPDFPPNNTLKWALLTSRELGDYETESMCLRELICREKDPRSLFAQLSK LLRDTQGNNLAHYDTCLSQYLLTDNDVSRRKLIQELEQVANKFKPASHADICIKIRRS GLLVLCGLMLLFSECHSEMYVIQRTILALDREISLRPKKPYTFSVPRVHSEGYLRREP SQFEYQELLKTRKEAMQMKLHQKAAESQENESETETVKQNKNQDPEPKLINRQPTVSD FDDTATPSSETNQPNHSVEWPEAEEDSDGEAQTLVRAHHSQGS PEX2_109150 MATPDKKNIQGDIWPRLPKKFETFYFFRITHVDRFKNHLAKLVP LITTADDAFKMREDLYSKKANGTLAGLIKLSAVNVSFSSKGLAKLNAEGFSDEVFNNG QYQDMTYPEAGQDPKKHQGLDDQHDWYHHFKPRNGWIDGVFIVVGDSAATVKRTIDRL IEGIFEVGKGGQSLQRVCSQSGHVLDDDREHFGWVDGLSQPVVIGLDDLEKKKNPEGM KPIPAGTILVGGDQDTSTTPAWAKDGSFMVYRIYEQRVPEFISWCASNIERIKIDKGF SDQAKKELRLFSSRVMGRWPDGAPLELHPTDDPSVVLDHEPNENVRKLKEKWGADFDK NWKEQEVRNHTDNFNYNADDQTKCPYASHIRKCGPRDDHPFYHKHLMLRRGIPYGDLT LTPEKEGGVSQVERGLLFVSYQSSIQNGFRTVQKEWANKADGPDDKSEECGGLPQGID PIIGQVPPHRRDDDEVTMYKSPAPLVNLPDPMFPPPKENTKTVGINRFIIPRGGEYFF SPSISGMKDHLCKA PEX2_109160 MVIQTLWLLGNLPTISLAGLALLSGLFFLLNSAKTPQLPLVNGK RQFEFSIAKARQRYLADAHNLIMSGLAKARAFRVVTENGVRTILSADYAEDIRSHRSL SLSGALVTEHHVHIAGFDAVRVTVTSDIIQDTVRTKLTQHLLNITGPMSDEADYVLKT QWTDDTDWHDVHLRTKAVGLIASLSSRVFLGEKICRNPEWLRITINYTIDSLMAAAQL RLWPEMLRPLAAKFLPKCHKIRQELQEAKDIITPVIEERHKARKTAVAEGRPEETYHD AIQWLEENSSQGHNKFDPAAMQLALSTAAIHTTTDLLTQTILDLCGREELIKELRKEI IEVLGDGGWDKSTMYKLKLMDSVIKESQRVKPMAIAKMARKVEADVKLSDGTIVPKGE IILVSCSKMWDPSIYPDPETFDPYRFLKLREGSMDKEALAQFVSPSPQHMGFGFGKHA CPGRFFAAAEMKVILCHVIMKYDFKVAEGCTPSIFKSGMRLSADPFARIAIRRRKEEI TI PEX2_109170 METKSFAQQENEIDQTESTVTNVSESFASVQAHEVSPHAEHRPA LDTSKEVSPLDSDAVDLTKWARRTINTLDPVTLEGRKRGVLLQNLHVHGSGSTLRVQH TVLSSLWSPFAQIASLCNRRKSGQGRQTILHGFDGLIQHGEMLLVLGRPGSGCTTFLK ALCGRLDGLELDLASKIEYRGISFENMMTGFRGEVVYNSEADHHFPHLTVGETLRFAA YARAPHNRLGGMSREKYVQNAVKIVMEFFGLSHTYDSKVGDDYIRGVSGGERKRVSIA EMALSRASIAAWDNSTRGLDSASALDFAGALRLSADLLGSCHAVAAYQASENMYSIFD KVTVLYEGQQVYYGPCNRAVQYFVEMGWERPTRQTSADFIAAVTNPTERKPQAGMEGK IPCSPFEFSEYWKKSPDYASLQLSMRQHSQETSVDGTEEIKLAHIKHAEQADHVRSSS PYLISLPMQIRLCLARAFQRTRNDLPSLIATAIVQIVVAVIIGSLFFNIPQSSEGMSQ RSSVIFLAVLTNALISMLEINVLYSQRRIVEKQTAFAFVHPCAEACAGIILDLPIKLF RCLLAGVILYFMANLRREASNFFIYILFQLTAVVAMSGMFRTLASMTRAIGQAMALAG IVIICIAVFTGFTLPQFDMPPWFGWIRWLNPIFYAYESIISNEFHGRWFECVNFVPAD ISSFIGKSFTCSSLGSRAGEYLVSGDEYIWDGYQYSHKHMWRNLGIMLAFALVFHGIH LVLVEYTPQTKTTAEALIFRPGHVPGAVREGDVESGEEPLTKKEFRNLDSRIVNFSKQ NNTVSWKGLTYDLPVKGNHKRLLEDVNGWVKPGTLTALMGVSGAGKTTLLDVLAQRMT IGVVTGDVLVNGHRLDESFSRKTAYVHQQDLHLETATVREALRFNAILRQAQSVTVCE KYAFVEDVIQMLGMEDFAEAVIGIPGEGLNIEQRKLLSIGVELAAKPQLLIFLDEPTS GLDSQSSWTICAFMRKLADHGQAVLATIHQPGAILFEQFDRLLFLVEGGKTVYFGDVG HQAHTLLGYFENNGARRCGERENPAEYILDVVGKNANEQETTINWVDVWNNSPERQQV LDELDCISSSASAPAPLEPTVGDEFAMPVRAQFYHVIKRDFQQYYRQPAYILSKFALG IVCGLLIGFSFWKSNHSRQGFQNTLFSLFLLCTIFSTMVNQIMPKFANQRSLYELRER PSKTYSWKVFIVSQILVEVPWQILLGVCTWASFYFSVYGASQSPQSQGLVLLFIVQFF LFASTFAQFVIAALPNPALGSMIAVFIFLLSLLFNGILQPPSALPHFWKFMHHLSPLT YYVGGISATALHGREIHCSDRELSIFDPPPGQTCGQYLGTFLEYAPDQYLAGREIFWE DRWKDFGIFWAYTIFNILAAIGLYYLFRVLPHLRKTKARGVHNN PEX2_109180 MVESSISTRPVCVLFGPQTSAIEESISIICNSLRTNPSLSFLKP VLEELPSLWSVITDAWPALLEVPGDTQLGTLAQAACGDSVESPEIPSSVLLTPVTVLR QIIEFCELKENHLEFRIVDAQGFCVGFLAAVAVSCSQNTHDFQEIASVMVRLAVCIGA AVDLDANAHGLARSMAVRWKSGAENKRLNQVLLASTTAYISCFTDTNAATITVADAEV EQIMKELRANGLSAKNDARFRLPNTNSSTDGPCVLPLRSNVDGDVIGKGSSIHDIALE SILTKASLWSLTVSGSFDGTHQQFEEELGFVAIGTEQFVPRRIRNRLVKSAGSLGNTS NGQDTQPNGVSHTSIGAEVEQEKSQNTSKSPSTAVPIAITGMGCRYAQADSPDLLWEL LQLGRCAVSPLPNKRFKLNELPRQPKGPFFGNYLENPDVFDHRFFNISAREAEAMDPQ QRLLLQVAYESMESAGYCGIKKFSLPKDIGCYVGVGSDDYTDNVGSCNANAFSATGTL QAFNSGRVSHFFGWSGPSVVIDTACSSAAVAIHMACKALESNDCSIAVAGGVNVMTSP RVTQNLAAASFLSPTGASKAFDQNADGYCRGEGAGLVVLRPLEDALRNGDPILAIIGG SAVNQGSNCSPITVPNSESQRSLYCKAMTAAGVLPDQVTYVEAHGTGTQVGDPIEFDS LRKTFNGPTRKETLYVGSVKDNIGHVETASGVAGLQKVILMMQKSQITKQANFTRLNP NIPSLENELIDIPTRLTEWQSAAASKAVAMVTNYGAAGSNAAIVVKQHESSSQTSASS RNIPSEVPVIIAASSAESLRSYCKALISYVRDGNAESYIDTAYNLAVKQNRDLDYIHA FSVPSDDSSALILNLESLDLEPTMPKKKSRSHLPVILCFGGQNGNACHISMELFARNE LIKYHLMECERVCQALGLPSLFPTIFDAAPNDDIVSLHCVLFAIQYSSAKSWLASGLK VDRIIGHSFGQLTGLCVSGGLELSDAIYLVSERARLIRDNWGPDHGIMLSVEASIAEV ERLLGQSPEILLDIACVNGQRNMILAGDELSVQAFEKIAVQGPSTMRTRRLKNTHAFH SRLVDSIVPAFTQIARSIQYFPLSIPIEACSDGDWSSVTPADIVYHSRHRVDFQKAVE RAASKAHGPAVWLEAGSASPVISMIRQVIEATASCSGDHVYQAIDLEGPVAQKKLSQA TANLWNNGVSVQFWPFHDSQAKSYNWINLPPYQFAQNRHWIDYDPFAFAPPQGAPPAS PADDLNVFIRVLSKELTECICAVNTNHPLYQMCTSGHAVVDQNLCPASLYIEMVVRAA DFVRSDNSSSLAMPHVQNLDISAPLVLNPRGDLLLKLSRMRSDQTSWSFSLYTRDTDQ SVIVHATGDISLYPFDSSVPIFARLNSMGRLIDSSRVQAIQSSPTSSGLKGIAVYQAF RRVVNYAECYRGVSCVYATEHESAGVVNLPLSPTHDSACDPVLMDNFIQVAGIHVNCL SDIRSQEVYVCTEIGEFLIAQAFVGRTGTSSETWDVYSNLDRTEKGVIVCDIFVLNRA TGKLAVAILAVTFKSILITSLTRALKALDGQSEEPKVVDKNIPRDFNRKELDHVTLQS SPAPRQIAPARDHFVDVQAMLCDLLGMPADELHLSSNLEDIGVDSLMRTEVLAEIKKR FNVSISISSLTENLNIEALVGMIFPEASAASVTNQGPATSQVGAAHLASEGDGSLAVP YVPVQASQGLMDIAPEFFTEIQKSTAHATATKWDGFYRSVYPMQMELVTAYVVEAFQS LGVVLENLQPEQLVPRVEILPQHDQVMRQFYTILESSNLIMQAGAGFVRTHTSVSKVA SSELHERILSLYPQHASEHKLLKTTGSQLADCLTGDSDPLALLFQDAEARELMGDVYT NAPMFNAATRHLSEYLAGVLGGLDTSREIRILEIGAGTGGTTKALLSTLTAVPNLRLK YTFTDLSSGLLALARKKFKEYNFMEYQVLNIEQDPSPGMLGQYDIIISSNCIHATRNL VKSSTNIRKLLRPDGILCLIELTRNLPWFDLVFGLLEGWWLFDDGRKHALATEDVWKE SLSQSGFEWVNWSLNHTEESNTLRLITASPTPASPLVNSMDADTGVPKQETVVYGEKD GVRLCADIFYPESLEPAGRRRPIALMIHGGGHIMLSRRDIRPEQTNMLLDSGFLPVSI DYRLCPEVSLLDGPMLDAREALRWARCTLPKLKIKRLDIQPDGDQVVAVGWSTGGHLA MTLAWTAPQDDIAPPDAILAFYCPMDYEDPFWSKPNFPYGQKSSSVSTASVLLEGMRE LPITAYNPSANKKALGGWMSPTDARSRIALHMNWTGQTLPVLLGGGQYFKSLKAGTGD EIPEPMTEDVQAVSPLAQIRRGTYKSPTFIIHGTLDDLIPVTQVRKTYDELVVQGVEA ELRVLEKGLHLFDIYPGYKEDRDACQAVLEGYDFLRSHVRI PEX2_109190 MTHTEHAIPRGSRVLVTGANGYIASHIINVLLELGYLVRGTVRT PMPWLNDYFAEKWGSDCCELVLVPDFQKPGAFDACVQGISGIIHVAQALPSNAGVEDI DDAIAYTVNGNTNLLQSASTQTSIKRVVFTSSIVAAGYPKGPGFKLDVDSWDICVAEG KTTPKERSAYRECKTQGEFQAWKWVEKNHPGFEFNTVLPWFTVGNVLHSNIGGSTMGY VTGMLQGNTVPFKFLPLPWYVDVVDTARLHAIALFSRSVKGERLFAAAGHFTWKQVVE ILRHIQPKNTHIPDSPLDEQATLGEVTPAAKAEKLLQEYFGQSTWTPMAASLIGGIQE KK PEX2_109200 MSLVQDLVLIITGSSSGIGLATATAALDNGAKVLGVDVSSAPDS LSRNSNFKFLEGDLTDENVTKHVVKACIREFGGRIDGLLNIAGIMDNNGSVDSLSNEM WDRCIAVNLTAPVRLIREVIPTMREQKSGSIVNVGSKAATSGAASGVAYTASKHGLMG ATKNVAWRYKQEGIRCNAVCPGGVPTGIVQASDPTSWDRAAMESMGPVHQAHAADRSK GLGVETDDIVQCILFLVSSTSKRINGAIIPVDNAWSVI PEX2_109210 MAQGKEMGLHYEKARARKSWPTTLVAYGELMRIHRPLGFYLNTS PYLVGIAFAACFAPSTTPNSVFAKSTIVLIIWSFCLRCAGCAWNDLVDADLDGQISRT KTRPIPRGAVSKLNAGFLTIVLFSCGGYAVSFLPRNCLLDGLIITFFALLYPFGKRFT DFPQLTLANIGWAVPMAMHSLEVDPLLRLGPTVCMYLFIATVIIMIDVIYARQDTEED IKVGVKNMAVRFKDSIQTVAYSLLYASTAFLATAGALTGLGWSFFIVSVGGHFAGFSL LLQATRVGKSSKVEDYSKSAFFLATVCWVFGFVVEYSLRD PEX2_109220 MAAMEKPKFKVIIVGGSITGLTLAHCLLRAGIDHVILEKRAEIA PQEGAFIGIWPNGAQVLQQLGVYESMEKLTAPIDRMHISYPDGFSFSSLLPKEIHKLL KYPVVSLDRQKVLEVLYQSYPDKSKIIVNKRVLQVQLSTGNASVVTDDGKIYTGDLIV GADGVHSRIRSEMWRLADELQPGLITPKERKALTVEYACLFGISQAIPGLQSGDHVNR YGDKFCIITFHGKADRVFWFIIHKLDQVYTYPDAPRYSPADATELCGKLRHVKIVDDV TVGDLWETKELASMTALEEGLFENWHFKRIVLLGDSIHKMTPNIGQGANTAIEDVAVL SSQINRLVNIDGIQKPLETDIEAMLQEYKIQRFDRAKGTCARASFGARFHTRDDRVKA FVGRYIFPHIGSLIVRRTSKILSGGDILDYLPVPDRNKENNHTEISTKTNLAPRPWAM LWITSLLVCLLIPWIRSYLLSGLCSETQCQSP PEX2_109230 MDKEFLSSVIVQNQDALAGIAEFLRILAGICWTLNYFSMLYTSW KDKLPSTGIFPICCDIAWEFTYAFVYPSASAHWQGGVRVWFLVHCIVIVFITRYAHNE WGYLPFVQRNIYFVYGAVILGFAAAQLSFAAEVGPELGFFYGGVLCQTLASLGPICQI LSRNSTRGASIMTWGLRAIATFGGFIKLTIYYLLGNAAGPWFESPMCKCYIGLTLFMD FMYPIIYYSIRRQEKAKAVAAAKKSK PEX2_109240 MGICYSSQPRQPDTVSTDDIIPLRFWDTAKSMRGTVLDVSLKFD DVLDTAKLREALDNLFNSHGWNQLGARIRMNNGRLEYHIPATFDASRPAYHFTISDHE MSINDHELGKALPKAGNKGHIFGTPDEFSSDLRSPDSPTKLDDWLYTDRPQLSIHAVK FNDATILTVTWIHTLADVMGIKTFLTAWSATLRRDEKAVPKLREFRSDPLSELAQRTP AESYIYYNRVFGRKDFLWFIGLNILERIRHRQEERRMICLPASSFKHLYSIATTEVQD ITSADQKSTSFVSESDVLLAWWVRTLKGALGFRESQQIMVNNALNLRTSADEIVDSEN EVYMGNALCMCPTFLQGSQLADESLGQIALRIRQSLVQQRSTEQAEAMAALQMQTMEK TGYLALVGDPRMVLLSCSNWHKARLYDVDFSPAILPSTSPEERQITIPGKPSYVNGVQ HSPISFRNVLSVVGKDSAGNWWLTGVLRTSAWVQVEEQLNLLEIVKDGKSEIGDP PEX2_109250 MHPDNQLETAIKNGFDPKSLMALELTKVNEPVRTILEEYSRVPA GQVLQHVTELRDRAFKVFPYACIGQASFLELSIASSPMYPEMLERVKKGEKLLDLGCA FGQELRQLIYDGASPENLYASDLRPEFLDLGHDLFLDRQTSKINLIPANVLDDNSDLV TKLTGQLGVVYISLFLHVFDFDTQITVAKRVFSLLADKPGSLLVCRVVACRDQEILNN ASARLPYYYHDLASWNRLWERVQKESGLQLHVESWEQEDALAKSHPLPGVYMLGSSIR RE PEX2_109260 MKLQYLFHGLCLLKLIEAQTDAEQFKATCDSQDEQVQTLDSGYK FKFICGKTGVTGNRIGFAQETGTLEDCAALCADNAECKGSMWDYGFKSCQLYNNGDDL QTRRRGIFLRREEESSSADCTAVEAELRECEAAEIVLQDDLKTCQTTQTESQQAHTEV AEKLEACEFERDLCEGSVDDAKKCETENSQITDLTEELQQCTTAALSNTLQLEQCQST SSSCAVDLQQCQSASSSCTIDLQQCQNNAVSSAIELQQCQSTSSSCALQLQQSQAAAS SSANQLQQCQNSASSTATQLQQCQATALSTANQLQQCQATASSSGLELQQCKANAAST TQQLQQCKADTVASAGVPAFNECNAGGNGQIVKIGNRSFKQRCNVSMWKSRMPLRRVV RPGLTRQECALICALDGGCRSVYFVRSTITIGECQLQNENIESKLNQGNADIAYIPV PEX2_109270 MKVQWAHLLVGASFGTVNAMSSYMMEAMNSGRVSGYGKYDNPSW QPLFNDESPPYQGIRVPRTEWKLQCSTSRDGNECQNAIDGTNTTSWYSTVARGSHNIT IDMKKTYTVNALVILPPLDAAQDQLITEHEVYISKDGESWKGPVAYGMWPDSNRQRMA AIEPVYGRYVRLVANAQAAEPSSVGISELNIYATLYTIPQDPKRGIWGPTVNFPVVPV SGAQEASGNIVLWSSWASDHFHSTPGGKTVMSRWNPLNNTVSKRIVTNTQHDMFCPGI SIDGTGLMVVTGGNDASETSLYNSTADMWVKGPPMRLRRGYQASATMSDGRVFVIGGS WAGGSNVDKDGEIWDPYTQTWTLLTGASVKPMLTNDMEGPWRADNHAWLFGWKKNTIF QAGPSRAMNWYYTEGKGNFKPAGDRRDDDDAMSGNAVMFDAINGKILTFGGSPDYDKS WATSNAHIITIGEPGEKPTVRPAGQNGVMHYERVFHTSVVLPDGKVFIAGGQTFGVAF NEENVQFVPEIYDPETDTFIQLQENNFVRVYHTISILLPDGRVLNGGGGLCGNCSANH YDAQIFTPPYLLTETGELRTRPEILSGVPEIAKVGGIFAFQANGLLVNASLVRLCTTT HTVNTDQRRIPLRLIPLPRRKSSYGIRLPDEPGILIPGYWMLFVIDQDGVPSIAKTIM ITVNNKNTLDTPQELLDEFHEAENSNCEGGRKSYWPFWKPTLIMQILRRG PEX2_109280 MASVIRKANIQGDIWPGLPKRFQSFLFFRIKKPDEFKSRLRTFV PQITTAEAACDMRAIIAKEKALSRKESRPAKLQPLPGVNISFASTGLAALGKFTFDEA RVKKDRQLSTIFRNNQVRGGLFGKGMYDDLVGEGWDDPQELREAYKPFGAKKERLIDG VIMVTSSLKPDMIEKVNRVKEHFLKEPGDDTDNYVVSKDPSIEFFFNREGQARLEKGK EHFGFADGLSQPQIIGLDPEPKDKEPKAVKSGMIFCGHEGDEMNQPKWALDGSFLVFR DLEQLVPEFDKFIKDNAHKAPFTRDSPKPEEKLAAYLMGTPVDQSPHDDSVADLHTSN NFDYRPIDKHDRCPFAAHTRKMRPRGDLEDDHAVIIRRGISYGGEVTPAEKACQKSDP NHERGLLFVCYQSDIRNGFNFLTTRWASNHHFPDRKDMFVGADGPGIDPIVGQRLSHH PPRSIGLPDGNNPTEARMELDSWVIQKGGEYFFTPSIEALKGYLTGPPDYPSAPTSKI PEX2_109290 MASRSKRDPTMYSFMNGADLVEELLKEDRFTTWGFVIFRCTYHN DSNWENFMARMVGAVSEDLKEYSGLDLLDTFAPTVLQDPSFEGATVATIREHFHQWKK TALKEEQGVSEDYTPTSGRYRFFITVDQEAMESVLNTPLGGFEEYELGHVRMVNAELK WTDVPEEDSEDKNISEPEEFEPLEGCTEDDVGWMNIRWRIVQLPGFHKMTEIDDWQAY YVRYPGIADIN PEX2_109300 MDHTRDPCPWVALSDFGGAFCMGAIGGAVWHGVKGFRNSPYGER RIGALTAIKARAPVLGGNFGCWGGLFSIYDCSIKGIRKKEDPYNAIIAGFFTGGSLAI RGGYKAARNSAIMCAVFLAVIEGVGIGFQRMMADNTKLELPPPPPSEHKALA PEX2_109310 MFSKLPSAALLALGLTAQATQIFSNTGTTSGWDSINREHSGTVQ QVTNVVYQGPTALKMTQIYDSSYTGRYHSEVVKNNVYKRGDTGFYGFAFRLQEDWQFS PAQSYNIAQFIADFSDTHCDDYMPSSMVWLVGDQLYTRVKQGSICSQKTVTFPSLATI SAGVWHKVEIQATWKSDGTGQYKLWLDGNKLLDKREIATTIDDDRAFQFRVGLYANGW HDDKGMKGTQGTRSVWYDEIAAGTVFADADPDQW PEX2_109320 MQPESLDATTQPKGPLNWGEINFIHTSDTHGWLEGHVKERNYGA DWGDFVSFTKHMRDKAGEKNVDLLLIDTGDLHDGNGLSDVTTLNGEVTNPIFENIDFD LLTPGNHELIAADVAYNTFTKFSKMYGDKYLTSNIDICNNCQYGEPDEKEWVSMGRRS RYFTTKQGLRIMAFGVILDGTNNNKDMTRIQPAAEMIEEDWFKDAVNRQDIDIFVLIG HNPVKPGIPKSESSFPLLMNAIRTMRPKIPVQGFGGHTHRRDFHIYDNMSSAIESGKY CDTVGWVSLDGIKSQILEKRAAIPEEENALIHKSTMMESADESCSKTKDFDIQLTRRY LDWNRLTFAYHATGSQSISNTPEGLNVTKGITDSRNSLNLTFVYGCAPRTYCISCKPF GNEGNIYTLVQTAAAATVVDPDRSANSRMIIVNQGAIRYDLVQGPFTVDDAYIVCPYT TTFRFIADVPYSLASKVLDYINKPKTTTKRSMTLDTISSQILGYDECNNPSAHNRSEL LKPKSLFRRVLDVDTPTPGYTTCDDLGDDGDDTPHSEIPEYTQPAHVQATAAFPADGQ PDKVDLIFSDFLGARIVTALNSANPPKNYTTDDTRLYLPGDFTTNTFLPTYASMAWQD NINDCPIGA PEX2_109330 MSDQNATTPRVYIARHGETEWTINGRCTGKSEIPLTANGVSQVR GTSEMLVGSGKLIDPSKIAHVFCSPRQRAQTTLDLLLGDAQKVELVNEGKVTITEDIA EWDYGDYEGLKPHEIRLQRKEKGLDINEAWDIWRDGCEGGETAEQVSERLDRLIQMIY DVQKPHMNGGVAADVLIVAHGHILRAFTKRWLKYPMDFPFTMMMEPGAIGIMSYAHHN ISEPAILVGMGFPQKA PEX2_109350 MGGLVIKRAYLLAKGKWEFEYLATRIQAMFFLATPHRGADLAST LLKLLSLGGGPRPFITDLQRNSSAIQSINDEFPHHCHDMQLCSFYETLPTSFGVKKSL VVDKDLAILGYANERREYIHANHRGICKYASQDDTNYRTVRNALASGLDILRNRLASS SRDIISDRQRLLETLLGVSDGPEDDLMNADSLRMSGSCEWLVDKSNFQEWLHGDNSPI YVIVAKPATGKTILCGKVITHLRKLQKKSSFYFFKYGNQEKSNITTFLLSMARQMALS DENVLATCLKICEKDDQLRKADYRTIWRKLFLDGILKVTFEHIHYWVIDALDECKSEA EVVPLLMKVAEVCSVRVFLTSRNSFEPRQRLGLSSVRVLSEPILEEDTKSDIALYLDA NVDQIPSADDRGPQHIVRQIVDKSRGCFLWVTLVFQELKYVHTSADVERILDEVPTDM NELFARILHNMSKALYGKALAQAILTWTVCSTRPLKIVELSEALRYACDFFFEHIMHL SWTDKDVLFALAKFFNSSNVLSWVEYIAKYSDLHRLIQTSKALRIFLQGSSSQTLPLA KELVMLNSWATDLIRLVMKFGTNLKDYPHAIFHLIPPFCPPATAPWKQFASTARSINV RGLRAETWDDCLSTIVNTYEQYSSLASSTIQFAIGSFGGTISLFKQSTFEQTGSLQHG EPVGPLKFGNTENILVSAGSKSIRIWDLASKVQLWRFDVPQQCMALTLTHRDLFLLGA TKDHRLKIWDLNNGDLKANVDWTRGLEEMRTQLYRRPVTAAFSIDADLLAIIYKGHDI LLWDLESDSLYDIYSRESSAVSGSRRPYGSAGVRCLVFGNGANANVLISAYGDGELVL FHTSTGEIKNRVVAFANSLSCSPDGSTLATADPSGTIQLFNLETMHLIYRINSVEPGI QGLAFSGDSLHLIDIRGSRCRVWGPTVLVGQSEDEEASDIAIVSNTLQETSLVPSEDV VLITSLACHESGEVFFCGKEDGSVYVHNIESGLPSRQLFSHAHGVPIVYLFFEEKSHT LSSIDSSSRIKIHRLTRQLQSMVAIEILFDHRADMSVGQLVCEIGLNRILISSEKSDM LWSISLDESVLLATISYEDRGSYRWANHPSNPGHLILITHHEVHIFDWLALRRLTGPA GIRLEGSIPSDLSIYSIIPCFNGTIVATMFSEARWPPGKSKLVLWNASDFTLVSKAVT PSSGYSGLNDNVEVLIGTTGTDPGQTERLVFLDGRNWVCSADPKAENGNHLARHFFFP SDWLSRNLDLIFAVTKRGDIILVKRDEVVIVKRGLLTSHAAGI PEX2_109360 MGNLAPIAYGGYAIALAIHAAYKTTPDGFHLHSAFGHYLRPVST EANLICTPVKLRQSKGFITYRVAVEQNDPSTGQQRLCMELLADFHRDEQSVLTFSSQP TRSYSHWRDCVPWDRLVEDWVKAKKISESQFRAFNTLFGLSRNLYEGRPCPEGITTQN LMGMAKTVKTSQEELSPTAKSSADWLRVKHPLRTEGEEMASLGFIMDGVLSFLPLAHN HMSFEDAGVCSSLDFALRIFAPRLKMEQWHLREIINHHAGNGRTYSESKLWDEGGNLV ASMTQQSILRVPAKAARM PEX2_109370 MSSSPIKTVLVIGPGGNVGKSTVKALLDEKFEVTGLTRESSEAI LPEGVRHIKTDYSEESLRDAFKGQDAIISTISSIIPGAALTLQKSLVDSAISAGVKVF FPSEYGIDTSDRSASEYIPFLVDKIQTVDYLKTQQDKISWTAVITGSLFDWGLNIPGF GGLDVPERTATIFDGGDISYEATNLDQVGRAIARSLKSPDLTKNQYVYVNSFTVTQNK VLSALERATNEKFTLSESTVEDLWQDGATKLKEGQPLGTVSMIAASIYGKGGLASFSQ TKGLWNKVLGLPQEDLDEFVRGYVARGTA PEX2_109380 MTTPEWKYYPINGISVNSEEPSKLGPEVQVPIRQEIDSWSNNPA NEKQVKLFVMALSRFQKIDPKDRDSYFQIAGIHGQPNVPWDEPIDSKDAEGRGYCTHN NILFPIWHRAYLALYEQRLHEIMKQEIVPAFAEDLRPEWKEAADGWRLPFWDWGVTTS VPDLCKYPYIFVPTSDGTGEENINNPLFQFRMPTNQPMSTAGVDNFKDPWVDDGDMLY FGECIGTTRWPDEGETASGTHTWKYGVVNNYKVQEALKKPVWLGESPYGQPAEMVYRL LTVPMDYSTFATTAQLSDNPEVQNDINLEYIHNNIHGWVGGDFNGHMSQIPVATFDPI FWLHHCNIDRIFALWQALNPDKWFEKSKVNAFFQETIGLPDGAEITPDTELRPFHKDT TGTLMKPKDIRWPYKLGYTYPELQTWNYKPEGYTSESFISTLRQTVNNLYGVSRKQLI DATNNIEGVDYLEDGSKSLDYSFSIRYRKYALDGGEPFWIRIYISQDGKTHNATQDLV TEVYNFSQKPEDKAGKLACGNCKDNKNKNIKSTASISLTPILIALLKSGKDLASLARD DVLSFIRSRAYWRVFKGGKEVPSYQVEALDLEIIGSTNDSTVYNDATKAPKLENFKEE PTISDGAGGALNPDLKQPVTIAPPVVAVIPKAGLKVNSSLPFKQALKPDGVVIIDSTS LNLTPAKTSGIDNTQIYLNEGKNGDGDILFLLSIRRSENQIVFNTKINNSFGKEVRIP LEKRFKGTTPSILIHDQDDGYEVFIDWKHALYFPKRAVGKVAQSVSYSVNAGQTPVWS SNLKVKVYDSMRGVFHH PEX2_109390 MSERSAGGGTGKKACWCAFLILCLMLITYALISSNVTHTPKEFK LKSYLTPLREWGMFIPYVYIVISAIHYGMSVQMGYNLIPILNGVSFFGRIVPQIWARK YWICNIFIIAMIASMIIILGSWLPSRSNAAIIVFTPSRAIGSPAIGGLVKAPRPATAK AVPIRYPISLGGDMVNAIMGPSPIVAPDAKPNRRGDYVYASVFSGVAYAVSNMLPRGP S PEX2_109400 MFARQSFRCAQPLKQSLRNYSAEAAPKKSNLTPIYVGVGLAGLG AGIYRYSTTAAAEPKERPKVFNGDGWVDLKLSSIEDLSHNTKRLRFEYDDKEAVSGLP VTSALLTRFKPEGAEKNILRPYTPTSDEDIPGYLELVVKSYPGGRMSEHMHSMKIDER LSFKGPLPKYPWEANKHDHVCLIAGGTGITPMYQLAREIFKNPEDKTKVTLVFGNVTE QDIILKKELSDLENTYPQRFRAFYVLSNPPAEWAGGKGYISKELLKTVLPEPKEENIK LFVCGPPPMYNAISGNKISPKDQGELTGILKDLGYNKEQVFKF PEX2_109410 MTDGFPGRLTEYQVIGRHLPNEANPTPKLYRMRIFAPNTVVAKS RFWYFMAQLRKLKKANGEIVSLNVIEEKRPLKVKNFGIWIRYDSRSGTHNMYKEFREM SRTDAVEALYQDMAARHRSRFGSIHILKVVEIENNDSIRRPYIKQLLQKDLKFPLPHR AGAKVGKKVFAYSRPSTFA PEX2_109420 MASLAPAFKNAYLNGSGPELAAVLTPIAPPEDRNRLREFYRFSN AEYLSKDLSYALFHGKSLKLPKAEQAAWVDIFVVYWEAIGEILQCEDRLPGASVITVF NAWKKVANALIRGYSGSAGIPAWTLPCLYTVGKYLRTFAINADLEAASQGSAGFGFQD DIAADVEKNANLEEAARVINRMFTLCLSDRAPLEESRKWGIYNMTNLLFKTYFKINSV GLTKNLLRAIKASSADLPPPEAFPKSHIVTFEYYVGVIHFLDENYTEAEEHLTWAWKM CHRDAIKNRELILTYLIPCHLVTTHTLPSKELLAPFPRLEKLFRSLSNCIRKGDLVGF DQAMSDGEAEFVKRRIYLPLERGRDIALRNLFRKVFLAGGFDEPKEGQSPVRRTRVHV NEFAAALRVGTSTSGRSRIDIDEVECLLSNLIYKGLMKGYIARDRGIIVLSKGGSAFP GTGV PEX2_109430 MEAVQKEHSRLVKRTEGAQGIKNVQSVIDSLQSARDAIAADPSA ASATLANLQSSVKSSFDSINDSLKETHSGLNKYTKSLDKLFKDRPLPGTDDDGLSTYD NLINRAIAMHLLREGQFGVAATFLSEITDQKTMYPVSQNGNGPTNAPASLLDIDEVPS TEIRKQFASMYYILQQLQENRNLLPAIEWSRENREALEARGSNLEFELCRLQYVWLYH GGANGQGTASGWLAALEYARQEFHVFVPRYLREVQQLVGAMAYSPNLGGSPYAALFNN SSAWDDVAHFFTREFCSLLGLSADSPLYIAATAGAIALPTLLKLQTIMKSKRTEWTSD NELPVEIPLPPQYLFHSIFVCPVSKEQATDENPPMMMPCGHVIAQESLKRLAMLSKRT PESPIPGRYRATKASAFTLQSRRIPRERTRDRAPKDKERDSSGSGSGSGSIGSKTRRA EQRRRFDKPPDVTTPPTSPVVMLRVGPEKRLFAAHEAVLRTSPFFAAHCKAQTPNPNA PRPRLAQQPLSKRIDLPEEQAEVLSCVLEYLYKGDYYPRLRHNSRTQTWQLEDADAGV GAGASGELGQATATVFHHRARGVILRDTAIYCAAEKYNLPHLQRLALRKQGLHTGIQC STILASARFAYANTPDTESKLRAHYLALIVRSRSTFMRSGTMQAEMERGGKLFFDLFV ALCNHMDDYTGQSVESSAVTTHC PEX2_109440 MSPPSWVYPAVAASTWLAMLLTMLGHWTVIGEPRYGLMKPGQNI PFISDIGAQELKPLFMIGSITTVLLLNLSFYRHVQNKSYISKACTHGSFFFTVVGSIG LIMLSVLDNIAHHFMHDVCVTIFIVGFLLSAALMCLDYIYLGIAHALRQPMLMTSFVI KSSFIVVELALIIVFRITEHTHYQQNNTAATLEWVIAFVFTGYILSLIVDLMPSAQRN LHNPKGYQQLEMSTGLGTGLLP PEX2_109450 MGLFSHHHDKHHEGHGQQQGPGGPHGGPQHNGGPSGPGGPGGPQ GGFQGQQQGGPGGPGGFGGPQGGFQGQQHGGPGGPGGFGGPQGGPGGPGGFGGPGQHQ GGHGGNGGPGGPQGGHGGR PEX2_109460 MSTPDYFTSDPDWEAFATKNDIPLPSTTPPSAPIDFTQLDFASI REYEATNDTKWAEKHPIEEVGYTTKSTIVRARDGANINVKVSFPLSDRLHARGKGNDK FSLPVLFVTHGGGWVQGSHISEELWLLYPLYKQFDLVIVSVEYRLAPENRFPIWIEDS EDVLDALVDSPERLLGLNTNLSQSANINADLSRLILAGSSSGAGISAALSQICRDKGI PINGVILNAPMLCDPRHFPVEYGPSKESLRSYTQCMDIFMGSSGLLAVWNLIHPDPAS GLNIKASPLLGDIESLPRHLIFVAGQDPLRDEGIAYARKLEEGNVPVTLHVYKGVPHN FGHFWELQATKAFWGDLRATLEKWLS PEX2_109470 MAETYSNSRTMRSVVFDGHPLKVHVRDVRKANVIRQTDAVVRVT SAAICGSDLHNYHGVFGSDQVPYPIGHEAMGIVEKVGADVDSVNVGDRVIIPDIPDGA GLDLEPAIRPAVALYGEGPEFGNLGGCQATPFSTAEYVRVPLADKSLIILGREFDEIE DKDLVVLSDIFPTAWTGVTWSGFEAGDKIAIFGAGPVGLLAAYSAILRGASRVYSIDS VEDRLELAASIGAIPINFTKGEPSAQILAREPGGVQRTVDCVGEECVNEKLEPDQAFV ITQATKCVSVGGGLAVIGVHFAEPNSKGVQRGDTISPYMKFPMTLFWQKNMTIRGGAV DSKLFVEPLLELVKSGIANPGFVFSSTIDIEEVPKAYQRFSAHLETKIMIKFS PEX2_109480 MQANAPSVPSVDRTDSMPSEAASHATGGERPSPQGHQRIVFTDA VALRYLEEDTSTDVLQRRVTLQGYEIYVVEQWACSRVHPTFIISTYTGDPSHTVIVGV LSVPTNEATWSPRLRMYFDAMKQCHARRKETPLGTVMVTDLASFPSALSLIPVPNGDI KKHREDFMVNENLKRLGCAGRAGLKLQPPSAATEAKFHQLYRTSDRVPLYNAVMELVR QCQIALMVFDKLAPEYVDGLLCDVTEAAVSDWWTDIGTDLYNIEPSDSSLGPTSVAAL LGTLLGARNRLHTYGAPVGKDAFDFPNLKRGIGGFQKSQKMRRSRRLDRLTLDRLHRV TAKAANAEGWTDAVKSTMAELSGQGGEMVMGMVRGRDKGGIADIETLDLDTFVQCCNG ERAKWLWLGKPRKSGVEDGFTRGTGSDMMFTTDEQGGYLWTSRKKYSTEDMYAERVNS GPDRSWKMPEPTVEEKDYRKKGVSGRVSDARAGLGRFKDAVGLQGLRHHHHSSRDSSE LTHDLAYHPSIDSDNEAPSTKTRTDSGFRSERNVSNDIEKLIEEKEEMPQLSPIPDPE RKAPEIHIEPVPEDEPEIQAQDWPMTQQSPIMEEDSDMERVMSRSTAASTDRERDRDG SISGTAVMALRRPQSYEEFKSLESEIERRDNFCTRHLSFSIVEEVVFKWEPLGGKPTV KETDNLDEAIVQEDMLASEGRTFSSRIQDLSKHTVPWVERQVESVDGLNQLLYERHEE LNSVYLERFGDYQRLRERSSDILIDESHHLADGVKRVELLGAKLDYELHVLESKVEDM EAGLSDFDRHIVNVETRIKALIQGEEEHDTSWTAWIARSMGFST PEX2_109490 MSFLFGGAPKMSSAEKIAAAETEVEMISDMFNRLTESCTKKCIP ADYREGDLNKGESVCLDRCVSKFFDVNIKVSEKMQGEANANKGGMGF PEX2_109500 MGFIWLWPAKKPIRENSSAASPGKALQDRVQQIRDACADPYPRL AVDSRTVSCAEFRSRYAELADNDSVEDTVIVSGRIRTYRLAGSKLIFFDIVQDGHKVQ VMCNKRRLDSVSPEDFKKFYRLLRRGDAFSVTGRPHRTGRGELTIDVSELPQLLSPCL HDVPVHDVEHETSPYPRHVQFLANPATADIIRARAALVQYLRQFFIDRSFMEVSTPII GAVAGGAIARPFYTSATEFPDRQLSLRIAPELWLKRLVVGGFEKIFEIGPSFRNEGLD KTHNAEFTTCEFYQAYANLENLMTMTEDLLSGMAAHIRALNTTGTLNPTTANFTAPFR RIDFIIGIEEATGHKLPDLESPDALDKVHAIFTELSLPLPTNPNLPRLLDELCSIYVE SRCIDPTFIINPPECLSPLSKSFVHPITKQRVAARGELFIEGKEVVNTYEEENSPFEQ RRKFEDQLRFGKAAGEPGEVDESYLEALEWGLPSTGGWGCGVDRLCMLFTGAKRITDV LPFGNLRAVTRRHGQSSSE PEX2_109510 METNTVAEAVTVSLQELVDGTVSFDTLTKAFGPSSLGIIVVKDL PSTFTDLRTKVLSNASYLAALPEPELDSLTSPESKYLVGWSCGKETLKSGHFDTLKGS YYVNCAFYQDASLDSAPADDFPDLPQYTAPNIWPSPTKLPEFRGSVESLCGLIIDTAA LVAKACDRYAEANIEGYKPGYLHHVVTTSLTTKARLLHYFPGNQEEEADASDDDWCAT HLDHGCLTGLTSAMFLDEAASPPTLYPSSGSASATLPELPRSPDPSAGLYIRSRTDEI VKVNIPKDCLAFQTGEALQLITRGKFMAVPHFVKGAKASPGQKIARNTLAVFTQPNLA EEVQPGLSFAEFARGVVQKNY PEX2_109520 MSHEEGISVKDTNNSSSSNDDYKTGHFGPLAHVNTASSRYPAFG GDLQPGLYRVPKDRKLANPAPLGLCAFALTTFVLGCLNMNVRGIAAPNIIVGPALAYG GFVQLLAGMWEMAIGNTFGATVLSSYGGFWISLAITFIPGGFNIIGALEEADHGSHAM FYNSLALYLFGWFIFTTLITMLTLKSTVAFFSLFFFVDLALLLLSLGYLFNADGVPNE KLIKAGGLFALLGAFLAWYNAFAGIADDSNSFFVPPVVHFPWSEKKRSARRNSAGQSE V PEX2_109530 MSSSEDDDPNVNVIPMENFSSDGDMSTWPGGNYIERPDIRWRQL LAENWLKSMGTYEEGVSHIIEKLPEGYCLFQRPRGTDPSLFDPFLFGHPSGQYFQSQR TFFPHFLSLVKNELDKCKCKPCEKMKKGQGTAVQGTRRSVQPVTNERRPTDAEGPDYW RILVMKLKDKGELNEDIEQRFNLDWALTHEWLSDYFTKLVLDPGYVPRRGELVLWIWK GLEDGCLMRNPKSGLVEIFGNDNKWHGVPNWRAGVVTQTPEDEGHMVNIIETPDSPRG LSYSGFRVETLPDPLGTDKSYSVQYAYVPLRNIKPFNTWQIYLDGQERDDIHPSVENA MTVMSSWSVVHKFHIIGKWPNARIHCKGIFIGAELLAVHDTVRLRPHGFHNDQLKDGT VGEVTDVMVIEKISLCLSECVDDDEEQLANHFTALISGKMFTTNPNRITEEGPFKSTI PAPTTTETATDPIPLTREEATATFRQVGMSDYGPWYRMADGKPCNVSPHWIVGRCYEP LAAELMFGTHTLGYDVSGVMEGRQYSSQVDVRMPEGHTWFWGDCRVETLGLTEINGVE CGLTAAQRQDPRKWQAIIKMSHGEVSHALRKQAQIPSSGGRPFKPSSSSAPKGTGSRP KTGLAHVAQTSKLVSSAIGSAPETEDDSFEDQFEDTNELADDPVSGGISRLGLQGDRS SGEDSDYPFV PEX2_109540 MAAQSTLRRPEDPLLALYRHYSDLIRSKYHRTSKTTRLIATVAL LFSIITSGYGGYKWFRGRAKERAQGRRLLRRNSGIRGKDGSRTIYVPYKDSLTSKVLI HPTKPTTFDAHRRLFLNPPASARSKDDGSASQIPPPTTKPGLNLAFLHQFLSLGSIMV PRWGSKETGLLMSHGFFLLMRTYLSLLIARLDGEIVRDLVAGKGKAFLWGILKWCGIG TLASYTNAMIKFLQSKVSIAFRTRLTRYIHDLYLTDNNNYYKLMNLDGGIGQGPDQFI TQDLTLFCTAAASLYSSMGKPMVDLFVFNYQLYRSLGPLALSGILAGYFSTAVVLRKL SPPFGKLKAVEGKKEGDFRGLHSRLLANAEEISFYGGADTERVFLVRSFKELQRWMEG IYSLKIRYNMLEDMILKYSWSAFGYLITSLPIFLPAWGGSGGAMELADAPAGMGRERG RMKEFITNKRLMLSLADAGGRMMYSIKDISELAGYTSRVYGLIATLHRVHADAYYTPQ GSHPELYSLADSQGTTHNGFDGVRLEQVPIVAPSLHPMGGDELIESLSFIVHSGEHLL ISGPNGVGKSAIPRIVAGLWPVYRGLVSRPRGFGLDGIMFLPQRPYLSVGTLRDQVLY PHTEVDMREAGETDAALQKILDDAHLGYLPQREGGWDARKEWKDVLSGGEKQRMGMAR LFYHEPRYAFMDEGTSAVSSDVEGLLYDNAKERGITLITISTRASLKKYHTYNLTLGL GSEGEVWEFERIGTEKEKLGVEKELQELRARLDKVDEWKQRREEIDQELQKVWANNGE VAPPPYQEEEHSSSEALVEITAN PEX2_109550 MSGESTARDSALESANKATMNTSGLPGSAIPESEQGRATDATET HDATSVAPSDPASSSAALAESTSTAAEGTNAPKKRHLLIPIPSRRSSKTKQQGSEKTE EAAQDEPSRRSSKVSILRSKRDHSRASSRRSRQTQNGVNVEESKEAATMPDDSSSKPQ QKKSPSKFLAFLGCCSSSEVDADDTTLPAKKTTMRPPTSNRLSTPDKTDAPTGDSSTL ESREPYLDEKANSTVSADQPGEEDRKVRPATASVQADGPSVDAKQPEVSGQKDQTNDV NPQAQTGTVMGSVPEVKADANAHDWEGQSTSTTEDLTSASTSTIPKDFGTDGQEEVTS HQEMKLPVVIPPPPPPLPPAPPAPPARVQYEDTAQPLLPAPLPHLSGRKCLVLDLDET LVHSSFKVLERADFTIPVEIEGQYHNIYVIKRPGVDAFMKRVGELYEVVVFTASVSKY GDPLLDQLDIHNVVHHRLFRESCYNHQGNYVKDLSQVGRDLKETIIIDNSPTSYIFHP EHAIPISSWFSDAHDNELLDLIPVLEDLAGAQVQDVSMVLDCTL PEX2_109560 MKNKEGWDGKMRMEPKAVITNPEALEDSDYSDPDAPPVEEIEAD EDLLAEEDVNAEDIDLVHCRISSISALKLERFPKLQVHTLNDPGIRMCLVAERNMRLC MRQNQISRINFPPNVAASLTELDLYDNLISHIKGLEEFHNLTSLDLSFNKIKHIKNVS HLKKLTEIFFVQNKISRIEGLEELTGIKNLELGANKIREIENLETLTALEELWLGKNK IVEMKNLDSLSNLRIISIQSNRLTKITGLSALPKLEELYLSHNAVTDLSGLESNETLR VLDFSNNQVSHLEHLSSLKNLEELWGSNNQLASFEEVERELKDKEKLQTVYFEGNPLQ LNGPAVYRNKVRLALPNIQQIDATFVRV PEX2_109570 MIYRLFLHPLARFPGPKLAAATNLYGAYWTLIKGGQYTLNLPEL HRKYGPIVRTYPNELHIHDVDAYNEVFSVGTKFDKTRRFYDHPLLEGSHFNMPDLKSA KSRRELFAPFLSKAAVARGEPLINATIMKFINILREYGKENRVVDLTRGYHSLTTDLI INYGWQRATGALDYSDFSYPSVVYMNHFLVSTVFIRTFHGFSRFIIGLVLQFPALAKW NTMFAAVFHMRTEAQDLVLNVINRPKLTRQQHPSLFDLALHPDAKIELPKPSIKDLTA ETLVFLAAGEESTASTLINGTFHVLSNSKIKHKLQQELSSVMLDGFPMPTADTLEKLP YLRAIVKESLRFSHGAPGRVPRTVPPSGATLCGQFIPPGTTLSLSHYVYHIDSSGIAG LYRDQLYETTPDDMKWGDYFAPMTKGHLKVKVLSGTPKPQHPGKGHTNQPILIRMMTL WWMCSLAHLLSFVNVGHGEGCCICQWCDTCLPVKVHDAPGVGFDLTPSYGTAAVHYYN GTVVEIVKVLGSPEYLELMTRLATVSEPLPETTFDFISGLTLRLMESLLPDESSPWRD WWRWLNTRLGRPVKADDVEIISDLLQQLKVFTEKEISQPLDRVAVADPGFQSLSSAKI NAALRILHLRTWGGDSIHYPRRLLEGDAVYAANGHGLCTNYLDVFDCMDEFEESPGTS VLFVSYNRNILYASILEGCDSQAFSRLTSVEAQLVDYGLGLDRLLEKDEAALWDRLRS QLQILPREYEYPITHLLLAGESVTHPRFLDILRNSMSELSPHPVDIKLAIDPTFAAAR GAALYSRRRQEVQADCTERSECEETRKHERVYTYTQDDLRSTREDLR PEX2_109580 MMSSSSSSSPGTEPAKESLPIPTEPDLPPEGGVRGWICVVGAFI CLFCTFGFLNAIGVFQTHYEQNSLRNYSSSDISWIFAVQLCLMWALGPLYGRLLDTFG PAPVLYPCSVLCVFALCMTSLADKYYQIFLAQGLGFGIGAGGVFTAAMVCVGQWFVKR RGLALGIAVCGSSTGGVIFPIFLNKMVGDVGFYGTVRWGLWGPFDYLPSMAQEEAKFS PNLALYLISIINAASIPGRIIPPHIADHIGHFNVITTCAFLTAISMFFLWLPFHYHPS HAGLIVFALAYGFVTGAVVSLLMPCLAKSGKLETLGVRFGTFQMVVALGSLTGLPIMG AILNQQGGKSFAGLEIFAAVSALLGTSFFALSTYQMARSRGTWRV PEX2_109590 MEQSQGRWGLFCTANAIIIRALYIIHRHIIELTVGMTPSQEKFP VQWRESSSIALESITQIVHDVAVTQNDMSLEKMDVLPLSRAFIIRAALRYLDDLGGEC NRWDAARRQLKASLRMCNERWNVRSGE PEX2_109600 MKTSLITISALLGLVSAQNAVVNNHCDTTVYVQSYPYDGSDPGP LTTVPSGGTFSEDLRASGSSVKIDKTKSLDTPLFFGYSFSSNPDIAYYELSTEWGNPF AANANSLGAGEGCDSFDCAANDAACYSTPSDKKVLHCPEPVILTADLCN PEX2_109610 MDRLISILQFDSIGPLQPKSSKLTIALLVSTCCVFAVTTGYDAG LMNGVNMMPQYVDWFQLTTVTKSLSTCSSYIGWFIAALLMGPVVERTGRKGGIFISII LKLIGIALMASSQSVGMFIGGRIILGWAKGTAAIASSTEILNAMRQEQDSGQTLSYVE IVRTSNSRKRLILVTIILNSWSLVCAMSGTFLTDKVGRKTLCLMACIMMTITMFLIGV LTKFFGDGADIPGVYATIAMIFIFQGSYSFGIAPITQLYPPEVLNYSIRANGMAAWTL VVNFSGLLSTLALPIALAAIGWKMYIINGVWDALQALFVALVWIETKGLSLEDIDRVI DGNPPLNGLDPEGDYEKNDRKGPDLITEHVAQKKTDVKQSF PEX2_109620 MTDLQEDYGPALWVVNTIFIALSTFAVVARFAARRLQKLSLGAD DWIICVALFWNWLLYGIFVGCRINGLGKHRETLSPEKVAIFAELLYFFQIFYVLAPPT VKLALLFLYRRIFQHSSFLRVVNGMIIFISVWTIIMTFLAIFNCKPISAFWTTEGTCL NFKQFAIGYAIVNIISDFAVWLMPIPRVWEIQLPKPQKIALSLIFALGLFDCAAAIGR LLLSMLVLGEYDSTWLYAKGYMWSIIEVSTGIICTCLPTMRILLKTFFGGAFAGIFGM SSVKASRLPSSNTPWSRTKEYHDEIGGRSVEVSSNAQHTKNDCESHDAEWDTSSRRIL VTEEVNIELQPAKHRASISLT PEX2_109630 MAVASQQKLSWQESARQVQAARDRSIEDVDSAIAALPATYTGRV IDFPRKHLSQTEIAITESSAETLVASLATGKLTATAVANAFLRRAAIAQKLTNCIYEL LPDRAIARAKELDDYLAKHGKPSGPLHGLPISIKGHIGLKGRDLSAGFVAWLDRESPD DANIVKILLDAGAVVYARTTEPQGLMALETCSNITGITTNPHNTALTPGGSSGGESAL QALHGSPLGIGSDIGGSIRSPAANCGLYGLKPSTGRLPLIGCASYVLGCETIVGTLGP ISPTFGGIELFLKTIIESKPWVKDSMMLPIPWRDQEKHIHLDNKKLTVGVMWTDDVVT PAPAVTRALKEVVGRLKLVDSVEVIEWKAYQQKEALEILTRLYAPDGGKAFAGHLEAS GEPFTPLTAWSLRDAPGIEELSQQGLWDWTGKREMFRYAYLQQWNNVAPEMDVILCPA FPTPAPLHFTSRYWGYTSLFNLLDYPALVFPVTKVDPDRDAKHTTYTPKNEFDSWAYE HYDSVKQKDAPVSLQLVSKKLEEEKLLQAFKEIQERIGLPFVNCLA PEX2_109640 MIDESQYQRLPIDEDNTAVLSAHEPSPRWRKTWSLFFAALLAVS LLLLLSFLPARIPGAHTTNSPSPEYQHCGNSTDIAKSRGCQFDLLNYVWLPERCSEKE TVEEFRIWLNSPERQFGSWPFFADREGHEHIANEQALSERVNVDTRAPQEEHLAHCIF LWRRLVRVADMKVELDIGMGHIHHCTEGLLCRGQIQWMRSGLIQCFKLDSVDAE PEX2_109650 MLEATPEDNYLAQLIAAAPSAGGSFARSIPLSSRFIQPGIPPMN EHFDLAVPTTVPPPTTQMTDLAGLHSWDLEFPISSGSMTKLTSQDAAMDDYLPTTSSF PSLDVGIADHAELQALEGWPLFQCNPVTPSSAELCKAHKTHSPAQPDPQMGWALDPTF RPTDGL PEX2_109660 MSGLYGLLFTKLTLPSPSTIQGKTILITGANTGLGKEAARHALS LGAGTIIMGVRTQSKGKEAKADIEASTSSTKNKVLVWPLDLESFASVQTFAARVHKYV VTDGGRLDIAIMNAGIASVEYAVTRDGWERGIQVNVLSTALLSLQLLPLLLQTKERDP SAQPHLAILTSDIHKSIKFPERYAEHILSVLNEEQQWKKSQATGGSTERYGVTKLMDI FITMELAKLVPRDAYGEPLVVVNGVTPGFCKSDLLTREKAPWILKLVQALVARTVEEG SKTLLHAVAQGSETHGKWLENQVITE PEX2_109670 MESTNRLSVEPIAIVGMACRLPPEISSLGDFWSFCAQGKSAWAE NPEGRFENAAFWNQNADRKGKINNKGGHYLSHNLAHFDAPFFRITANEAKAMDPQQRF LLEITYEALENGGFPVEELAGKDVAVFAANSFSDYLHGITRDPETAPTFAMTGCDPCM IANRLSHFFDFRGPSITVDTACSSGLTAIHLACQSLRSGDAPYAIVAAAHLNIYPQST IIYSLSQLLSPDGKCYAFDDRATGGFGRGEGAASLVLKPLSAALEAGDHIYTVIKNTG VNQDGRPSAGITVPSGEAQENLIRSLYTSCGIDPSQTRYVEAHGTGTAIGDPIEVQAI ANIFGKQGTGLEPTFIGSAKSNFGHLEGVSGLVSVIKTAMMLEKGVILPNTNFANPNP KLHLEEHNLQVLSEIKPWPKSGIRRASVNNFGIGGSNSHGIFEQAPLRPIQKPSNGSY IFALSAKCEYSGRMQLGQLSAYLRKDPLFFNKTIMEDLAFTLSTRRSLLPWKSVLIAN SQTQLIEKLSAESIAPTRSTKRPLVGLVFTGQGAHWPQMGQELIYYPVFAKSLQKSQN ILLELGATWKLQDELFNIPEVSQLGSPQISQVSCCAIQIALVDLLCSWGLDITAVVGH SSGEIAASYAAGILTAASCIKVAYHRGMAMVEMKQQFPNLKGSMIAVGCSEAVLQTLL ASLISGLATIACKNSPSSFTVSGDSSAIEELHILCDAQDIFNRTLKIDIAYHSSHMSF VAESYLEALGDINCVQSPVRFFSSAEGGLLREPNLNSRYWMKNLAGKVLFSDSLSAMC RYTELIEGNKWEIDTLVEVGPHAALKGPVLQVLKSIAKDQHIEYIPTLIRGKNGIHTM HELAASLFLKGFPINLKKTNFENSPNLFKQFAVLGDLPSYPWKHEEEYWHEPRINKFY RKNKIPYNDLLGSCASDFNNLEPRWRNIIRLDDLPWLRDHCVQSEVVFPMSGYISMAI EAASQYNLLQGKSYPASYILREVLVKSPLTLSEMVEYDITLSLRPHVIGPRTQSGKWY EFAIFSCCGDLEFEERCRGNICVLNRPRVGIKYGHVSPDGFAGLLLDNTNLHAIIENL GLGYGSAFQGLHDIKATGCEAIAKLRIPDTTKSMPSMRESAYVLHPATLNVLMQLALP LICSNLGGLNKLYMPHYIEEIEIQPPTAAEGGNDLDVGGIMSDPSSDAPVFSLFAQND GRRFISIKGLECRGRNLPEVSSTMENFCHKIEWMPWVDILSGNELRRAFQVSSEGVTD EQSRIRLLKNVSLYYMHEALEQIGNYDGSRQVNMYRWMKEKVQEQWHDNIDDQHAQEL VQNARGTGSVGRFLCRMGENIARIIREEVEPLSLMLEDDLLTRYYEDSEPLKNRLYPA AASIVASLAHRNPNLRILEIGAGTGGVTLPILQTLGEPGANSQTIFESYVFTDVTAGF FEKSKIKLQAWKQVLDFRVLDIEASPKSQGLVEGNYDLIIAANVLHATVNMSKTMTNV RSLLKPGGTLLLLEITSPSIALFPFTALPGWWLGEEEFRQNGPTLSSNTWDRVLRSTG FSGIHAELYDYPDTSEQECSLIVSSATAPDQCAPSEDLTILAGTSSEGLAEAVKSSLA KSLQITARIRSLEAIATEPNISKNCIVLENFDAPLLHEITKLQFWGLQRICEADRTLW VVAGANQESVNPKASMTLGLARTIREENHHQKLVVLDLDPKNRLPDAEAAAMILRVFL QSFNKPDIPLAELELSERDGIIHIPRYKPDTEMNRLIIDTREQFAPRYQTGWDSGHIL KMQVQAPGASSSLTFLDTGLSLPAINDLDIEIEVKASGVSFQDIVISNGKAAGDLGFE CSGVITATGLGVMDLTVGDRVCALTRGTYASIVRCSSIRVVKIPDAMAYTTAAAIPMA FVTAYYSVVTVGHLEQGQKILIHQAAGAVGQMAVRIAQYIGAEVYATVGFAEKKEAIA DYFQIPFDHILCEDQISSYENLMTGNGYDVVMNTRSNEALDMSWKCLGPHGRFVNLAL TDILDDNRLSMRPFNRGASFCSINLEALMKDEPQLLHDILFHGIDWYATGVIKSVMSP KCYPIGAIEDAFSYLSHGNSIGKLVIDLSSPGPVSVVVDESILPLFTSDEAYLVVDGG GGIGKSIIQWMTRRGAKNIIFVSRSGPQSERVRELESEMQLKGIRLAVFQGDAADASS LDHVINESAKILPPIRGVIHSDMVHSTMLFENMPFEDYCAVVNPKIQGAWNLHQHFSD PRVLRFFIILSSITGTVGTPMHSAVGAAATYLGSLARMRKNQGLPGVSVSLGPQVKVG CIAEQSSRQKVIDKNWGDSGLTDMDINDILDTVISGRYDPSWDTECYTCLLPPSSHPP FWFSDSRFVHCRHPRMTGEQDTDNFDNKPVVPLGQLLSNSQSLEDNQSIIYEAVVGKF VSVLSLHPDDIVPSKPPSAYGVDSLVAGEIRNWIVRELKASLSLPELMNSASFVDLSD TITQRYQVVKAA PEX2_109680 MIKPVYIVGAARTPTARINTQLGSVPSTKLGSITIREALHRSKV PLDLFSGVYMGQVLQAGAGQAPAKQAAMQAGLAPDIEATTINKVCASGLKAITLAAQD IQLGYAVAQVAGGMESMSNVPMYSKKGDDCSHKEKPVIDGLLLDGLINASDGRSMGAC ADGVARQMGISREDQDQYALATYTRACRAQQSNTFQEEIVPVLVDGATAEIFDTDSLP HESLFSRLRSLKPVFSPGGTVTAGNACSLSDGGSAVVLVNGQVAKQFCQENRVLAKIV AYADASVAPHEFALAPTKAIQLVLESAQMCAEQISLWEINEAFAIVVIASQLLLGLDP DRINVNGGAIALGHPLGSSGCRILVTLLHQLSHDQYGIAVICNGGGGATAMLIQRVQG KSLDALA PEX2_109690 MKLQAALIQVLQNVATFSLLATATPVNHIEPPCDSPAWTVGQSV QTTSGLVVGHAAANVSEVSEYVGIPYAVPPLGRLRFQPPVPYTDNGTINATEFGPTCI QPPSAPPSNNTSSAPPQNLGIPPTSAAALASEIYPSSEDCLTLNVWTKPQIGEAKKAV LVWVYGGGYVSGSSRQATYRGQFIADESDVVVVSMNYRVNTFGFPGNPATPANIGLRD IRMSLEWVRDNIEQFGGDPDRISIFGQSAGAGMVDFYSFAYADDPIASGFIEMSATIY GFPALTAEETHSRWFHVTDLVGCGNATSDPVAVSDCMVNKTVDEILAGYDPANVPFNP SPYGPVIDNELVFSSYLNRTAAKGGYLIGNTHNEAGIFRLGQTHNQSYWTDFNDRLYT CADVVRIEQSIADGNPTWRYRYFGDFPNLALTTTPPSGAYHTADLPPLFNTVNQTMLA STSAEVTIGNFLRDVWSSFAKNPQHGLDAFWPQYNGTEKTLARTGFNNETISLAPGNM YDHICRWTYDGVSDPAPL PEX2_109700 MLRSQALHPLLWLCTLFQLSLALPLHATATYALTVIKNVTHPAT FENIGTRHNGQLLLTSTLSSKVYQVDPFREHAMNTIVDIPHTTGLLGIAELEEDVFYV ISANLSSVEGVSDSNFIWKFDMRDRHVGFRSNQEKIPTIVPSLVANMSNAQLLNGMSH LADNDTKSLLVGDSQAGRIYKLDVNTGSHQIISDDDDLKSAPTGLQIGVNGIHVRGSH LFFTNFNKRIFGRMPISLSTGFPTGPVEVLVNGVRGDDFAVSSDGKTAWIALNGQSTL LEVDIPGKSARVVVKSPYLESASSVSVGRTLFDRDSLYVSSAGVFNSAPGINATVTRG IVARVDLPKA PEX2_109710 MIKTLSLGGQRVPVPGFGAMGLNSAMGSDLSLEQSEPVLLKAIE LGCTFWDTAVAYKNGENEKLLGDFIKKHNVRDKVFVASKCGFDVFGPERKVTNSAAHI KEYIEGTIDRLGFTPDLYYLHRIDPNTPLEESIGALDELRRAGKTKYIGLSECSAETL RKANAIAKIDAVQAEYSAFETIHETSGLIQTAKELDVAFVAFSPLGHGWLVDDFPFKS PDDFAPNDFRRTVPKFQGENFYKNKAIVDEIKKLANRKGCTIAQIALAWVAAQGLVTI PGTTKASRLEENWASRDVELTAEELKEMRAIVEAAKPVGERFSAIFQSMVGH PEX2_109720 MVHCLPFFISLAAATNLYATHYNGYVYSLSLDGENSLSVTSSSK TCGDAPSWLTFDSSTRTIYCSDHAGNASMNGLLSSYSVNQDGGLTELASTVDVGAAVH SIIYGGKHGREKYLAIAHYGGSALSTFSLPLKSDKKPLEVFHYKMSQPGIKPQQDSPH PHQVILDPTGDFILVPDLGADQVRVYAINQNSGHLNVCPSLNYTAGSGPRHGLFWKSD PLHSDHAPVTMLYTVSELGGHFNAFAVSYLSSGCLGFKETQSFVPYPGGQLPAAGTPA ELRMSGNYLYASIRFDQGFAPNDSMSTMVRSPNGTVSFSQITSAYGTVPRTFAINKKG TLVAIGDQVSSNVAIVERNPRSGALGNLIANLEVTQPGQASISSSGLSSIIWEE PEX2_109730 MAPSRVAKSKATKKAVAKPVTKSSKLAPETSREVLEERLEFVLT CIKETGIKIDYVAVGRHYGISNNAACLRFLRCKDHVSKLVRVREAAQKADEAQKGDND SGTTEDEEV PEX2_109740 MRPVIMSRWLTTFLACLLIQNVLAIEVNPEDETSLKNAAKTVAT TMMDFYNARDSKDIPGKMDDTWWEGGSMFMTLIQYWYLTGDSQFNDAIQEGMYWQKGK NDFFPSNYSQYLGNDDQVFWGLAAITAGELNFPERDGEASWVSLAEGVFNGQVPRWDM KNCGGGLRWQIWPYQDGYNLKNAISNGGLFQLSARLALYTKNATYAEWAEKIWDWSAT TPLLRKNWTNGTESKWVEGITGLLKTSNQFFPDSGGNQIISDITCEPINKCDRNQKTF KAYFTGWVGFMSLIVPANVTAEVMAKFKVSAVAAGQQCSGGSDGNHCGIRWTKKAEWD GTMGLEQQMSVLGVLNAVMVPFKADGPYNTDNGGTSKSDPDGDTSKQDSLEPAPITTG DRTGAGILTAIFVIVWAGATCWMLIGGE PEX2_109750 MVSPSLARMTGQVHITGYPMPKNLSESKLLLAALQKFGEVLTYR NLKYDTTNTSLNPNRPIVAIFETADAADRAIAASPITIPLPTSPSTSTSTSKSRPSIS TPFTSVNSPSNTPRSLTLEIQHSRHNHASALKRSPFYSTYNLFKNNPIYEDLISDETG IPLKELADTLSSKKYPIGAGVKLNIQEENRRMGATSLVNMWKEGMGMEIEGENEGQDS GENPFGIEPVGAGIREPGEGLEDEKKQNTVI PEX2_109760 MVAPTVKLSNGYEMPIVGFGLWKVNNDTCADQIYNAIKAGYRLF DGACDYGNEVEAGQGVARAIKDGLVKREDLFIVSKLWNSFHEADKVEPIARKQLADWG VDYFDLYIVHFPIALKYLDPSVRYPPSWTTAEGKIEFANAPIHETWGAMESLVDKKLA RSIGVSNFSAQLLMDLLRYARVRPATLQIEHHPYLTQTRLVDYAQKEGIAVTAYSSFG PLSFIELDLKHAKDTPLLFEHATITAIAEKHGRTPAQVLLRWSTQRNIAVIPKSNDPT RLAQNLTVTDFDLETSELEAISALDKGLRFNDPVAYGLGIPIF PEX2_109770 MALQNTTGNVQSYSDQLAHADIAAREDQLHQLGFKYPHAPHPAQ PLSASGLHQQHVAARLHQRKLRRLHSVGPNSQSRRARSSYLKSQKYLEYRARPRRDTG KDGEPVWSDELEDAFQQALEANPPMGRRKWSERGKSYGRNELIAEYIFKLTGKRRTRK QVSSHLQVLDSFLKGDPDWERLVREPSPERSSSVHGSAPAPKWRTAVEHPSSSHYGSQ THPSYHDHMRSMQPYAGDLPPPHYTLGSNNMQEATASTIHGFSFDMWVSAPHQANRID KALHAYTRLQGDLHHPGAPPMPLEHLNGWRSSFPQLASMVDDINNPLDCDIILLEVNL ELMTDFPPTGSRLGIQLDLDYGHPSAGDVLGVSQMDNWTCSTHIYEDSQKIVESYHDL QKPQSTKVKPLFESSWWANTFTQLTQEKRIAEDSGNPQAARDADDHTRHFFHSLSAVQ ELRATSPSSHRLSNQYQGHSGDESKRMAVLAWKFRQTRPGEVGTTTWRRLIPAPDRTT TNSPRPVSGVDLPPLSLDSILLSKPSHQGVYQAPQPHDLIHHPSQSHSQWPMYQSPHD NVANLFNSSGHLDFMTSISKAEDGLNDRIAVTSVLDSFSTSLAPESITSTSLHGSSGA PVMLNVHDLPLSHQGMGYTMGHEASHYVPSQQHSVNMHDSNSVSHGFFGSNTQLLDDL SHGQASWGAHSTSIPGDVGAGSYHLPYQPEHHGHGPVSRESQQPHHFDGLLPSEDLMD KIVGRMSNGPSMHGAGPDAGYDHATGDAV PEX2_109780 MESTRVFVGGLPPTCSNDQLKKHFATRFQVTDAHVLPKRRMGFV GFKSHEAAQQAVKHFNKTYMKMSKIAVDIARPIDSNDAEDAHPTRRRDTTNDNDASLD NNLKRKRDGENKSQDPKLQEYLSLMGSSAKTRTWANDDEMIKPSVDTVPVINQPAQEE EIQELPSHRKKAKTENPPMVPEAEQPEPMAIDNNEEEEAQEAPEQDNSAEPEVEAAPL SDADWLRSKTSRLLGLLDEEEQDEFDQHKATAQTTAPTKAASPPALTRDESPQRDEES RTAAIEKIAPNTTDVDSITEATPEDPNIDLIRNSARLFLRNLAYDTTESDLQPIFERF GKIEEIHIAFDTRSTTSKGFAYVQYSHADAAIDAYQTLDGKHFQGRLLHILPASAKKT YKIDEYELSKLPLKKQREIKRKQNAAGSSFSWNSLYMNADAVMSSVAGRLGVSKSELL DPTSSEAAVKQAHAETHVIQETKAYFASNGVNIDAFKQRERGNTAILVKNFSYGVTSA ELRGLFDPYGKIIRLLMPPSGTIAIVEFAQPDEAQKAFKGMAYRKMGDSILFLEKAPK NLFDGSAVPRALAPETRGKDQGFSTADTFAADEPDDSVATTTLFVKNLNFSTTNEKFL EVFRSLDGFITGRIKTKPDPKRPGQTLSMGFAFADFKTKAQAQAALSAMNGYKLDQHE LLIRASHKGKDAAEERRREDTAKKVAARRTKIIIKNLPFQATKKDIRSLFGAYGQLRS VRVPQKFDHTARGFGFADFVSAREAENAMDALKNTHLLGRRLVLEFVNEEAVDPEEEL ARLEKKVGEQLDRVKLQQLTSGAGRKKFTVGGQEEE PEX2_109790 MALPPTEKKEPMEAQIKSVDMTEDMQQEAIALAIEATEKFRVEK DIAQYIKKEVRQFYPAPDRISNPIHQFDSRKGATWHCVVGRNFGSFVTHETKHFIYFY LGHCAILLFKTHSGLFLDSLKQLYAKSPNLAIIMAFCITFGTHEFSSQLEGYENVRAY CYNCQHWNGHCITRWPFFTVCFIPLIPLAMHKYKEVQCYTCRYTQDLRDRPDITPDTR PPAGMQYGIQPPPQAQGGWQQQPPLQAPPQAQGGLGYK PEX2_109800 MSSDGVIFTAPLSQSFGYGIILGLGFAFALLMIFITWALKRYQN EVQTSEMFSTAGRSVKSGLVAAAVVSSWTWAATLLQSSAVAYRYGVSGPFFYASGATV QILLFATLAIELKRRAPNAHTFLEVIRARYGTVVHIVFILFCLMTNILVTAMLLTGGS AVMTSLTGVPTAAACFLLPIGVVLYTLFGGIKATFITDYLHTVVILVVIFLFAFSAYA TNAELGSPSKVYDALVAASQRHPVEGNAEGSYLTMRSKEGGIFWIINLVGNFGTVFLD NGYYNKAIAASPVHAFPGYVIGGICWFAIPWLCASTMGLSALALEGTNRMSSNDVTAG LVLPFAAVKLLGYSGAVATTLMIFMAVTSAFSAQLIAVSSIFTYDIYAAYINPSAKGK KLVWISHMSCIVYSIIMAGFATGLYYAGIGMGYLYLLMGVIISSAVFPGAMTLLWKGQ NRIAAALSPPLGLAVSLIAWLVTAKTQYGVLTVDTTGSNYPMLAGNVAALLSPVIFVP LFTYIFGPQNYDYESMRAIRKVDDTEVAADAHIDLEQVPGETGPSQDESEEEERLLNR SALYARTLTVFMAFAFLILWPIPMYGSSYVFSKKFFTGWVVVGIIWLFVTLFGVVVFP LYEGRASITRVVRMMSLDLVGKKPKVYRGTKAGADDVATPSGVATPTENLGEKDKKAS EREI PEX2_109810 MASTRPASPLTSGAESGPDSKSGAAAATGNSVGRPSSPSTPGGP RAAMRRRATADHKETLRNARPSSTRSAGAGGSSGTMLKLYTDESPGLRVDPVVVLVLS LGFIFSVVGLHVIAKITRKFSA PEX2_109820 MCDPPHVTSPDESRLRPTKLPSHRSTRASGCFDLPFPPVSGLFP TGPINQKPPSGGHTVAVSFPNHIADLSSTTEPLGKIPSHGSRASLLGGSFDPAFRRGP GGFRAREGYTNVTPATKNARMCYLPNTHWTWSFAVVALVQTIVTLSLESYIFANFQIQ EIPNNSPASKTIPTFLALYGFGFLYELVLVYDALRMKNTIQVIGLCLCNVGLLIYGAV QVKQIREAVSTLALMNMISPVVWAQSEPFLIIIPCIVALGTLLIIFVAYKLYDEFAWT IYKHISADLQMKRRYLTYQIYIALLKFDFFFFLGFTVQFLVLVSSTSMDVEFALTVAA IPVTILILVCGAWFVRRETMVGMIIIILLLFAAMAYFCFKLFRMWSPLTYFKYLPARP SMTFFAVITITLIVITIIYACLCVHNFNRGLKPHINKKKNKEAEKTTELSSNLTQIPS RMMID PEX2_109830 MLSLWVGAIFLCGYIVICGLLSPLSTIPGPWYTRFTSLWIKYQE FTANRRESIHRLHKIYGPVVRLAPNEVSFTSLDAIKEIYASGGSGYDKTEYYDLFRQF KIKTMFSTLLKDEHSKRKRIFADRYAMTNIMKDKPMAVIHERATAFVSKCVEAGQKSV DVYSLLHCYALDCVTHFMFSPGGLRSLNIAEDFEIMHELTYHQSLQKNLLEYYLPALA PYFPKFLHARSAPKANQYVVDMAGQIDLDGHSLMEKLKRKESNLELMQAAAECKDHMA AGIDTTGDGLCFLMWELSQPQNLCFQDKLYKELTAAPGDAPLDTYLYLDAVIKEALRC APPIPMSLPRYVPAGGREIDGFVVPGHTIVSCQPYSVHRINESVFPEPDRFNPDRWLV EEGAAERNRLFFSFATGGRGCTGKNLAVVEMKMLLREVYSRYRTTVASDMTASMKLED QIISSRPKGQSCKLDFAVIE PEX2_109840 MAIKPEQSSCRFSKRISFRWLTTPAEETTDTIVMSVKDWYVDLR IETATGKIDWAIAGQRIVESQEPLRVTFSHELDSHNAFETIDCGTFVPLPNGDDLEMG SMPRHDLPGAPDKEYEEVWRELPFREGPEGPAKGLSWVLESDDGDLGSGEGNVTIQKT FIGRIWGTYLALHQTQTHTRQKTPSGELVVKKSGADVTARREEWEPGWKEKYLVGEAA GSLPSMVVGFDGEGEGPWRVPGEKVQVQGKTYIVRAFEEI PEX2_109850 MLRFFATHGELNSSSSRLWVPPRAAKPLANEKFGGIFKPQPAPS FVFSRVGVGPAREGLV PEX2_109860 MFILLVIGGHYIVLEARLEQASLLKRVVDAIKDLVQDCNFDCND SGIALQAMDNSHVALVSMLLRAEGFSPYRCDRNIALGINLLSLTKVLRAAQNEDILTL KAEDSPDAVNLMFESAETDRLSEYDIKLMDIDQEHLAIPETEYAATVEMPSAEFQRIC RDLNALSESVVIEASKEGVKFSCQGDIGNGSVTIRQHTNVDKPDQNVVINLSEPVALT FSLKYLVNFCKASNLSSSVVLHLSQEVPLLVEYGLGSGHLRFYLAPKIGDEE PEX2_109870 MESIVYENSPLADYLEGEGGIEESWPVEENQSDDDHTRSSNFAP RGASKFQNRVRNKLPKPLNLRGTPQGELIGKLYDACSSAVNVRLARSDNERFLEQFGY VIVASQLLNEHSAPSYTSAADVLSAKQPSALPSLSTTFGIQGAIVTAATSFSIAWLLH WSRSRTGSGLSLKKVGVLLVLVPTVGVLFYAFAKRQWLKYLRHQAVEAAGAFIGNAQG FDSAASASVVFIQEVELVSRGYRISTPLPPISRLEDLVQTRRCLRLRRAVSECFYSML ERYIQSQNTLRPLTDEGDLAKYYDIYDIGLEELEAVEASLSQRANEDQYSLRALRDLF GKLYSVRKSVLCCLLALSADGGGSDIARWSSAVEEMRELATVTGNSMLKMTAILNEED RDAIPPSPLPSASPSKDHLRAQFRRLSSLSQGVRALHAKMHIASEESHANLERADPDE FEAALLAQYDSVGSDLRALLQEWEAGKAALANQHDRLSVGDRSRPPSTFLLPMSPTPS LGGLTAVEGSPTDALKALTGEGRPDLTQTYDDEEIFEAVVLPASRNKRMSLTRDERLA RVREDRARQAIAREKLDASNNMLKELEMVIKQRPGNNSAKRVTSI PEX2_109880 MSETKSIEVSPTSTTRKWFDRFCFVQKRRDPTSHSPWQKRSIVV IITLSAFTAPFASCILFPSFTTLVDHFHTTQTKVALTTTVFLLGLAVAPLWWSTLSQE YGRRPVLVFSFLISTIAVIVCAVSNSLPLIIVFRLIEALGCSSAQSVGAGVISDIFTP TERGSALGWFYLGTLIGPMVAPIIGGAIQVWLGWRANLYFMAIFTFSTAMLTMLCLPE TLVKPPTETKEKLPWHQVMSRDVFAPLPKLKLLAVPSIALTIAYVSICFASLYCFNTT LPYAYSAPPYNFSAIEIGLCYISNCLGYAIGSVVGGKLSDAKLRQYQLTHGGEIRPVE RIKTVWYGVGFVPAGLLIYGWLIEKQVFWVAPLIGAFLFGLGLMLVTSTVMPFLVDVK PGAGASVVADLNLVRNILAAIGTVLSPIAVSNIGFGWWMTILAIICSLSVGFVVIVVW REGAERKTSDVEGTV PEX2_109890 MNRSCIACSESKLRCDLNKTAPPCSRCLQRGWTCVRAERKKRAR RTRGITSPPSPQTGSEDGPYKASDIPVFDEQSVDLSFLDSCSPDEVDFLAQLADLENC VDMSSFGWTPQENVSVVSDHGLPQPSTGASTFDMRVPEIAMNVGYLCPDNFRLPADYV LELEGPGTVPVYRLETYARLFFANFHPFFPILHIPTFCLASSPAVLVRAVCFIGAGFE NSTTSKSDAKLLHGSLSTLLAKCCLHYDKMSPNLEELQAIVLFQLASMANGESAAERA ASRLLHPLLVSVIRQAGLLKIHGECSKATRNAHVWQSWIEKESKKRVLWGVFTVDCYQ SILCGSKPLLLPTDTRASFPCDYPSWNACSASLWAAMPAQDPSSCFLSSVKGLMVRQA PSESNLTKFGLNLLILAIHSLLLEAQTSILPVDLSALEGALHTWHMSWEQFRRRSQHQ YELSAGNILIANSLSLYYLAFHFLRNGRPVLNERAYLEKSRVSGNPFIIREQEYQDEM TRRVREMLVEFQGGENAMAMLL PEX2_109900 MIFSSSLLLAFMATCAHALPVFTQPLTNYKWPTQFVRSGEANIQ VLVQGAGPAVVIIPSYGRDGGDDYNYFSNSLVDAGYLVLRPQPRGTFESTGPITNVTL EDLAADIAAVIDTLGGGQAIVIGHAFGTFLAKVSSVLYPEKIPAIVVAAPGGIDLPTD IAKMPFITGNTSLPISERLKALQTAFFAPNHDAHIWLDGWYPDVLAMEHAAVQAYGSL ASHWGGADTTQVLELIPADDPFQPKAQWNVTMDLYPNRATSKVIADASHALFPEQGKA VFEAVLPWLNQQSSHI PEX2_109910 MSTPKVWTQPVWQEFLAARNAGLPHLPAVSELDAEVVRILGGNP GDMQLQGTNTYLVGSGQERILIDTGQGCPIWLTTLVNYLEKHQLRISHVLLTHWHNDH TGGLPALLAQYPHLATAVHKAQPDHGQHSIRDGQTFTAGVTTLRAFFTPGHSQDHMCF VLEDGGAMFTGDNVLGHGGSVVVEDLGSYMKSLKSMTQSVRYEEIRVGYPGHGAVIDD LPAKLMVWSRHWEMREKKVLSAFVGGKTKIKAPLSMREIIACLYGPEMEAMAGPFIAQ VLSKLVDEGKVGLARAGQEKKWFLL PEX2_109920 MDRSRIRTSRSSKPTAPHTTSTLQTPKLKDSCDKCSSSKVRCTK EKPFCARCDKLGYTCFYSPARRAGRPYRLRKQLSEGINIEESNRLSAIDITTTQFVDE SARLYSRFNDSAPVNVASNTSTPNTTPSNNKTAQSDPITAEPHRTSDPDCLLVILDIF SDLEVSAEQFRRASPVDASLLSTTSQTITAALHRLSTILICSCSKRAEVGMLISAICM SVIDMHAMTISNFAKDQHPAGILSQPTPWENPGVGPYGSPEYEVTAIQVFTELSELAR LILRLAERYDGASGSLNGLQNGSELPTDILPGIATFLRERLQQITNGATRWVG PEX2_109930 MEASTPDNPYLLEDSTLLENYDWQSILAQCTAHDNLLHPSVESG GGLFADAPIDDLDLDINVDLDPGIYLGTFAPAHSIPFGTIPNLCSVPTPRVNSDEFDN HDEPTLDCSTLPGFSTPLFAVPDSTLPPVQTPSPPTTAASCLAQHGTPCIMTATQSLR SLHVPQTSCVSRRSGGSHDRSKPELPRMSGSVLKSNKDAGMSVCRMLQCGCALRPQNQ LILAIICSRLVSWYRAMIRACFVNGASSFSGHSMKIAPNQAGSALEKVVHQPVTIGDH SVDDQALGLTIQAQVTLGELRHMQRLVETLSDRMRETASSYPKGMQGFGAEVGFPSAA LPDITHDWLVAHLLNEVHAAKADLMTAWSPP PEX2_109940 MKPHFLLGNLDATSGLQDERMTPRSSRHESSRRGDTIHIPSDDP IAPTVFMGLYNSPRWQTKDAIPHISGKTEIGSFKSQRTRRQPQKPLAGSNRRAPLQQN LKVLQAGATIVDVPGTGGGKENIPPGFSVINNKKSKSGNHSPVSKMARPTMQNSTFRT HITAKPKEPRKDPLKRVALGETRVNAVGVPKKIGHPPPAENPSASALKHAFSAIVIQR AWRSFTERRNKYACAIATARTESACEVITRWWRGVKACKLREQTEQVKLMAKTQHTPR RKSAGQRSSVRQNQPNSGRRGIRRL PEX2_109950 MIRAILLSINFLLISFGQTAIVPLPSSTGPCDVTLHASELVDMS RTNPYDPKGGKRSIMVTTFTPVNCGSVPSTSYMPNATAKFEDETFQSFGIPPGTFESL RIQTQTQQQPLPFKLHGDYPVVLFSPALATSRLMYTLLLQDIASNGFAVVSVDHPYDA DIVEYPDGRTVLGRLANISTTTEFVSALNVRVKDMVFLLDQMHDEKVIRNLFPLSQGN LHLLSLDRVTILGHSLGGATAAQTILVDNRFVGGINLDGALWGSVVDKGLSSPFLLFG NTNHTQATDRSWAKFSSHSRGWKLELQLAQSKHYTFSDIPLLVDALGVSDEVRQIIQA ESIGTIGGLRAKDVITSYTIATLQYFVYGHTSELLSGPSVAYPDVTFVS PEX2_109960 MVESQAQPQTFLMSLFRRVKTFESDFPSPGDLQGLGIQTFEVSF DTNEYGTLKFDPCFFQPHPDKLLEKYLIHPKVDQEHYENHLKIPHIRTIQDFINDSNG ISPQSCSST PEX2_109970 MPIESNQDKGVTGAAKFVTSTLGNTVGGVTRTVGGVAGTAGRGI GDTITSATGSAGEPIGNALGSASSGLENGAKRVAQGTEDAGKWK PEX2_109980 MEDHPTTPSSFAASYVSNPRFHRSFTIEPTSTHGPLNVTYGDYG REPDKNGTTPTILFMPGMVSSRYLGICAHTIAEKWGVRVLVVDRPGMGNSTNVPLAQR VSTWIETVPRLLAHVGIQHVSLVSHSSGTMYLLNTLYHCRDILYPEKPMATMLAPWVD PAKSGMTSLRMAQYIPAPAFKLLHHIPRLFLANDGSATATSGAIIASLTASFPSKSGD NQAKNSLYIAQNYELDVDQQKEISSSMMQGMFKEETVGSNSEALLCLRKEPNIWGQCE DYEVFVREVVERERARDGAPLKVYAFFAESDSLIGKKGQAYVEKCWHQVNDGDSRGVV EFDSRVVLGTDHDSLVPCAEAWESIFKRMQGVEGDGSI PEX2_109990 MLISPNSSSKPAHGEADLAEDVDEPPEHVMHATSMNSDQIVLSR PTVNRKESLLTRALKSSPEMSPTDPHASHYDSYMYRSYPHSNISGVSTAELTSDGGLT SPSLSNTPSPPLPPQMMKNSGLMGKRNVNVAPKVKVVDASETSVEANLGRKRCISFAC GRKTNDNELRSPVSPSASQELPPKTQPLKELPTEEAPIQIIKRKTTLTFACPGRETVS QRERSPARKSSIRPRCRGSPAPAARKASPSLKETPKETSKETPKEVSKEVSKDVPKEE AVSASTDRKGIPTSGLGKFEESEATRFHEFASSMDEDDEWVNREADYTQKITLNDCMK KEMAIRRLGEQAEEEALEEEEDMDDLPDDDETVHDFSSDDGNESDNEAGFAESDESDD DGSDVEFWGSSHRVPEPTSQSIDARPSAIERRASNTSFESMTDDHSNWLPAPVQRISS RHTLKTSKSIRIRPRTPNLPDSTDFVCGTLDEDRPLELAYKSCLEQRRRLKEVVIPQD IDPSFPTSDPEDNEDPEPSEEELSGSSSATGRRAEVTRGRPEGEARKHSPRRSPRRLM SPPPRRAGRASPKRLKSPPPRMRAKSPTPATWELTDDMPIVDSPRGVNISHLVQRRPL VRTKSLPRVPNPFFTGLDKDHRWQGIPVFSESPEHEHSRTRELHTRGPIDIVEGLEKK RQKRKEKFWRQHCRKAAKEQMGKRPIPGHGAERMKELGLEVAERCRAYGVGQDAQLVL SV PEX2_110000 MWIVNWFYDVLASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAVLQPTAHPTSEELAIGNNRFTTFDLGGHQQARRLWKDYFPEVSGIVFLVDAKDYER FPESKAELDALLAMEELSKVPFLVLGNKIDHPDAVSEDELRHQLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGEGIRWLSQYV PEX2_110010 MASHDQSKKGATHATVPNEKNQNILIGMRDGVSGDFKLVPRKEA VVSVFDSNFLIGDGIWEGVRARNGRIQFAKDHINRLFQSSKAMFMDLGLSKLELLDLL HRTLDANKMSNEPHVHIRLVVSRGLKSTPYQNPSVNIGLPLIVIIPEIKATDPGVKKQ GLRLITTHIRRGPPDVKDEMWNHISKATDVQACISANVTGADESLMLDLNGFVKTCNS TNFFIVRDGEVWAPTKNNQMQGITRQKSIDVCRANGIPIRELDFTLTEAYGADEAFCT GTFPSQIHVREIDGRTIGSGKRGPITERIQQLYAEMVVKDTERTRVEIQQGLEAQRPF KL PEX2_110020 MHETANADLKGPDTAAASILYDMHNGQFDPEVSAAKSRSVLLKI DFVVMPLIVISMTLAFLDKNGLAYAAVYGLKKDTNLVGQQYSWLGSIFYFGYLAMEFP NLWLITKFPTGKYIGGCLVAWGACLCLLAACHDFAGLAAIRFLLGVFEAALLPCLLLV NSKWYRRNEQPLRTAFWYNTFAGVFGGILSYAIGHIKGDLATWKYIFIIYGAVTILVG SLVFFALPDSPATAWFLSAEEKKIALLRVAENQTGLGSHKDMKLSQILDALTDPRYYI LMTFAIAQAITNAGITNFNPLIISGYGFSQAKTTLLATPQAAVAMVAQAIFTTLAFYI PNIRCLLWVISSLIAMAGAIMVHVVDPVTQRNASLAGVYIMGFYNVPWVLALTVGNII GPQFFRNDQAPHYPLGIGAMLCCFAIMTVTGILYFASCLISNKHRDRVHGQISERPGM EGIEADLDDSTDRENTRFRYAY PEX2_110030 MRLWRVFIQDGPTLEPYFNRQTAGASAPPADVSKEAEEVGVLAI GFADRYSHSKYVGAAAGSTFARIFFKQIGMTNSSDTNQENPETDENLEYTASIPSKDI SGFFIVLALASDEARVDDAYTSMLDYNTPRDYFQTALHFFNRFREHPRDLSGLQAVLL MTIWMLNSPSCNHSNDLWHLTRYAMSIALELGLHRHNPSWRFGPEEIEIRSRTWWTVY SLERSIALNTGRVLSVRDQAIDTAIPSFNSLDQLTEQEAKTATLFHAKSVWLFVHMIE LRKIAGRILESIYIARDRDGRCSSLTFQELCSISDNLHRQLDNWKSQLDAAEIGSSLE SKLMRIEYCIMLMHLNRPSPAFMVPSQIMVAVCSHASSGALRQWAAISNEFGIDAICR CYRQFHDILMAGLVRLYSDWHTQKVASTPRPTRRPEDAEICLDLLRKGISNLHDPSLS KFQRIFSSLKEKIYGSASAFDEASHDIPFAPVHNLSIFTSAPNMTRTRESSHHVPNTN IDMMPMGSEGLESYLNQMTTIFDNEMFDIDDTLTAWYGSVLNDIGDTDHMRIG PEX2_110040 MTQNNATSTTNTKTRFLICSDTHGIDSLPGFIPSVKQHADVAIH CGDLTTQSQLHEYKASICLLQAINAPLKLIIAGNHDFTMDIPMFHKKVAEAQPLGCEL VEQVYGYDGEARRLFEGTGITFLDEGVHSFQLQNSALLTVYASPYTPSLGDWGFQYHP DDGHDFMIDNGSGTNTVDVVMTHGPPKGIMDYTHSGERAGSPDLFRALARARPRPRMH CFGHIHEGWGAKLVTWRDRTTAMPSHLTDIDNGQSCPIAKLSDMKDGTRQSLSGDGAP MKYLATSHCSGDTHPLNWGSQTLFVNAAIVGTPQGMDNQKENLSMQLPWVVDLELRSS P PEX2_110050 MADQEVDLDSIIDRLLEVRGSRPGKQVQLLESEIRFLCTKAREI FISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSEN DRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAEKKQKYVYGGMSAGGPVTPPRKQKKK PEX2_110060 MEKINTGDGQLFIKNVASYVRTHEKALANALQLRRQPTKNAIPQ SPSVPLTPGSSFASTSSTLAAAFSSAALKFMSQNAKTAKLTLTPHHLFYLLSRFEELT IAVGPMNIRLENIQTEVSQSYVSFLNKPQQSRGDRASIHSVSSVRSVMSGMTDLWSSF RLGSKDTPTKSDRAKAALETDLKYLYSAFTKIPCLRLAPDHRAPLISGYEEFPFDTAV PLHSFKNLSALEIIDLDYRSFYGWDRLSEQLRTLTIKRAHLDDPADLLTRIVLDDIDK RRRRSAKSQHSPSLGWSGSQPVHTPDQANSISAPGSPIVDTAFGTSTSPRSAPMFRTG SEGARIRARAGSVSPTRPGTKHGHRRGQSRQIRRTGSASSESSETSTTHRSGSSTNLL ADVLSPSKWRFLRHLGLPENSLTSVSAASLAPVANSLNSLDLSSNLLTEIPEGVASLV ALRALNLSHCMIESLHSLTRNPLPAITVLTLRGNRLRSLAGIERLLSLERLDLRDNNL TDPTEIARLTSLPEIREIWVSGNPFVKTHSGYRVVIMNLFRRTPGYSEDIIIDGRGPG YTERKQLVERVAEPQAAPVVRSSPADASTVVQKSTISKVPHDLAIFKPEEDEGDLHIN GTQLKESDGGTNRRKKLQRRRIVDISVDNPFNTDGLGNAGSTVLPALPVQQVQPPVDH PIVVPVDQPWRLDSAAHSGSSSIQSPEKSVHSSPPLVQALQGKDRTMDEEFCRQQLEV LRQGVGHNWLTVLGENDWNNSHKDFAHSSGVGLDHSPHLSTPPITRSNTQAILSGHAL SG PEX2_110070 MTTENKPAVYDPYDPACLPDYDRDFIQPDELDQFERALNAPEAS PLTAINDWRPINQRVRKSRGRRKAPKRSKDETREGVLYSVLKWPFLFIVFAWLIVLSF GYVLVRVYILLYEQWVTWRGKRERLRRELSAQKNYPDWLKAAQALDVHLGTENWKKTD EYAYYDHLTVNKVVSQLKQLRKDAEWEMENGRVGSSEVPAIEDLRALLEACVKNNFAG VENPRIYSETYSGTKELVQEYIDEVHACIQIVLDNKQIDKDAKYQLFKHLDTNFGRTA LCLSGGATFAYYHFGVVRALLDNDVLPEIITGTSGGALVAGLVATRTDDELKQLLVPA LAHRIRACHEGLATWIHRWWRTGARFDTLDWARQCAWFCRGSTTFREAYERTGRILNV TCVPSDPHSPTILANYLTCPDCVIWSAVLASAAVPGILNPVVLMTKKRDGTLAPYSFG HKWKDGSLRTDIPIKALNLHFNVNFTIVSQVNPHINLFFFSSRGTVGRPVTHRKGRGW RGGFLGTAIEQYIKLDLNKWLRVLRHLELLPRPMGQDWSEIWLQKFSGTVTIWPKTIP SDFIHILSDPSPERLARMIHVGQQSAFSKIKFIQNRLKVENAIMKGLQESRKGGRALS PILSRRVRNRENEHPDSMVERLDENLPERDGSSYKSESHFGDLSDSISQSTGSSRPHT PSSRRGSVIEEIRRQSAVFFDDADYYRDGDEDAVVI PEX2_110080 MPITSAHSNSPTVQEFGEDEKAHDVNVQSSSEESIGKHKYWRRF VDVVLWTPPRCRWDTENPSKFGLPLNILFAFSGTFTVANLYYSHPILDILAQFFDVSH ERASLIPTCSQAGYATGLIFLCPLGDLVRRRHFVLLLTLVTAALWIGVCITKSFEVFL VLSYLSSITTVTPQVMLPLVGDLVPPARRATALSIVSSGLVLGLLFARLLSGIVAEYS YWRNIYWLSLGLQFLIFALLWLFMPDYPSTNTNISYFKILYSIIGYYWKSPVLVQATL MGFFLSATFTSFWTTLTFLLSGDPYNYPTVTIGLFSLAGLTPMFLGPFFSRYMIDKFV PQFSILVNFGIMIIGVSIGTYTGTFTVAGPILQAALQDFGLQMSQIANRVSIYSVAPK ARNRINTGYMMGVFCGQLMGTSVGNRLYSQGGWILSGSVNLAFIGAALIICLLRGPAE KGWIGWHGGIRIRRTE PEX2_110090 MLEIAERLDDHKQLPEIFHGLGSEPENQCHSKKLTHNDYAVGWI CALFEEQTAATAMLDQEHPDLPTPPDDPNTYTLGSIGEHNVVIACLPEGRMGNNVAAT FATQMARTFPSIRIRLIVGIGGGIPSKVKLGDVVISIPVDQYPGVVQWDLGKAEGGGK FRRTGALNSPPSVLLTAAAKVRTRNRLKKHTFLPQYLEEMGKNWPDLVPQYIEPPSSG LANSQEEVKMPRSVIYVIWDIILALFGYLLGWQILAPVDGGRELVRLAQTPKQQGAVE VHCGLIASGNQVIKDAKVRDGINEHLGGKVLCVEMEAAGLMNDFPCIVIRGICNYADK WKNDEWQPYAAAVAAACAKAVLKYVQPSHVAGEHPLRDILSQG PEX2_110100 MSSQHYPDGIPLDEETQEGESAYPDINETVHYPWQNDQSFDVGE MLASVIDPRLFGDQNPQTQPQSINQYPQDPNYGQGEVEEEQEVDDFYPDEYDSQGYPP VSYPPVPGEGSVSDEDFALSGDESESESEEDEPAEDDDDDRSGASRRRRRGGGRFSGR YGARGGKGIKRGPRKPLEPSPEFKHLHSGATSAFIDGDYDRAIDLVMQAIQINPEMFA AHSLLSEIFLAQGENDKALAALFNGAHTRPKDPGVWIKVARLILDRAGENRQSALHDV AYCYSRILEVSPTNTNIRFQRAAIYRELGHNGRAAAEYERLLKDCPHSARALRHLAET YIDLNDVQKAADYYADSIDHYLSLSPEDSEFTWSDLNIYVELFGYLNQPEEGLISLKI LARWLLGRGEDSVWDGHEDDDREWDADDSPRRIKTDGFLPGQWPRESYGLGLPLELRI KMGVFRLKLGDKHHDEALHHFEWLNPEDDSEGARIFDYGDLFREVADALKQGGLFEEA LRYYTPIQQTAEHADISFFMAMADCCMQLGKMEDAESCYLLVAEHDASHMESRVLLAK LYESLGMSEQAMKYVGEAVLIGRQENRSRGRRKDTRLEQLAIEFKMAGSEPLRSIAPK PTPAATLMNAAPLAPGKGRVQAGEGTRTDDIQFLYSKLLELNPQVKDGVPVAIEDWLD IADALLRDFRNNRAFYPMDRSIAFRGYSTGAKSKNQTKNGTMMDEMQQMAGRLQETLG DVSEEPLQGAIPTDYHGIPFDEWLDIFLQYALLVAEQGEPEEAYETLDSAAIASIWLH SKPKSRLIHVCWFTCALRARDEETLANEARWFIKEYQFVTDTYRLFSMLGHLCGDPHR SLFHSSGNMKFMLRQIKAIDFTMPQDTPRPIRHSVWKERATLSTRDEAGEPITAKSLD IALLVLYGHMLYSGNSFYPALNYFFRAYAIDDQNPAVLLSIALSFIHHSLKRQSENRH YLIMQGLSFMHEYRLVREKPGTLLPEKQEMEFNFARVWHSLGLAHLAIEGYDRVLKLG EQIQEQAKQKSLQKPTSASDGADVVMSDDGKPQETSSPTSQLFVEDFSREAAYALQCI HVLSGNAGTAKAVTEKWLVI PEX2_110110 MASHIIGNRNSTPEASNSTLRPPSSSRNLGSHQLRASADMSGFP SPLSARSIRPSSEVFFNQQTQGQNATEDALDRAAQQWLADIDQYETTLEEMAAATLDQ DFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMSQGHPMSGLLS PANFGEKDAMSSRLSDAMSKLNMDTSSRNSLGRPPPSPGAKRNSVLDPSTINAMFPDA AAAIAKKKAEFTQQTGNAPPSNRNSAVFDRASFVAPTISAPDNNSDGLGQQPGSPWAQ RGVSDTQPPIARPKSSSGHQPMGQFSQPSGMRSPLPQTANIPAPDIEPPLLSPYNVGN HSWASMTNTPMTATFGQQSHQNPNTHADMVANATAMKLAALSTVNNRIALDDARKYRR ARSNDGQGKNSHGNPMLSQGLASPGLGGQHLVAGQLLNTQQLAALQAQQQAAMAGRRS RPTSPGIAMHGGGLTPMGFTSPQNNGFLAAYDPNNPLMGNGLGSLNIGQFGLGSEGYL SDHSEVTRGRSPRGRRGSSKPPEDPTDPALLKDIPSWLRSLRLHKYTDNLKDLQWTEL VDLDDKALEDRGVNALGARNKMLKVFEQVKEARVDGKFENLV PEX2_110120 MAPTKQELSLLITPLVPEAVQHNNRVLSSLHSLTSFLLGLSAGI LALQSGYGFAFYFLGTILVSGLFHAVLIHRSGGAGAGSFFPGSNPGEVEGSIEMDEKS KAVRMQLGGKAGPRKILRKGAWRDVWFGGGVMSEALSGFVLGWAGVGGVLR PEX2_110130 MGSTTASTRKKVTIQTIQNLYKKNEPITVLTASDFPSGHVADTA GMEIVLVGDSLAMVAMGMEDTNEVTMDEMLLHCRSVNRAVKSAFTIADLPMGSYETTP EKALTSAIRMVKEGGMKGVKLEGGAEMASTIRKISQAGIPVMAHIGLTPQRQHSLGGF RVQGKSAAGAVKLLRDALAVQKAGACMVLIEAVPPEIAEIVTRRLSVPTIGIGSGNGC SGQVLVQVDMLGNFPEGRFLPKFVKTYADVWGEARRGVEAYREEVKSRAFPSVEYTYS VSKEVLEEFEKVVDEVVGEKE PEX2_110140 MANPQSTSTGISLQDLNTNLGERPARATQNDLSSTPTELDIMQQ SLLADSQVPDGGEAWVVISGCAVVTWWFIGTSYCWGILQAALVKEGVSSSSTLAFVGS LATACISFLGILNARIIRKLGTRISAMVGVFFLGLGEVLSGFSAMNIGGLFATAGIVM GIGISLCFMVVSVTPAQYFRAKRGIANGIVYAAGGLGGAVISFVMNALLERVGVQWTF RIIGFATWATGLPAAYLIKQRVPIPPSAFVDWRLFRDIRFVLLFAMGAIATFPLLVPP FFLPLFTASLGMSSGTGAGIVAAFNFSSALGRLLCGLCSDFVGPLNTLFISLLLSALS MLIIWPISTSLGPLVVFVIVNGMANGGFFSTMPTVVGNTFGSARVSVVMGMVVTGWAG GYLLGAPIAGFILDAAGGESKGITGYRPAIVYAGSMALVASIIGLSIRLKTDKKLLKK L PEX2_110150 MSEHGEKTSSKIGHSLAKVLGIKLAYRDPLGATGEAVTRGESAF SMGTVDTYSYNEPEPTSIDWIRETTPSGAQIGRYLISLFPFLSWIGNYNMTWLYGDLV AGITVGAVVVPQGMAYAELAGLPVQYGLYSSFMGVLIYWFFATSKDITIGPVAVMSTL TGTIVAKVQDEYPDYPAHLIASSLAIICGAIVLVMGLLRIGFIVDFIPLPAISAFMTG SAINICAGQVPTMLGESAKFSTRGATYMVIINTLKHLPSSKLDAAMGVTACAMLYMIR SGCTYAAKKQPARAKTWFFISTLRTVFVILFYTMISAATNLHRRKHPAFKLLGTVPRG FQQADVPTMDSKIIKAYIGELPAAVIVLLIEHIAISKSFGRVNNYTIDPSQEFVAIGV SNLLGPFLGGYPATGSFSRTAIKSKAGVRTPLAGVITAVVVLLAIYALPAVFFFIPKA SLAGIIIHAVGDLITPPNTVYQFWRVSPLDAIIFFIGVIVTVFSSIENGIYCTVCVSV AVLLFRVAKARGQFLGRVTIHSVVGDHLLDGEGKYGSFGTNKTPSDDEDRHHRTIFLP LNHTDGSNPDIEVEQPLPGIFIYRFAEGFNYPNANHYTDSLVQTIFKSTRRTNPNAYS NRGERPWNDAGPRRGKEGSDDDSHLPLLQAVILDFSSVNNVDVTSIQNLIDVRNQLDR YASPRTVQWHFAHINNRWTKRGLAAAGFGYPTPVARDGFHRWKPIFSVAEIEGNASAA AHAEMIANQQEHKNADIESGLKSDSNTVTLETNGIETASESSEAVREDKFNRDITDSK AYQRRPKVALVQGMNRPFFHIDLTSALQSAVANSSDVIPHIE PEX2_110160 MLAWFDSLGRNPANREPLIKIPPSDPPPIDPSSLMSSLGYDPPN PTHATSKPPGNSHQERSVYLPDLFCSIMAAKPTINPNYSHVKSKGNPLIVNALQADDK YAAKLSEIDFAYLTAIWAPSCGEDAFQVLVDWSTWIFLFDDQFDEGHLKDNPIAAEEE IGKIIAIMNGTRCSVSMYEDPLGFIFQTVWDRIAKSASHATQQRLKDAHEDFFCGQLQ QVRATGGLRIGPRDVDKYLELRRKNVGVYSAFACCEAILGIDLPQNVQTHPSLQELSY LSTEMVILKKELTTT PEX2_110170 MVKETKFYDTLGVAPTATEAQLKTAYKKGALKYHPADILLSHED KNTNNPDAAEKFKELSHAYETLSDPEKRQLYDQLGEEGLEHGGGGGGMNAEDLFSQFF GGGGGGPFGGMFGGGMREQGPKKARTIHHVHKVNLEDIYKGKVSKLALQKSVICGGCD GRGGKEGAVKECAGCNGSGMKTMMRQMGPMIQRFQTVCPDCNGEGEIVRDKDRCKKCN GKKTVVERKVLHVHVDKGVRDGHKIEFRGEGDQMPGVMPGDVVFEIEQKPHARFQRKG DDLFYQAEIDLLTALAGGAIHIEHLDDRWMTVNIAPGEVIVPDAIKVIHGQGMPSFRH HDHGNLYIKFDVKFPKKEDLQNLELLEKVLPPRSEKVVPPTDAMVEDFELEDPENEHD QARAHGAAATGMDEDEDDVPGGAERVQCASQ PEX2_110180 MEQDPGFIAAVEEAKQGLSEGGVPIGAALVSKDGKILGRGHNMR VQKGSAVLHAEMSALENSGRLPASAYEGATMYTTLSPCDMCTGACILYKVKRIVIGEN QSFMGGEELLLNKGKEVVVLDNAECKELMTNFMKEKPELWNEDIAV PEX2_110190 MQEQFEPLKNDLLLRAARGEKVERPPIWVMRQAGRYLPEYHEAK AGRDFFECCRTPEIASTLTLQPIERYEGLIDAAIIFSDILVIPQAMGMVVEMLDKKGP HFPEPLDSPTDGQYEKVMAKEVDVKAELDYVYKAITLTRFKLKGRVPLIGFCGAPWTL LCYMVEGGGSKLFVQSKKWVYKYPAEAQALLQKIAEICVEYLALQVAAGAQLVQVFDS WAGELSPVSFKSFSLPYLRHISANLPKRLQEMGLEPVPMTVFAKGAWYALDDLCDSGY NVVGLDWLHDAGEAMRIANGRVTIQGNADPGMLYGGPPAITATLEPMVEGFKKGKQGW ICNLGHGVTPFVDPENLKFFFEEIHRLTV PEX2_110200 MAGLQHPFQCLRYVNRQSAGQSDILIATAGRNLYSYDASSGQRL DVWPQPVDANAEDKSSDAAPASESQGPPEKRRKLSSTSEDQKESKSDSKPKDSNKEAS NSWTNIPLLTVAHGKYVVIMTSEDKCVRVLSLDSEGKLQQLSARTMPKKLSALTLTPD ENNILTGDKFGDVYTFPLIPSGEYVKVQAPAKAYEPAATNLTVHTKRNLESLEQQMRQ AALSKTNQAERVALNFEHQVIIGHVSLLTELISVTRPADSTVGKRNYILTADRDEHIR VSRGVPQAHVIEQFCFGHTSFVSSLCVPSFEPKVLISGGGDNYLLVWDWLENQILQKV QLPGAEGETTVRGIWDVSLEPTAGNADPVKAIFVALEGSSQLLCYTLESDNTITHQDT LQLSGNVLELIGLDSRGSILVAVDTLRESDSTSAWKSSSQPPLETFRLSSGKWAHVED SMVAKINSEGTSSLPTTIEEKQLKELNESMYSLGNLRKRGDYDE PEX2_110210 MGGKSATKSAYFEKLRTLLNEYSTIFIVGVDNVSSQQMHEIRIS LRGEAVVLMGKNTMVRRALKGFVTENPEWERLLPHVRGNVGFIFTNGDLKSIKEKILA NRVAAPARAGAIAPDDVWIPGGNTGMEPGKTAFFQALGVPTKIARGTIEIVSDLKLVE AGNKVGASEATLLNLLNISPFTYGMAITQVYENGQCFGAEVLDITDEQLLSAFSQAIA TVTAVSLAANYPTLPSVIHSLINGYKKVLAAAISTDYSWAEIEDLKDRIANPDAYASA APVAAAATSGGDAPAAAAPAEDEEESDEDMGFGLFD PEX2_110220 MSLDLVIPDSSYSRNWGLFSTSTRKVLKANPSGQTPARVFRNKN LLVKWGTEVKISEAHSLFAIGQFDDIPVPQVFGWSIDGGETFIFMEYLQGQTLEQAWD SMDVNHRISVCHELRKIIDALRQIKQDPSDPFIGMYNDSNVRSKHVNLLGFHQ PEX2_110230 MYVEKLTPVAGVTKPYPIVFIHGQAQTGTNWLNKPDGGEGWASY FLSQGYACYIIDQTFRGRSAWFPGNGTMSTYSAELLQQRFTAPNLYNLWPQASLHTQW NGTGIMGDRNFDTYYSSTVEFLSSATYQQSTVQAAGAALLDTIGSPVILLSHSQGGAM PWLIADVRPKLVHSIVSIEPTGPPFQDAVFSNSSTRAYGLTDIPITYSPALADPVDLV KHVIPSNSSLYSDCVIQADSPAPRKLVNLAKVPVLVLTTESSYHAPYDWCTVRFLQQA GVPAKHLQLGDIGIHGNGHMVFMEKNSLEVAAVLQRWMERT PEX2_110240 MDPTLIILWVFTWVAIVLIILRLLVRKLKRFQFVLGDYLAMGAI LCALVRLALVHVIIIWGTNNMSTTFRNTHHFTPDEIRRREIASKFVLVNRVFYNSYLW LQKLVLLDTYGRLLTHLRWEKVTMISYIGIFAVTYIVVQIVTFTECDPFNHYWIVLPD PGICCQAQLQLIVLGMPSVRKFVSNYYISNVRVSSGVLNIITDVMLIALPIPVLVIVK RSVVEKIQLAILFAVGLFIVAITIARLPQNAKNSTVQVNRTTWASVELLAAAIVANAP VLYGLLKGQKKKSKQAASGTGSTGPSWPGHQKRSANEPEFEMQGARHSKRGSALGSDI SSRKYIDIDGQSSQSLTRSLDKYEA PEX2_110250 MLEETSPLLGGQRKVTRWSSIYWLSAVIFCLSAAGALLNVPLTQ LIENNLCSRYAEGAPTKELCKTDKIQSKLAYLNGYLPLVEAVVGLIVAFPFGALADRI GRKPIIILSTFGTSLSLAWELAVIALPSIIPVQFILAGPLFAIVGGGNTVLIANLYSI ASDLVVQSDRASTFFLMAFASLVGASVGPAISSIFMEIFSPWVSTFIAFLTNLAALIP LFFVPETLSPSKQDSTSEQDHAESEEGSRSFRSRVSQSLHLGSSIALLKSPSLILVLA TFLTAVPEALSTSQFLAQYISKRFDWPLSKTGYLLTLRGVIHMGVLLFTLPLLSKILL RHQRAPVKDLMLARASVAIAAVGAFYMAASQIGLVIAGLAIHSLGSGLAPLCRSLATS YVGPEDTSKLNTAIGIVITIGSLFAGPALAWLFETGMELGGVSLGLPYYGLAGSFVLC LVGLMFVHPPAQGEEIDES PEX2_110260 MSVFLAYNFGVLFLVLTSFASLWRDNYHQSVLISGVHYVALVVG YTVAAQGGARITDWLWKYLTRKRGQTAPEYRIPLMIPGIFFLIAGLFWYGWSAEAIAP WIVVDIGAAVFGCGVILSTQAMQQYVMESYSEYVASANASSQFLRSIFGFCFPIFAPA LFDRLGYGWGNSTLAFIMIGFGVPAPFIIWIYGARLREKGKQRAKGKVFLA PEX2_110270 MEKPSAPELSEAPIHTDPDPANDELLVNWNGIDDPDCPFNWTLS KRWGITLPCSMGGMVTLMSASMLAPALGNIGDDLSISESEANMVVSIFVLAFAFGPMV LAPLAEVFGRRWVWILSSTWYLLWNTVCGFSQTRGLLLAGRILSGLGASAEYAISTPV LGDCWPAEQRGHSFAIATFVPLLGPAIGPILGGIITTKIGWR PEX2_110280 MESAFGRYLSSPLFTFTVGADKKQITVHSSAFAGLSRSLNALMN GEMMEAKTRHVDWSEVDVDTFARLCEFAYCRDYTPPSFRLVAGKFPRFNLGSYDAIPE PEPELEPIPEPEPEPIPAFRYRYASLPSKYPPSDPFSNDDPEMPYKERSFWTKQLHNA FEGSLVVSSQSEDLGDTFTPPKNTGSWEDFTPVFLEQARLYVLADKYGIEPLSQLVLS KLYQTLKSFKLYDTGVSGIVELVRFVYLNTPPSYDSKLDALRNLVTCYVVSILGQIGE NESFQELLEEGGSFVSDFWRIIWRVDQPSSRLK PEX2_110290 MNPQTPQELQSPGYTLRTHRPGDIGQIIHRHAALYEKEQGWGTK MEALVCKVGAEFLDNYDASTDRCWIAERNDEFLGCIVLIRDRELVNTAKLRLLLVEPS ARGLGLGSALIQQCTRFARETGYAQIRLWTQNVLVSARRLYAKEGYRLVSSDDHGAFG EGHYGECWELDL PEX2_110300 MSPNEEPSLDALDAVDYDPIEHLNAVFSHPSTLSSVSQIADALN ECEDELDDDIGMLVEEQVTSNADSVERIQAAKADLSELFKKIDDVRERALKTEQAITD MTADIKQLDNAKKNLTLSMTALKRLQMLTTAYDQLQALSRTRQYGECAHLLQAVIQLM AHFKSYRSIDQIAMLSRNVADIQRDLQEQVCEDFELAFAKGEVGSKRAMLAESCQVAD ALGEHARSRLVTWYCNTQLREYRQVFRNNEEAGSLDNISRRYSWFRRILKIYDEENAA IFPASWRVNEVLANVFCEGTREDFKGILSRSVRSGQTIDVNLLLSCLQETLDFEHSLE RRFAPSSRASVDTFASAEPPVFNQSISEAFEPYLSVWVEAQDKQLAALLPKYRQQPLK VPDEEFNSHIVISSSTELFTFYRHALQQCAKLSTGGSLAELAKVFAKYLDQYAQQVLL NYISERPTVHTPSRVPSVEDYVSVLNTADYCYTTCSQLEEKIRGRLDENLKQSVDLQS QADSFMGIASAAVRGLVRQVEVDLEPSWREMRNTPWAKIEAVSDQSSYVGEMLSRTKE KATGILQLLHKQQYARAFSDHLIEHISSQFISNVFQCRPLSETGAEQMLLDSYTLKSG LSSLLPAPAPAGFVKRVNSSFFKIETLLKTLQVRPSPPEALVQAYLIHIADRNNNNFR KILDLKGIRSRQDQNHLVELFQLHRASDRYASSLQQSNPILAALQGPSATATGSVSQG LGLSGAAAAMPSRFDASMLGSAIMSAAKDGVDRFGNPSLSTLGAGVAGATGTATSTSG VTSPSGALSPSLASSTLQHNPMQTPTESTTGNLNENLKNIGKFFRRDLGGFGGRFGRS TEDGA PEX2_110310 MKSMKPRRGGNDRGGIRKRGPTRTDRDGDMDMDAGGARGKRIRA EKSALGGRAAGTGAGGRAAGTGHRAHARNKQRDSDLFEKAIYSADGQASLQGSRKKSG SDLEPFSVRGWKSSKAATNRDGGLESLIAFLERRMNSFIKSGPRAKITKSRTEGDTLV AFVRPDLAAHMLRINNNVFAGAHVTVEAYTAATALDQELAAADPAPGSTADTKTKMTT ILGKRFFPATKLLDLSKLSDDPDLNAMGIFNTISTQSKFFPALMKVWELGFKTVAERR EAVESVSLAENKLGNINSVTTLAQSFPDIQYLDLSKNDIKNSQAMIGWRWKFRNLIFL DLTENEISSDPTFKDTMLKWYPKLQTLNNVQVRTPEEVAAQKKTPIPVLPPHFIDESQ VGENFVRAFFPGYDNDRNSLVSSVYDDESTFSLNVNTTAPRAGQTEQAGWGEYIRKSR NLDKISHLSARMSRSFKGIEKIREVFNALPPTRHPDLIAKAEQWLVECHPVPHLPDPT GQSPTGVGGLSLMVHGQLEESVGGKIEIRSFDRTFILGPGKTPGGIRVVSEIFCLRAF GGHEAWSPEIQALSQVPDVAAPGPVPTAVPIPPTVSAAAPSVAEGYGLPRPGKSDTQL QQEQMVTQMSAKSGMTFEYSEMALAGNGWNVELAWKNFEQLKATNALPPTAFLAPA PEX2_110320 MSAKSRFTRLDAFAKTVEDARIRTNSGGVITIASLLIVMWLVWG EWADYRRVVVLPELVVDKSRGERMEIHLNMTFPRLPCELLTLDVMDVSGEQQVGVAHG VNKVRLSPYNEGGQVIDVQALDLHSPSEAAKHLAPDYCGECGGATPPPSAVKPGCCTT CEEVREAYAEKQWAFGDGSNIEQCKREGYAEKLAEQRREGCRIEGVLKVNKVVGNFHI APGRSFTTGNMHVHDLESYIVPNAGPAEQHTMSHLVHELRFGPQLPTELAGRWGWTDH HHTNPLDDTKQETDEPAYNFMYFVKVVSTSYLPLGWDPQFSTSIHNAYDKAPLGSHGL AYGTQGSIEAHQYSVTSHKRPLSGGNDAAEGHKERVHAGGGIPGVFFNYDISPMKVVN REARPKTFTNFLTGICAIIGGTLTVAAALDRGLYEGAMRVKKLHSS PEX2_110330 MADSGKPLNQEEVLRLLRHFSGKGPPANDRWATLWDAGDFLPWD QGVPNPALVHVMQDHQDLIGASVFIQDNEKQERRRKRALVPGCGRGYDVLLLSSLGYD AYGLEVSAKAVEEANTWAEEHLSDYPVQDQAIGPGKAQFIVGDFFSDEWTACMGPLVK FEFIYDYTFFCALTPDLRPSWARRYWDLLSIHQESVIVCVEFPTQKKLSLGGPPYASP SSVYLAHLSHPGQEIQYDIEGAPINIEGHLEHANGFQRVGHWKAEQSHAMGKGMDWVS VWRRPI PEX2_110340 MDRDAGHDVYSAETLRETEYPMLKGETYLDHTGTTLYAQSALKS FTQEMSTSLLGNPHSESRSSQVSTKKVEEVRRRTLEFFNADPEHFDLVFVMNATAAVK LVFEALKDVPVSRDLEKPPQFPGFWLGYHIESHTSIVGMREMADAGQHCFVSDDEVES WLRSTPSKDKTGQFPGQSSETFQVGLFSYPGQTNLTGRRLPLHWPEVIRQSEYTSHQR VFSLLDAAALASTVSLDCFRDPDRAPDFTAVSFYKIFGFPDLGGLIVRRKSAHVLLQR PYFGGGTVEMVTCSGPPWHSRKVACIHEALEDGTVPFHNIIALGCAITTHEKLYISQE HVSRHVTHLTVSLYKLLSSMCHSNGVKVCEIYKDHSATYDDSKTQGGIIAFNIRSDEG RWLSLSHVEKAANEHRIHLRTGAVCNPGGVAKILKLEQWELFQNYMAGVRCGCRSVLI GHKPPGIIRVSLGAMSTMSDVEIFVGFIHNLFTTGPLPLLTSPPLPSPQSLRVHTLMV YPIKGGAAYTVPENKSWQVNSTGLQWDRQWCIVNLQTVSIVDKKQAPRLLLIEPEIDP ENGVLRISAHRSLQQSHPESRGTMEVPLVHPGDRNSSKTVESYQDWTTVIREVSHPSC SNASQHVQVYQSPDLATFLTTALAIPCTLARFLHPECLPKHSSEQLASKDLPEVTICS SQNAAIDTYPMPIPVEAQSGRSQPKAVALMEILRANSLMYATLESGHRQWYLLRVGRR DLDGGNEKARVIHYGRMKAIELTAERQGPEIFEAMSPVVKMSWSEDSDGDGGGGCGSE DDDATVMGPLDGMLPIHAGQIVHANG PEX2_110350 MAASMDLDLEKPEVSSFKDEASLQEDRIKIERGIDTHAEHASNS DTASNEDKPKLQTGGKPELTEDDCYNKLGFCFPTWKKWTILSVIFVVQMSMNFNTGVY ANAVTGLTEEFHISEQAARVGQSVFLVAYAFGCELWAPWSEEFGRWPIMQLSLFLVNI WQIPCALAPNYGTIVVCRILGGLSSAGGSVTLGMTADMWEADDQGYAVAFVVLSSVGG STVGPFFGGMIGEWLTWHWVFWIQLIVGAVTQILHFFIVPETRTTILIDREAKRRRKN GEDIWGPNELKTPRLDYHDVLRIWIRPFEMFIREPIVLFLSLLSGFSDALIFVFTESF TLVFKQWSFSVLAVGMTFASILIGYVIAYIIFLPDIHRQIQTRRKYGAASRLAERRLL LLLFIAPLEPIGLLGFAWTSTGPPIPWIAPCIFACLIAMANYAIYMATIDYMVAAYGP YSASATGGNGFARDFLAGIATMYATPMYQNMGSKYHLQWASTLLGCVGFLVLIPIYVF YWKGPEIRAKSKFAQQLAADRDRHTESRRGSRARASIGE PEX2_110360 MGLIKTGLALGGGYSLIKAASKAATEHEEQKQKQRESLQPASQR LRHASQQQYYLNNKRRMGYKDGSQMNNPHVQPSYPLHGPRSNRDSNGNKSKPQAQSQS THQPSMCCTNTTAMEDPPAYDPSPQAQSQSTYQPSMCTRAMENPPPYDQSPPYEI PEX2_110370 MFWILVAWCLLLSPLHVFISVPSLLAGFSRTFDNAPHRGSDVVY DPGNTTSVDVYAIHGLGSDPASTWNNSKNGTNVCWLKDLLPKVPGLTNIKVTMVNHQS HWSEDAANMQFEDHARTLLDEIERIVPGSSSDPHHGEVAYLDADHRGLNKFASADDPN FTKFKARFTKAFLKALKNAKVTSIPFSRDARFTGRQDILLELDQKLRRSQFASLAGIG GAGKTHIAVEYAYRFQERHPCADIFWVQAGTKDMIEKGYKDIAMSLDLPADNPEGLSI FSRVSSALNDSREGHWLLVFDGLNDPNLLYDPNDVSPGRTPEIDQLIANMPHSAKGYT LLTTRDRRVGHRLTGIDDTISVSPMGLEDARELMTTLLHEKPGLDDNRTMEQIIQALQ GLPFYLSQAAGYIATKEVSLEHYLHLLSNPTETTELIDQSHNNTDLLPRPENAVIMPW KITYDLIRRESPRAADILCLMATLDAQSIPIQLLQRCDESLVAFDKETGLLKSFFLIT SNAKGDNLGMLRLQQLSIQHWLELQNSLDEWKENAMDEILRLCAHLTGRKQYECLETV YPHIQLLLAYDFRTRNSRIKVGYLLILKSEYDTSRGRFALANEQLLRSVGIWKTSCSD HSYYAIRGLQLQARALAHQNRLDEAEYAIRAAFCAFGQVYGPDDSNTLSALMDLGGVL IHKQLDEAEHIYSTIFYLTSGRMIENQAYDLEAKHNLGMIAETRGNHSEAERILRETL HSKQQRYGHTSEFTLRTMNLLAIVLQTQGKNPEAESLFRQTLRLREGVLGITDKDTMY TLNLLVRLLWISKKFDELVDFAFQHAERQKMVHGIRNNGTLDAFNDFEGALFHQGRYN DAITSIEKTIPVREDFLGPDDPGTLMSKVNYAVSLCGQGRKREGLNMFQDVLETRKRL YGSEDPRTLKTQENVQIAANGIYADPTVIIEFCPSD PEX2_110380 MADSTPIPPFREIHELVPDESDGESIPGAPLLQPTLCEVDEFLA AELDATLLNKLGSYLDFVATRSGTHIDSLSSHITKGRKIRITEDPGLHLIWYYNILFL KPIPHCLLNYGFWSKYLSPRQPPPNDTLSDSCRSALGFLRTYRYLIKHDSDFTTAVEK HLIPTDVKFKSFQLFIDNFQPLADSVVAPRYHYGQMRLSRLNTAVWLFPPRQWYYHRL DWQMGQYFARWAPPLLFIWASTNLVLSSFSLVRSSRGNDTWASFITTSWIFALVVLFA IGFTIAALLIVIAWVYGKQLAFASQTAWKHYRGVKSQKAAANDTA PEX2_110390 MTREEKSFETEILTQQIREFTRSYNKSSNKLREEDVSSLLNAVR ELEAAVTPTEEWSCRLAAGYQSSIAMCLFLDWKIPSMMSKTEEATMSLDQLAEALNVS KGLVRCLMRECVMQQILHEPSPEVYQLNRHSRGLLQEGFAAWVHYISDVGLRTGAYVS EYVSSISGRLLDCPHRTPFQMGFQTEKTFYDYYDRFDKARGARFDRSMEWQSVNQLPV EQFFDFSQLPAGAVVVDIGGGKGHISLRIARQHPQLSFVVQDYEVKSPTTTGDDDSRQ LLQRVQWQAHSFLERQPVQEADVYLMSNILMDRTLTDAREIIRHTARAMTPNKSLLLV DDLIDPKTAGIMSASANWESLHMLACFGTLAKSMEEWRNLFSQACPELEIVEKFMISA GRVCFVLRKTA PEX2_110400 MVGIEIVEPADVPVIDLNALSSPSPAERRVALAQLDEAYRTYGA IWLVNHSIGVDLVEEALAWRFFQLPREQKQTVSMPTKNASERIEGWSDVGASISSQGV WDPNELEKIRAASSIELKEVLDSLDPTSEAAQDSERLRKLDQMLPGFPAFIERWWDAC FKQQTELMRCLCEILGIADTDFIVKQQQTPRHGSTHLTWNYFLGMPLSPLSSGSANRL NPHTDYGQLTLLFQDMQGGLEILDPVAGIYRPVPPLKGAMIIQVGDILEKQSNGRWRS PLHRVTAPNHLMYGGNPGERSDQQEDALVSRCSIVFLCYPGYETVIEHLPGCEKKGNW KTLEWEGNMTAGEWIKRRAALEYERPE PEX2_110410 MTVSKPTVERAEKFWRRELQNLTASVFPATPSLSTESSRSEKIC REISLNLNTQQADVGSIPAILTLAWGITLSCYTGNNDTIFGLSLGPTHGHENILPFRV QISDQQTVTKLLSSTTSRVGEFQAVTNLLSPEYHLSNLGEDAIRAQQFQNVLCIQGEA TSHESPMGVLNGRGLVVICSSSRSENRITVEAHFQTESISSYTVKMMLHQLASAIHEI LQPQNRDSPSISLRRTSPEGLADIIQHQGKAPPPRVEACVHHGIAVQRQIQPSAPAVN SWDGKLTYRELDRLSSRLASKMVSLAPIRPDDMVCILMEKSVWVAVSILAALKSGAAF LLLDPGQPQDRLRMIAQKTKTPLVLCSASYADRATSLQTVMLQVPHPDLLDPSDSIHD EFESNEVQPGNAAWAIFSSGSTGEPKLSVVEHAAAFTGWSTESLQKRLRLNASSRVFQ FVSHAFGVCVFDYIGTLSRGGCLCVPSAGQLENDIAGSIRALDANWTIMTPSMARTMD PKQVPSLQTLFLAGEPLTTGDLEQWAANTQLMTMYGQSETGFPPLGCNKTESLQNHHP RDLGHIAAHAYRGWIVDCDAADRLMPPGAVGELVLEGPCLGRGYFNDPFQTSDKYIPA PAWLAKMRSTEDLKDQCLFRTGDMVRCAETGSIEYIGRTGAAEVKLRGQRMDLTEVEY HLKHQYPTCARVAAVLVVPADGDDHHHSMLAGFVMEKKASLPGDIKSDAMFVPPTTES RDEAKAALAGLAKVLPSYMVPTVIIPVLALPLTPSGKMNRPRLQECGSTLSRETLLAY LHEQQPTEIKIGATNPNEEIIWRACAESMGVSKESIGMQDSFSLLGGDSLAARQMVTL CRHAGLNITVADVFDSESLTALASSTATTPATKNDSFAPLKAKFLEHRPAILLDADIE DVFPTQGAQSREANEIVYITFILTGEVDVRRLKEACQTLVQAHTALRSILVPFGDRGR LINVVLSHSPAELLQHHTLEAADGTKADLAAWAEAWSHGDRQRTHASWEPAAGFVLAQ HKDSSADHPPQSAFIMRLSHAQSDGDCMAEITSSLWAAYAQNQTSPVASPSSSSNYGD FARQAHEQLKNPAAGHFWRSLLEGTPPPKLPTRKLEQHGPPPQALHLQHRVAISSPPP PVGIPMATVAKAAWAMLMRERTEEDKATVVLTQCVNGRDGVRTQGTRPVIGACHSIIP LCVQFGSARKITVRTLLQSIQDQYIASLPYGVIDWRVLVENFTAWPADANIGFMFAYH DMPGISDTEVVAGGSPLLAQCASQFSGSLQPGEAWVTAVPVEGKGLEIEFTVFDSVMG ENESREWLVRYGEIIDWMLKEPNAILDL PEX2_110420 MPSLPSLAHDPAPKLPTLTPKQIQHYQEKGYLILPQAQHHLFPS LQSFKSWIHEVSVWPSPPDPTKPESYRLYYEPSPVPGEDPLLFDTERVSESHQPLANI ITGPAAISLLHQLTGQQMLLFKDEVAWKLPGGRGAIPHIDLPAYGDFAPEFVEIMIAV DAHTAENGCMEVVDGSHREEVPFGEGGRIVGDWVQKLEGQGREFVPVVLEAGDILIFG EKLAHRLGPNKTDQRRAAVFATYHFDLEKPDLRDEFFAHRLVFD PEX2_110430 MTVTAEIEASPSRDPRAFETLLLHGGRFPDVLGSCAVPVYNSAP TVNVLQNRIALLEGGVAACAVSSGSAAVALTMMALAGTGDNFVSSFHVHGGTFHQFSV LAKQMGIECRFIKSEDPQAYADAVDERTKFVWIETISNPGNVIPDFEELAKVARENGL PLICDNTFGCAGYFCRPIDYGVDIVVHSATKWIGGHGTTIGGLIVDGGTFDWGRHRDR FPQFHATDTRLWEKFGRRAFAMRCQFEILRDTGSTLSASSAQQLLIGLESLAVRCQRH AENTQALADWLCGNSNSQKRQVSWVNYIGHPDHPHHALAKRYLRRGFGSVFTFGITGG VAASARFCDALKLVIITTNLGDAKTLVVHPASTTHEHFTPEDRKACGVTDEMIRLSVG IEQIDDLQADFAQAFAQLHIPCAPQPPELALLEEAQEEVVAALYNYPPGIFMGN PEX2_110440 MTRDVLDVAIVGAGFSGILALHRLRQLGLRVRGFERKASLGGVW RENAYPGAAVDSPFPFYQFYDAGLLQDWQWREEYPSRAEMLRYFEQVDREWSISEGFE FGAHITGARFSAESQQWAISLADGREIYAQWFIPAVGFNSVVNMPQIPGLDRFQGQVY HTAQWPHDAVSMENKNVAIIGTGPSGVQIIQSVGEVAKSLTIYQQTPFLTLPKYGNRP PKLAGSDLLEMGVEAFDAAFQRGLQSFSGFDYTMRDQDTLSASTTERLEFYQKRIREG GWAFWMGGFRDLNYDARANRDTYDFWAENVRPRLQDAMKRDLLVPHQPGSPFGVKRPC LEDRLYEMIDRHHVDMIDVSQRPIQAITIGGIQAHDEIRSFDVIIMATGFGDDASGLK QLSIHGRDGVSLAEMWSDDIHSFLGMAVHNFPNMLYLYGPQCPSLLVSSPAVIHVQVE WICQALMCFRKAGVVQVESTAESQKLWREKIDRLWSKSLYCRPGAKNKGATWIGGLVE YQKELCKCLDEGFPGFDLTFAQN PEX2_110450 MPALPLSENEGWKRPTTPFGKPMLKHFCMNPEYRNLNAPSCGSW PKTVRDQWRRYLDDLEAQPDYFSEVKQGPVIQEARREVAQLLHARVSECVFISNATTG IYTVLHNIPFDKDDVIITFSTTYGAIDNAIASMAETQPFQTRKVTVDLPMRGEDIVAR FEGMVAQIKAEGLHPRLAVLETIVSIPAIRMPFESLVQACQREGVLSLVDGAHSIGQF SLNLEVLQPDFFIMDCHKWLFVPRPCAALYVPERNQHYIRSTIPPSFGFIPRDGKPAL PLWSKQSGGGSSGSTATDFETIFAYVATSDNMPHMCIPTALKFRREVCGGEEAIYQYL RVLAKEGGDRVAAILGTEVLDEKPAGEYKSQRTPSEMRDCGIATVRLPLAVSSSLKPP PHSGTPYSPLSDEEVGPAVHYLSMTLAETHKTWLPLIDHGGYIWVRLCAQIYLDTSDF EWIGNVLKEICETIGKKGHVISKH PEX2_110460 MIEPQTIKGAIERQIQQNNAQKTSHLSEASFFVADLSEVITKYN LWQKTLPGVTPFFEANIQPQTAVKSNNDRQLLQTLSQCGAGFDCASAEEIELVLSLGV PAKRIIYTHPCKPISSIEFCRRASIELITFDNVEELQKMKDHYPEARLMLRVFADDHT GVDPLGSKFGVATQDAPSLLTTIKALQLNFEGVSFHVAPTNADPAGYVRAIQDAAKVF KDARGLGLQPNTLDIGGGYTDETFPHIAAEAKRTLDECFGNGSIIPRPQLIAEPGTLL SCSSFHLAVQVIARRTNATGFGGEAPTRLYINDGIYSNFMMRFIVTSSFVPVAVIRDG KWHDENAQGTLECSVWGRSCDQNDCINSRCMFSQEVRTGDWLVFKDMGAYTTVCSTTF NGFTSPNHVIYLDAPLN PEX2_110470 MGSAIKLGLFGLLSAAAVNAAPVAPEANALVPRASCTFSGSTGA AQAIKNKASCSTITLSNVAVPAGTTLDLTGLKSGTNVIFEGTTTFGYKEWDGPLVSVS GTSITVQGATGAVLNGDGARWWDGKGTNGGKTKPKFFYAHSLTNSKIENIYIKNSPVQ VFSVNGAKELTLSGITVDNADGDSQGGHNTDAFDVGSSNGVYITNPTVHNQDDCLAVN SGTNVHFTGAKCTGGHGISIGSVGGRSDNVVNGVTIENCTIKDSQNGVRVKTVYGATG SVKGVTYKDITLSGITKYGIVIEQDYKNGSPTGTPTSGVPITGLTISGVTGSVSSSAT DVYILCASGACSNWSWGGVSLSGGVKSKKCKGIPSGASC PEX2_110480 MGRSRGKSILSGFGGSRHNGSAKESKKLSSDVTPVANVTPSDTP PQWQTPPDTPSPPTESSPVSSGPRGGDSSRPASMIFSRNPPLMQQAGDTPPELSPIFS FLNIHTNKVYQEGYFLKLNDLDSHGRPSGDRQWVECYAQLVGTVLSLWDGAALDAAGG EEVPATFINLADASIKMIETLPIQNGATQPLKNVLSLSSAGKNRYLLHFNSFNSLTQW TAAIRLAMFEHTSLYEAYTGSLIAARGRTLNGINSILAPTKFKYEDWARVRFGAGTPW RRCWFVICPPDEKELQKARKLMKKSAYDRLTMPVTGNIKFYETRKTKKAIPIATVTQV YSAYAIYPQSKALIDTSTLVKLEGRITTHSPDSSSEGLVFIMPELHPAVSGLEMMLRF LFPTFDTFNLYGRPTRLVADTNHIKSIMFAFPKERRYGYLDVLDIANLLQTPGSQEWS EAQWRKQLKEATQLRISSGRSRTNSVNSTRPRYRSMSGRNSDVPMDSTRRQFAGLENK AGFNNSTEAIIHEVPQNEGSPVLYHNRVISDAAGFDSPDSSSQDLMHRPAVAPIAEGT SSESDPNLDRIQGPPVGEQLLSNTPPAPVENPPAFSHGPKEIPTNRPEASSEQRLANN RMSHATLSQLTSVGTMGLSAAAAGAAWKSHQAQTTNNQPPQDRRLDNVYTPGPVISTN SLATKSSDEGLGRAFPVRPPPAPEHRPNTPSTTGSPQRVSPQKYSPQRMQIDANKSVR RKPLPQQNLFGIEPQSPSEPTYDDLRHTLDEDVLNKIAPHLPSPISSTGKRNGNEDES VYDDASTVSPDYASTHDSVHSKKSTASTKPRMGVMKTVGVPAVKDYVIGDAHYTMDKP PTSNPDIPIVDFGPTMTYMPTTGRPTTSDTLRRPMHQRNDSSGTKFSVPTQAIDPANA RPSSGEEYRRSMLWQPGMASGRPVTPGGGLTPEQFVQQRAAPSPPVHLHNRTPSNPMS VHRPASGDWTQHARPNSPMNPQRPSSRGAQGMLNFSEASPNLSAREQEHVARMTGSTF FNMSNDNQKPQAPVNPMGLVSHIDAREREKREMREGMSNQAVQQAIAHRQQHMMQQSM MNQQQYAPHQFSTPFLPAFAPQQMQPQQVGSVYPSPHGHVRHESMYNMPAASHTWDAL NQTARADEPRRSSWYGQLAQAPQTPPSYPQSQTYGQEPRYYTHAQ PEX2_110490 MRRNTVPQDEVQAKDDGNLPHLLAELDLHENYEPVSPPSIIYRS PKLPSNDQMSRLLHWRAEQGGILNTLREEVSCFVIGFNLNDLSHMISFCLPGWKTNTL PGSFAHLLYSLFGPFEEVAKLQQSMNNIPEYFNEYRHRAMFANRIRNINREPKDFKCG ATFAPQLPPSWNGEWCREDDEYLFSRELRMLRDLMGPLRDTFKPIYWITDRVESALEM DNGFQASKELIVDFRKDLYETSWNRRKNYTIYDPRPVWDQQQKETKHLREYRLQACKG TMYWDRWPPVEEQYDKEALAAKEFIIDNDQQAAQDLGFLPPENPQPPQVLVSTKPGIE LAYITDLEHYDDQIMVILVRISQWLALARGFPAVHLFCDIVRLFTIYGVDVANAVQVE FCKHLTGLPSFPWDPVELPPLFPFLESELQTHELCPEEIQRQRARNYWAEQRLMICRS LWCRDDNAIAWDYPLQTLVWEEREEGRVLALRSPPFQDHARHIPWGLVDMMKEGLWIG EYEDDWLAQFVGFNSE PEX2_110500 MIKTDPHLKCALDQAKRASLFKALGLVVRNMNLQQIVATLTQFC RYDPVFAPRGEHVEAAVGIGPSSSQSTSALPEYQPPSVLQGNTEQTDKEHVEAAVGLG LPSSQSTSALSEYQPPSVLQGDTEQTGEEHVEAAVSLGLSSYQSTSTLPEYQPPSVLR GDTEQTDEEHVYTSALKELGDSEYCVPTYDSNQLCHSPPRWKAVAQYKGVRSSAEASS KKAAKHSASKALWLQLGNSTLL PEX2_110510 MMAIKRKASLEGVNTPKRHQKQNVLDNSDMIYEETHSDAEYSAP GTPSLMSEGMDESPATPFSATSSRRDRFPSEFKTLCCPFEGCDKAFNRPARLQEHIRS HNNERIFKCEAEGCDKTFLRTSHLQHHVKSAHTGIRDYVCDYPGCGKSFVNGSRLRRH VATHDGHETYRCTEYPPCLESFRKHSTLQKHITSVHLDQKPFPCTFVDPITKEKCEMA FDKAGNLRTHQSRVHSEKRFTCAECVEQMEAQSSEMADDGTRQDVSFPTYASLQNHIR IVHPPSCPECPLVCSTARGLRNHLEIAHGNVSLEERKIFHCTVPGCGRSFTKQGNLTV HVRTVHEGEKRFVCGETDLSKSTKLDGWDGVGCGKRYGNKLTLEDHIRVAHLGLPNAR KRRQAKVSKKSTQNSVSTLSALTGQGYAEETGRQIACFYPETCPHRFHRNYDLWVHMT TKHSCNEDEVQNLFMQRALLTDESSPGVNSLGIYGLGYDQDGPSYYQQSYAGGDHSSD IAFGSQSNDSTYLPSQPGLDSDYLMQDDLPMSAAAL PEX2_110520 MSDYGHDDDVEETFDYEPGEDVFDEEPEEQEGLEGEDGIEGEDY PTTNGDNVVVSGDPNAGYAGKVMEQSREKKVPNDQRTTTPYLTKYERARVLGTRALQI SMNAPVLVDLEGETDPLQIAMKELNQKKIPLIIRRYLPDGWYEDWTCEELL PEX2_110530 MIAIFDSITSYIVHALTQKVLADSSATSEENVDSNISNSPEKEP ELTFDTGLQTWLQVLGSFFLFFNSWGIINTWGAYQTYYERELLAGTSSSTIAWIGSLQ SFLLMMVGVITGPLFDAGYFRPLLIFAAFLLPFGLMMTSLATKYWQLILCQGVCIGLA AGCLFVPSVAILPQYFRRKRGLANGIAASGSSIGGVIYPIMFDQLQKRVGAAWATRAL GFVCFGTICISISVMRLRIQPKEKRKLYQLSALKEPAFTIFAIAMFMGFLGFYNFLFY VQSYAIETGIVDSNLGFYLLSMLNAASTFGRIAPNFLADHVGPLNMLTPAVTITAILA FVWIRVHTVPGIIILAIFYGFFSGGFVSLPPVVMASITPDVRNLGTRLGMLFAIVSIG LLIGTPIGGAILADTNQFLGVQLFTACCLTTSAVLMTSVRFLRTGLKFHVKA PEX2_110540 MSSIERMSPLDLLDLNLTNLDPLTENYDLGFYLNYLNKWPSLFS TVKDHEAGIVGYIMGKLEEQHPAMRHSEHYTPWHGHITVLTVAPAWRRLGFARRLTEQ LERGSDSNDAWFVDLYVRAGNKVAYDMYKGMGYSVFRRVVNYYSDDPSGMSETGEDAF DMRKPCSRDKKLEHVRENGENFPVGPEDVM PEX2_110550 MPLPPSPLKLSHRYPSASEDSPISPGTTGNTFVLESPRSPRTPR LSAPPSPIASRHTSNAMSGSHRESGDFSGAVDAGGGGLGNLADELADAWGEEEDGYGY ASGQEASRAGSQQMGHSDGEDMYMQSAHSVRSRSPSLSPERDSLHPSRNKNRANHLRQ HRRQESQYDGSDYGPDSDLEEADISPALESQLAEIESLVRRGIENNGSENDRVIQRTV ESLKDLGGQSGIENSAMRLITAHSSITSHLTHQTRALQSLVHPLLFSPFPLLSEDAID SLMPLIDEGLLPNLPYPFPEHQDSQSTAPQSSVQNPLASLQALISQTADITHTLRGLS DTLYESRQLTSTASRRLRSARELVADIRREEESREEGSRWIERGEWDRRLKDREAGKV CGDVVSGFEAVCGEWREKLFGANPEVAAA PEX2_110560 MPPPPHIKPENVLKRAQELIAVGQSAAALTVLHEHVTSKRTRSS PIASLEPVMLLFVELSVDLRKGKAAKDGLYQYKNIAQNTNVGTIEIVLKKFIELAEQK VTEAQAKADEIQSTLESAAPSSNVEDLEAIETPETILLATVSGEQSRDRTDRAVVTPW LKFLWETYRTVLEILKNNARLEIMYQSTALQAFKFCQKYARKTEFRRLCELLRNHVQN AAKYSSQMHAINLSDADTLQRHLDTRFQQLNVAVELELWQEAFRSIEDIHTLLNLSKR PAKNIMMANYYEKLARIFLVSENYLFHAAAYNRYYNLLRLSSVALASGQSSKKENPSV TEAEMTKAASFVLLSALSIPVISTSRSRGALVDVDEARKNKNTRLTNLLGMATSPTRT VLFRDALNKGLLRRVRPEIRELYNILEVDFHPLSICKKITPILKQIGDDPEMEKYVVP LQQVILTRLFQQLSQVYESVELKFVYELAQFPAPFQITPAMIEKFIMNGCKKGDLAIR VDHISGVLTFDSDVFSSSKATHAGSGAGSAESEVGSVQRLQHTPAEIARFQVARLAKT LHVTCMYVDPSYSQARLQAKQTAQARAIAGVTQEHEETLARRVLIDKKKEAATDALQR KQREEETRKRVRAQQLQDAEKQRLADEQRDRELKRIKDEQDRIRQEELKKQLEELKTG VKGIDVSEIDLDELDANRLRAMKLAQLEKEKNELNDRIRTTAKRIDHLERAFRREELK HISADYDAQKTLDKELYEKQVAEEQREAKAKHAEAVALKHRLGRLVPQFSNFRKEVSE KRHEEFEKRRKAAEREFEAKKKQRVKEVQERRRREKQEREEEEARRQEEQERAEREEQ ERVAREEERRRILIEEKAKRDEERSSVFPYFGCTSNFDINLTYSKMDEIAIKQQQREA EAEARRAARKGGYEEPVERTAPRLNIAGRTGAAPSSWRERLAAKEAGGAPAEPTREPV REPVRAPVRAPVREEPAPLRKGGYVPPHLRAGASASAGSAPPPPAPHEPREPRESLPR EAPTERWAPRQPRESSSQSPAPASESKPAAGGKWVPKWKQQQ PEX2_110570 MAPYELRSGGDVKNKKQSVADLKYRRLTELNGRLKEDLDRPRVK VSEAALSSVQPFDSTKKRQAKSNTFNSLISYCNNTRDFMVPSVWGQVDKREDPYAPQQ QGGCCTVM PEX2_110580 MAESTQTSTPLDSTPDNSDKIAPANVHLPRISIQFCTQCRWMLR AAYFAQELLSTFSTDLGEVALVPKTGGVFTVTIWHGAVLDGEIRTQESILWDRKRDGG FPEVKALKSLVRNVIAPDRDLGHTDRALKKEKGEQKEAKGEQKEAKKEEEKKEESKDK ASCEDCQ PEX2_110590 MFDRVDCAGLYIDDRVRSERWVRRTSIDASTVSSVGSFSTPDEP EALQADVEQLLLVCKQVYLCHNGSSFATKLAELSDRSGSECNPIFAFFEVDFSGEESN LARRKASRASWADNTPPSPGSIHRTFTFSTQSDEARGLSLLSGISNDIQAQEGPNLVI PIAILRLPTSDAPSDNAATGPTSQPDPQGPITVEHKQVARCLDAGAMDVLTTPLDRSR IQGLVVHAYRTRKAAQREMSRFLARRKQRKLSWVGVHDEQPYSYLREAMVSKLMKGIC NPEEVIHDEFQELDLNIDLSRIPFIKEQVGSWKFCAHEFTEDELVFGACEMIQHAFTM PGLDPWRLTPDELQTFLLACRAAYNSFVLYHNFRHAIDVLQSVFCFLLNIGALPPYES IGQSPVPESPITSLLTPFDTLTLLISAIGHDVGHPGVNNFFLVKLNAPLAQLYNDNSV LEAFHCAAFSQILRRHWPAAFKDNQLRKLLISSILATDMGVHQKFMQRMGSFQEKFSE NHRSVDGWKPQDVDMYKTLVCGLLIKCADISNVARPWKVAKKWTQILQEEFANQGEME REVGMETALFGGPPELGNIYKLATGQIGFMSIFALPLFEGISDLLPQLQFTTEHILRN QAQWQELSNEELKKQGLPIEKRAEITVSPRSHSPEASEKGHVDSGDGAADRVKSPMSS DSGEFNENNIEPVVSPDTAGPQIEITGSPLALATASRKSSSAMPDLSGFSIPGMPESA RGSVSTQLHSPLTQTSPVTDDPAVLAAVLYANSAFGGGTSSGSVERDHASGAAERPSS SRQGLPHGSQRGSQRHHAHNSSSARTGSNRNSCTRTHSTYSNTMTPISPATNASSFLA VDSGDEKRVSGESAAQSDIGAPDDNSTRPSTADAYPSNVSEPAGGYSPGRSSIIARGQ TRSEDGTGKDFSRLGAPGTRSTTHSTTTGESIKNEPPPVDDSQCTRRLPKRRSRLRLA FWKRRNHSHEVRGET PEX2_110600 MSDTMRAIGVKGGKGPATNLFIDQIAKPTAAESEAIIKIRAFGL NRMDILQRDGLYPLPPQAPSTMGVEFSGVIESFGADGHEDFNIGDEVFGLAYGGAYAE YIAVSTHMLVHKPKELSWEEAAGVPETWITASQALFLIGEFQSGQSVLWHAGASSVSI SGIQLAKDAGAKAIYATAGSQEKIDFLEKELGVTKAFNYKTQDWAAEIQTATAGAGVD LTVDFIGATYFQGNLDVAARDSRIVLLGLMGGSKLPEGVNIAPLLFKRVRIEGSTLRS RDLGYQRKLRDTLVEHALPRFCDRTFKVFVEKVFPFERIEEAHKLLESNTTKGKIICV FE PEX2_110610 MTTPNSPEAVADSANGSATAYGTRSRGRNAPRPNYAEDRDLDAD IDLSAPNSKSAKRNSGVALNNIVNGANIDEKTSSAQPRKSLTNGQSANGSAKDAIPGT SSFSARPEDVNGSNLRKRKQPASTPNSTPNSGGAVKKIFTTPPGDSEGGYFTNMMSFE NSGPYLQDGQLIADDGTTFALNDHVYLICEPPGEPYYLARIMEFLPSKTKPGGFIEAM RVNWYYRPRDIQRHSPDTRFVFASMHSDTCPLSSLRGKCEIRHVSEIEELGCYKKTRN SFWYDKMFDRYIHRLYDVIPTKDIINVPGNVKKVLDERWKFILVEIGKGKELTGAVKT CKRCRLYAANSESVDCAVCLSTYHMQCVRPALTKKPARGFAWACAACSRAQERKLEAR NTPLTGSIRTDGEDVIMEEEEEEDPHNHANGIANGTSPSTPGAEENSMPQPATVEQIA QAKMWPYRYLGIHCRVEDALDYDDRIYPRASSRLGTRHQAMVPAWPGRAVEYVKPLDA KKKSKVPGGKKDGKLSKDAQAAMEAAKHERANRPKWVQDEPTGYVHRGEDEPVAINGK QARTAELQFKMPTSDQIPARGEDDAPGSHLSDADREKFIDDYMRQAKELAPGIGVEKY STNFLDRALALLYSENFDVQAALAKLKKTNKYKDLKEPHIRPEELKLFEQGVAKYGSE WRNIMKHVKTVHIYQIVRFYYMWKKTPSGRKIWGSYEGRRGKKEVRRHSVISSKLLDD VADDHDDSAFDSEKAIVQKRGFHCKFCTIRHSRQWRRAPLVPPGTVIPSEPSNKKDKG PMLTVALCLRCALLWRKYGIQYEDVDEVAKRIASSGNKSWRRRIDEEMLAQLIVATET PFVITPATATTATAMGIPLDTNPRLLMEGKAEPKVEGKVDPTKKKTKETPAPSATTSV EPMPKKKTVPEKVVEAPPIIPDPPKAKTLPCSICNQVEPLGEEHLSCRDCRLTVHRNC YGVRDSRPGNKWLCDMCSNDRTPSISTNYTCVLCPVSWTEHELMETPKNNSRKKTERD KEKERMEKEMVAEAIKLYRQRQEAVGKPVGPREPLKRTAGNNWAHVLCTLWTPELKYG DAEELEPAEGFGSIPKERWRETCKVCKSSKGACVPCSFAGCNAHFHVGCAFQAHYRFG FDITPLKSSRRDSMRAIRLGEEIGAATPAVYCPNHNVQTVLHEIGEQTNQDHLNALQL FAQTYKQADLTLTGTVRKAAYMHQSVGATPHPSTNVNRRASTSTTPASKDTHKAQPAL EEVTEDPMDIDTDNHVAPRPAAPGVETNHKCVRCATGFSPRWWAIGRRPPMANGSGQP HHPPQGAFPQHNGDPCELAYECHKCHLKNPAPPPPPPPPVPEPTPDQRPSPYSAQRPM IPTSRMPGHASHAGHAGHASHPSHAGHTFLPHPHPHPHQHPHPPPSGVLGRPMPPAHP PSGLPGPGYTGPYEQRHTPDIGRRNGMSPSPYPQPQPPPHHMNNYPPPHPAGPPPQHY TSAGPSGPPPPYSTHQSPYGPVPARSPHLSQPPPRSYAASASPPIVQATTINRSPQTS LSALNGGPPPRMYSVERVVAAPSHSPPVTQARIDPRGPTATPPTRPEDTPPSLMGGPP SSRHSGVNGTAAGTGASASPSLKNLLS PEX2_110620 MSTSGQVQSRGFQTSIDIARRQAYHVAPVAGQKRPPTEPTEQVP DIPPGPVVKQATFPRQNVNTDSILESVPLESARDLLDAPVHRFTASKIYLGAGAVPSP DEPQKAVAATAPPSSQNPLLSLSNPKYGLPPALTANFAALGVKNIYPWQASCLLARGL LSGERHLVYTAPTGGGKSLVADVLLLKRIIENPGRKALLVLPYVALVQEKLKWMRRIV QDVERNVPDDEDDSKFPRRRWKKLHKNIRVTGFFGGSRTTATWADTDIAVCTIEKANS LINSAIEECSIGDLGVVVLDELHMLDDEHRGYLLELMVTKLLLLQQDIQIVGMSATLS NTEMLAQWMNAKFYISTYRPIPIDEYLVYENGIYPAATSRQLFQTASKLTAAACLHDT IPPHRIVEPSTFKQLSNSATNAMVALAVETAAAGFGSLVFCGSRNACQIHAATIGEAM PPAEPEELNKRLDLLAELRSLACGLDPALETTIIKGTGFHNAGMTTEERELIAQAYDQ GVIKVLVATCSLAAGVNLPARRVIINGARMGRELVGPAMLRQMCGRAGRKGKDDAGET YLICVKADMQAVCDLLDADMPAIESCLAPEKRGLKRALLEAVATGLVSGCEAIKEYVR CTLLYRTLDKKIAYTIMKSALQELVDEELLIFRDDESYEATPLGQAVVASAFSPEDGL FVHEELKRALQAFVMDGDMHIFYMFTPLQAAMNTPIDWQIFRDQLDRLDESGLRALQF VGVQPGFVNTMVQSGASLKETNPAQIKQARIYRRAYTAFQLRDLSNEVPLSTIAQRYK IPRGTVQTLAQQCHGFAAGIVKFCQRMNWGMMAAVLDHMRDRLEAGARADLLEMAQVT FVKSWTARLLRDNGFRNLRALAEAQPKDLVPVLMMANPRKAQKSQLYPTEAERYTAKL MVKAETIVSHAIKIWEREMQIEVEE PEX2_110630 MRFGEYLSSSMIKEFYPYYIAYDDLKKALKTDFVDEPTADNTQP ARKEWTEDDETHFVSLLESELEKVFLFQKRKSEEIVARIQESEIEVNDVVSRLDSSAD SRRQSTRAARPAPTDADFMLLEQVLSDIIADVHDLAKFTQLNYTGFQKIIKKHDKETQ WYLKPVFATRLKAKPFFKDNYDAFVIKLSKLYDLVRTKGNPVKGDSSAGGAQQNFVRQ TTKYWVHRDNITELKLVILKHLPVLVFNASKEFEEKDTAISSVYYDNTDTWELYQGRL KKTEGAEAIRLRWYGGMESDQIFVERKTHREDWTGEKSVKARFVLKEKNVNAYLDGRM TVEQIFDKMRKEGKKSPAEIDDLEQLAREIQYRVITRRLVPVTRTFYHRTAFQLPGDA RVRISLDTELTMIREDNLDGRRRSGKNWRRMDIGVDFPFSQLPPEDIDRFPYAVLEVK LQTQAGQEPPQWIRDLTASHLVEAVPKFSKFIHGTATMFPTRINLLPFWFPQMGVDIR KPASREFGIHRPLASTSISANDEDSDDDETPDAQETPLNEDLTEHQNGLFETNGNELD IEERIAAQPLPGDEDYPLYDSDDESVYSDELEEARRIGGAYYAQQLAKHYLFQTGHAV AQGLMAIIPRPRPTSLPPPEQRGIAVLSGHRRTLQRFQAPPGKRIFVPVRVEPKVYFA AERTFLSWLEFSIILGGIAATLLNFGTDFATLVSSWAFTILAAGALVYSLFLYIWRVD KIRKRRDVKRVYYERWGPTVVSIGLVVIILVNFALRVRAGGFMAAPGSEGEHRRGGHG EL PEX2_110640 MSSPTQRRRGRSSKNSTASPSSSSRQEPPSSPTFQATPRASRRL MAEGAAPSSSPIFFQSSPTKGDNNAETPDERMADASSTVDDGDRTPRGNPGVRDSSPI HYIPSSSPTRGFGRSDIRSDIRSDALSTASSGLFVSPGGQGRRAGPRRSDLHSGGFGS TPSRRNRVFVDANGMPTGDTMPRSDATFSNINPGTSEAEAMAGNSTRVIWGTNISIQD SMSAFKNFLYNFATKYRLWADGATEDETRLMGELAERHECITMCNNMRRLGVTTFNLD AANLKSYPLTRKLWHQLSAYPQEIIPLMDQALKDVMVDLALKEMDVLRSESQRAAQPR DRRGQPILTSDNVLPTVDVPDLVGEVEAMTFKVLPFGLDRTVNMRDLDPADMDKLVSI KGLVIRATPIIPDMKEAFFRCSVCSYGVQVDIDRGRIAEPTVCPRDSCKEKNSMQLLH NRCSFSDKQVIKLQETPDNIPDGQTPHSVSLCVYDELVDVCKAGDRVEVTGIFRCNPM RVSARQRSQKSLFKTYIDVLHVQKFDRKKMGIDMSTVEQEMSEQAAEADQARKVSAEE EEKIKRTASRPDIYDLLSRSLAPSIYEMDDVKKGILLQMFGGTNKTFQKGGNPRYRGD INVLLCGDPSTSKSQLLRYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPETRQMVLESG ALVLSDGGVCCIDEFDKMNDSTRSVLHEVMEQQTVSIAKAGIITTLNARTSILASANP IGSRYNPKLAVPQNIDLPPTLLSRFDLVYLVLDRVDETEDRRLAKHLVGMYLEDNPEN ASSQEILPIEFLTAYITYAKTNCHPAITPAAGAALTDAYVAMRQLGDDIRAQERRITA TTRQLESMIRLSEAHARMRLSPEVTVGDVEEAVRLIRSAIKQAATDARTGLIDMGLLT EGSSAADRRLRDDLKKAVLSRLDERGGVSGGAVRWTDLYRDVSEQSDNKLDHNQFGDA VRSLETEGSVNVFGEGARRSIRRAAMSMS PEX2_110650 MPSGLEEALEHTGGHGRTAEGVSISTFLASLATAIVVFVVEFLL FLLLKGKLSRIYQPRTYLVPDRERTTPSPPGLFRWIVPVFRTSSSEFIQKCGLDAYFF LRYLRMLLKIFLPLGLVILPVLLPINRVGGKGQTYQNGTSGTKYSVTGLDQLAWGNVT PEHTNRYWAHLIMAVITIVYVCAVFFDELRNYIRLRQAYLTSPQHRLRASATTVLVTS IPPDWLDMDALDKLFDVFPGGVRNIWLNRNFDDLNEKVKARNEFALKLESAETDLIAK CKKAQLKKAKGEAKKAGKSQSSAEKKEKKVADKRASQMAMGPGVSSGNPHQAHTVREM LYGQPEGHHEKRSEDGPRRVFDPAFAAAGAVGQGVGKLGKTVLGGFKKVEHGFEKPLA RTGGFVATTDNIVPPRARSNTPPGPDHSATSDTLPPRANTPPVPDPVTSDTQPATKPD RPVGLRRSQHLSFNSGQIIGQGAGQDDTLCSDATTSPKPPFWRRKSSHTKSQGVREAD EYPLTAPETPATDSPRYDLKEPNKNEKQEHDRKEGDKIEGEEYPIAYNENFENEDYGE PLWKEYIRPKDRDTMRLPIFGWSWMPSIWLLGQKVDTIDYCRKEVARLNLEIEIDQQH PEKFPLMNSAFVQFNHQVAAHMACQAVSHHLPKQMAPRVVEISPDDVIWDNMSIKWWE RYLRSFGIITLVCAMVVGWAFPVAFTGLLSQLAYLEGAFPWLAWLGKLPDWFISAIQG ILPALCLAILMALLPLILRFLSRTQGLFTGMSIELTVQNYYFAFLFVQLFLVVTIASS FSTIIENVADVTSWPQMLAVNIPKSSNYFFSYMILQAMSVSAGALVQIFGLVSWFILA PILDSTARKKWARTTNLNQMQWGTFFPVYTTLASIGLIYSVIAPLILIFNIITFGLFW FVYRYNTLYVTKFRFDTGGLLFPRAINQLFTGIYVMEVCLIGLFFLVRNADDEVACKG QAICMIVVLILTAGYQILLNEAFSPLIRYLPITLEDDAIRRDDEFRRAQHARLGLALD DDDDEDGDIEHTLAKREHEERQHGKEIREDIELKPLETNLPDQKRQNSDLLSTPKLGN KRPSWASNSSNRKSKYFGQNSTPSTPTLRTMREKMAQDTEAQGPAPNTVGQALFAGIH DELEDLTPDERDQLVQRAFQHEALRAKRPVIWIPRDDLGISDDEVYRTQRFSKHVWIS NEYQALDGKCRTIFSRSPPDFSEVDLIQL PEX2_110660 MPEVIDDTAVHLTEVDIDLDVQEILLAASQHDQSKLRQLLRSQS MLPDAANVKDTETGFSPLHAAIAACEPDTETVGTNGATTESNPNGLDAETVKSAVETV KFLLQEGAIWNDLDANNETPGCIARRIGALDLYELIVDAGVRAELLLNRLDAYEELSD DDDEEEEAEAEAEAETAPELVDASAEPTTATSTATPVNPEVSNSQYLESRLNISNDRI LDADQNGVMMRWESDIMRKSATALLPTPGLKVLNIGHGMGIVDGFFQEQGPAVHHIVE AHEEVVTEMKRRGWDTKPGVVIHQGRWQDILPGLVAAGETFDAIYYDTFAESYGDFRD FFSEQVIGLLEQEGRWGFFNGMGADRQISYDVYQKVAEMDLFEAGFDVQWEEIAVPKL DGEWEGVRRAYWVVDDYRLPLCKFMD PEX2_110670 MSQNQREAWERLQVMLSKRKAGFGGGFPSGGGRGGMGLVGTLVL AGIGTYVASNALFNVDGGHRAIKYSRLGGVQKEIYNEGTHFQIPWFETPIIYDVRAKP RSIPSLTGTKDLQMVNITCRVLSRPRVDALPQIYRTLGQDFDERVLPSIVNEVLKSVV AQFNASQLITQRENVARLVRDNLARRAARFNIALDDVSLTHLTFSPEFTAAVEAKQVA QQDAQRAAFMVDKARQEKQAFIVRAQGEARSAELIGDAIKKSKSYIELRKIENARHIA QIIHESGGKNKLYLDSQGLGLNVNAHSEEGK PEX2_110680 MAPDRTGKSVFLGNIPYNLTEEQVKDILSTAGTVTKFRLMMNPE TGKPKGYGFADFADADAAASAVRNLNDHEVMGRKIRVDWPHNNEKDSVPPDYSQQSQP MMNQDPTQQQPIQGSAPLPPLPPGVELPPQIDCPNAISHTLASLPPNQLLDVLTQMKS LAVADPARATELLRQAPQLAYAVFQALLLMNLVEYQLLGSIVEQAAQPPQVPQQQTPQ QFQQYGIPGQISTPPVTGTPFAPPPVQPAAPMHGQEELLQQVLSMPQASIDALPPMER SQIMLLRQQLMQSGMR PEX2_110690 MASKGVPQQQSADGLAKDDQEPRARADEIPELRRGCEIPRGRYL ANDLPPLHTLTEIFADMASNALKMGFEHVLIRLGGRPLRVATMCSGTEAPLLALELIQ TGLAEAQQLRISHAFSCEIVPFKQSYIERNFRPPILFRDITELGGDVGRTAYGSSEVI PGDLDILVAGTACVDFSPLNNRKKTLQQGGESGATFDGLLRYAERYRPRMVVQENVRN APWDQMKGKWEELGYMSVCVNVDTKNYYIPQTRERGYMVVIDKRRLEAAGLLGGSMDQ SGIDTVTQRVRELARRFKRPASAPVGLFLLSDDDRRLELIEMRARLESRSETSWDQYQ IRHERHRGELELGIERPITRSLPGINDLKAPDFYWHRFWKTQPERVWETVDMNFLKKM VQDYDMNFKERWIDLSQGVDRGNDSLAGSGIAGCLTPKGIPFVTTRGGPVSGTEALSL QGLPLDRMLLTRETQADLMDMAGNAMTSTVVCAIMLAGLIAVHEILDDDEAGSINPGD AEAKSLLVPSEAHSLVRSNLQIAEAPLVDHAVLKAKAASSVLCCSCERQTGVQDSIYS CTLCGHTACRSCRGNPTHSYLHVSPLSRQKPSEFIANLKGLVPMKLMLSGLSVLDFET FRSLYPIDNLPQFWPDFVLCVKAAVHDVFRFFEIKRGRKWRVVYDGTHSSLFLDIGPD SIQWLLYAKPPKSASTRSVIREVLAKPISRMSPTSGSFVEGTWEVCSPVSTPFSLQIS GRGSQVRSFQSECGLKAAKFVDGKVWDSIFVEGSNQDVAVLDLDVRGIYKFLPDCGTA LGALYKKEATAHVPPMFLFLDPTKTGPPDEDACVFALYHSRLPGYDVRMTIAELPSTW RSLDVTLDSQDVKAFCRQWAKAPRAQLNHCPAEQITLSTLQQGIQVSIENQHCHDACI TLTSLSATTAILNLPDANSDWQAWNPEVSMRELKGLAWLFPKIAAWSDFEDWTEIILP DCSDPNHHAYGNCNTCNPLTPSILWGRDNKDRIIPYENPQEAAVYEHAIKNRPSPFLV FRRISEHGDAELRFTLNVQALTHQAHGKLVGTASRETVTSRWRLLPHAYDMAQKTPDM SQAAPGRFTLRSNDNDNPSPQPPNFKFKLRDEQLRSLSWMISQEAKDMKPFMEEEVEE SILPLMPWRAEVKATMPKIVRGGVLADEVGYGKTAVILGLIDAQYKTDTIPPEDNGLI STKATLIVVPSNVFKQWVSETSKFLEGKYKVLAISSINKISIREVKEADIVILSWSIL ANDSYYKRLQQFTGTPQAPAKSSGGTGRNFDGWFHDARASLRESVEILKTEGPEAMLQ QLEARRRRVHETQANFTYVPSRRLRGQAFADANNGRDESDAEAEEDLDSESDSGFEEI DASDARQSTGTASPVDQRKRRYSRKSVEGSKEKRQKRLSTDLVEVTDDKKKRGAKSTA VKDDRTEFDIKKGVKGRTKQDWRTVKAAFIHAFNFNRLVIDEYTYAGEERQASLLSLQ ARSKWILSGTPALGEFADIKSIARYLCLHLGVDDDGDCDKPTQNSRLRNIRKNHTAVE AFQYYQAPHSNAWYQNRREHAQRFLDRFARQNIARITHIPLITHLVITEQSPAEKTAY DTLFRAVKENKRRIPGLLGSILSKSQFPQEALIMSCVTSQIGQPPWNLEKCLKGSRND KKKSAEIWTKVDSITRQAATVWYREMNNTNAKDWQNALNGVAKTDSGDAELNKKFNEL LKGIIHSYSEWKLDEQHRPIEDLLKARVQKIQNAKTGNTVSGTRPPRVTGPGGTNNTS SNSVWTAVEKSLSADVTSLLKQALEIDQEYRFYEHLVKIQTIGIPQCQNCKSDLEEKK DVNVLKICGHALCKNCLDAAITQQHKPCPVLGCSSQIVQSKIVPGEILVSEDQAIQST KLNELVKIIRKVPKDELILIFVQISHLLPVASNALKAAKIEHRMVTQTNLKGIGEFTD PPKPKKGTTQPSSRPKALILNLGNSMAAGLNLQCANHVIFLSPYHTASDHEYDAGMTQ AIGRARRFGQNRKVYAYHLLVKNTYEVNIFQKGQSRKLVERQGVPALIPQEEVLPDDI AYEGEELPE PEX2_110700 MRFFRTLVALPLIAGAIASPLETRATLESCSVERAQMINNALAR AAKMAEAGANLIRSESDYSYNLFQSFFKTSSVQSRNRVAGILDKIATEATDGNKGVVS YYCTQDGIDCVDTHDFTMTAYGETDGTYGRIRTCPAYFTKFPASSNTCDVLDQATSTL HEMAHTKGIFGPETYGYDTVHGLSSSAALENAESYAFFSKSAFLNCEITN PEX2_011350 MAGIKQTLEQLNHRQESNEQSCILDWLSAVDYTLQQSDLLGRRQ AGTGQWLLASEEYEHWLRTGRATLFCAGMPGAGKTICSAILVDDLITRFDDNPDVGIA YIYCNFNRQDEQRAQDLLSSLLTQLCKKRTSVPDAVRDLYKRHKTASTRPRFDEISKA LYSVISMYSDVFIIIDALDECETTSRTRVLDELNKIRARAGAKVFATSRPTEIDNLFK GGAFLEIQAHEDDVRRYLDGNMFRLPGFVNRNTALQEEIVTVISRHVQGMFLLAQLYF ESLIGRRSAKSTRTALKELSKGFSDYAYDKAYDTAMGRIRGQLGEQTDLAMQTLSWLA CARRPLTSLELQHALAIEEGESSLDEENLPEVEDILAVCAGLVTVENESGIIRLVHYT TQEYLERKKDSLFPSAENEISTLCLTYISFDTFGSGICESDEAFEERLQSYPFYTYVV RHWSHHLKAAGTTRSDVMHFLKDQAKLDASEQAIHVSRHSIPNDWSQNFPRQKTGLHL AAYRGVEEAVSYFLEDQYTVDSCYNGGWTPLKWAISGGHVNIIQLLLAHGANPTGNSH DYSPLSCAADHGYDDIVRLLLGWGVDVDTPCGWNGSALIAACDRGQLSTVRILLSSGA NINAESELLGSPLEAAAVAGHWGLVTLLLEKGANPNSQGTEIETALTSAALQGQEDIV KILLNHHADINQREGAALLAACGNGHERIVRILLENGANPNTENGEYSSPLVAASMNG NQQIVHMLLESGANINADSYLGPALVAAAKRGKGHIVHVLLENGADIHARSRLQGCAL HAAAFRGDIQIVRTLLDRGADSTIRAGVYKTPLRAARIGGHHEVAELLKRHGQPSKA PEX2_011360 MPVISLLALLAAFAPTALSQDNNSYVDYNTEPQPNLYPETMAKV EFSFPDCSNGPLSKTIVCDATAKPHDRAAALISMFTFEELVNSTGNVIPAVPRLGLPP YQVWSEALHGLDRANLTESGDYSWATSFPSPILTMAALNRTLINQIGEIISTQGRAFN NGGRYGLDVYAPNINSFRHPVWGRGQETPGEDVQLCSVYGLEYITGIQGGLDPKNLKL AATAKHYAGYDLENWGNHSRLGNDMNISSFDLASYYTPQFVTAVRDARVHSVMSSYNA VNGVPSSANSFLLQTLLRDTWNFVEDGYVSSDCDAIYNVFNPHGYASSASLAAAKSIQ AGTDIDCGATYQLYLNQSFSQGEISRSEIERAVTRFYSNLVSLGYFDGNNSKYRDLDW SDVVATDAWNISYEAAVEGIVLLKNDDTLPLSKNTSSVALIGPWANVTTALQGNYYGA APYLTGPLAALQFSNLEVNYAFGTNISSKSTSGFEAALSAARKSDVIIFAGGIDNTVE AEGVDRESITWPGNQLQLIEKLSKLDKPLVVLQMGGGQVDSSSLKANKNVNSLVWGGY PGQSGGLAILDILTGKRAPAGRLTVTQYPAEYALQFPATDMSLRPKGSNPGQTYMWYT GKPVYEFGHGLFYTKFKPSLAHSHEANNGASFDIAKLLSRSNSGYNVVEQVPFMNYTI EVENAGDITSDYTAIAFANTKAGPSPHPNKWLVGFNRLGGIEPHATQTMTIPISLDNV ARTDENGNRIVYPGKYELALNNERSAVISFTLTGDATTIATWPKEEQLVLPS PEX2_011370 MRMGGKNSGLESSKKVDVTPKSTQSHKRKRDLHGSQPAATPTPT KKHKKRESLPITEVTDDGSIKKRKHLKDALQGSNSLETPRKESTLSSDRRKATVADSR NSVSETAGKSKKSKRKSSGTDDTLDDATAPVITGNDDEEVEAPEVEQRKPKNKYSGIM SKFERTKTVSSAKAKKVEPEAVEDETPTVEAVYAQGLERLPQPENPPEPEQIPTYSSL PAWLANPLRKSAQDTSKFSELGIKPDLLKILEQQNYKEAFAVQSTVIPLLLQGEQNHP GDLCISAATGSGKTLSYVLPLVTALPPRPASRLRGLIVVPTRELVKQARESCELCASG SRLHIGSAVGNVAIKDEQKLLMRVDQVYNPAIQQKQRDGLNGNDWMNLSLEDCVSEAV GSNGCLPGHIQRSEPNVDILICTPGRLVDHIRYTKGFTLKHLEWLVIDEADRLLNESF QEWVDVVMNSLNSREAPETFGPGGKLLSELGLPIDAKPPRKVILSATMTRDISKLNSL RLANPKMVIIGSENTANEEDPSAIHPDAHFALPPTLSEHVIQVGDGSLKPLYLLRLLL SHIEISGDRAPGVSDSSDSDSSSSDDDTSSDDDTSSDESSDDDTSSSGSDSESDSESE SDSDSDSDSDSSEDSSDSESDAMELVPSPSRKTVLIFTKSSESASRLARLISLLHPAL AKRVGTIIKSNKSSASRKTLTAYRQGRISVIVATDRASRGLDLESLTHVINYDIPTSI TTYVHRVGRTARAGRSGSAWSLVAHREGRWFANEIAAGVDGRITRTSNIDRIQIKADA LDSLKPKYAKALDKLEQEVKRG PEX2_011380 MPYNIAMVSDFFFPQSGGIESHIYQLSSKLIDRGHKVIVITHAY KGRTGVRYLTNGLKIYHVPFFVIYRETTFPTVFSFFPIFRNIVIREEIDIVHGHASLS SFCGEAILHARTMGLRTVFTDHSLFGFADAASILTNKLLKFTLSDVDHAICVSHTCKE NTVLRASLDPLMVSVIPNAVVAENFCPLSHTTRASDQGLSSPADAPMSPRPIGPDDPI TIVVISRLFYNKGTDLLIASIPRILASNPNTRFIIAGSGPKAIDLEQMLERNVLQDKV ELLGSVRHEEVRDVMVRGDIYLHPSLTEAFGTVIVEAASCGLYVVCTRVGGIPEVLPQ HMTTFAKPEEDDIVLATSKAIVALRSNKVRTDRFHDQVKMMYSWRDVAARTERVYEGI IGDISPEEFYGYYPGQGWEASGDRVRSFALIDRLKRYYGCGVWAGKLFCLCVVIDFLI YVFLEMWFPRANIDIARSWPKKPPASKTESNRSIR PEX2_011390 MYHLAKSLYLAATSKEEYSVILLGLDNAGKTTLLSQIKALYQPR SDGAPAPNPGKTVPTVGQNVATIALPDMNLKIWDVGGQISMRGLWQSYYTSCHAIIFV VDSADVGQDPDIARLARRASSVVGPARNGTRGSTSAEAFSEQNVGINAASSEFGRLDE CRQVLESVLQSADVAGVPILVLANKQDREDCVEVVRIKEGLVRKVFEGETGGGVRDSR VLPVSALLGSGVQAAVEWVQSRVKWNKEGRPPVMR PEX2_011400 MEIDTIIVGNGPSAMILSYILHGHIPFYSSNPPHPDHLLDAKLK AAPEILNADVNALTAHFDASRLSYSTQALPVNVLLDTLVRPSVDVDEPGCISNIDWRP QPEKAVSHLVFGKSLKPGGQWTEDPWGANWDIQTLSYAAMLSLPGYSFADHHKKTTGK DLPSYTRPTRREIADYFAAYPEAAHIDDAFRCGEELKGISRTATGFYVRSHDLHCKRL VLASGIFSEILSPDPVLRPLLETKPSTDVPLLVVGSGFSAADAIISASPNQKILHLYK WSPNDRPSPLRACHQQAYPEYAGVYRLMKRAALVAEAASTYQRPKYRRAASTPFLESR NWEDLYEGFPNVEATAVEVHGDLATITLRRKDGTVFSRTVCGLVYAAGRRGKLDYLNP ELRCEVLGQGNEDDPAVTGQTLRAKAIEDLEVAPGVYIIGSLTGDSLVRFSYGGCVYT AGHLIDGERDSRSVCSSFTSSAKLHGSSLSVMNGMDGHLVYPNSDALDLTREDTFSKM STVTHQSAARGWWKTFSRMWNGLTR PEX2_011410 MLSLIYFIPICLALGASATIDPGYDCRPGQKCWPSSKEWQKFNA TIDGHLYETVPIAAPCYKNSLHYDEAACQEVENYYGDSIPRGTHYGQTYWLNWETCRD TGCALLETEPSELLYSTCTLGRLSSYYVDVRDASHISAALRFAHAHNIRISVKNTGHD FFGRSSVPNTLAIWTHNLDSVAFSSNFTAHNCPLANGQNVGELGAGVIATDAYHFFSS KGMDITGGYEQSVGLAGGFAQGGGVGSFTTTYGLMADNAVEFEVVTADGEVRIINQCN EPELFWAMRGGGGGTFAVLTKYRVQVYPSLPIHTYTFTANFTGDTSDITQNVALREIL TAHAQHQPEWSAQLVTGQLEYFPERIALSMVLPYGDNGSKLRSASASFAEFLSNRTDL SVSANEYTSYPNYANYLTVTAANAKVTEPSGIFSILASRLIPRTVFEAPETIDELVEG VIQGIATARSHLNLTGTQIVSETPLSNLDQNQTSSAHPAWRSALWHVIQVGEWVEPLE ADDQATVAEAFLQLLEPLKRLSPGGGAYFNEAHYLEPDWEQTYFGLNYPRLLEAKNKY DPTHMFDCWKCVGWRGEHE PEX2_011420 MAVVTAEHVAIAELIIYIPTALLTIWVVLRHGFHKQLGWIYLCI FSGIRVGGAVMEILSTKNPNNANDKEWAVILQSVGLSPLLLSTLGLLKRVFDETSQHV PSGPDSKSNTLLEGFASFGITGKLMNIYSKRATATSRRSKVAQLLHLPALIALILAIS GGTDQASSNISDHASGKTKTRVAIILFLAIYIAACTLWAITVRDIGLMLSSQNRIFFC VLLALPFIAVRILYSLIGDFGSNHQFSVVDGDARIQLVMATLEEFVVVLMYTILGLIT PKSVSNGGMADSRQQETYQTTENAENGRRHRHADRSPYDEVMYAQAAAQEAYNQQHVR R PEX2_011430 MTQLTEDAKQYRNPDDRMIDDVSRVVIQLTNFCAALKDGSITQP SEIIRRTLNLDADLMSILLTAPPSWGYETVKVPLVDGEPITRTVWGDSYHVYRNLSVS GVWNNARSARLLMHEMLLEAVKSLENSSPRSSGLHQQQIIASQSRQIAHQLVDDICAS VPFHLGMGIEDTYDWRSSSQNAGGESYPVESGLGNLEGSFPATPLPWMEMPAFSSAEG NPPSRKDAAHLGSFIPNTNVMNSEPPYAAPLPPSFEVSGAGGVTLVWPLLIAANSGFA SPELRKWIIGCLEKIGHSMGINQALAMAQLLRDGMDSRAWISPDSSPIHHTM PEX2_011440 MTESTTILFVPGAWHSPDCFDPVVQRLEAANYKTDKVHLPSVGP AEHHLSFDADVAQIRSQIERAADAGQEVVVIVHSYGSLPANEAIKGLDIKTRQQNGLT GGVTHLFFCTSFIIKEGDSLIGAFGGNDLPWFIVSDDKSEINPADPEKTFYHDCDAAQ IDTSIAALKPHSYQTLHSPCTYAAWKDVPSTYLYCTQDAAIPLVVQKGMVEGTANGTG MRTETVDASHSPFLSKPDEVTAAIRRAAGEVV PEX2_011450 MWPSNDPISAYGLTAVLSSAATLLATDPPATPAPFIAVAGVPIP ETPLAQRINEYAKARLSEPTYNHSLRVYHFGLAIKRYRFPEWAFTDETYFLACLLHDI GTTQHNLEATRMSFEFFGGLKTLEVLQNLQPSFVGGSAAVAPKDQAESVAEAVIRHQD LCEKGKITALGQLLQLATIFDNTGSYANLIHPSTIQDVSKHFPRLKWSGNGGKSELDI SRELEQNTFMDPPKKPNMLQAILTTFFLLIPFYCIYKPPIILIRYCQRRWPDVLFRVD TNKKVVALTIDDAPSIHTPAILRLLQSHNAAATFFLIGSQIPGHEPVLADLARAGNEL ANHAMYDEPSRALSDDILADQIHAVHARIQEAYVAAGNTSQPENWLFRPGSGFFSSRM RTLVKELEYRLVLGDVYPHDPQVPFWKLNASHILSMVKPGSIIVCHDRRGWTVPMLQK VLPELNRRGYRVVTISGLLKETNAN PEX2_011460 MDFAALMSKEISKVKGADSSKPSAKDTGKSSPAVPAKKYMRRGD VEAARIEAYNQEQERLAREREERMANKRKLEDEEAERNREREEKKRRLAEESKTRREE EELAKERERRQRLGLPELPANTPGDGDAEEDIEEEELKAKLREMNAPIQLFGEDYRAR LRRYRRLVQRAAIPKKKVTDGPIPTTLEPVSGGQMIIPAKIPKDQENRLFLFRQLGSY FNMVLSEWELALAKRDVSVRESFQGKQAFNAMTQSRENMTPLFRLFEKSDLEDGLLEP IVEIVHKAQQRRYVDANDAYLRVSIGKAAWPIGVTMVGIHERSAREKLHQSDQQAHIL SDEITRKYLQSIKRCLSFAQVRWPPEDQLQMMG PEX2_011470 MAAPSREGPKPSINRQTTAPFHLKLFYRVNNYNPLSDYSIPAPS RRGGPVSGPNAIRPTSPVASPAPLPPHLEIYTWQSCTLRELSQLLTSALPSLIPDPPV GTRLCFRLIYPDARGAAMGGPDARGRYLSRDLGSAIVGPRDSSLRADDDEDKQDARPR PGPLRFQGSEADRPLQDARFIVGDYIECAIVAPLEDGSVAPALNGASGPIMGPRGGGG GGGGMRAFRDNSYPRGPARGGPRGGGGGGGGGGPPHMPRGDWHRGERLPEGGRGGRRG WAPY PEX2_011480 MAGPSKSLILDPALQKYYELNANRYKYWRWTPRHAMISFVYMGL IPGVLGYIAYKYEGKFELRGKRRGDTISEW PEX2_011490 MANNQGCKITLYWLEQSRSHRILWLLEELQLEYELKIFKRRADK LAPAELKEVHPLGKSPVITIQAPGAAKPLVLAESGAIAEYLCDHFSSARPTLVPQRYT PGNEGKVGGETEEWMRYRYFMHYVEGSLMPYLVMTLVNDSIRNAPPFFVRPITNIVAS QVENQFLTRNVEGNLSFLEEQLRTSPEGGEFICGKELTAADILLSFPVIAVTMRSLKE EKNKGKYPLLVAYANRLERNEGYQRAVKKIEEVEGKFSASM PEX2_011500 MTPYDQFWLEFPKFKFLVRTRRSTAKHLCLPGIFEYWIHVSEWP NTRLSSLRSSRGAKDEDFHLDFPNALRGKKILLATESWGPVNGVSRTTRSLVEYLRDN GVDLILVAPSFKGQSSEQKTWERRLPGCALPYNPDLTVVYPFHLDTLFSQSFQPDVIY LASPASLGFQMLLQIRQLRSPPPVLLNFQTDLSGYAEIMLPTPLDKFGVWLLATVQGF LFRTRAVHTIFYPCSAIRKYLMHVCVPVDRLVQLGRGVDTILFSPTQRDESYRRHIAP HGEIILICVCRIAPEKGFEFLAQVVQRLAADRLPFKLLAVGGNRNLAIEIKVQRLFDG VREHVVFAGFLTGSALSRAYASADLFLHCSITETFGLVVLEAMASGVPVIARDQGGPS DIIRHGQTGYLVPSRDLKCFVHLVKEVSLDPIRRAKLAAAAHQYADDTTWEKINCRAA WQMAGVLSSTDEESQGARRVIRPGVIGRVFEQLHLLLTVGIVYFMWLISVVPLVAHGN CFLPRARQAVQGQFQGTRPLRN PEX2_011510 MKLAVFSAKSYDRTYLDQVREQHFPELCTIEYHAFALSEETVPL AQNCNAVCVFVNDTLDERVLRALHAYGIRAILLRCAGFNHVHLATAEELGLFVANVPA YSPEAVAEFAVALVQTLNRKTHRAYNRVREGNFNIEGLLGNTLYGKTVGIIGVGRIGL AAARIFNGFGCRLLAHDPFAGEEFRQYGTLVDLDTLLKESHIISLHCPLTESTKHLIN EETLARIRSGCLLVNTSRGGLIDTTAVIQALKTKQLGGLALDVYEAEGEIFYNDHSGE IIDDDVLMRLMTFHNVLICGHQGFFTREALAEIAEVTLGNLSDLVAARSCKNSLVTGG NPVDTEPVRL PEX2_011520 MATHVLTNPATNQISVYGQPSPTNSATNTPSNNSPTSPRMTTAT LHQLPLQSRQLRPLKGPLYVPAALRPTERPQKSSPITPPRSVHGSLDSLNEDSSEPIT RRSTMESQSSEISQSAQHEWLKNEHLGAVTGLPTRTHWKADSASYNCDSPTCRSSFGI FLRRHHCRHCGHVFCASHTPHLVPLDQDARFHPDGVPSRACDLCWSAHQRWEENRSDR LNKIQNTIDAQQIGVSVPDSDGMNAENPESTVGQTGEVAASVPRDWNWSTF PEX2_011530 MAPKPTLSTSIQPPPGPSAKLILPSEDTSTNTNPTIFNDAMIIR KSVFVDEQNCTADSEIDSDDARSWHWVLYDNSTARSTPVGVIRLVPPPQVPHAHVSEL PSVVTQGAPEYDWTHEPSIKLTRVAIMPSFRGLGLGRRLVETALGWAAVHAAEIDEAA AQIAARGKSPVTPIPWRGLVLVHAQVEVEGMYAGLGFATDQSLGRWDEEGIEHVGMFR RVVLDKEGSTGR PEX2_011540 MSLYFDAVAILTAPSSGGSFKSRIYSARNLRASPAQIYALITEA AKWDTVLADVIDKSGILALERKLSPLLALLLVHDHLLAKKGIAAPVTHTLRQTVERHK ARLKAEFTKARVRRGCASVEQFKAVVIREKRLADGTSHFVYPRWVRINNIRTTLEEQL STTFKSYRRVDTLAELAPEDELESRKPEPRLFIDPNIPDLVAVPFGADFTASSAYKNG EIILQDKASCFPAYLLLGDRGPSDPWEGDLVDGCAAPGNKTTHLASLLAKQQKGNKNS KQRIFSMDASTVRSRTLQKMVGLAGADSVTVLQGQDFLALDPEDEQFEKVTGLLLDPS CSGSGIIGRDDVPQFTLPVAAAYKAPKSHGKKRKRPDNDDEANGEQSKATMGTPQAEP TDENDIADETIDPERLTKLSSIQARIVEHALRFSNATHVTYSTCSIHLIENEGVVARI LSSQVAKERGWRLLRRDEQPEGLRRWKKRGVREEKPAEGETGPSGTVDLSDEALEACI RSWQGDAEGLGGFFVAGFIRDPAHAVTVVAAKEREHDEHSEHDENDESDEDGKDEDDE EWGGFSD PEX2_011550 MEKRTLIRPTKCNLPTDKVFSIQIGSEIFRLSGASIASDAPSYF SRFFEDQLLQSQDASNVRTLYIDRDPATFREIARHLQGYHVRPQDGGQFVKLFADAQF YTLPRLMSQLFESECFIQIGDRHFQIPRDIFSGPGDSPNFFTLGFAAFFASPSEVFPG LDRQGLLRPPAIIPPSVPNRSADVFDELLHMLRGYPLHIRNEDHRAALLRDCRYFHLR GLEQKLIPHQITTNPFSHRLEIVIRLEDVRPSGIQFAFDTVSGSPATGGMVTYTRPFA DENAADLVVEIGDETCLIHRGSMRADFLGLSKQRITSLLQTVWKKMNLPNSHPPLGQV PIKARVDRETDLTVDGEHDPQHLMILRAKAEAGADGGHPAKRARVDNTDDGPWVVRTG QWRLQVQTGSTGAYEAVLVAVKLDIYTSSQVRNRSRKFL PEX2_011560 MSTYTPKDVPIPPAPSATYFSELQWKTLYALADAVVPSIHTAAT AKSSNDRVISDAEWNSAVSSLSTIISGPDAVTTATQYLQENVSSNPQFRAIVERLMGD HVHDEGRNGFGLIMNALNTRTGSLIMTGSTTPIQDQPVEFRERVLRGWDTSRLPPLRA IYRGLTAIVKKCWVISSPTIGPVLGFPRIPVHGNPTDGFQYEFLQFPPGDQPETIETD VVIVGSGCGGSVTAKNLAEAGHRVLVVEKSYSYPSNTFPMGPNEGFLNLFENGGAISS DDGSMAILAGSTWGGGGTINWSASLQTQGYVRQQWADTGLPFFTSLDFQKSLDRVCDR MGVNEEHVEHNRQNRVILEGARKLGYASKTVPQNTGHGEHYCGHCTLGCASGGKKGPI KSFLVDAAQAGARFMEGFCAEKVIFTKINGKKVASGVQGTWKSRDSYLGLGGIAAVER KVIIKAKKVVVSAGALQSPLLLLRSGLKNPQIGRNLYLHPVMAASAVFDQDTHPWEGS ALTTVVNELEDLDGDGHGVKIESLSMMPPLFIPMFPWRDSLEYKLWAAKMRRSTSFIT LTRDRDSGRVYPDPVDGRCRIGYTVSAFDRKHIVEAIIASAKIAYITGAKEFHTVYRD LPPFVRPEASDPEGPEGTNDTALQSWIAELRQKSPLNPGRSLFASAHQMGTCRMSKSP KLGVVDPDCQVWGTDGLYVVDASVFPSASGVNPMVTNMAIADWASQNLARAMGTARGE ASVMARL PEX2_011570 MAPFGTIYSYQPSPRVMKALAVANLNGLEVVVPEFAMGKTNRTP DFLSKFPLGKVPAFEAADGTTLFESDAITQYIAESGPAANQLIGATPAERATIRQWIC YAQGEILDPVTQLALWRLGIRPYDEKVEETNLARLERSLECMETHLKDRTWFVSNEKL SLADVTIAAALVWGFGMAIDAEMRQKFPTVVTWYERTLEAEGVKEAFGEKKFIEKRQG PQA PEX2_011580 MVRLGDLYRKLAKIVLSLSTLTLSKIGSVERDPKNMTWKVLNRP LSYSMNEVVQLGTLARSIIPNSMYSEASSYFDALVELQISHLIKNILVNEAKNIVGVV DWEFTYTAPVEFSHAPPWWLLLEKPEYWSKGLGDWCSQYDKRLETFLQAMNDCEDESI RAGQLMETQRLSGLMRDSWKSGNFWVMYAARNNFAFDSIYWRKIDRRFFGPTQSFELD NVWKERLHLLTLKEKEYINICVKLRFEQMNTRPLAWDPDEYTRAYECEWVE PEX2_011590 MPSNDFVRTVSRSFRVLVIGGSYGGLSAALALIDLSQGRLTRFN YNTEAEAPTHRIPIQITVVDKRDGYFHLIGSPKALACEKFASEAWTRFQDVPGLKSPD LSFIQGSVSSVDFDSKVAHIVDAETKSNRTESYDYLIAGSGLRRSFPTVPQSLRRDEF LQEAREHMTNVKQAQDGIVVVGGGAVGVEMAAELKILNPEQKVTLIHSRKRLLSSEPL PDDFAERVDSILRDTGVEVILGQRVVDTTPVDTKSGTRVWNLTLSDGQQLKAGHVLRA VSQTIPTSTYLPKEALNEEGYIKVHRSLQFSGDVPNAEHHWAVGDLTAWEGIKRCGGA MHMGHYAALNIHLHMMAECTGGKPDYQTLQPFPAVMGLALGKTAVSYTPGEGTREGED LMKTLFGKDMGHTICWNYMRLGEAC PEX2_011600 MAAPRSVQRLQQTLSHVQPPVSPQLSLVAGPTEPQLLDITLGEL LTLQALQYGRIECLVFPWTGARWTYGQLKDEADRLSRGMLASGIQKGDRIGIMAGNCE QYISVFFAAARVGAILVVLNNTYTPSELYYALNHSECRMLFMTPRIGRHNLEEVLAKL GPNPKKAATSESLEEIVILRESYNDFPTYQDVMERGLSQAAHVLQDREAELRPDDVCN LQFTSGSTGSPKAAMLTHHNLVNNSRFIGDRMNLTSFDVLCCPPPLFHCFGLVLGMLA VVTHGSKIVFPSETFDPKAVLHAISDEKCTALHGVPTMFEAILAVPKPSDFDTSNLRT GIIAGAPVPRPLMKRLFAELNMTEYTSSYGLTEASPTCFNAFTTDSIHTRLTTVGKVL PHARAKIIDAEGNIVPVGQRGELCMAGYQLTKGYWHNPEKTAETFITDAEGVKWLKTG DEASFNAEGYCTITGRFKDIIIRGGENIYPLEIEERLTAHPSIELASVIGIADPKYGE VVGAFIAIASGAGRPTDDELRAWTRETLGRHKAPQHVFVFGEEGASSTVPVTGSGKVR KVELRQMAMAVLTERQKA PEX2_011610 MEPYKTKDINLMEKSVREKEVQDENNTDSDVDSQTEILRAAGIG IAKDDPTEPVLTLRIIYVRLGADVLTEQQMFYGYKAGWGFQILITFSTFLIGFCLAGI FRSIVVVPQELIWPGVLGVTALTTTLHHVHQEQVQVRYDTWKMSRYAFFAMAFCISFC WYWFPDFIFPALSYFSFPCWIKPESKVVNQIFGMSSGMGLLPITFDWSQVSYVGSPLL IPSWAVLNVFISLVFWIWIVAVALYYTNVWNTGYLPFQSSQVFDNTGKTYKVKQIVNA ASGYKLDVKKYLAYSPVYMPVTYALNMFGLSFATLSALLVWVILERRHVMADAAKRVP RLVFESLPGRSRAYSEDERGDPDVPLWWYLVACVLALFMSIFAVEWWNVELRWYGVLL ACAVALVFYPPLALVYATSNLKINIDIFCRIVAGFVFEGKVLANIWFFDIGYITTIKV GPRRYFSNQIGYSALLYFFIIGAILPIPVYYMTRRYPNSLWRRIHVPLFLGGLNYLPP ATGMNYGSWAAVGLTFGWLVRKRLHGWWSKYNFVLSSAMDSSVGIAGALIFLTIYFTG ASEHFKWWGTEVHKNTCDWKGCADLSIPKGQKFGM PEX2_011620 MGGGSQIPAMNGQKVPSDPGQNVYLHAVVDLGSNGIRCSISDLS PPTTRIIPTVHFHRINVSLYEAQMDPDSGSRIPIPQHVIDRIVSAIVRFQIVCVEIGV PAQNIRIIATEATRTAINAPAFIEAIRHQTGISVEALRKEEEGIIGAWGIASSFSDVE GLALDLGGGSMQMTWITSHAGNVHMSSQGSVSFPYGAAALTQKLSNLKRGKSKEEAHK ALEQFRGEMGDNFRAAFNTLNVPEHLIQRAREQGGFPLYLSGGGFRGWGYLLLYLHQT KGQSYPISIINGYSAPKKDFEDTEALKEVARTAHEIFRVSDRRRKQVPSVAFLVNTLA ESLPHGIKEAHFCQGGVREGVLFREMLPVVRQQDPLEVATARYAPSSAEALAALLLAA LPRPSASRSFPSSITLHLIQAFANALYYHATMSKELSSSAALYSTSTGILASTHGIPH AHRALLALMLQERYGGELPPRDMDFKTHLQGILTPEEVWWTRYLGKLGLVLSQLYPTG SIDTSKPRIVPSAQWKNGLGKSGKKEGLDLTIAIQEVSYDPSHLKEELENDVQKIQKV GKRKNWIGGRNGWGIKVHVSVVEEDLLAR PEX2_011630 MLSRWLVLALAQGVWANIEDERCVTAVYTAYNYISFAGLPTTGK GRWESSCRNPLKVASIYAASEIYCNEREREIGLTQLADQCQEFGHLELLPREAVAENL TEDAIRNMKTVDYQELPRGDPANTPVLLSASYFGLMFNTLDSWAFETWSHHAFGYVGY AYWGGILSLGMVYRLSDWLFHRQQRRAERALESNVYPLVKLLENIPFVGTGLHWIQTH LMIPAPLATTHGRHLLGFTFSTRVEALIVVGFWMISIVLSVVGYRTFPENIYWPDVPS QILRYSADRTGIMSFANLPLLWLFGGRNNIFLWATGWSFASFNIFHRHVARVATVQAI VHSILYFVMFIQTGKAWRGMSKTYVLWGILGTFVMILLLITSLDRIRIATYELFLIAH VVLSVLTLIACFYHTVVFEGNEYWKYLWPSVAIWVIDRFLRIVRLCYCNLHVSISNRR IVKISASRMVYDETADVVRLEVTPGMPSLQPSPGQYYFLYQPFRFSGWESHPFTIGAW SYEIGDRTSLAPPSEGNLIKSLDVSNVPLLAGGASDRDYQGNSESGSSRGEESLKLKL KLTFWVRPYDGWTKQLRQQCLGSPAKTSEATILLEGPYGETFPLWKYESVLIIVGGTG IASAVPYIQDHLRRSVEDWNGRLENEKTRVRDMELVWTTKQAAFIRDVSRRELKEALK REDFSASFYATRDSTVSSEDPTDSGFDIQSDRPHLQSLIMSRASDACSAGTSLAILVC GPPRMADEARAATHLAMRQGYRSIKFVEESFTW PEX2_011640 MKFFVPAFLFAATAMALPGSGSAAGVAPHSNNEVEDAVNKCGDG AHMSCCNKINKNEGISQNNIGVLSNVLGAIGSEGLGLGQGCTQLDIPISVLGAAGLTD FLKKNCQQNIACCQNSNSQANGNLVGVAAPCVSFGGLL PEX2_011650 MWATFLTAFTAGAAVATALQVAENQTYITLANDRLTAVLQKSVG QIVDLTLDGQDLLGAQSGSTGIGPYLDCYCIPTGFYTAGATSPSMEVVQGTDSTGTKY AGMILSDTYTPTGQQFQQYWFLRDGETGLHMFSRLAYHNKTTPYLRNLQEFRTLFRPN TNLWTHLTSSEIQTAPLPSKDAISKQIVVQDATWTFNNTPNDAYYSQFSDYFTKYSFS NQWRNNSVHGLYADGSTSNGATYGAWLVMNTKDTYYGGPLHSDLTVDGIVYNYIVSNH HGEGTPNITNGFDRTFGPQFYLFNGGRGSVSLQELRSEAEELANPHWNAAFYDSIAKH VVGYAPSSQRGSIKGTVKLPKGAVRPIAVLTVEGQYFQDNSAVPSAYQYWTDINPDGS FSIDRVKEGKYRLTVYAEGIFGDFVRDGIVIRAARQTALHDIWKQESAGTEVWRIGIP DKSSGEFRRGNARDSTHPLHPPEYLIYWGAYDWRADFPDGINYTVGTSDPATDLNSVH WSVFGPTAKDSHVEYDTTHDWNIHFKLNAKQLNKRKTATLTLQLAAAKTAAGNTDVWN PVEPYNNLSLESYINSQADPLTLIVGFNQSSSCIVRSAVSCYQVRSRMEFPADWLYAG DNVLRLHLPFNATDTETAILPATVYVQYDAIRLELK PEX2_011660 MGSTPQDCKVSDNGIWENESPQNPRNWPHWKKNAQILMVAIHSM MGTFMAAGIIPAYDAFAEQYNVTVPDASYLTSCQILLLGLSPLIWNPVTAVYGRYHIT LLSVLGSMVCNIGGARCTSYGGQMATRVLTAFLISPPIGNGSGVVTELSEPEHRGKKL GWWTLMTTIGTPAGPFFMGFVIKHIGVQWIFWIFAILNFCQFLVYLAIGDETIYNPDN ERKVTGFWGKLILRKISSHSLKPLDFVAPFSLARNIALIVEMPIAFGERFHFDAQQIG LQFIAIVIGCVLGEQVSGPMCDWFLARVRKSRGRSCPADRLWLSYIAFVTIFAGLLTW GFQLQHATIWNVTPCVGAAIASFGNQMQTTILTTFAVESRRDRASQVGVFVNVCRQLY GFLGPFYFPDMFTALGFGGAAGVMVAIIGGCALLPIIAVQFVSTRAEKR PEX2_011670 MADSLMEVYWEYVFPLYPFFIPGEMKTEYMKIWTGDSLEYDESM LMCTLNVIFALASQLADFVPPKEREASADAFFSRAKGLFQFNLWDTGSAGLIQCLLLM AQYLQSTDSAHQCWIVTGLAIRNAQSLGLHLPQNIARLRSFQEQQLARKIWHGCILMD RVISMTFGRPAMISKASSGAVPLPAAVDDEYIPSGSSKEVSQPPDRPSMMAFYAKSLE LYEIMNDVLLSLYKPISDDNAEDMHDFYFDNVASEGERTIFELDRSLSRWTRSLLPHL RWDSSTVSTSAIFYRQSIVLRARFLHVRMLLFRPTLSKYCAIRDNAATDPLVSTNESF PHRVALQCSIICVKAAQESIELIKNNVPADGTGGPLPAWWYNILYVYTSATVLVASRL CSTILAEVTESSIAESWNYALEVLRKYQNYSTSARRCVAALEILYGQVASEGLVPTNH IPSHEIRASASALNDMSFGEGINSAIMDSFEFPDFQDMSWLNSVPSNLF PEX2_011680 MQVPCVDAPHTAIRPKKKRRVVCESDPPSNIGRLPNENDVWTRK KASVSAPGFLGQTSYFDAFTDTRNGLLDEIPYLAGHDNFPVDLKRINLGARVLTLLEN LPFYRDVITARYKIWTGWSLGWPVTNMVFTAAENMWNSLETKDMDTNQRAFFLSKRLF ETHNRALEVHSSMTWEEFQTAAAGRWELIGLLFTLTGLATDWVPHSDRIFMRQNTMDA SSLAITATAVGDICLQFCDSTGIVNDIVGWLLLHQTTLLAIVYGESDFRPWRKLGELS TTLFALGLHQDSSGKAPFFLSEMRKRTMVAAYSMDKVLATFLGRPPLISWRYCDIQMP LDLSVEEIFADSVIRDAAIARLDENNGWNLESSLVKGTWPRIALITNILREKVLELSL SWQLENLSQRVEELSRESRELRKAMPDFLRWKPDIDMAAVPRVEYDLLFEVHIEFLYN EFLLYRTLGKRTQTQPEAIIDIAREILKALVTMISEKTRSGQPIKSMGFSVCLPGLPS AGVLCAELLRRSRPTVISSLLEFPRSEIIQNLTLFAAYLDGIIKPHNPNYRVAQQGQK AIRHVLDQVLSIDGPSSIVEDSGTNDKFIDDASLRDGVNFDDHDLFLGWLDGNMQHMS DSWLSWVNFT PEX2_011690 MMTAENWLARFELIDAFKRHIENNLSPYHLSYADGLGGDVELLQ AAAEFFNRYFHPHNPVKSQHIVTGAGCSSLLEAVLYDVCEPGDGVLIETPFWGGFETT FVLRAKVQAVHVQVPSDQSVRDVDISSYISAYETAMANAKCTIKAILFCNPHNPRGSL YSRTLIEALLKFAERKNLYFLSDEIYALNGSGSTGSAPSKATTALLRDEEEILDQIFE NSRLQLWRASRIAVEFLSFHKIPFYPPIAGVYIWARLGYLEDTWAGEADLNDKIEAAG VSVGAGRGYNEVQPGWFRITFALPETELLEGLRRIEDVIGSGHKWQRRRMNSLKQGQD FIQKGKISFARSWVVLPLSQLKYKLYGRLKNILLKLEQIPC PEX2_011700 MAEESHSTPREEHAIPPEDDTNQHSATSASSLSSPLPSSESFWS PSDFLFNQTASDLVPCTDWLESSALDWLQSETVPDSTQLNSSTASSEREQPGEANRFN FDSSTVHSLCMDFPDLHYRNDDHPTELQLLIPLKKEEGECHCLSTLSGLVSRQITARD VMRYDASLVLIRDAARSISLVITTLQLMTGTVDRLIQHLKRRSSSETSNETDFSTAPV PFSGEDSTTSKIGAGGGPIQVQFGAYHTSREDCQEQIQVLSMLVRSGVRRMLEVQSLR ERYILPHNVAEIERMQNQHEWVKGCADGLIKAPLDMTRNDLRVLDAATADGTEFVGFD IAPNLFPPTEILGPNVTLSVQNLIKPFPPAWKNAFDLVHERFVISLFKEDEITQVLHN LLSCVKPGGWIQFVEPDFGTCVSQPKDKTTSFQMIHRLTGHVMADNMASTKLAGRLED AGWVNVDISVRDMVAGNSHLNPALGERGRQNMLAILNYFQSVTSPETFGLSQEEWLTL PERFSKDMDQHETAIRHYIVWAQKPIA PEX2_011710 MMTKTSEHSADYTYVMPHTTSEIQRLRNQHDWIKASMGGKLVFA PIEPDQPMHVLDSATADGFWCLDAAKELPVGSSFVAFDIGDHLFPAPDQITAEIQLVK ASVTEPFPGDWTGTFDFVHQRFLFPSLPEHEPALGNLLDAVKPGGWVQFVEVDMLTSA KTEASGERERERQQAPAFTVLRRLASSLLIHPTAADQIAGWVRQYNFEDVTESTYDIA AGMGNPDLVAGVLGRTNMLHVLETFMGYYRSAKEDTLGLSEAAWDALPRELAREMDLY PLTVRINVVTGRRSL PEX2_011720 MPRVLPPYGDRTKSSVAWGVVTVACIVNFLDLFQSSMVMFGLAD IKEALKFSDGDLNWVLVAYTLTFATTLPVGGQLADRVGLRLTFLISTFMLFWTNILIS WTPNQNGMLAGRALAGVGAALTCATGISVISHTFPPGKDRNAGLAVYVSCGPIGTVLG VILGALLTASAAGWRSMFWVNFILAGVACVLGFLIIPNFDRDTTRGFDYYGVVTFMAG TCLLIFGLNDAVDLGWKSPAVIVTIIIGGLLLLAFPLVERKVHEPAVPLSIMRNPHVL VPLTVFMFVGGGWVTWFFLATEICLNSLHYKTVLAACYFLPATAVSMIGGGIGNKLVG MGHTKIVIVAGYVISVGALVPWGFVGPQFGIWYVIIFSMLYLFAAPGIAVAAQAIVLN EIPLEDHGTAAALMNVMYQFGSSLFLAVVNVVMGSTGRSGDLSRDLLTQYHNGMWTLL AFTATGLVLFVVFYLPRESRHGGMLDKSQNEPVEIKDEEEAVGEIKST PEX2_011730 MNMTKFVFDALIVGGGPAGLSAALALARVQRTALVFDSGHYRNL GVAAMHTVLSRDGTPPTEFRQIARSQIEDKYPRIQFSSAQVSQAAQTDIGDGYMGYQI TDTDETIYKGRKLILATGSEDLLPTNIDGYRENWPSHIYQCLFCDGYEKQGQPVGILE FSSPAYLGLALMSLQFSEDVTIYSNGPLSTDAGVQRALRTASASGVKVDERPIRRLMN QGDTIGIEFDDTQTVTLGMLLHKPPTINRSQNLIDQLGLETMEQSAEVMVNPLFCESS LPGCFVAGDGGQLLKQVAVAMSTGVRAGSGVSFQLCNEEGARALAAYEKNGEA PEX2_011740 MAADKKGIWYFAYGSNMRSSVMKRRGITALDAKVVVVSSHYLTF DIFGIPYAEPSFASIAAFVSEKVTILQTASPAGWTVVPAAHGVAYLLTPADYRQLVIS EGGGVAYDEIEVDAEVLHVDEGGHEPTRLVARSLQAKYPWEPNGAPSARYLVRPHSSI YLRNRPSNESNPLWLFCLLIGPPL PEX2_011750 MDIRPSDIPANKLVLFVVKGTATSTHNTVKPLILLEELGIPHDI YVVEKVSAPWFRDINPHKMVPAVEDQLPDGKGCVRAWESSSTLTYITDAYDLDGKFGG SNLGERTEIGNWLTLHTAALGPTAKYWLYFHALHPEKLPKAIAKLSQNITVQYDILER RLNEPGQLFLALPDRPTIADIAFLPFAMESTANLFGHELGKWPKLQEWSIRMGQREAV KRAWQRCASFGHGEKEYGHVA PEX2_011760 MAPARTEDIPPANENMSDASNLKKVLHELNELESHVQRVLATIQ YPEIASLLGNQLHDPDQLPNQGLEQLAQRVVDAMDHVQLQLVPSVSLLTDGFFGYLNS KVLWTVVDARVADHLAKNGPQSVSTLGLRCGIQPERLSQLLDTLVNNGIFAYDENQHT YTNNRVSDLLRHEHWTQWHLWADLYPNEFFNVSRSMPDAVKLGESRTAAQIEYGTDLN LFEYFSQQGKISQFQKTLGAGAVAQAKGLVADYPWADIGSEQPILDLGGGSGSFLASI LREHPNLVGGIMDLESVIDLVTPEFREDTGKFADIGPRVQQLVVGDFLKHIPASAVYT MKWCLHDWVDDDVVTILKNVRRSIVASPVSRFVVFESIKTPGRSGRLPRYGDLVMMIT CNGKERSMEDWDRLGKLGGWRVEKVHQLRQAWPCAIDFRPV PEX2_011770 MTDSVLTTPAHAAQFYRTSHRHAKASNNNSGWLFGEVLGVCVGL LSGSDWRRVRQHLEEPFSRPSATRYTARFVAQAREYIQNDLKAGSVCLSKVPGAISFE PARALQLFPFFTMAEVLFGPLSPSQRKVLVGLAPLREELFKEVIRGGVNRLSIAPYLP WSGVRLLKTFQKQWQSFVEDAYTHALQDKGALCSPVISLWEAWKAGKITERECLQTLD ESLYANLDVTTHAISWNMLLLAQNPMAQTRVREEVIQAVAKTGAEPYECFIDREDTFL AACVVESARLRPILLDTYLWVLSPPAFSNPESAPEDQLVDGYLIPQHTNVIVDAQSIN IDNPFWVNGTQYDPSRYQKLKKEEVRYNLWRFGFGPRQCLGKNAAERMMRAITAEMVR QYVLSVPENVASQLDAVQEDS PEX2_011780 MQHKDSDLCELFAHSVRRSPNELAVDHESGSLTYTDLDAASTYL AQKLQQRGVEEGDIVCLLTEHGTLNIIALLAVLKAKACYVPLDRSSWSRERIENVLSS VDSQFLINTTGTSFEHIKYTIIHLQTVDIPRSTGSSEYAAEVSTINAPEALACIIFTS GSTGKPKGVMLSHRAIANYGHTSPFNMDVQAGDRVLHILSVAFDASTGMLFSILGNSG TVVPASMDTLYDKAQTCSILASTPSILATLPLPSSLPDSYLSVHTILLGGETPNGQIL SHWLDFGVRILNAYGPTETTCASLMQEVEVSRETGTIRSSIIGSPMSQGPVYLLRNDL TLVDDYDTDGEIVISGIGLADGYYRDPALTAERFITWRGIRVYRTGDQGRWIRRNDGT RVMDFRGRSDRTVKNRGFLVNLAADVEEPLRMMGCGVSDVYASMIESRLVVLVTPASA ELDRLRSEANSRLSSFHSPDHYCAAEQFPISPNGKIDSRAILKLLDHSQDQLLAASSA ILYEGSTLDDSSMTSELSGDMATVVSECMYTALGLDIPPSQIDFNFFALGGNSLTALR FTSLCRERGISITTPDIYRHPTLRGVLRCAHALEPIPSAIESDCGNPTPQLSALREEV AAQLNLGKLLPLDIQIGRLTPLQLELAAPTLERNGTNTNQLQLTYPLSDAEHICDAWK RVIECEPVFRTQISLDIACGVQIQHALHPHHLKVSKVGSYICHPVEATFRRREDYDAA LTDPALLSVGLGMRLDVMKLMPDDTGDKPGSKDAGEVTINWTAHHALLDGYSVGLILT KVQRAVQGQHLSMSPSFMDASGGLLAIQSQRDGEARRFWAGYLESVRSLPILNDQRRS QETNNIPYRAQEIRFSCADRLPQIQKLAEECKVTLATVYYTAWAMALAQYTGRHLVVV GAVFSGRETQLEYINTVGPLVATLPLLCQLRRDASIPQQLEAVMEGLATISAYAWSSS DQIGYRLDNLMATQYDFPPIESALVPKEERFFENTTFPISLLAEKDAGFRLVYDPAVH SDQHMQTLSQAMKSALNTLPDATTIGSWLDYAGSAFENLHHDSTLKMECGATQSHFNL ETHIAKAFEVSADRHAHLVALEGPECTLSYAELDRLSNVVCNRLRQDVPNAQTVAIHA DGSINWVVGIMGILKSGSAYCPLDPAYSMDRRLAVYTRSGADALLIPNACPTAMLKLP DIHILVVADLLSCSAFDAISHRPPLSAKANDDALIVFTSGTTGRPKGVPISHRGFLAL QSNPEATMFSCPGRRIAQFMSPAFDYCANEVFSALLHGATLVLRDPSDPLAHLAKVDV STITPSVLSALDPTEYPNLRMVYATGEPVTPGLLDRWAANRVFYNAYGPAECSICTSF TRLVPGQQVTIGKAVQTARMYILTPELHPVRDGTTGEIFLAGEQVMRGYIGDDQQTAH RVLPDPWHDGERMYRTGDYGYWTNDQQICYVGRLDRQVKVRGFRVELASVEMRMYEEE PQLIQAATMVVNDNLVAFVMPSSIDVAHLEQRLRETLQPSWVPQMITPLDEFPWTTNR KVDYQRLKEIGTLAVTKLSTPLTRPFLDHIAEGITGIWKILLPLVDDVELGPDDDFRR LGGHSILQMLLAARLASVYGISMTTRDVIEHPKLGEQALLVKSRQRQAPLSKELPTHK ALPDHHLSELERQTWFQYLVASDVRSFNIPVLLHFDGKFDRQLLIQSLNAVLASRKLL RSNFIETSEGPIRVFRNNPPRVQECKLIYVAREIARGFDLARDELIRVFMDQQSLLLV TSHAIADLNSVQNLFRETAEVYRGSTAQVDRLNYLQASAWSRKATPEERHFWIRYLDG APQRLPINRDLTQTSFEGTSRICHFDGTMIHGLTSLTRKYGVTKHQIVCAATAQMLQW LCATDDVVLGCPWENRTSDLERRSVGLFLDRLPLRIKTPPNANCVDILESMREASQKA VAYAIPFEQILDILHLPRTIRQHPIFEVMVTFHLKGAMEDCLDVDGLVVQREMCYAPG SKFLLMFEWTELEADHWVLRTEYDHHQIAPATINLIDQALRCVLEGFSLELSRSAIEK RLAHTFPETKEDSNSEGEGDDDTNALCDRLVGILRREMAACLGIDLADFPCSVSFFEA GGNSIDVWRLQRQLKRVGVDMPISTVFELPAAQALAWHLCKRVGWLG PEX2_011790 MYTREETWKQQAARLFKEVPLIDGHNDFPYIIRGWLQNQINGQE STIHDMPIGQTDILRLRAGSVGAQFWSAFVPCPTPEEQEQGCVAQLHKTLQQIDLIHR LIEMNPDTLVLAESAASILDGFHSGRIASLIGVEGLHQIGNNSIHATTFRLVPKREKA SGYTVCPHPRNVTDGNLQLLHRNGGIIMVCFLRELTDAKPASGATLSRVIDHVIYIGE KIGYSHVGIGSDFDGMLRGPDGLEDVAQYPSLVEGMLSRGISETDVKNIMGRNLIRVM EEVEIFSHLAKAASREFLADDIGGIWGADIKEQLLDERKRCKSLAS PEX2_011800 MTADGPKLGFLGNINKDQEARLQDLWSILLKAVEISLSNEPIDG FVEEPFSPTQSQRRLSLLSRTQSKVSEKPTPTPNTPYHQNSMTYLGEIGAGMAESHAI KKALSEISPTELRTGVLDTLKHDHPDAVLLRFLRARKWDVPKSFAMMVEAVVWRLKEM HVDEDVMAKGELHALKQTQSESSMSERKAGNDFLSQIRMGKSYVHGVDRAGRPIVVVR VRLHKPGAQSEESLERHIIHLMESVRLTMAAPIETAAVLFDMTGFSLSNMGYPVWPVL LLLGSLATHHFNILGIWRLIRGLMDPEIAAKVEFTNSVAELEKFIPRDQIAEEMGGDE KWSYKYIEPNSTENSRMDNTTIRDALKRERQAICEEFLAATSGWIEATKSKDVMNLYS SESERAYLAERLRVNYWKLDPYSRARLLLDRTNIIQDGGKIEFYPKKAPMESDVRTTK ALDMKHLERVEDVVLAMLS PEX2_011810 MSPDFESSQLPSKQDVPVTIASVDIDPRAERALVWKFDLRLLPV LAIMYLFNSLDKSNLGNAKTAGLEETLSLKGNQYNLLLSIFFVPYVLTAPFLGLLGKK YGPNIVLPCMMLAFGICTILVVTVFNFSGLFAIRWFLGMAESAFFPLVIYYQTTFYRR GELARRLAIFYAAQTIASAFSGLLAFGIFRINTGPLAPWRYLFLIEGAGTVLFALFAL WYLPRSASEASFLTPEEKELAYLRLQIDSSSVVNEKLDIRDAFQVFKHGTSWVILGIQ ICLGVPLQAVQLFLPVIIQRLGYSTVKTNLFTVAPNISGAAVLLILAFSSDWTRWRFP FIALGFLFTFVGFVIYATIDVEHDINVAYFASFLMTWGTSAPSVLLDTWYNNNTANEG RRVVLTSIAVPLANLMGVVSSNIFRKQDAPEYLPALITTASFGGVGIVLTICLGLWMI ADNRKRDRLQGVHIKARDIPTEYLKDGPACDNFRWFY PEX2_011820 MDFIRVIPLFSFFFTAVVAFPQQTAAGTTTAPPTTITKAASLSC SDGETAVYTRDCTMGTPTSYCARPEPPIQCSEGYFPSVWHPGHCMEQSTCFPLDASWI TTECSHGAIPWTTSTLYEGTLAGGQSTIISAVSCSCARDQWYSMTILPGASTVDTFCM PSSSCPAGMTTSVSTNTYCATAPASACSNVPLETNYCKCEDTTQTPVYPDSVGAAPTG CRA PEX2_011830 MAAPENITIDDLTGRWSMIQGVNWLSRKVLNVGSITMTIKQYID EVGLTHLTIDSKSGSGLPGSTENRLLNNETRHATHPLFGKITGRTAWVNLNDLSSTWL ANGWEQGTTRAILMTTEHLDIDGVTYQAGGFEQFNGEHRYIRHIEVLKGGEILKAKLV YDYLGPL PEX2_011840 MVQFIFFVPSAVYAIWLCFRYGLKAAGTWRFIATLSLLRIAGSI SYFVSLRSPGLHVIVSVVVCELSGLAPLMLVCVALVGRVNKTAKVFPGKAAIGISLLS LLGLILGIVGTDRALEDAKTTDEIHVNSLTRAALALFLVGFTLMLVSYFALVQDVLRH PAKRAVLGTEACILAIVGLAAPFVFIRLLYSALGDFTGAELWSSVSGNDTVYLIMDVL MEIIAISIMYTTVYFAPLPKDPPAERITDAERGDTDELHESRESASPSVVELGSKR PEX2_011850 MKSWPAITSDLINTVNKQLAKEALATAPVIVHKHKPEPSITLAR PVTAVAAADSIDVTVIAAADTEEVLKLPCLATLKLDDNQVDQVLPITNVQAGFVALSI DPEYYDTTRLQCAVDTELPRYNCLTVFMSVEHHIAPFAQCILSPSVARIPKAEHPESP RGHCVMITSIFNGVYDDTQINFLFYAVLAEYAKPGPTLLMELLWIRASVELELIYDWI QTVMYGAGRLAGVRGSRLGERQPVAEHYSQTQPPGSRRSTFAAMSTNMLMVAEAAWAS VLAQTFLDTIRANAIAGKKLFGVKFDTVLTTGAAKLHSVHSAKTLTSREACSLLAAHR IEAHPFLPAVSDAPSNAHGYRFDSILLVEAPTLEEVHDPLRQLPGFNFDENLMARFRK VNVDFLLTTRLWPRSLQWHERVLRPLRV PEX2_011860 MARHITTDQPLHLVRQSGSILRTVLIFRDETTRVIDRARTHKIP RGNLPPSQRTSAKSSPASFNLSLGVLRPPASLSDDSANRSTQYDNDNDNDPNNLENSN REDNGKCGQDKKESAAHKYKDVTENLIDSIMYDDSSVVLSGMDMFVDDTSMLWEPTQL MPVLLSSSRGKSPTLSLDLDGQILSMLISPTVPSQSLEELGINYFIANYVMKDSGPCP GVFNYAENILASPAGDTELARVAIQAVGLAGLANITGADSVMRKARSSYAEAIERVNG ALVDPRVAGSDSVIFAVMVLGLFESITCSDDESLEAWKCHINGAACLLMLRGTSQFRT KQGLQIFGEAVSHILTLCSRYDQPMPPRLRYLRVEMERNINGKSPSWILSTAHIEVMN LYSQVQPEQETPFLAGEWETLLSHAAELSQRLENLFAELPVTWRFKTVNDPMANPRLV FQGKYHIYYNTWVAKIWDGMRACRIILNQVIYCLLLREGLALAPQEFSDGGAYTSLLQ RTLDTTTEMRDDILASVPQMLGFIQHEATTGASYMDCSSSADPSRLIPASGAYFVVWY LYLAGSLPINTPETREWIVDRLRAIRSTTGIQKAAYLADMIEKDVGHLSAVLPASDFL LPPC PEX2_011870 MLDKGATSAKPPSPMAPGLKLQEHENEILRRQIDTSESQMSRLG LLYSCATKYELFVLVISSIAAITGGALQPISFLFLGGLAQAFKEFFLGTASGSHLSSL VARFALYYVYIAIGQFISVYISTAGFMIGGENITQRLRERYLAAILRQNIAFFDVLGA GEITTRITADMNLIQDSLTGKLSLTLYSCSNFGTAFIISFVKSWRMALILISAIVAET GSMSICSSFMVKYTHMSLSAYADGSTAAEEAISSIKHVTAFGIQDRLADRYQKFLTKA EAYGLKSRIALAVMMAIMNGVIFLTYGLTFWQGSRYLVVGDIELGALITILLATLTGA FTFGNIAPNFQAFATGVAATGKILATVSRHSPLDPSSSIGSSLQAVSGTIEFKNIRHV YPSRPDVLTLDGVNLVFTAGKTTAIVGASGCGKSTLAGLIERFYEPISGEILLDDHDI TSLNLQWLRRQIAIVTQEPTLFATTVFENIRFGLTGTEHENSPHNVVESLVFDAAKKA NCFEFISALPDGFHTSVGERGSLLSGGQKQRIAIARAIISNPKVLLLDEATSALDAQA ERLVQAALDVAAKGRTTITISHRLSTIMAAENIVVMSHGRVVEQGTHNDLLEKRKTYY ELVEKQRMSTERGIVISEAKSALYADPEFPDLKGEGNESKKYTCEMGEYRGATDLKKD SEADFGERKYSLWTLIKFVANFNKEETLTMVWGLLFSIVTGAGNPTQAVLYGKTIAAM SLPPNMYGKLRQDVNFWSAMYVMLGGTAFLGWGASGLCFAYCSERLIHRARDRSFRAM LYQDIFMFDKAGFSAGSLTASLSTDATNLAGMSGVTLGSIFIVSTTLIAGIAISIAIG WKLGLVCTATIPIVLSCGLVRLKILGEIARQSKAVYAASATYACEASSAIKTVASLNL ESHVQEEYHKILEAQRQKSVVSTLKSSTFYAASQSANFLCIALAFWYGGSLIVREGYS MVQFFIAYAAIVVGAFSAGAIFSFAPDMSKSRQAAQDIKRLLDQPVNIDARQETGDLL LTKIDGSLEMRNIYFRYPNRPERVVVNGLSLSVQPGQYIGLVGASGCGKSTIIALLER FFDPEVGQILVDGKDISKLNVQSYRSHLALVSQEPTLYQGTIRENITLGTNDDDVSEE KITKVCKDANIYDFIQSLPEGFSTLIGARGGMLSGGQQQRIAIARALLRDPRILLLDE ATSALDSESEKIVQDALNAAAQGRTTVAVAHRISTVQKADCIYVLHEGNVVEQGTHKQ LMELGGRYFELVRLQSLEKSD PEX2_011880 MRGTFILSLLSAALGASAAVSQSNLKTHVDVLALDYAFNPVKAA YWTGYPHHRRTPFAVSPDGKSAYIAYLDSSATDVHVQQLDPTTFAATAGGLVAHNDGF ALLTNEAMPSGTTNAPPSGTPVPVLYRYTSGKQTWKTWLGGPGVHESDGLSASPDMNG DLVYSESAELYGAYFVVTDYTGDASGHFGDSVEYVSASGTLETIAGASSAWGCSHNTG IAFEAADDAPFAGICAEDQGAIWLNTKTQGMSNDGVKISNENTTNGASGEPMGGMSGS YSALARFADTTKYIFAWVSRGAIDVTENAWMGTGYTNVQNRTNGRNVAISLFSDKYTK VGAQATSEVGAEDGDAQVNWVTSGSNDCSNAHAATFGSNNALITWEEISNPTCDFIAM GCRGSFAGTYFQQVDSTGSKVGEAFSADDVYVAGDMVTMSDGRICWPYVNMTWDLSQP IDDSSSSATGKKMSIACVGLDGTTDSSTTAATKVTTSATAKVAVSESASAVTKAASSA TTIEATTQTTEVASSASTTEATTQTTEVASSATTIEATIQTTEVASSATTIEAPSQTT EVVAEATNAGVAAIGSGSGNASSSSVIEIPTAATSAAASAIPSSTPTGIARGHGRKHG HGWGHHGTQTHSSGHHSSTYDDVSDSEPQLSGACKS PEX2_011890 MARILKAQKPRARNLGLPYHDVAKTTATTLTVKIKPVKKITQYK RFATSSPFPDYKRPSPQECKEAHRILVASHGERDPTATNAMNADGFDRPTVFTDPLDG LVYGLLCQATNERNAIRQVQAMVKEYGSWTDYNVISDGGEATLRDVLSCGGLHVRKAK FIMSILHQVKSRHGVYSLNHLWPLNDEEVMEEFLSYNGVGPKTASCLIALTLKRQRFV VDTHIYRITGFLGWRPMHATPEEARAHLERRIPDDFKYSLHLLFITHGRECPECKTGS KITGSCNLRKAFHDLVPDLAT PEX2_011900 MDARYKRTSLFRRIEKVTIHPKVASHFDMSSKTNNVVPPGKVAF VTGANGISGHAFIEHLIRTPESKWSKIIVTSRRPLLSSWLDPRVEFVALDFLEPAENI VIVIKTVCKDVTHTYFTSYVHSMDFSTLAEKNCPLFRNFLDAVDSACPKLERIRLQTG GKHYNVIRPMGIIGYTPQFNGMNEVIPLAQYFLICRELGETPKWPGNLRNYHRVEDQS YAPSIADLTVWATTQEHCKDEAFNHTNGDVIFYSSMPDREQRELDLVEWSRDKEEVWK SIVAKYGGRADCFQTEGFAMLNWGFNSSITSTTPFMSTVAKARKFGWTRIEDSYEAYD RSFKSYENAGILPCSRQFQ PEX2_011910 MLLIGAGGVGTMACVSLERSCIVLRSDYDKVMRDGFEIESANHG IFSSWWPSRILKAVPQIQEVTYDHVMVTMKKIPEISNISAVIKPAITPGYTTIVLIQN GICIEQPIIDAFPSNVVLSGVSYIGAHERNGRILHDEHDHMNLGVFHNQALDVKIEQE KLHDFATIYSANRAVSVDIAGDFQFYRWRSVSWNGAFNSMCAITQLDSADIRYLGGEY SLLRPGMAEMAAIAKADSYDLWPDIVDFMINFTGLELSFRPSMLVDVDKGNPIEVEVI LGNVLRVARKLDVLTPILDNTCRFLKLIH PEX2_011920 MKIILAGVTGYIGTEVLSQCLANPGITSIVALSRRKVDISNSKL YVYIMKDEDYLCYSDAALIERLKGARAFIWALGLRPSQASNDDHSRRVSVDYMVAAAS AFQNAFVSGPHFSPASGDRFRFVYFSGGGVERDQQKTLWFMGNFRRLRGEVENVLLRH AEANCDVFEPYILRPGLVLSRERTLKDRFWSLAPSVKVDILAKGAIDVTFNGHKQDTI ANQTLKEGAEC PEX2_011930 MSAKVFVTGITGYIGGQTVVHLIRKLPDLSMTALVRDGGQAAAV KAALPQVKTVVGNLDSVDILARLSAESDIVLQCASADHPVAVAAIIKGIKSKPVGSAP GFLIHTSGTGILGDPDQDYGAPPDREYDDVADIETITNFPLKRWHRNVDKLVLDASAG DNPVDNAKIKTCIVCPPTIYGRGDGLIRARSVQLPDLANAALRRGRSLTVNKGENEWR NVHVADLADAYILLVEEALKGGGKADWNAEGYYFVENGHHAWKDLAKAVAQDGLKKGY FKSDNVDELSVEETLDVQSTPHPGPDIWGVNSLGIASRIRSLGWKPQKPSIWEYVSEA VDIEAQALGLDK PEX2_001160 MVAAFVASSIMMNAYPKKMHRIDGGGARGVTPLEFLGELQKLVG DCPIHDMVDLAVGTSSGGLTVLAKFHQKWPVAHCANVFETLARRCFSTSGSALGRLKA VVKYITSDAMYDERFLEGALQENLPGHLFGYVPGITSGTKVALTATSGGNARSIFTNY NGSAEPTGYTVVRPENNNNEASLWQAARATTAAPIFFKPITVDGQEYWDGGLGFPNPI ELAMWESSRIWEKNISHDVIISLGTGEASKGLPKRKSHSIQRLWTSFMDFLDGHTRYG DIRNGLDEQRRQDFFRLNTELPFPIRLDDVQNIPLQKEQIHLHPQGQLIEVATALLAF TYAKAQFGVAAFIKT PEX2_011940 MADSSRSETPETSGHIDKSAADATGDGHDRVSHKSAISNHNPKA PNVTIDFGGLLSHGEPADHSSAKPAEPEAAESAEEGVENPERPKGIRFVIVYSCILLG DFFVGYVSRSYLLQLCLALTRFAFQDTSCVTTLTPLISDEFKAIGDVGWYGIAYILAL ASSVLTFGQLYTIFPMKAIFLASFIVFAIGSTVCATAPSSIAFIIGRAITGLGGAGIF SGGTIIVANTTSLKRRPIYQSISGGMECTALAFGPLVSGTISNFSSWRISFYIIIPIA VVNILAIWLFVNNLPQPEHANLGAKERWRQLDLLGIFLFVPMTVCLILALQFGGSVYA WGNARIIVLFVLAGALAIAFFFAQRRAGDKAMFPLHMLRQRSVTLGSASMFCVSASLF VFGFYLPIYFQAIRHATTLQSGLMYLPTALSFAISIFVAGNITSWLGYYTPVMVTGTA LMSVGAGLMTSFSEHTSTVEWIWFQILFGVGAGLAFQQPYTAIQTVLPEKHVATAIVV LSFTQELGGIVALAVSQNIFLNQLISKLKSTVPQLDPGSILDAGTLNLVNTVPEEYKG AVYSAYNATIIQVFYVGVACACMTICAIGIEWKSVKEEKSKESSEVGSPAL PEX2_011950 MSDMLSSPYGLSVRRNGSCLVTEEDCGQTWAPFRACCPGGTKCP TGQTNVKCCPSDADCSELLDDTHCANSTANVFKANDFFCCAAGMQAFQKKNSFVGCTN DTSTLDSNMSLLKIRYHGSTSTALPSSTISSTISSATTTTSTADTTTSLSETDNSSSS SSSSSSSSSNTGAIAGGVVGGVAGLAILAGLLWFLLRRRNRAKKSTGAPIDPSPLMSS APGSSVHGSSVPGSSVPGSSVPGSSVPESSVSRPSVPGSNIVEYYNKAPESLVPQPPQ ELAGRDENMIHELPSQAAHR PEX2_011960 MTCQLSSLATLLLLGSFLAPVSSTKIPAQAQLIDQRAFNVLNTT QPPTEFNAKSLFVPPGSTGDSLAQKPFHVYDKEFLKIIGEAPTLTRIAHSPKDPLFHE AVVWSKETDEVFFVQNAGAKNASTGLKKSAIIEKISLADAAAVSHKSDAVGLVDVTTV PSSPTVLNPNGGTNYRGQIIFAGEGQGDNEAPALWVMNPKSPFNATIILNNYFGRQFN SLNDVAIHPKNKDVYFTDTIYGYVQDFRPAPGLQDQVYRLNPETGAVTVVADGFDHPN GLTFSPDGKYAYVADTGIDSGFFGLDFTRPASIYRFDVKEDGTLDNRKTFAFVHSGAP DGIHCDSWGNVYAGCGDGVHVWNPSGKLIGKIYLGSGTANFNFAGKGRMVICAETNLY YATLAAAGSYIDSEM PEX2_011970 MQFAKSLMLLAALTTGTLARPAIERRQATATSAASGSSWTATPS SGSYSTAGFGSSTSSSGSGATYEGNVGNPYGSNIIAVDASSASNYKYVAQFTGSNTED WTVAIFNKYGPDGGMTGWYGNACNTFTLAAGETKYIAFDEDSNGGWAAAAGSTIPTDT LGGYASTWGEFDFGSTTNNGWSGFDVSVIAAQNAGMSISGMQICDALGSVCSSVTQDA ATVNNAYTSAETDVGGIGGNLSSGPVRLAVTIDYSG PEX2_011980 MPTKIPGPPGVPLLGNIFDVNPNETWNSLNKLAKEFGSIFKINA LGHEIVFIGSVALLEEICDQTRFRKCVTGPVVAIRYAVHDSLFTAYDYEKSWGIAHRI MMPHLTQSATDNLFVDMAEVIPDLTKKWSAGTKTRTLLTRDLDRLLLASCMQCFFNQR VHVLEGAEPPMLSAMEGATMEAMKRPTRPKLLNWLYQRRFEKDTNTMRGFAAQVIKTR KEQPETARKDILDAMLNGADPESGEKLSESQVIDEIISIFIGAATAPNLVSYALYYLM ENPSEITKAQEEIDRVVGDGNIDLEHLQSLNYVEAILRESIRLSATAPGFNIEPIPSD DKSPILLAGGEYQIAHNQPMIAVLSQVNRDPAVFEDPEAFKPERVLGEKWDQLPAAAK KGFGNGKRECIGKLWAWRWSFFTLASIIKENTFELADPKYKLESNGAFSIKPLEFYGL VSSRK PEX2_011990 MPPISSQRRAVTEEISPLSDDDVGFLYQVIAAAETKPEADRLPF RALFEAYDEVVGEHGVNADPGHACLRFLFKMGSKGVRGETLFDKFENALQQMGIVIEI GDDGSTNLNETHDYDQYTVDVTHSQAVDHDHDHGHSPLANGVPPTPKRRASFNTTYDI GEDATQRSAINRPSSRSSMSRLEIGKPEFSKPKLSPTSRHDSGPIKSPDRTQLISQFL DVGRRLLNRFDGTENKHGVTEEPPLTNGVVARSAVARDRSRRMAESSRPRRSPSYDSD TSESEDMQSIMSQSPEHDSFDKEEVPPEFIYRPQLSDLLRDASTFNMYRQRSICRRIL TQWLKKAFQARQTRQTRETLAVNYDRGLLLRQAFDPWRGVIQEKRHSARTDRFFKHLE ARACRARDLYLMTKAFSHWAQLTSEEVARTSAARRHVLGVKYFNAWREITAVNELKAQ RFALRRPFNAWRKKIQDLKAAETQAVSVRNTKIQKAAFWDWWWCFCDRRVLEVSDYRL KRRSLLSWLRNLRTNRERDHEIDLHNKRSSLKSVLQIWSQQSKSIAVADQEVQASRRQ HDLRETFDEWRIQSRLAPAASRVSEMVDTRILRNAFSQWIGKIEIIRQAEEADRHRIQ QNAWISWNDTLRCLALRARIDERIKMEAMYKWILMERFELMRRIREQRIKREVFSRFV TNTRDTYTRLLFHAEAYEAHQAENLVRSKFAIWRDQVQLQREREYVASEFYAPRLAAE SLTIWRSKHNQVVKMEGWAQDARFYFLMKKFMKQWHQAKVDSGKRRRQESYAKVRRHI KVNLASKALAAWSSKTRIIMEMEQQAGDIDREKVLADTSEIFVQWHGKTTKRIQDCQE ADDSYFRQLAFDQMMQMSETFIIRRELEDQADNVYRLHVLRLAAASLRKLSLRIFQMG STAETAEAMRERNLRKHSRNMFRDWVDKARMKLEARDSAGPTFTPARMSTFGNGDGAG SAMFDPWYQEQAETPFKISDFTATSQATSQATSHDPISASPLATPNFTTSPSKRAARA RALAQMSTTPATPLRTPFASRLLRAGTSTALSTSSKQPRTGRRSSVGNSVRFVDEEPP ESPTDGRSSFALFKSRVISHTEWSGSVIDWVSRSLFRLVPCRNERQTPEPTTSMRAVL PGRPPAKLQAFSTALWDGLRVVAYISGHALVILGGPQTLLQTIYVDDTDTLEAVAFDE TSGKIAVCGGPDVFVYQPYGIQGETLKWSLLYTFRAPDDDEPIYTLSWGSSNELLVGN SRLALWFMNDEPRLVWKRKLATPVKFAQFSPDSSLIVTVGHYDRLVKVWRRLAFGADE VRFENSYLPHPNVVTGIHWRLPRHPEQSMDNVLYTFCADNKIRVWAVTDLHALSALQF WAEIDMGESVQPRHASDEDQGPQRRYGFILDSRDFCVATERAVQRTTGNKENHALEHI IEVASKAPEICVVIDGQGHMSAWALEDIGSKAKTKLSVFNILHVEGLDLGFALDQLPQ EDYAQIHAFQSTTSDDKLSVLVHHFDGRIEWYDSHVDVLFDPAPRTKRITQTASWSGH TAPVKKIVRNAIGDTLVSRTNENKATVWTQRRRDGGSILAHKSILLSDEHIHRTCVLE NSDLLVNLHHNGVSLWDFSSNQAKKLASLPFTLPGKPLCVLPIPSTQATPGISYVATI WADMHGIAWEIRGPSREGHKGSFVNGHDYQLREFCTFDMGLREDVAYILPVDPAGPKT EISGFFDSFSADIALTYTHSGVVRTWTATVDPTYAKVDWLLQSTVNTGITNPSMASGS SIRKAALVDEDRTHLTIWDTNNAQLEFEEHFASHDIIRDLDWTSTPDKQSILAVGFPH KVVLLSQLRYDYLDSRPSWTQVREIWIRDLTPHPIGDSCWLNNGHLVIGAGNQLFVYD KDIDVGDRLVCELRMPSRGLSSVDMFDVVSRLNGPLPVFHPQYLAQCILSGKTSLVHS ILLNLHRKLKFYTEGDELDGFLEMPVEYFYKQDGSQQAISKETRSSYVDSTGEELLSV VDENTAAALNESLARIALPQLSSHEQFRLADTIECVAMVEKHRRSMDDNAARYLLFFR QHMLRRTQGVANKDTVSWREIVWAYHSSSQDILTDLVSRQFNGKLTWKAARESGLFMW LSDPVAVKAQLEILARCEYTKTEEKNPIDCTLYYLALGKKNVLQGLWRIAHWNREQAA TQRLLANDFKEPRWRTSALKNAYALLGKRRFEYAASFFLLADHLRDAANVIINQMGDI QLAIAITRASEGDNGPVLREILEEKVLPEAASEGNRWMASWAFWMLGRRDMAVRALIS PVETLLSPTTTPATPGSPGRVTLQSKSYLSNDPALVVLYQQLREKTLQTLKGASKVRP REEWEFIIRNARLYDRMGCDLLALDLVRHWEFLGGLPTQDALKPGTSLEMDENGVDYR KLLRRRSSLVVADMPVHRADILQKPAVSETTPDEQPMKEEQKPKPKPPPTMFHEPDAN SLLDSFGF PEX2_012000 MPGRTRPVEKIAKASAQCSVEVAAYGKCVVTDYNSVHKDMCVKE FMRLKDCYLVGDERVLCRLTR PEX2_012010 MDFPAEEELVLARWREIDAFKRQVELSAGKPRYGFLDGPPFATG TPHYGHLLASTIKDVIPRYWSMKGFHVERRFGWDTHGVPIEYEIDKKLGMSGLQAVQE IGIEKYNEECRSIVMRYATEWRQTIERLGRWIDFDNDYKTMNPSFMESVWWVFKELFD KGLVYRGYRVMPYSTALNTPLSNFEAQQNYKDVQDPAVVVTFPLLDDPETCLLAWTTT PWTLPSHTGLCANPNFEYVKIYDEATKKNYILLEALLRTIYKDPKKAKFKIVDRIKGS DMLGWKYQPLFDYFYEEFKDCGFQVLNDEYVTAEDGVGIVHQAPAFGEEDYQVAMNHG VISETRLPPNPVDPQGCFTAEVRDFVGQNVKAADKGIIKLLKGNGRVLVDSQITHSYP FCWRSDTPLIYRAVPSWFVKVKPIIPDILDGIEKSHWVPSNVKERRFASWIRNAHDWN ISRNRFWGTPLPLWVSDDFSEVVAVGSIEQLRELSGYEGELTDIHRDKVDHITIPSSK GNGVLRRVSEVFDCWFESGSMPFASVHYPFEGADEFEDRFPADFIAEGLDQTRGWFYV LSVIGVHLRQKLPYKNVVVNGIVLAENGLKMSKRLKNYPDPSLIMNSYGSDALRLYMI NSPVVRAEPLRFKETGVKEIVSKVLLPLWNSYKFFEGQVTLLKKTSNIDFVFDPAAES TNTNVMDRWILASCQSLLLFINQEMAAYRLYTVVPRLLGLIDNTTNWYIRFNRKRLKG ENGVDDTLHALNTLFEVLYTLVRGLAPFTPFITDNIYQRLLPHIPESLRAEDSRCVHF LPFPEVRQELFDEVVERRVSRMQIIIELGRISRERRTIGLKTPLKSLVVIHNDPQYLE DVKSLEPYILEELNVLELVLSSDEAKYDVEYSVSADWPVLGKKLKKAVQTVKKALPSL TSNDVKGYLADKKILVDGIELVEGDLVVKRGIKETDSSKGMETNTDADVLTILDVNLY AELAHQGLGREIINRVQRLRKKAGLVPTDDVKMEYTVISDPDNIGINEAFKSQAQAIE KVLRRPVEQSAFGGDKLPTGEEEGTISQEEQEVQNATFLLRLLKL PEX2_012020 MGVPALFRWLSNKYPKIVSPVIEEQAQVVDGEEIPIDITRPNPN GEEQDNLYLDMNGIVHPCTHPEGKPPPADEQEMMMEIYKYTDRVVNMVRPRKLLMIAI DGVAPRAKMNQQRARRFRAAQEAKENDQKKEDLHKMLAQQHVTKTGEQLIREEVVQKT WDSNVITPGTPFMDILAASLRYWIAYKLNTDPGWENLKIIISDATVPGEGEHKIMNFV RSQRASPNHDPNTRHVIYGLDADLIMLGLGTHEPYFRVLREDVFAQDSRPRGCRLCGQ TGHKAEECLGRPKEKSGEFDEKQHAAPLKPFIWLHVAVLREYLAVELRVPQQPFPFDL ERALDDWVFMCFFVGNDFLPHLPSLDIRENGIDTLIAIWRDNLPAMGSYLTKDGSVEL KNAQIILQGLAKQEDAIFARRRQADERKQANEKRRKEQDAARNEERAQKRRRSSPQRD LTSGEPAPPMELITPGRGHLNKETRELTHSMVINRGAVYRANMENKSAAAVLKSKLMR GNQDAGGSETLPTNGEDVSSELPEQTSPGVLGKRKADGAEQEVTEAGTPGRNSPLPIP PVAEKPKQDEAQEDTVKLWEPGYADRYYEQKFGVDPKDLEFRHQVAREYAIGLCWVLQ YYFQGCPSWTWYYPRHYAPFAADFVDIADMDVQFEKGTIFKPFEQLMGVLPAASNHAL PKVFHPLMENADSEIIDFYPEDFPLDLNGKKFAWQGVILLPFIDETRLLNAMAKVYPL LTEDEKSRNSHGQEVLLLSDRNPLYQDLVANFYSKKPGPAQYPLKEDVSGGLAGIVER SDTYIPHSSLVSPLEAHGMPGVDDDRSLTVLYKIPETSHVHKSMLLRGVKLPTPMLDS NDIRATQTRSQNSGRSFGGAPFQGRGRGGRMNYSSDRPNPFAAHLDPKFNNGPQGGPG GPSGAPMVPPGWVPPGQGYGGYARGPPPPPRGGMSHQYPPQHPPQHGYQQPGDYYGQQ NNQYNQGGQYNNGHQSGYGQQDYRGGRGGNRGGRGRGGQNRDHYSHNQGGGGYNRY PEX2_012030 MFRILESQAPAKQTATDTIDVLSNRLQSATLLEDRRAAIQGLRS FAKLYPASVASGGLRSLISSLRNDSEDVDTIKVVLETLLMLFTPDESSPEASDEIALW LADEFTQRQDNITTLLNLLEAREFYSRLYSLQLISHICSARPERTQECIFTAPLGISK LVSVLTDAREPVRNEALVLLIALTPASEELQKLVAFENAFEILFSLIEAEGALTHGTE VVEDCLSLLAQLLRFNVSNQSFFRETGCVKRVTQLLHECQQEPEDNEPAPQWTLVHRD KNVWGLLAIIQLFLIRGGMSTPINQTAFWQNGVTEQVLSIAFGQRFSVGVTSKALSTC ADLIRGNSPLQERFGDIEVLWGSYPRGDKAVNGDANEPLRINVIEAFLKLSLEPAPNN LLDARLAACECMKAFFAHHPGIRMHVLRRAIEGHTSGQDRIPNILSVLLTAPEARGNA DPYQVWMACVLMFHLLFDDAEAKATAMAVTEGDAESGEEVVTSVQSVVGNLITGLQRG DDERITVGYLMLLCGWLFEDPDVVNDLLGEGSCIQTLLQEIKHQRVPSKLVPGLCTVL LGVIYEFSTKDSPIPRITLHKLLIEQLGREQYIDKITRLREFPLVRDFEVLPQTAGGQ LEGGLPEVFFDRSFIEFLKDNFSRLLRAIDREPGFEISIVANGVEKGISRDLVDSLRA EIEDRTHTLQTLESDLVSIQRKLDQEQLDHRKTKESNAIELSKAQQSNQSLQQRHVQE LSQQLSKLENEHKHHKNELLKQHSDQLRAIDHQLKQTSAESESKSNKAKELRKHHERE VAGLQQTIRGLESDLSRIQEQHTGEVVTLNKKLRELETIISQSNEAHGVQVADLQKNI QDLESSKKSHNDQVGLLNKKIQELQSTIRQSTEAHGGQVAGLENKIQDLENTKKANDR QVADLTKKIKDFESTNGTHDREVADLTKRLQGLESTLAAAKQNHEAEVSDYQSKVETL ESTLSTTKQQYEAESTSHNNTVGTLESNLAAAKKEHEAEIATLKQSVAVLESDLTKAQ KSSNDFETAQEDTASQTSALEARAKEAENKALEAESHARSAAETLKSIQAQLDKANTE VKEKEEARQSAQSELEDLLIVFGDLEAKRTEDKKRLKELGEEVSEAEDDDDDEDEDEE DE PEX2_012040 MSEMSISSRPFSDEPEILYESRWAINLCRATHLVGALNFPTTQN INLLWHMNTETRRIITIWSSVLTQIKREKLGFKELWLCAHQRDYEDAMTALTPPQIST QNRTRKTSTLVFGIWCIFQLMHVVPRLFPRSNINTIPRELDAYEFLHALSILRWGRRV RYRVSRVGMTKDANPVKWHRDPNADRLIISSPVSPIAIEEPATSLASSNTANTPERGH ALPAASGDDNISIPKQGALSDSTGTREVLYRFAGKLCEQLQQVRLSVESDEAEKDENG NMQFSGIDELVEKYAQTIERSCIGANATNNRALVQLASHCAQMGNQSAKETGRGVDHP GKLFQAAPKIQISVRNNGVSKSRTRPSKVYKTGSDERIQAALNSELADTLKSPDQLDS VDSKTAIELI PEX2_012050 MSEPTKISILGRESIVADFGLWRNFVAKDLISGLSSTTYVLITD TNLGSLYTPTFQKTFEAAAASITPAPRLLIHHAAPGESSKSRQTKADIEDWMLSQNPP CGRDTVIIALGGGVIGDLTGFVAATYMRGVRFVQVPTTLLAMVDSSIGGKTAIDTPLG KNLIGSIWQPSRIYIDLEFLETLPVREFINGMAEVIKTAAISSEEEFTALEDNAESIL AAVRSEPKAGQGRFDGIRDILKARILASARHKAFVVSADEREGGLRNLLNWGHSIGHA IEAILTPQILHGECVAIGMVKEAELARHLGTLKGVAVARVVKCLSAYGLPTSVKDPRV RKLTAGKHCSVDQLLFNMALDKKNDGPKKKVVLLSAIGRTHEPKASVVSNEDIGVVLA PSVEVYPGVPKSLNVICAPPGSKSISNRALVLAALGSGTCRIKNLLHSDDTEVMLNAL ERLGAATFSWEEEGEVLVVNGKGGKIIASPSPLYLGNAGTASRFLTTVATLATPSSVD SSVLTGNNRMKQRPIGDLVDALTVNGAGVEYMESKGCLPLKIAASGGFAGGKINLAAK VSSQYVSSLLMCAPYAKEPVTLKLVGGKPISQPYIDMTTAMMRSFGIDVKKSTTEEHT YHIPQAHYVNPAEYVVESDASSATYPLAIAAVTGTTCTVPNIGSKSLQGDARFAVDVL RPMGCSVVQTDTSTTVTGPADGVLQPLPNVDMEPMTDAFLTASVLAAVARGKGSNHTT RIYGIANQRVKECNRIKAMKDELAKFGVVCREHDDGLEIDGIERSTLRQPSGGVYCYD DHRVAFSFSVLSLIAPQSTLILEKECVGKTWPGWWDALKQMFSVNLNGKELKEDEHAV SGDVERSSASIFIIGMRGAGKTTTGNWVAKALGRRFVDLDRELETSEGITIPDIIKTR GWEAFRDAELDVLRRVLKDHPTGYVFACGGGVVEMPEARKLLTDYHKSKGNVLLIMRD IKLVMDFLQIDKTRPAYVEDMMGVWLRRKPWFQECSNIQYYSQHSTSTELALASEDFT RFMRVVTGQADSLSLIKKKKHSFFVSLTLPDLRTSGDIITGACVGSDAVELRVDLLKD PTVDGDIPSVDYVNEQMSILRRSTTLPLIFTIRTKSQGGRFPDDAHDAAMQLYRLAFR SGCEFVDLEIAFPDAMLRAVTEMKGHSKIIASHHDPKGTLSWANMSWMQSYNRALEYG DVIKLVGVANTLDDNNALRKFKTWAEEAHDVPLIAINMGDSGQLSRILNGFMTPVSHP SLPFKAAPGQLSAAEIRRGLSLMGEIKAKKFAIFGSPVSGSRSPALHNALFGKMGLPH EYSRLETTNIEEVKDFIRAPDFGGASVTIPLKLDIMPLLDEVAQEAEIIGAVNTVVPV PNGDKSPRLVGYNTDWQGMVRCMRNAGVYGSTGNESAVVIGGGGTARAAIFALHNMGF SPIYVVGRSASKLEEMVSTFPTNYNIRVVDNRAELDTVPQVAIGTIPADRPIDPVMRE TLCHMFERAQEIDGTLIGKSSDASKHRVLLEMAYKPSVTALMQLASDAGWTTIPGLEV LVGQGVYQFVHWTGITPLYHEARADHSPSQAPFYLGHFLSSFENPTKEDQSTDLPEPG GFSILCPVAPLKERGDFDAWFNTIDKFLRPKNLHRLLNATIAQPLRDASNAEKWMQLS QQVRAWLASKMDAKVVREIMARGSRVTFADEFITETRKVMRDEGHAALSLRSWSSSEQ PALGSAAQRNSSTLCKNAIK PEX2_012060 MGLAENVLSLVTVTNVILSLVAYVTLKFGWQIIYYRFFSPLAKF PGPFWGSVTRLWIAWHNLQETEVPAVYALAKKYGPVVRITPTLLLVSDHEKLPEVYHR NADKTGHYITGSFGETESLFNMRSHKTHAVFRKHVAGPYSFSNIKKMEPLVDARIAEW TTKLNEKFVKSGEAFDFAWWAVYMAYDIISEIGFGEPFGFVEKGEDIGGLIQGFHDGL PAFGLLARLHPFTSWIKTTFLKKYLVAKPADNSGIGVLMRFRDRLIDKRMKEIKYGRK SDRTDLLQTFLEAVTEDGKPLDLDYIRAEVLLVLLAGADTTGTAFQAMVQFLMTHPEA YRRMMEEIDNATRKGLISDIPQYDEVLEHLPFYVGCVKETMRLCPSAPNIFPRYVPEP GLDLYGRFAPAGTEISCNPYLVHRDPKLYGYDAEEFKPERWLDVERAKLYNKYNFSFG YGSRFFRQYKPQTVQGKPEAKFMIKGGIGYWRDVWLTISPRQEVKAE PEX2_012070 MAGSDGSQGPMVMGICVAFAVLTFIVLTLRLFARIYVLGQMGID DYLIVGACTLSWSFIAVTLVAVKHGLGKHIQDVDQTGMINYAFAVWLSSMFYLATLGF VKTSVLWFYTRLGDRYLTRLSWVMMGVIMAQATSFVLVAAFQCRPISMAWTGTGPGKC VNINLFYLCNAALNIVTDLLTYTLPIKVIFHLQMPQKQKLVLAFILCLGLFACISSII RITYIPTMLSSKDSTYAISGAMYWSVIETNIGIFAASIPSFKAIASRFLPRFIGEYSS GKKYGPWSSHTTSPRYPSGFGKVKDPNSITMITVNGKEDIPLGTDIGAASNSSEERII PQGKIWAHTEIETTFERNDCGSESSPSLERDRR PEX2_012080 MGQKASVPQPGTQIQVIGAGLPRTGTSSFSQALEILLNGPVYHC GTQISRGPPTEIKSWMPILQNWLKKDDDTSRAKMLTLLRRRLAGYVAITDSPGSELIP ELMELYPDAKVVCTIRDPVSWEKSMLYVQSLTGVWFARAVLLPLDGLRHFIPYGYLLA ALWEKLYGGVAGQHGRETYARHIAWLKEVVPEDRLVLFDVKDGWGPLCEALGVEAPVD VPFPRINDSEAIERSVNYHLRRGLTRWACIFGVFGVGVAAFRMWK PEX2_012090 MILIELTIIAKCVPYYSNAFFILVLTEETLSQDLPKAPMAMAQV SNASKNDRSPQRPANDEIPTAERQNWSEVAGSQIETLNLGPVDRTSILEHLISKVRLE MSESLLEIGQDPETTTEAGVYLHILWREDDTTSRFWLYVGQACVLCLRIQKHKDPKHR RKNMGLHYSVWGSAMDMKSAFVTLAILDAPSSTQTQLVFNLAEMWMCLVFQTLTSLHL GSWLPKDTNAMWSGNHLNVALPLWQGFTDTQENKAITDAIGGRSTFQQYLKSEDLVIR AWAEQTRDAFNDIRNSPDPGIRNYRWDLHKERILKAQDTWGKKKASMARQYLEGAKAL VTLHSGNHGNHQTEVRAGSFRFTVSQTLGLDLRNGDEVILQYHLTSTPHPHAYALSAL NTDPASRLGVSIRGQDSHGGF PEX2_012100 MLMYPRKGLITDPYNSEGIIIQDDQYPPDFYSENALHYDQSRLQ SPFQCHPSVQLSPPSSAPSSPTFSSPTFSTSYPQTSDCQSFGAPSMTPAPSYNPSYDI QGSNSPSHYLPQYPQQYYTPMLVQQPLAGNQGWDSNLQLLTPARIPYAGVQKRSSPSA SSRSVPSGLAANNYHRRSNSANKPLPTPVQTPLQNSFLATPFQSFDPSSQDGHNAEAE SAMRKAIMDQQKQSPPQQMQNDYSLAPSVSSMSHNSPVTPQTTLDELDDASKSMTNGE NRIPDIDRWMDQYLRLDAPPEYNNANGAMSIGVPKLNRTISDIYQDELYNPAIMTASQ MSKPMGQNHLNPRNFIADRLQAANQGHLSARSQSPANRRDRSPFRTSSPFAGDMGNNS LQQSQMATSIPMGQNAMHGMNTGDIKTMSPKDAVLDFQDNDDAMPPLFPPGQADFNLG DALGLRHDNGPMRPMEAFPQYSVPSIAQPQFAFSEPQMHRPQSNLLQQSTEFPSLPAV ESTESSPPSQMNMPIAEGIPRPANTSSDAGTYTCTYHNCTDRFDSPSRLQRHKREAHR QTTPGGHLVSRDTSLRNSQAGPHKCVRINPSTGKVCNSIFSRPYDLTRHEHTIHTAGK QKVRCHICNEDKTFSRNDALTRHMRVVHPEIDWPGKQRRRRD PEX2_012110 MLRNTQLKELLHGLASIRFDSKYSDLTIVCGDEEHAVHKCIVCP RSGFFAEACDGGFEGLTINRVVLDDEPTLVKQMVEYLYTLDYHVEVLSQQTDPSPQSN GEAGEDNPEQLDGIDEEAATACNTLSVHISMYSLADRMCIHGLKALSKEKLEKELTRR QLDSSTFPHATHEIYKSTTASDQGLRDLIVKITMDNLVCLRTGSKTTDPTEAETGVES GPVAFTDSLVKSVPQFSSDLAVAMMDRTVADWNRHGMCKPNWVRQDN PEX2_012120 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G PEX2_012130 MARTKQTARKSTGGKAPRKQLASKAARKAAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS PEX2_012140 MAADPQVSDKIESTAPTKIPFWRLVFDQGVVTQEIIDHPYPGSG TDEEPFEVTWIPNDPRNPMNFSETKKWTLTMLVAVATLAVSLVSSAYTGGLREIIMQF NIGQELATLGVSLFVLGFAIGPLLWAPLSELFGRQILFVTTYAALTAFNAGVAGSQNA WSIIILRFFAGSFGSSPLTNAGGVIADMFPAKQRGIAMSLFAAAPFLGPIIGPIAGGF IGMSDGGWRWVMGFLAIFSGTVWIIGSLTIPETYAPVLLRRRAERLSKLSGKVYRSKL EIDQGKVSLKDSFKVSLSRPWILLFQEPIVFLLSLYMAIVYGTLYMMFAAFPIVYQQT RGWNQGVGGLAFLGIMIGMLAAVVYSLWDNKRYIGVSDKHNGFAPPEARLPPCLIASV AIPIGLFWFAWTNYPSIHFMVSIAAGVPFGFGMVLVFLSIMNYLIDSYTIFAASVLAA NSVIRSLFGAAFPLFTTYMYKNLGIHWASSIPAFLALACVPFPFLFYKYGPAIRSRCK FAAQSDAFMRKIQEQVFAAPAEDEKVEYDRTEAPAPEGSLSSESQDGVEELPTERIRS RAQSVASNRTTGSLARSVTYEGNPYDIDRVHTHVVLDMTLLRNGLLTRASTSPSTSTL RRPITPRSQPQVLRRTRPQRRHNSNHSTGKTPAERNTPPTNPPHSHHSNASTLPQLPN TTPAATAAAAAGATTTPVRSRGFREMIKASPVGKFGRWYARVQERKPYTTQFWSSIVI YLCGDLSAQMLFPSEVPAPTTFDSEDGDVVAQGDGGTVSAGYDPLRTLRHLCVGAGSS IPSYKWFMFLGNHFNYPSKFLSILTKVVVQQMCFTPVFNTYFFSVHSLLAGATLDETW ERLKKALPVSIQNSVKLWPAVTAFSFMYVPAQFRNVFSGCIAVGWQTYLSWLNQKAAK EVAAAEALAAAEGMGVVAGMSCPEINEDESDYGSDFTPDEEDLLNSLLNKAVAEYATD ADATSTSTPTWISTPTPIEYTTTPKSPELAELESLQPEALEALVADIEDGIEPASVRP PKVLGRAGPRSAWRQSQPRPGQAVRSGASASAMGRSSPRAGNNNRHSPFVPLYPTRIE LTTSSPKRLVENSNSTEGRVKERERNAARALEWTQQDPEAAALDTRSPVERFRQAPNK AFSVTDLVSPAWCELQYWFTLTKHGGQKKRTAAMKKGSSIHKTLEDEIYTTVPVEITT KEDAWGLRIWNVIQGLRMLRDYGVTREMEVWGVVDGEFVNGIIDQLSYECPDSELEAT AAGYYADIVASRAALPEYQMSLSDFLLSSSQGGMKLSDLGQGEAEKTGHVETELPAAV YDLPRIYLTDVKTKGNRSLPNVKSTGFRPTLLQLQLYYHMLNRLITSDDVTIDLLATR YDFDAEKPFTNAFVSEVGGLNDQFFDALSSQESEQNEGPSTASQDSTGILLTHNNLSR LWSLMIQQLRLTFLPENSPDTQSVAPSIPSVSQPELLEPYSTLLSPVLTARYLSSVAN EDLDRQLIGSRSFLFDPTTLTSHLTDQMTWWRGKRDPRGVDIMDAWKCRICEFRDECS WREEREMAYARRGGGRRAGSIADI PEX2_012150 MTMSVLESLWGTILSASTKKVVNASLILSVCVWVVILVSRKWAS STTKGSRSDLEKPVTGARRKITRSPPGEWTPSDFKRPTAAPYSGWDVHSTKPIPYRPF RYGPNYYVTLGLRSMKWDEWIELDNHYLKYHADKARRIKERGSKCCHTAPEAMDAAIE LLEELCTYLPERYPSMFTKTATGITNKVTNETFNITQRPLPEDPMATAARLVQDDLAL MIERPDGEYYLLAGAILLAGFWRLSDKFGMRLSEIHTSGDVPQYKSKLEKGMMNFFRR LRPEEPFLRNNYFIQVDDNLAWSHSIGSEDAETVSWNTAEKNRAIENHFFRSERQSLR RLPKSGAVVFTIRTYFEPVTAIVEEPYVPGRLADAVRSWGDDVGHYKGKEKYQDVLLE YLDEKHRVQVEGGLEIEKEDEVRRYPL PEX2_012160 MAGNDNSSGDAHKPRTKKQPPPAPFYIPLNITLYLCLVANTLAA IFSPIQDCDEVFNFWEPAHYLQHGYGLQTWEYSPVYSIRSWLYVSLHAAVGKIGSFVV HSKTAEFYTIRLSLAFLCAACQTRLYSAICRTLSPRIGLLFVMIVTLSPGMFHASTAF LPSTFTMYMSMLGLASFLDWKNGHKTAQGIMWFGLGTIVGWPFAGALIVPLLAEEAIV SFISGSVGSLLYNVFDGIIRCLSILALEVAVDYAFFRKLVLVPWNIVAYNILGGEGKG PDIFGTEPWTFYVRNLLLNFNVWFVFAMLSAPILLFQIVFRSHTTSLQTSLRSMTLVA PFYMWFGIFSVQPHKEERFMYPAYPFLALNAALSFHIILTYLGSTNQKELIGRIPTKL KIAAAMSVVLVGLNAGMLRTLGMVTAYNAPLKVFEPLQSVDIAHAGDSVCFGKEWYRF PSSYFLPNDMRAKFIRSEFRGLLPGEFPDAPSYLGRLDGASQIPSGMNDLNIEDPSKY VDISQCSFLVDSYFPGHDATELEPHYFLDKTQWETLSCASFLDASQTGLLGRLIWIPD LPVIPARFRRKWGEYCLLQRKVAGHV PEX2_012170 MEGHHNPSNDNTGAGEGPAPKKPCLIETPIAGTPDNGSDFYNTP LDAGTPDMGTTSLNAGNSAAESSTSSKPSHMIPGLNLIHHPSEQETGLDSTEHTIQQE KDQSVDHQETETETKQKEIQQISQPESTDAVMKEKTEANQIKIEPTAPLSEAMDVEQD TPQDNMIEATGQAGDTNGEEHPEWEEDSSPYESSSDSSDSSDSDDSDDDDYPILSAEE QAQILMRAEGGSDDEGDGKGKSGAQLRTTNEIEQEVLPIPDVKITPEMKIVFLGKIHA AIDNNVLIEANTSGEYQVLESGSLLCSDDRQVIGVVAETLGRVENPLYTVTYATASEV QEKGLVKGKDIFYVEGHSTFVFTQPLKGMKGSDASNFHDEEVAAEEMEFSDDEAEAEY KRKLKQKRQERKEAREGPKGKKPAPGPSKLNQSELNYDDAGGEEGYTPLARPTNLHEM MNTREPPVEGNERGGFRGGRGRGRGDRGRGARGRGGRGGREWDQDRRPQQASGSTHES QPQPQAQPAAPYSQPMYQQPQQPYGMPQPFAAYAQYAQQQAQNPQLAQQQQPQFGQGG AQPQMPFQFSPYPAFQQPHPNNFQNVQQGAPQFNPQSLAMLQQQQQQQFLQLLQHQQH YQQSQQHYQQPQQHQHQPPQAQNPAMNFDQVKAQLDLLRNLSGGNQGPPPS PEX2_012180 MADTSGNALKRPHPEDQHDNSQKRTRSNHGSPVPGQGGAAPGKP DIAKIMAEARAKAEAVRARLQAHHGGVKATPTPPAPAPPAPDSASPTPPPPAPAMSKL EQMKARVAAATQRASAPSQARPSAPAYQPPTHDDEGISKARGGLDVGLHPALLSDAAP EYRGANGRPTKPKRAGGPQLDLSGPSVEEIRNNPYFDPAINPKATIAKPRQTRELLFN QKGKYIHQAAALRRQAQLEAMKKRIAEKSRQAGLDEDVDVEKAFLVPAPPDVEWWDAK LVDGSDYDAIDNQENIKLDTLNSYIQHPIELQPPQEKHMPAQKPMFLTPKEQAKIRRQ RRMADLKEQQAKIRLGLEEAPAPKVKKSNLMRVLGEQAVKDPTAVEARVTREIADRKE AHEMTNEDRKLTKEQRREKLEAQKEKDASLGIFQSVYRIDSLASGRNRFKIGKNAEQN NLTGMCVMHPRFNLVIVEGGHHSINNYRKLMLNRIDWTENPGSDRVPADSPEAQVSWL STEDDKGEPRDFSANTCNLLWEGQTKGRAFRKWFGARICETDSAAKAALSRSKMENFW TLAKSAKPAEY PEX2_012190 MATFARPVASTINGVDFNVYSEEEIKALSVKRIHNTPTLDSFNN PVPGGLQDPAMGAWGDHVCTTCRQNSFTCTGHPGHIELPVPFYNVTFFDHIFRLLRAQ CVYCLRLQMGRNQVNMYTCKLRLLQYGLVDEVAIVDAMGAVKGAKSKPTKGKEDDSDD SDDPDDDDYMQKRTDYVTRRIRETKKEGKMDELMPGGQNPIAAEARRELLKGFFKDIN AFKKCANCSGVSPAYKKDRYNKIFRKQLPEKQKLAMLQGGFQAPNTMVLLDQERNSKS KNSVSEIHGAEEEIRRGVAVVQEVQGSTGQEFMSSSEVYGALHLLFQKESEILQLVYN SRPVPKGVIVVSADMFFIKSLMVPPNKYRPAVQQGAGTVMEAQQNTSFNAILKGCDTI NQISKEIQNEEEKTMSRARNYSDLLQAIVQLQDVVNGLIDRDRTSVTGSAAAAQPNGI KQVLEKKEGLFRKNMMGKRVNFAARSVISPDPNIEPNEIGVPLVFAKKLTFPEPVTNH NFWELKEAVINGPDKYPGASSIENENGQVINLKFKNLEERTALANQLLAPSNWRQKGS RNKKVYRHLTTGDYVLMNRQPTLHKPSIMGHKARVLPNERVIRMHYANCNTYNADFDG DEMNMHFPQNELAQAEARMLADADHQYLVATSGKPLRGLIQDHISMGTWVTCRDSFYD EEDYHQLLYSCLRPEHSHIVTDRIQLVEPAMRKPKCLWTGKQIITTILKNIQPPERGG LTLKSKSSTPGERWGEGNEEGEVIFQDGEYLCGILDKKQLGPTAGGLIDAIHEVYGYS TAGKLIGVLGRLLTRVLNLRGFSCGIDDLRLTKEGDRIRKEKLATAPQMGREVALKYV TLDKAPGDQSAELNRRLEEVLRDDDKQSGLDSVSNARSAKLSSEITSACLPYGLAKPF PWNQMQSMTISGAKGSGVNANLISCNLGQQVLEGRRVPLMISGKTLPSFKAFETHPMA GGYVSGRFLTGIKPQEYYFHAMAGREGLIDTAVKTSRSGYLQRCLIKGMEGLKAEYDS SVREASDGSIVQFLYGEDGLDITKQVHLNDFDFLAQNHISISKQVQADDYHKLAKDNV TTWHKDAIKAVRKTGKIDAMDPVLSHFPPGGNLGSTSEAFAQELKKYADVNKNKLIKD KKKNIEGKVTKKTFEALMNMKYMKSIIDPGEAVGIMAGQSVGEPSTQMTLNTFHLAGH SAKNVTLGIPRLREIVMTASAKIMTPTMTLLLNEEISKDDSEKFAKAISKLSIAELVD KVQVKERIGSGIGHAMAKIYDIEIDFFPSEEYTKEYAIETKDVLIALISKLIPRLVRL TKAELKKRNEEKKGVKGTTSQPEIGVSVGKIAEAPRGADSEVQPADDDNEDDEDDAKR AAGAQNRGNQVSYEGPDAGEQDIIRRQDTPDEDEDEDESSKPAKATRDVQMKDGSDSD SEDESEDEAAQNSKAQEEDVMGKFEEITKFRFDPKSGNSCSIQLQYDVETPKLLLLPL VEKVLHSAVIQCIPGMGNCVFVEADEAKGDPANILTEGVNLLAMRDYQDIIKPHSIYT NSIHDMLNLYGVEAARATIVREMDAVFSGHSISVDNRHLNLIGDVMTQSGGFKAFSRN GLVKDASSAFARASFETTVGALKDVVLERGTDNLKSPSSRIVVGRVGTVGTGSFDILA PVA PEX2_012200 MASTLPSTGRLVNIGTHSLALYTHGPDPSSSKDPVVLFISGVES DALNWQAVVRLLGPSLRSYTYDRSGYHNSQSSPLAPTAENVALELSLLIEKAPIPNPL ILVGHSWAGVLTHEYIALKGTDQIAGLVLVDANHETAPLVMDVDDPILWTVATGVEPY SAWGVEANHKLTQEEWDAFRAAEATEKFELVCREEESNYIPSFETLRRKELSKKQPLV GDKPVYVIGGTRSIDWSGLYKAGVAKGNGTEEQRSYVRELIKTVDAKNEGLMKEFLKL STKSELVFATKSGHFVQMTEPEIVVDGVKWVLHNLPASS PEX2_012210 MQAVVFKGPSKVAIEQKPIPQIQDPTDVILKVRYTALCGSELHV FRGHQKSGTEFIMGHEFTGEVVELGSNIKNFQKGDRVVSPFTASCGKCFYCERGFSSR CAQSQLFGTVALDGGQAEYVRIPLADSTLAKVPDTIDEKKLVLMADIFPTGYFASLNA FSGTTPEEIQNSTVLLFGCGPVGICALISALDYKPKTLIAIDSVPSRLALAQSLGAEA WNFKEDEEGLRQRVKKLTDGRGADIVIEVVGHSSALRMGFDLLRPWGRISSVGVHNQD IPWTGNEAYAKNLRLQMGRCPVRSIFGPAMDLFEKKQDVLNFMSQDIRPLSQAVQAYD DFDNMRAHKVIFEADK PEX2_012220 MFRSKNEKPSSIENVEDINQPASNNVDWDEEYSPPEQRKIIHRI DRRLILMSGLAYCISMIDRTNLGMAAVAGMTDDLGLAGTRYGMGFSKNWKHMVMTRAL LGALEAGYFPGCVYLLSSWYVRYDVQKRFSIFYLFGCVASALAGVLAYGLSQMDGIQG IQGWRWIFIMEGVLSCVVGVLTLFFLVDFPDRAYKSWRFLSEKECAFIVRRINRDRAD GDAEPFSMKRFLAPSLDLKIWGFAMIFFCITTNTYAISYFLPIILRQGMGYGVAASQC LVAPPFGLAAILMLITSWLGDKYRMRGPILAFNALIGIIGLPIMGFAENNAVRYFGVF LAVAGSNANVPASMAYQANNIRGQWTRALSSATLVGFGRIGGIVSSLVFREQDAPIYR LGMWTTIVSVFFSLGALTIWFRYSNKQADDGKKIIESSPDFRYTI PEX2_012230 MKFFIDDLPVLFPYPRIYPEQYAYMCDLKKTLDAGGHCVLEMPS GTGKTVSLLSLIVAYQQHYPEHRKLIYCSRTMSEIEKALAELKALMEHRAKELGHEEE FRALGLTSRKNLCLHPSVKREKSGTVVDARCRSLTAGFVKEKKERGEDVELCVYHENL DLLEPHNLVPPGVFTLDGLARYGEEHKQCPYFSARRMMPWCNIIIYSYHYLLDPKIAE RVSRELSKDCIVVFDEAHNIDNVCIESLSIDLSEDSLRKATRGANNLERRIEEMKSTD EEKLKNEYSKLVEGLQEAEKAREEDQFISNPVLPDDLLKEAVPGNIRRAEHFISFLKR FIEYLKTRMKVTHTISETPPSFLTHLKDLTYIERKPLRFCAERLTSLVRTLELVNIED YQPLQEVATFATLVATYDKGFVLILEPFESEAATVPNPILHFTCLDAAIAIKPVFDRF SSVIITSGTLSPLEMYPKMLGFTAVLQESYSMTLARRSFLPMIVTRGSDQAQVSSSFG IRNDPGVVRNYGSLVTEFARITPDGVVVFFPSYLYMESIISMWQGMGILDQIWNYKLI LVETPDAQESSLALETYRTACCNGRGAILFCVARGKVSEGIDFDHHYGRAVLCIGVPF QYTESRILKARLEFLRENYRIRENDFLSFDAIRHAAQCLGRVLRGKDDYGVMVLADRR FERKRPQLPKWINQAMLDSETNLSTDMAVSNAKNFLRTMAQPFKSKDQEGISTWGLAD IERHEAKRRTEEERMMREEVNNGHATGGMIPSASRIVEDDYDDDNIDADLMMLDAP PEX2_012240 MSKKFKSQASSSRAAASAFGSFGGFSGGLSSEGKEPSALTYIAA PPDLSRIAAQQLVIAFKNLLKKDDITRLKALEELRDHILAVEEKKGTLDDGFLDAWVR IYPRLSIDLSRRVRQVAHPIQGTISGLVGKRIVPNLPKIIGAWIAGIYDNDRLVHRAA LESFTKVFTTEEKRNNVWRIYQDSILDFVDDVILHQTALTLSDERTVKRDDAEGKYAR VAGAAILLFNRVLGNSSDEDLQKNLPEIENLLASKNLWALCYHDDPYVRRSIYILLRS AVSREPGWIDWKTLSSAVIGKSLSLQQIGSAAELSESLLLLSSLRPQIWTDDYTGKSS SSRRLRQYIQKGSQGGHSNFWSNLDQLLRILPQEVLAGADKATADHGITCTSAVALTE ALQEGLNSREEPRSNLAIGWKSYTQIGTWLATLVPQEQKSEFIAKRLSPLVVQYVKID PDLSQWSLPAELAEGTCVDYVFTLASTKQAQELQSLCKSLSDGLLEAVKLSSPEQSKD FRESQDSVCAQSKRLLDLESAVLSRVADTEIEPQVSEVFERTSTSLLEGCLEALRTRN GKPYGAAATVVECARSLPHVAKKSQDLQNFVQNDTPELLLSPSADRLISIILECREWD GFASSFENVVERALALEPEQSNVHVLQSLLSSLDFNHAEHKDKLNSLVVRALGKACQG SHAHWSIITAVLQNKTSQGELMDQIFLYLIEALSSDDKVFDALHGLSHIGKSAPSSVR EFQNGALGSKLAGKLLFLTESPSEEVASLAEALLKSLKESGVGDTSAKSGIEILQHGF SHVDKESLSIESLLAIAEELLPGLTAEGATGTVKDILPSRRSWEESLTPFLQLPPRPS TAITSPLGGAVHLIHRELSDSFKALWPTIPRDSDHRSSAFRLAIFTISVLSNSELLKH LGQGDLETLFHFLPLVIQLIDDDLSIENCNGISGLELADQREEYMEIVFAGRKVVSNW IRGNEPVSFAPERTISSLFAEFWETRLEELKGTSPLDYRVGEAFVKIMTVADSLQKSK SSEDVAKICREARTANLIRSASWFAVLRSSILSNPIGNRICNELVADSTGLKPQDLSQ VGLRKLALLNILLSGEEDIVSTIPTQRLVFLTKNLIECLQSDSMSLGLKSEVIQTLSL VLPALREIYGSHWEESMGVLNSILKGTNGGEEALPLLVSSFRLFAKLKSISESDSNDD VQDAWSDRKAGLFKALASTIDTFDSSTTFHQPRDVAVDLLRRLINTIPVDNLEDVSET FHLLTAHSRAVQRTAYTILHHYIPHVQEKVSFEVALSKTAVSLPDELVSLLLEPPTMQ MVSAAYGDDKMWTSMRAYLLSWKVVFDHFSNASLPVQEYYITSIRENNILIPLLEFTF DFLQKSHGKMIDASKLDIRSFEPDESESAEKETQWLLVHLYYLCLRYSANMTKNWWID TKKRIKGPVEAWSERYISPLVVEDALKSVTDWIATQDANEERALEVKISPKTGEIIAS IPVDEESPPVAISITLPPAYPLQPALVVGRSRVLVDEKKWKSWLLTIQGVIMFANGNL VDGLLAFRRNVQGALKGQSECAICYSVISTDMQTPNKRCATCKNTFHSVCLFRWFKSS NQSTCPLCRNNFVYV PEX2_012250 MISKILTFTLLAGGALAVPVEVDIEKRINCPGLHIFGARETTAS SGYGSSNTVVNGLLSAYSGSTAEAISYPACGGQSSCGSVSYSNSVAQGIAAVASAVNS YNTQCPSTKLVLVGYSQGGEIMDAALCGGGVPNQGYTNTAVQLSTSAVNMVKAAIFMG DPLYVAGLPYDVGTCAAGGFDARPSGFSCPSASKIQSYCDSTDPYCCNGSNGATHQGY GAEYGAKAIAFVKKEAGLPSGKDRIVQGTDNDASVSRLSAVELGYLEDVYAAALTPAG SATRRLPIINRGEPQFLKLYYPQRQESLWLIGTPPAAPGTYARTTAIDQLVARFLGPS SPDNTQKKQIISLGAGSDTRVFRLLSSRQTPDFVYHELDFAVNTAEKIRAIRSAPILQ TALGIVSSEGSSEKDTRVTVSEAGDALHSPSYHVHPVDLRSLSTCSDPAAALPGVETG LPTLLISECCLVYLSPIEAEQVVAFFTQRLFGHGKPGPGPENILHESRANVAPLGLIL YEPIRPNDAFGRTMVSNLAARGIQLKTLSRYASLEAQRCRFQDQGFGDGQSAADIEFI WKRWVNEDEKERVAGLEMLDEMEEWQLLARHYCIAWGWRDRDDVPAFAGWKDLEAQQG E PEX2_012260 MKFQITSFRAAALVALIASAEAAKHSHGQSHNHESRDVAMAKRS GKCEFPSDAGLVEITPNEQNAGWAMSPDEPCKPGNYCPYACPPGQVSMQWDPKATSYS YPMSMRGGLYCDDDGKIHKPFPNRDYCESATGVLKAHNKAGKSVSFCQTVLPGNEAML IPTMVDTLADLAVPGMSYWCSTAAQYYINAPGVTAEEGCIWGTSANPVGNWSPYTAGA NTDDSGNTFLKIGWNPIYLEASTPFRNVKPDFGIEIECEGDGCNGLPCKIDPAVNDVN EMIGTPFVGAGGATGCVVTIPKGETAHIIVFEKEGNGSTSSETIEVSTSTPTPTSSSS TTTSSSTTTTTTTTTTTTTSTTTSTSTTTHTPTSTSTSTTETSTSTSTSTSTPSSTST STPTSTASSTSSSTSTASSTSTTSVLSITSPSAYLSKSSSTLSYTYQPHVLTGSADIQ AASKTTAAAAAASTSSTTGGATSATVSMLTLAFGALAAMVVNL PEX2_012270 MPAIPPTLETTIIQTSTMNEATSLFKRSGVNPDQYALYIFLILA GCIVGGLIGFSIYTMYHGLDDTEYMKDVSYEQRKYMREARQRYLNMLAVEARRPDMIV PIEELNI PEX2_012280 METVCGPCWTCRNRRIQCDQSGTPCAKCEKAGVECFDKRPLRWV KGVAIRGKMQGHSYEATPQRSPRALVQTSAASRTLPVTLQDPFMSNLDQTSKYYIDYY SERICKLFIVYDSARNPFRSLISFGLNDMVLQKGILALAARHHANTGQSFHQIQASTS VGLINANRDALLFKHQAMEALSRVLSDGKIAKSDTTVASIFLFIFLDLLESGSEGWNF HLEGVKSFITSHRPLLEAQAGVNNGPGQTVQQIWSFISNQIHLIETLGATFLRPKLLS GFIFDGQTERQSQEEIEQSFLGCPEFLLSAIQFLSNERDAIAGQRPDGVALQTHIQDT TAMMEIIQNFDSYAWASSLRHSRQSSADETNNICTLSQAFKIGALLYGRRILDALTET ITSQDDLVSELLGLIDTLRDDEALFKCVLWAIFVAGLECQSRAQKDFLVESLEKFWIA TSCLNVVNAAKILKEYWDQEEGLETPSRWIFDIGRLGRDCLLI PEX2_012290 MAYNNAHPSTKALHADDALNLVTDVAPPIHLSTIFRYPNDPDQL IPSEDPVDEFNGKNYVYSREFAPNATRFESVLSSLIGGNAVSYSTGLAALHAALTLLN PRHISVGEGYHGSHEVIAVVSRLSGLQKLALDCPAESLGPGDVILLETPVNPLGTAFS IEEYARKAHSRGAFLIVDSTFAPPGLQDPFLWGADIVMHSGSKYFGGHSDLLCGVLAT KRADWTKRLFEDRIALGSVMGNMESWLGIRSLRTLEVRVQRASQNSARLISWLHGAMQ ASSPAVGSEEMVVQSVVQKIHHASLQNEQWLQKQMPNGFGPVFSIVLHNEQFAKVFPT KLHFFQHATSLGGVESLIEWRALSDPRVDRKLLRVSIGLENWEDLKDDLLQAFKSLLG PEX2_012300 MESKTRLVSTLRLLIPRLRLLQKKDTASSVVQRRELSHLLSEGR DTSARIRVENVIATDIAVEVMEMVELYCELLLARANVLDQMAFSDQGTRARLRAKELL KKRTQEQAGVTGSTAAGVKGAGESTGSIFGFSWLGGGQRKEAERAAPSTASGSAAEDS GDGLADEDNPYMDTALDEAATVVFYAWHRFPHEVREFTMLRTMLGERYGKEFMTLAQD NKVDTVKVPDRLLKSLRVRPPGQELVESYLREIAKAYGVEWYGAEEELGSAPPEFVDD LGDGGDGDAEELQLPQTPGKQQGESALRPNLSEARRASETSELTKATPPRGLASGRSP VSVAPPAPRTDNPNPRVKLPGTEGRAAAVPKEDGASKSKSNNSGIPELDELTRRFADL KRRP PEX2_012310 MPVYMLHGFRWPRAGFTGIRVYIVLHNLEEAAAEYIQQPLTTEL LAESFHKTQADLVTRLPELSFIEQYDPADESSGTVSQDHAYISTRVLEIPEDGSGSCG GENIEDCVEQGSGLTDDQTAALEELRDRLAPGEKIGWYLVYNGDPERWFPDSEDEEDY ESEEESEDESQIQSQIQSQIQSQSQVQSQKGDSFTEPTSPQSYTVHLFRHHAVSLVAS LFHVLHGAFMGGAFNEFYALSDLGGESGQYGRGASITGAAETIESLSQHSPSGFINAD QVTSWLSIAMVLSFILVQNRQGKTRLAKWYSPYSDEEKVKLKGEVHRLVAPRDQKYQS NFVEFRRSTKVVYRRYAGLFFCVCVDANDNELAYLEAIHFFVEVLDQFFGNVCELDLV FNFYKVYAILDEVFLAGEIQETSKQVVLTRLEHLDKLE PEX2_012320 MSLMLSDNLAPQPMTDIFTDDTNIDRRKCHRTVPMKVLALGVGR TGTASLRKALERMGYGKCYHMMCASVENPPDCLMWHDALNAKYDGIGEFGRKEWDQLL GDCQAVCDWPACAFAKELIEAYPNAKVILTTRDVEPWHASVMKTVFWRVSDPEHKFVS NFSWAAGMYYPMLNKFFETFFRGDFPGKGKQVYEDHVAQVRSLVPPERLLEYNINDGW APLCEFLEEEVPDTPFPRGNDMADFYKRCSTRNRHQMMNAAFQAVTIGGSLLAAGFAA TLAFKRFSPR PEX2_012330 MGASIFSSLRTVPALFFFCILWLCAIDSALGEETSFYKSRPDIY PPVFDVEKSDPDKLSPGYIFITPYELQNPGPYIYDNTGELVWSGWGISGPGNAHGLHV CKYNGADHLCFFQGNQQKGYCRGHGIIMDKNYRVVRSVQPGGGMASSDMHEFRPINDG KTALMTIYQQRQFDMTPWNVKTGVGWLMESVFQEIDVETNKVLFEWRSLDHVDPSSSY TWPSHTDTSGTGLNVHEPWDYFHINSVDKNAAGDYLISSRHTSAIYKISGKNGSVMWR LHGAQPSFRNINFSFSQQHDARWLHENATHTILSLYNNGYNGFNKTHTYSSGMIIMID HVEKTAIQLRDYTPIKDDIVSSSQGNLQMLPNKNVLIGWGNNPFVSEHDEAGNLLFWG SFAKDTVMNYRAMKFEWDGVPTDSPALWTYSRTAEPSSSTSFYVSWNGATRVKTWRFW GAMNMTGPWTLLDEVSKTGFETEYTSSRFFLWSKVEAVDREGIVLAKSETKYTFIPSS ELREFCADSTCLDAQGYGFPGEEAARPLIPPVGVNTVPWIDPNNPGSNIWTHPSSEPQ PSSAPKYSDGWNNGRLLAWGAVLIVAIPLFAGVFLAHRYYARYSFNQMQDQESSVSMN GTVERKHPPVQVTDLAWWNWRRWVGEDEATSYLPLGERNLYGHRRERERNE PEX2_012340 MVGGSHCSLTDSSRSSRGSEKDYALSINVYGRGDTRHGDSPAHW EAMLHKRGEVDGDSYHVRKAEDFYYEHSVQRRPIELATSHGRNEIQHLSKRNKAIAAQ ILDAYGKDRSNLPRGNETGQDWIVGALGALEREKLVPQRTRDYWSQNIGQVSSDIGDR IRRDGGSWIPSTTGNLKGRGPADATFGREQVRQPVGRLNLDDFAGLSGSSKSRR PEX2_012350 MESPTYGLSVNIYGRGDAKLGDGPSHMGVAIYKHGSSNCEMHHI RNPTDEDFVYDPRPQPIEDPVLKGRCELASLSSEQSQQADSLLSAFGKDGSNIPKFGV GNCQDWVAGAVGMLEHTGVVSSGEGIFWKSMINRSSEEMKNECLQAGKTWIDGPESTY EGEPDAKFVDKERYTVKPVGKLAQNEVFRARMQSLLGPKGSGESDRDETPVERPFYVS SPFFSKMNERND PEX2_012360 MPARTGDHKTHNARHARTTSWSSGFHFTQGTGESSDTQGRRRRE SNTSLASLEERSSSLDSLDSPAIDDNMLRNTSMDLGGDRKTQHVRGRSVSHGFPQVPA LQGNELPTVQAPARPALVTWMSLPRKGQLALLGLCRVFDFLQIASLQAYMFYQLKSFD PNLSDSDVATQAGILQGAFTAAQFATAIPWGRVADAEWGGRKFVLLVGLIGTAMSCLG VAYSTSFAQAVFWRSFGGGINGTVGIIRTMIAENVKEKKYHSRAFLILPIGFNIASLF GPVMGGMLADPVKSYPRLFGPNSSFGGANGVQWLETYPYALPMFANFLFLASTAALVA YGLEETLASCKGKPGLGAFAKKLFARGVKTVVPASSSLYTRLPLRDYEEGGHLLGQPI DRSESYELEEKAHKPVRLQRVLPFRRIWTKNVLCTLGAQAFFDFQMGAFNNLWLLFLS TPRYDANDPASPAQSLPFGFTGGLGMLPQSVGFATAILGVIGMVLQFTIYPTINGRLG TARSYQYFLALFPVAYFIAPYISLAPSTIPPPGQANGPWVWFWIIIVLFFQVTARTFT LPTSIILLNNCSPHPSVLGTIHGIGQSVSSAFRTIGPIFSGAWYGYGLDVGIVGFAWW MIALVSVFGCLAAIFVYEGSGHEILLPGEEEEI PEX2_012370 MLHLIGLGLADETDITVRGLEIVKRAERVYLEAYTSILLVDKEK LEAFYGRPVIEADRELVETGSDDILAGGDKADIVFLVVGDPFGATTHTDLVLRARELG IETKVVPNASIMSGIGCTGLQLYNFGQTVSMVFFTETWKPSSYYDRVRENVQIGLHTL VLLDIKVKEQSLENMARGRRIFEPPRYMTVAQCAAQMLETEEERQEGVYGPDSLAVGA ARVGAANQQLVSGTLKELATVEMGAPLHSLVLLGRRTHDLERDYIREYAVNKETFDAS YVKGYGASL PEX2_012380 MSVPNLGARSVVELWMQCRDHFGRSLEELMLSDPDGTLTDPDMH LTDPDGTLTDPDMLLTDPDGTLTDLDMLLTDCTITSPVNAPCADSALGLDFSDDISMH SVEDVSGANQDHLLDDSESDLFSMGEYFHENYTQLHSPNKEGLPRLRLRLLCIHIFNL LGVRIDSAVTSSETSIESQEDHGTERRNKSGGSFTNNTIKMMFVSGETAEPSPETTTL IEEITRQQVIEILTRSTALATRRGVRSISTDDLIFLIRHDKAKVSRLRTFLSWKDVRK NVKDSDDKGGGDAADFAAADDAAGVVAGPQDVAPKPKNKRAKVGLAWDVNSFYSVQVP EREDEEDEEEEEQNYATLQRLAAADERTRHMTREEYVFWSECRQASFTYRKSKRFREW AGFGIVTESKPNDDIVDILGFLTFEIVQTLTEEALKVKEREDNEKHRRGGAEAGENLK KRKRETGLFDPPEEGRTPIEPRHVREAYRKLQATPQKAKAMLLHNGRVPYRFPLALI PEX2_012390 MAAENARYTSCPVDLLIATRPNSAEAIPDLLKEITKGVDNLTTR SEEDRKDLVVKCRALARAIETPRETMVDHCWGQVGAIGAIGFGVDSGLWVLMAQNGDG PQKVIDLAMSLGIDPRLLSRLMRHVSAMGLLIEVGEDEYQPTNYTKALSLPQIGHGYL GITACTGAANLKFHEFSRKRGWVNPKDPWDTSLMYAYGTDKHIFSWVHDSGYGTHLND YLGGYNLGRPLWMDPGVYPVNERLIDGADSSPDAPFLVDIGGNVGHDLVRFQSRYPNA PGKLILQDLPMMIHQIKDLDPAIVRMEYDFNNEQPVKGARAYYIHSTLHNWSDDVCEI ILVRVKEAMKPGYSRLLINENVVPNTGAHWETTGLDMLMLALFSSEQRTSTAWYDLVE RRAGLKIVKVWSAGKGVESVIECELV PEX2_012400 MPNWNKLAVVVRPTCAEEVSAAVKFAVANKLPLAVCDGGHSTSE MSSSEGMVVHLGNMRNVEIGQAMMTVSSGGGCLWADVDSALEACGLATVGGAVNHTGM VTSDGSILEASESQNPDLFWAVRGAGAQIGVVTRFTSRVYTQGQVWSGTLTFIPDKLP ELVAFANEFHNRDQREGHCFAIAVGYAPYGATRILSTIPLYHGPGWEARVYFSNLLSI GSIMDYTSMMSIAQVNILQNPMANTASAASRAPAT PEX2_012410 MSLADRNDSPNVKLTDIRTVTQTDLEKIWAWNKVSPEPIERCVH EIFEEKAQDQPDKSAICAWDGELLYGELDQLATTLANWLTELGVEPGQLVPLCFEKSM WTTVAMLGVLKAGGGFVMLDASLPEHHLHLIVRQVKADLILSSISNQALSSRLAQNVV PINRETFIKRDVQANRRLLPGRPFSIMYLNFTSGSTGTPKGVMITHRNLASALHYQAK HLGFTEESRVYDFSSYSFDASISQSFTSLAAGACLCVPMEQDRMNKLAQSITSLRANV VALTPSVAQLLDPKDTPTLQSMAFIAEPLQLRDINRWWGKVRVLNIYGPSECTPYSVI NGNASCPQEVPRIGVGAGQVTWIVDPDNHDHLVPLGDIGELLLEGPLVGEGYLNDQEK TAMAFIYDPVWLQRGTSEQPGRHRQRLYKTGDLVHYNEDGSLTYVGRKDTQMKIHGQR VELGGIEHCLQEHMTEAKNVVVDIVMPQGENSCPVLAAFIQIYPISGNPKDSEPTCIA EILHISNEIEKMLTQRLPRYMVPTVFFSIQEISLTATGKLNRTRLREVGTSCFHKFME SQKQMAKKKPTSRVGLELQKILGGILAIDPALVGLEDNFFRLGGDSIGAMRVVSEARK ADIELTVSEIFHYPTLGSLFSRCHRVADKVPGNIRPFALLRDSFNKDLFLQEIAAQYQ LDPATIEDAYPCTALQEGLLSLSLRHPGEYTIQRTLELHSTVQTRDFCRIWEEMARNT AILRTRIVQSSDLGLVQLVLSEEIQWTHTIGLNEYLEEDKKRLMKLGNPLARYTMVTD NASLRRWFVWTLHHALYRGISTNPMKYEFKPFIQYTEAQNSDKVAEYWRNTFMDCDCS YFPALPLSVRQPVADSDISHKIPWLDTLPQNFTITTLVRAAWALLASYMTNSENVVFG ITKSGRTAPISGINEILGPTVATVPFYQQATDMIPFEQFGLHRIAKTCPEAQQACMFQ TLLIVQPKENIRSKSTLGVWKESYEPEWVNTFALALEVQIGMKRVNARFDSRVIKPWI VRTLLEGLDFVMKQLGTAGSQKSIAEIDLVTPSSLERIWNWNRTVPSPVKGSIHHMIQ ERMQSQPIATAICAWDGEFTYGELDRLSTQVAAQLVEFEVGPHLLGPDILVPLCFEKS KWTIVAMLGVLKSGAGFVLLEPFLPEPRLQTILQKVGSKLLLSSQANMGLSLRLSEMV IQIGPDLSHISNNALRHAASSTLLQPSSRIMYAVFTSGSTGAPKGVLVSHRNFCSAVH YQLDLLGFNRESRVLDFASYAFDAAIYNAIATLVAGGCLCIPSEKDRNDNIGNMMVAM RPTIANMTPTVARLLDPGTVHDLRTLILLGEPVTIRDLERWQSHKIRLINAYDPAECT PISTINAFSFRTEEAIQIGKGVGLVTWIVNSEDHNRLLPLGCIGELLLEEPLVGKGYI GDLEKTAEVFIEDPEWLLKGSDTRSGRHGRLYKTGDLVRYNENGSLTFVGRKDSQVKI RGQRFELAEVEVHILDCLPTKASQVAAEVVVPEGEVNPRPVLAAFIQASDNGKKVNEK STFTAKTHPMAPDIKKRLAYYLPNYMVPTAIFSLPDLPLTATGKTNRRRLREIGRALL LAEGEQALDASEKTLANKFPHGEPILETEQPAYALAQKIHSMRPSWSQDKLPFRADSL QRQHTELNDLILHSSGLDSVNMMELMSFISQNFHNQVGMQFLMDKATSIRTLAQHLAD PPECGAKKHSSSTRASFSVDLIAEINRYDSKILSTQQITPTHDYATSNDLLMESVKNS FTVLLTGANGFVGTQILRQLLEHPHVSHIICLVRGDTDEAARQRTINAALKALWWNDH HADKLEVWRGDLSLRNLGLDPMRWDYLASGQAVNIIIHSGATVHWTKSYETLEAANVG STIELLLLTISLPCMRFLYVTGGRPWDSYEELDVAKELSSADAIPYSQTKFVAEAVVK RAARRGPFETNRLVVLNPGWVIGTPTEGSSNPDDYIWRLAATCIKLGAYNADEADGWL SISDAATTATAIIDAALGKEMKPLDEKEPMDGITWREFWAILEGLGYRLEAKAMEKWL SLVRAEIEAARDKHPLWPLAHMITGLQNDERMVGSWRQKRGSTPLRLKAAVRKSAEFL VKGGFLPTLSDQL PEX2_012420 MSTVDSTINTKTGNHPVDPYKTQNFEDPPLPQKIEELVEFINQV KFGMLTTKQSEGDYLASRCMALAATENGGIDLIFHTNLFSGKTMDLTVHPTETNMSFL DPISGAWASISGTASVIGDPAIVKKYYSPALKAWLGDMGDGVHDGGPSDPRIGVIKLE AKLATHVVAHKGLLGRAYETVKGAVQGNVPHVNGIRELSLQELAEWRRTHQE PEX2_012430 MEISNSPSGSQSTSTNLMTLPSELHIQISTYLSYPDALALKHSS RHFYSMVFTGVRLKVDWLIERFEHKLECPMEKCSFSTDESFCNGQVCGIMERRRWHLE CRRVRGGCLLVNGQTCRTDFVPGWFKMRTQGKRTVVKVMESWGHEGLFPLSFYHLPV PEX2_012440 MSGPNAYGTPVSDTAFRKTWDREEYAKKAAETEAKRKAEGKARY EAKLLGKKYHAPVDYSMLEDTTARNQRLDVASMVGKTMIVPGGTGMGKRGRGAGFYCK DCDLTYKDNLQLVEHLNSKQHLIATGQSGEVKRANVEDVRLRLRMLSHRKRQRDEEER KAWQLDLGVRLKEREEIDAKEREEKRRKRNEKRKRDKVKNEDDSWEGRLGIIS PEX2_012450 MASEMDTFAARLASFDIVLKPEKRRSSGTKTPKAITWPHQRPSP AELAHAGFYYKPYETNPDNTTCFECHRALDGWEEDDNPVTEHLKHAPDCGWAIMMDLQ QSSSNPASIEDPTGDRITQARLATFGTAWPHDGKKGWMVEGGWYFCPTDESNDLASCV YCKLSLDGWEPKDDPFDEHYRRSSDCSFFVFAQPPGKKSKSTRSKKPRVSKTSSRLST QSVGPVSSEAPDMEMDDSMDHSIISQPTTKSKSTKKAAKSKSKGTKTKKEESAEVESQ VEADIEEVTQPEPLKPKRAARGKKRASEDIKDEPEPVNMEEREQSQPPAEPPAKRRAT KTRSSSIARNYNYEHSDSAMADVEPVDDTASEEETNRGRKLTKKSVSKARKVSDVPAA SKAPSKARAPRDSEIETEIEAGVEADFPKPAQSEPEIEAKPEEARASKKTKSSKKSKA VAKIPEPTIHDQVEDASDRENRSDPHAEPVDDETEAPEPPAPKSKSAKGSKKKGTKKT KTEETIKAPSPEPRESLGPSAPDIRDDSERHDSFISVEIVTKEPEHAPEPDVPMVKAE SKKVSKKKDGTSIKEKKSKKSAKKTEQAPVPEPLVEEHRAESSVEEHDDEFETTDDLP DQLEMINPPQEFSPLPQEMSPEPQSHRKTPSLPPKTAKRYSDIPHEEHLAETLVQSQG SPHEVPEPRRNSKRSNRAVSPLPPAHQNTPSLSPQSSDAENQPPSSRPSASRPPVQST PKHPEFRAPLAVSTPSPSKRNANGGFGPSGHPWTPVDIDEVLFGEASDKENADLSGLF KGVKAGLTSPEKKMTVQEWIAWNAKNGEERLKRECERLVGQFEKEGGRAMQRLEAIEC ID PEX2_012460 MPGVTMVASRGANPSSLWRSRTLLSSPRITPSSARFLSFNCYPI TPYNGRLSANNRASTSHLICKATANKSSSSSFSTSSSSLLPENWDDNPDLSITNFSEL PSKDFGVNQHMIINQDFKEALRMILWQFKAPIRYAFAYGSGVFPQNGSGAPSSSLHPS APTAIQNMQKGSGKMIDFIFGVSYSQHWHDINLNQHRDHYSALGSLGSYTVSQVQDRF GAGVYFHPYITVNGTMIKYGVVNLDTLCRDLTQWDTMYLAGRLQKPVKILRDHPKVRL ANQMNLLSALRVALLLLPERFSEFELYSTIAGMSYMGDLRMALPAEDPGKVRNIVSGQ MAHFRRLYAPLIENLPNVTFQDPRCSNEDWIDDPNAILTMAQDMDPVMRGNMVRRLPE SFRQKLYFQYQSRYQIPRADFNKMIQESKDSDEVLRRPQGGSFERRIAGDDHLQTEVS KSIEKTIRWPSTVQTIKAPFTAGLGKSWTYLMEKRDKHKKSQMAAASALETPPGAKKP TQAPKSSEPKETKKE PEX2_012470 MTVETIFPVSTDGKWDDLCVDIVAVHGLDENGTTAWIHPSNGCF WLRDLLPSEGFKARIFTVNYKADATSFFSSSSADRISHHAQTLVEELNAEREHDDSIQ RPIIFLCHGLGGLIVKKALIYASTCTSAKMTHLHSVFTSTFGLIFFGTPHEGIEKARW SLLAKGLKGILKDRSHLIASMEKRSETLQTISQQFSPLLKNFYIHNFWETVQTSRSIG SGYIVSHSSAAPPWDDTGRSGLLATHSQMCKFGDREQPGYKSTCGILKRFIKLAGPLI ASRHRESHSFLAARRGHEATEILAFDIHHDNEPISFKLKPAADSQNQYFLVPLAVSDN YTGRERLAQGLQEKLLAPSIRQKRFVLYGMGGSGKTQFCLKFASDNRDNFWGVFWVDA SSPKAAEQAFSGLARIGKVEEKLESGLYWLSTQDMPWLLVIDNADDANFDYAKYFPLG AKGHILVTSRNPDCRVHATIGFEEFANLEEEDSITLLLRSAIVSDLHDLNARATARPI VQALGCLPLALIQAGASIRQNICSLEDYLNVFNSYKRKLFLNGIQQGQGSYAHNVFTT FEVSYNKIRSLTTDEAREAIEILHVMAFLHFDQIPGTLFEKAWEGFFNHGKLDTLSRL ADRITQIPGDLVTLSSGYGGWFTMFAEGRLPRIFSQTENSWDKLRFRKAIHLLWSYSL ILQNVNLGSYSMHPMVQFWARERLQGKAQKIWGNIASRVLAESITTISEDSELVYRRC LVPHIDSCLRVDYGDSRKGLEFDNSNMGQFERFATVYAEGGRWNDAAAIQERILNTKG DLLGKDSFEALDAMAVLARSYWNSSQAGKALRLQTSIRDLSQGKLGPFDPRTLQAMDS LSRTLWLCGSISKADKLAQQSYEGLAQILGLDHPYTLSAMHSFGRTRLHLVDLKKAQE LLLRAWEGRAKLFGATHLDTLETMQDLGMTCLALKEIEEAEKLVSFVLDCRKRLLGNE HAHTLWSINDLAKVYCAQGYPEDAIVLLIPTREIATRTLGVTHIGTTMTIFNLSRAYL LSTQFHKAEIILADLIETESKALGPKHPDVFSAKMELAEVLMKMGKVHKSEEQSQEAI EGISILFGPESPRTIHAKARQAAICKLGDKPHPAMISSFKTTEV PEX2_012480 MPRTGSFHNGIIELFRPSEQPIDIDIVAVHGLQGDARRTWTHEA SKVCWLSDLLPNYIKNARVLSWGYNANTNSLGGKATSSDRILQHAGTLIEELQNDREF EDATERPIIFVCHSLGGIIVKRALTLSQGRTSAKSARLHKIYTCTYGILFFGTPHNGS SKARQLDTIHKLASFIVPKRVARFETNLVTGIEDDSETIQNIAEDFSPLMSRYHITFL WEQLRTDMKYTMDYIVDRESAAPILDGTDRCGIAADHRGICKFENVDSPGFKVVIVAL KRYCMAAPARIKERLMESANSLSEKRRYEAMELVGESPVSPVSPVSKVSSFSDVSSLS DTINRLRMKRDAEGSS PEX2_012490 MKEKQSPAPQTPPSTAGSMDAPETIPPLLSCNEHSELNQAASPK TGDQSTRTLPDFSLGSPSFESLIFSEEVSQMESWLPDIAADCFQNDMNPHEILSKPEA QDTNRASDPMNMRSREDPQNSSSTSYKPVPSGTFTCPSPQSNESSPFSFTIPITTGPS LNTQSYISQKTCSCFSTLSHHLCTLQATSSTPENSQALDTLLIQSQKILPSIRNTVSG EHDPIPTPKMDARLDLYMRDALHFSGDPAWVVEVFGGTVNRVGFGEDGAYLGLQARNF QMEVRGLMNRIPKYG PEX2_012500 MPYVHKVCNALRFPIFVSRFGPTLRVQLKRTFIAQSLTNRPRNI LPSSWSLFIHAIEMADSNQWYFAYGSNMLSDVFIKRRKVNPIQQQVAYIKTHTLCFNV MGMPYRDPAMGGIREAMENDLPVYGVAYWLTPEDMRQVIVSEGGGIAYRTKKFMAILE NESTSISVTTLVARHDISLAYERLPSERYMGLLIRGAREQSLPKFYQDRLLSQPIFTC PESHRFQIGTWLFSSFWQRVAVGIEMGVHRFKDDEGNVPGWFLVIFDILLWTMWLYHD YAHSIIWGRGDGLGFKPFSRSFM PEX2_012510 MTIGSSFMEQALEILGSVPLVDGHNDWPHLIRGFYDSTLDGRFQ RGSNLVGHVDLKRLKEGKSGGAFWSVYIDCPNSDDFTDDAIHFEALRDTIQQIDLVHR IVDLYSDDMGLVEDSSDIMRLFKAGRFASLIGVEGLHQIANSASVLRMYYKLGVRYVT LAHNKNNTYADSATSSSPAHGGLSAQGIQMVREMNRIGMIIDLSHTSEAAMHQVLGIS KAPVMFSHSAIASIVPHVRNVSNAVLKKLKVNNGVIMITFIPSLIHAEASEANIDHIV DNIIYAGDLIGYNHVGLGSDYDGMFSAVKGVDDVSFYPDLVAKMLERDIPRSDVEKVI GLNIIRVLGEVEAQAAKMKSQSAVMEDGVKQLWNNNFRATVESVYPDAEKSSRNK PEX2_012520 MTAKQTWQPPYHLGEKIGPKADLGLILLTTTLVNFLDLFQLSAV LFGLPDIQRSLNFTTEDINWVLIVYNITFASFLLIGGQLGQRLGLERTFIIGTAILTV SNIINTAAPNKAALLAGRCISGIGAGLTAPNGLAILSRKFADGEARNKALAVYTACAP LGSTIGTVVGSLLASSPAGWRSIFWLCLILTAISTVLACLFLPEFSREKVPIDVLGAS VFTAGIALLVYGLNDSSRLGWSAPSVLVGIILGICLLLAFVIVEKRVANPAVPLYVWK SLPFFLMLVAIFAFGGSFSSWFFITTQLCVNLLRYTPVLTAVYFLPAAFCAIVSGALS TPMVKFLGEKATLVVGLVITAAGGVAWAFATPERAGGTAHGQGYWYSIVAAIIFVCGS PVAMVPAQSILLRQVEAGNHAVASALFNTAYQVGASVLLAGANALINAKQENVNGIIR ASMEGYRDAFWLLTGVLGGAALIVAVFYWPLRSETSERTIEEALVEEHPTETKVH PEX2_012530 MAAITPKDPYRGPRHQGEYDRLRTQHSLVKTAMHGKLLYSPVNF DQPDLRILDSATAEGTWLIDLAQSTSSTATLIGTDVAPQHFTPKDQRPSNVHLTTHSI FDIWPEDFQNSFDVVHQRFVLTVCSDQAAQDAVKNLLACVKPGGWIELHEGNMLSIQE GPKHPAFMRFRDIMVKAWAAIGNQPSPGNFLLSWLKEAGAVHIQEEIQTIEVGAAAKD RDQGERAVAVLLHLLDGMKMMLGDKPGQPTKAEFDELRMQLEEELRSVGNTYCYHLVW ARKSLGH PEX2_012540 MSASAESQAIRPSIPDDKLVLYVKKASPTSTANSVKPLMLIEAL NIPHHIHLIESTSNETWFHAVNPYKMVPAIEDVEVYDSNGEFQRLNIFDSSACLTYLA EKYDTEGLYRGKNLCERTIITNWLMSYTAGLGATGKIWLLMKTPKPVPIGESLSILVK YIRTEYDFLESRLSEPGQLYIAISDRPTIADFAILPLANEKIAASAELDFNEWPKLMA WSEQMSSFKPVARALHRVSRFGLSEEELAQLDGKE PEX2_012550 MNLHNLPCIEFLVGAFLLWIVVAKRHHIINIVISSVIYPIKNDE GESIRGPSWQSLDGQCLEKFLDGRSASQVWRQHGPVYRIWSGFTPEVVVTRPEDVKTF HADSSSHRKSPSSNGGWFFHQMLGDCMGLINDQRWKEVRAQLHTYFTHGAVIKSCDFI SDFTMDYFRCLETKEANTIKPQAVTSFSRFPFLATAEYIYGPLTETEKDRLWTLGQRS LTLMVHVLEGGVYRFGICRWLKPKQYLKLKEFEKDWTEFNEAIVEARKTQNKTPPVVE AWRAVDEGVVAKKEMIQTMSEILFANLDVSTNVLSWLIIFIAEDASIQRQLRKEIRAN ASLRDNFCNSKDSLLHLCLLESIRLRPFTVFTIPEYSPHSVVFGGYTIPPNTSVVVDT LAINYNPQFWGKNSTQFNPHRLKDVSSTDLRYNLFTFGFGSRKCLGKHFAEAMVKTFV AELLSRYEIRIPNTAADGQDIKERKATWVPISDIEIMLIPVIN PEX2_012560 MALVLWNALFSPFTKLWYSDDTQPEIEEPSGQPYESDAELWNNE VGKMLNMMLELAGYPENSRTIHSEFFRGSVAPSLGHHPRGTGDPLHWKSFMTDDHTPV ELSWCWSTALDTPTVRYSVEPIGKWAGQPVDPINTLASLRLLGDALQLSPEMDLYLHR HFQKSLMSLKSSNEDPITQNPQSQSFMAFDLLEKTIVVKQYYLPTWRALAEKKTNFAV VEEAILNVPALGNSLLSSFSVFTNYLESFPEETRPAVEIMAIDCLDPLNSRIKVYVRS SSTTLKSVFDTLTIGGKAPKSSDEEESLRELWHSVFGLNQDQSDETPLSQNDHRTGGI LYYFEFKSGTPIPKTKVYLPVRHYAQNDDQIARGLSGFLDRRGKKLAAGSYLEGVQTL W PEX2_012570 MSAETGYFDVLIVGGGPAGLTAALTLARQNYSSVILDSKTYRND KASFMHLIPGFDHVRPSEYRDKALGNILANYPQITSHEATIIKAEGLTPGGFALTDEA GKIWSGKKLILANGVEDIFPEIEGYAQAWGTGIFHCLFCKGYEEKGADSVGVLAIGGL SSAPFALHVARQAAAFSKSITIYTNGSDDLVKEVSTAVGETDKFKVENRKVASISKLE PNASVSIKLEDGTCLVEGFLAHTPETTPRGCFVKDLGLEQTPKSDIKAGPPFFQTNIP GVFAAGDSCGMMKNVPNAIFSGSLAGMGASAALSQVVKIILPFLSFLFLRTTQAMKPK SLPRDQTTLMEQKINHNPLWSSIQNYAKCQAESIACSQEGQTLRYGELESASLSLAAL FHSVGIRAGDTVPVFVSRNLETVATIIALLRLSVCFVPMDGESWSQSRVDSVLRAVEP KIVIMAQWTDLRANDTPVITTKEVRKAFDEEQPDSCAELMAGIIDCAGSSEEPVYIIF TSGTTGTPKGVMISRRSVENYISQGIDRGMPFNLGVRNHDTVLLLFSLAFDAAWGVFF STICHGAHLVLSEPQNVLKDAKRCTILPATPSLLATLGDPGQYKNIKSIFLGGESPNA TVLQKWWNEGGVIYNCYGPTEATICASMAEIRPGIPINLGNAMSETQLLILNDQLEEC DEGELHISGPGLAIGYYKNEDLTSERFFERNGIRVYATRDRARRSPEGIVFCGREDSM VKNRGFLVNLEMDVIPILESYPGVHAAAAFMHQGKLIGAVAPEIVDVTEMRLHLSENH DQFIVPDQILAHKALCRTSNGKIDTQALKNNFLSTNSSDVVSLTDSPCTKLQEAVAET LGLAVASVSMKRSFWELGGNSLLAIKLMSSLHQQGRILQFHDILHPTPLSVLSTMVKP IEANDITPDLAFSIKTEQTMSAPITTTQMGMIRSSIRHSEASYMLVRVALPWKPKAGY GDQIRVAFESVMKRHTIFSTYFDMADGTQKLDANFHHDWQEQLLTHDDIPAAVKRKSC RLFESTHRENDDHTYRPLNSFRVIVNQSATEANLLWLVHHTRVDGWSMGLVIQEVQEV LQGNNLSLDPSQFSQIAIALPQHLNLSRQGGLQFWKEAMSKVVEATPLTLPKPIGNTE DRQLGEARITINLGIPSFKQISRINGVTSATVIYTAWALLLRSYTAQEQVVFGTVFSG RHLPLPGIEGVVGPVINSCPLPVCLTGLSTKASLLKYVQGLELKASSHQWSAIEALQE ITQGTYSKLFQTMLFLEYDLPGFTNRDWKFTRTDVPEFGLTIIIRQEDGNIGLKAIFD QNMYIKPMMERMMTHFRNLFLAILDPACDTVAQIRKRMLEPCEALSLTTASPDLLTPY FGPSNLKESFENGVDQWPNDLAIESGTRTMTYREFDLQANRFARAVIARVPPGSAVGI LSDRSVEWLVAVIGVIKAGAVYVPLDIKLPLERMQIMTQTADVQVCVFPNDTCYAKFS EAFAGEKLLLSESMQTSQECARLERSPNPEDVAYITFTSGSTGAPKGVRIKHQSVVSY LSYGPARMDARPGRRHSQMFSPGFDVNQAEIFGTLCYGATLVLADPVDPFAHLTRVNA TMITPSFLSVCEPEQFPNLDTILFAGEAVPQVLADQWADTRTVYNSYGPCECTIGCLF QPLQPHKEVTLGHTIPRVGVYLLDSENNPVPIGVPGEICLSGIQIADGYIGADRQALS ESRFIPDPFVPGQRMYRTGDCAIWTEEMEPKFLGRFDNQVKVRGYRVELNEIENVIRL ANPGVRRAAAIVNMDNIVAYIEPDTIDIPALQAALRAKLPGYACPSAILALPSLPTMP NQKLDRKALLSISHAKQPKTAKPLTKIQLLVAQAWREAIGISQDIEINAKADFLELGG NSLSQIKVAQIVSRKLCSKLPLKLFIWNTELSALSDEIEKWLESAEKSSQNTFRAAWR DIQSPFTSPSDLENEFVRLSFEYPSETFNVGYRLHLRGDVDIETLENSIESVMSHEPV LKSRFHVIADQVLRGQSCTACEITRGKMSDLNVEAFTNQSFDLSTGPLTRINLSQSLD GVEMLFVQHHAVTDKAAIQILFRRIRDEYLSVLNGEARSNESAESTHVPDYTIWAQWK DSQPQIGMDDPNVVYWRSRLADMPVPLFRNLDGRSNFVGHSMSFALKTKANFEGSMEL YLALTAMTLSKSQEARDMIIGIPHVDRTEPGTESLLGVFLDRLPVRLNVESTSLASFS NIVSSAQASIRDALAHSIPLKSIRQTLSSHEIFQVMVVYNRRKDSIASAFCLPDVLVK EETLRPTGAKFPLLIEFTESEECTICEFEYMENVVHPTTAGHIREEMENLVNDLGIWF GL PEX2_012580 MSPEEKADATGRYFLPRNVEEAERMQNQHEWLKGGANGLVLAPI DLKRRGMRVLDAATADGYWMQDVKEVFPEDTEFLGFDNAPEGYPPLSTAPPLKIVQQS LIEDFPNDWSNAFDFVHQRFVIPLFKAEEVPLVLSNLTGCVKPGGWIQLVEMDFQTPV SEPLESCKAVQTVHKLTSGVVSDPLAATKLAGRLSENGFVNVGYKAVNMVAGSANPDR EMGERGARNMLSVLGFFQSVAKPEMIGLTEEEWTELPKRFAEEMEKYKVALRVYFVWG QKPESAQ PEX2_012590 MESQLSTIDLLMAMFPSPGELEIPESTTQCVERLRDWCENPTST PPKTPSSISLTVCLPIADGDKTIQVNISVPLQCDNPETLDQSPSLGYSLRQPEWMSRA EVAGLTASIPQGDDALEAFEYIQAEASRFLESKQSQTVTTEDTDRGPTVRVWFYFPSL STRKKRDDMVNLAPGYALTGFVLAGKPGVLCLEGASSDIDSYMSFIKTHSWGDIPSHQ KKVSERFRETEGVQRVFPGMEEITDSLGERGGQRANRGDMQALEAWLGSRGLQEAFDK VIF PEX2_012600 MASKSPFNLATCARPNILALQPYRCARDDYKDDGTNVLLDANEN AFGPGLALNSEGALQSSQTGNATGASNPEIDFLGLNRYPDPHQIELKQLFCNLRNTHH HTPKTLLPEHMFCGVGSDEAIDALLRCFCVPGKDKILTCPPTYGMYGVSAQVNDLEIV KVPLDATNGFHLQADKVNEALSADPSIKLAYICSPGNPTANLIRKEDIRKVLEHPTWN GIVVVDEAYIDFAPEGSSLAEWVTEWPNLVVMQTLSKAFGLAGIRLGVAYASVEVARL LNSLKAPYNISSPTSALASAALTAPNMAVMHRFRSQIIAQRDRLLRDLPTIPGVGQFL GGSDANFLLVQILDAEGRPSNVTALAAYEAMAEKRGVVVRFRGKELGCEGCLRITVGT EAEVTKFLQELRTVLSGLRTGSGIESLRDEARREDSAAAVVG PEX2_012610 MASMASMAVSLVTRGIYHETPPAFQTRNDINPTLMMSWWATIFS LVIIIVRLCGRYIRVERLFAEDKVMMIAVIPLIIRMVLVHFVLVLGTNNTTTTGFSEK EIANRELGSKLVLAARIFYAIFIWTAKVTVCEFLKRVTGVTWRRSTSFFLRFISFFLL STLVAVVIATLAECQPFVHYWQVTPDPGPTCRTGYVNLITMGTCDVITDLLLVAIPVP IILMVQMPLKRRLALASLFCLSLILVAITCYRVPSVIEHRGSQPYRSLLASFEILAAT AVSNVLVIGSFVRDRGVKKLKYKRAQGSASVSESMDKSFLRRNTVMQNQWGSDSELAT GLCIRLDPDIYTAPSTSDGTRVPKLPRSQIPLAVARTGTLDPTWSFSTTRRSDDDRAS TTDSLGPKVTPLEYLRTNESPREISPLSETSRRVSFSDVGGLLTRALPESGADHVRAQ TTLSTPSEPAQRRRGGGSRAFLEDLGIFGPRVTHGLRPTLPGPADLPFPASAFGNSRF LSLSASSDMTVDADVELHDVGGLLSRHDH PEX2_012620 MSYNKPTSPPPSYPAQTHDAGPYYQQPQGQSPGAANDYYGGGQP QQQGYYPSQQNYGQPQQNQQGYYPQGQQPMYYPPQQQGYPPQQQQQGYYANDRGRSGG GAGGICAGIMAAMACCCCLDILF PEX2_012630 MSTLNNPAEPLMIPEIISSLMAPSRQLALRTHKQRSVSAASRLS EMSVESTTSDILDVKLAAMESELEYMRCIRDGLNEARQTEKVSHDAFQREIQPFLKSF RSSSSAIQVLKAQRPLIIEDIDEEVSAKRQRIEGPVDQSLLEHAYRDAIISRVLGASA KQKGPKFDQSAFKKEVYAYYGVNEHCHPGFGWCHVLGTVLPQKNIKAAHLVPKSMTSK EVSHLFGVSDGVLGDPRNGITLADNIELLFDQGTIAIVPMPGPMKSPTQWRCVVLDES KKENIIGTLGGSYMKLKEIDNKPLTFVSDNRPRRRYLYFCFIIAYLNAKSRGASDAVA KKVEATRFWPSAGEYLNRSTLVTLARCVSGSELPKSLVQDKTFDSGDASTRDADAGTV LGADVRDAILAMKSLNHCYAAALEGKLRMSQIYLLLFFIFTFALAFVQQRLCTF PEX2_012640 MHLKDDNDQVRTAAIDALSHRPCLSETIANRIVAILDERNSTKQ AALQALKHLSNLPKTALDKIADCIKNRDPLIKTATINALGGRSYLSYSPDILNKIATY IHHADAGVEVGVTAGVIAAAIQAFSIGDLSILKDWLEVIALRINDGDKEVRNVIVQVL IRQSDLSEEHLNLLAARINDEDEKVRLGAVKFLERQKTLTDETLRIMASQIINLLNQR EMLHSELLLRGLAHRFLLPLLLRSFKTHLAWYFRDGESYLETNNATKSRGRCDEVDIE VEMESAREEAGMPPVAVLYS PEX2_012650 MDGDSAHSMEAEREMTRLWRTFRTVYELLADRGYEVSDNELVLP LEDFRAKYADPLGYPDRTKMKIQARPTDTMKLKYTALPSKANPNPQPDCGTIYVDFCP DSSGVGTKQVRAFNHLVDENNFHTGIFITQTPISPSAVRLLSGVPGRICEHFQEQDLL VNITRHELVPKHVLLSPEEKGKLLERYRLKESQLPRIQISDPVARYLGLRRGQVVKII RKSETAGRYASYRWVI PEX2_012660 MAATDAERVKPTKPDEAAYKTSLAEAEKEHTAAQEKLNQIKAKL DNAKPNNQDSPTVKKQQELRAELSSIRQKQSGFKSSRSSTQEKINALDANLKSRITEQ NNSRGRLSFKSVEEIDKEIARLEKQVDSGTLRLVDERKALTEVSNLRKQRKSFAGLDE AQKGINDIKTQISELRKTLDNPEAKALSDKYAEIQKELDAIKAEQDGVFKNLNTLRDE RTKLRNEQQEKYTAIRTIKDTYFKARRAYKEYEDEAWRVRRERQKAEHEAFAREKRKK IADKKLEEASQLAYADEILTAQGLIRHFDPAYDFSSLGLDEKKDEGSNFRAGVGRTVE AAEIKGMKVVRKNDEEDYFVGSGGKKGKKGGKKGGAAASVESTKFNMNVGIIEDFAKV KIDPPMNQSDVPASVEKLAAKITEWKKNQASKTEENIKKAQEEITRLEEEETKAANAP QTNGRATDAAKKPAQENGAPSAEAEQAQEQDAVADVEDELKKASIEEKA PEX2_012670 MSSHPLEALEALDLNPTKPSLNTRDLNPNLLYTDTSLQKRYTTI SIPSTYGRLNSSPAAGTVVGIVLGSVAGFVLLMYVLFLAVNPGGVARGGAAAPTSSSI SMSMSMDEEEIVEVHSRRGSSAGRRRPDVIEVAEERESFRDSYRRRPSSRHDRVVVEE SLATSATGDEDIIEVEEDESSVPSDVSPRPPRRSRRGGVRHVDPMAYGGGSDYSSHR PEX2_012680 MKLSSSWAIVCLAAQAAGAAISHSVNGFTITEHPDPVKRDLLQK YVTWDDKSLFVNGERLMLFSGEIHPYRLPVPSLWIDVLQKVKALGFNCVSFYIDWALL EGKPGEYTAEGVFALEPFFDAAKEAGIYLLARPGPYINAEVSGGGFPGWLQRVNGTLR SSDEAYLKATDNYIANVAATMAKGQITNGGPIILYQPENEYSGACCGYDGFPDAAYMQ YVEDQAHKAGIVVPLISNDAWAGGHNAPGTGEGAVDIYGHDSYPLGFDCANPSTWPEG NLPTDFYTTHMKQSPSTPYSLIEFQGGAFDPWGGVGFTKCAALLNHEFERVFYKNNLS FRVAFLNLYMIFGGTNWGNLGHPGGYTSYDYGSPITESRNITREKYSELKLIGNFAKA SPAYLVSTPGDLTTSKYTTSSDLAVTPLLGGNKTASSFFVVRHSDYSSQASVDYKLKV PTSAGDVTIPQLGGSLTLSGRDSKIHVVDYDVAGTNILYSSAEVFTWTKSGKSKILVL YGGPGEHHELAVSSNSKASVIEGSSSSITTKQVGKAVVIGWDVSTTRRIVQIGDLQVV LLDRNSAYNYWVPQLPTKGTSPGYSSQNTAASSLIVKAGYLVRTAYVQGNDLHLTADF NATTPIEVIGAPSNAKNLVINGKKAQVKVDKNGIWSSSVAYTAPKVELPTLKSLKWKS IDTLPEIQNSYDDSAWVSADQPTQNSVHKLKTPTSLFSSDYGFHTGTLLFRGHFVATG NEKTFFVQTQGGSAFGSAVWLNESNIGSWGGISIDADHNGTYTLPTLKKGKSYVFTVV VDNMGLNENWVIGEEQMKLPRGILNYELSGHSASDISWKLTGNLGGEDYLDKVRGPLN EGGLYAERQGFHQPQPPTQDWKSGSPFDGLSAPGIKFYSASFDLNIEKGWDVPLYFNF GNTTSPAAYRAQLYVNGYQYAKYVNNIGPQTSFPVPEGILNYQGTNYLGLSLWVLESD GAKLESLDLIHTTPVLTVLKVESVDQPKYKKRKGAY PEX2_012690 MERFSTLPAELRQLIWEFAVPARVVEIGEPCDPDILPEEDLRQA WILNRKYPAIAHVCWESRKIALAKFKLPKGLTLASGCMTDARWWWKSTDIIHFNAPDI MVDAQRYALEDALLDSLNVPILRKKVSISADVVHPFLRFRTRSDIQQSLVWEVLTSMK TCIISLHTVCIRATNEQARELGLFGNGDEPAQLIDPSEKAAIERFRQLWMNTKQEVSS VKFFDTIDTERFTFRVERWLAEMAAEYIEFRWSHPPFPTPGPRVVTEALRRYPSQRHS PDTRQYLVEFPTLELRIMFRLCPPAVVDPGGKGRGGGGGAGRTRSQWQDISRENEKFE RYYNEPEFLPEEEKDVFWATMRKDLPNSFRFTGSRGHALAVQQRLKDHHIPEITSIKY EDEFVEPPRPVSWYPDQLAWSMTTPKNVIRRFAPFSKFQKFLVAETDVGNISRQEVVS MIPPLLIDARPGMTVLDMCAAPGSKSAQLMELLHAGEEDAIAQVTEQIKSGTAGPEPL GPEGLNDDGRSTGLLIANDSDYKRAHMLIHQMKRLSSPNLIVTNHDATMFPSIKLPPL PTTDGSKPKNRYLKFDRILADVPCSGDGTARKNVGVWKDWTPGNALGLYSTQSRILVR ALQMLKVGGRVVYSTCSLNPVENEAVVASAIERCGGAANVKIIDCSQELPGLKRASGL KNWKVMDREGRMWNNWQEIEDHRDQEGINGLARLAEGMFAPTGEAANLPLDRCMRVYP HQQDTGGFFITVLEKTSEIKAKPESSNVIPKASVAALAEELDSKKNEVDGKPLEKLET LDELVTPDHQAQEELAKNASVAEAAHQLPYSATLDASTPVSPMKRDADDLEEEIPAKR TKLHDGSEVLVGDRPVHAPAPAVGSGNETPIDSTPAASAPTTQPFKKRGPRQEEPFKY LDPNHEELLPIYEFYKLSERFPRDRFMVRNAEGLPTRTVYYTSALGRDILTCNEGQGL KFVHCGVKMFVKQDAQRENVCRWRIQTDGLKIAEPWLGPERSVILTKRETLRRLLVEM FPKVNDDGWKELGEIGEQVKDIPMGCSILRVQATGEEDGLPEAMVLPLWRSLYSLNLM LPKEERRAMLLRIFNDSTPLINTTQKQGGKTEPTAEAEDVALMEENIEIGQEAQDDVD DRETYTKDGDEEDRFNTTV PEX2_012700 MASRRLALNLNQALRTRAALKSIQPVKRGFASPVALPSTTQSTT LSNGFTIATDHSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTNKRSQHQL ELEIENMGAHLNAYTSRENTVYYAKAFNNDVPKAVDILADILQNSKLEAGAIERERDV ILREQEEVDKQLEEVVFDHLHATAYQAQPLGRTILGPKENIQTITRENLTDYIKTNYT ADRMVLVGAGGIPHEQLVRLAEEHFGSLPSKAPTSAALALTAEQKRTPEFIGSEVRLR DDTIPSAHIALAVEGVSWKDDDYFTALVTQAIVGNWDRAMGQSPFLGSKLSSHVSHHN LANSFMSFSTSYSDTGLWGIYLVSENLTQLDDLIHFTLREWSRLCNNVTSAEVERAKA QLKASILLSLDGTTAVAEDIGRQIITTGRRLTAEDIERTVSQITEKDVMDFATRKLWD QDLAMSAVGSIEGILDYQRIRGDMSRMIS PEX2_012710 MSILITGAGGYVGQELAASLLESTPATTTVTITDIVEPEIPESA AQHASRLNCVKADLTSPKEVDELISASNPYETVYILHGIMSGGSEANFELGIRVNLDA TRYILDRLRATMPGAKVVFTSSLAVYGPAPSGFVIDETNFPPMPSSSYGSQKLIIETL LNDYSRRGFLDGRCVRLPTVTVRAGKPTQAASSFASDIIREPFHGKKAILPVAKETEM WICSPHTVIKNLIHARSVPKEAFGESRSVNLPGLKVSIQQMLDALLEVGGKERRDLVE EQYDAATDKIVQSWTPRFDTARAFELGFAADISMEENIRQFASRFV PEX2_012720 MSSFAPRGRGGFGGDRGGGRGGGRGGFGDRGGRGGGRGGFGGRG GGRGGFGDRGGRGGGRGAPRGGRGAPRGGRGAPRGGGGAGGGAKVVIEPHRHAGIFVA RGGKEDMLVTKNLTPGTAVYGEKRISVEGPSAEDGTVTKNEFRVWNPFRSKLAAGVLG GLDDIYMKPGSKVLYIGAASGTSVSHVADIVGPTGNVYAVEFSHRSGRDLIGMATHRT NVIPIVEDARHPLRYRMLVPMVDVIFADVAQPDQARIVGLNAHMFLKAEGGVIVSIKA NCIDSTAKPEVVFAKEVQKMREEKIKPKEQLTLEPFERDHCIVAGIYKRTAA PEX2_012730 MRFAPLIICLAAISLAAPTNFLDNAYEWSDELAEFYGEVSQYII NAKHSIRAPGACDVSKIALPSYASELTSPSGLKARYVALGRGTQNYTCADSTSKSTPA AIGAVARLYNATCIAANFPDLLEKLPNIAYKIALPTNEYASFPPANLELLGHHFFYDA TTPEFNLNTTPEKQDGIVMTKKGGAIDAPSGSVSGKYGAVPWLYLTAIDGTVGNYKSV YRVDTAAGSPPKTCKGMPAAFEMPYAANYFFFGE PEX2_012740 MDSFAITEGLVSQDQHDSPPESPISSKLTEETNKFQRAISAWRG IDLTNTLAKLDTTATDLVEQQRDALVQRKDLAQKTKNYKKLDDESKLSEYKTLLKSYQ SFIDLLTNQGKTSSSSFLQLYSSLSEAPDPYPLLEASVDSLVLSEDTVPKLTSERDQL QRSVDRLTRQQEETEKRLQEERAARKKLEENQESRAKEIEASWEAVLAEKTNNWAAKE KTLEEKVENQDRLIKELKASYEVSQRLGEGENQGDDSEGSRSGATAAELELVSADLEK TSLRLADVEARNEQMRLELAQAASHASGPISVEDDPDYLRLQSENSSLLRKLDAARFD KDSERHTWEAKLLQSERTASKAAAEKEELRVRLAKVADYDEIRRELEMIRSIELAAGD DDEAGDGAGDGAPVDANGEGAKSKDKNSLEQLLMARNKKLTDDLTLLRVSHRDIQGQL EALRTELSTSKGELEKSRTLSTTLENDLLRVQDEAANTFPSSAMSIAGTYTSRYPHST RRGASSPTSSIISGFDQSAVSANTMESIRAGEAVGGGSGLLPMIQAQRDRFKKKNAEL EEELSKLYATVKSLRQEVASLQKDNLSLYEKTRYVSTYSRGQGASTSASSLANTPSST SVYTSADTPSGLSLDRYQNAYEARISPFAAFRGRESTRAYKRMSLPERIVFSLTRVIL ANRTSRNLFAGYCFALHLLLFTVLYMMSTTEIEKHSAMGAVSSVAAAAYGSSSGGKGG AGSGGSQLHGDEWQQEGFN PEX2_012750 MASDDGIGPRKRRKVSPPGTVPYLLHSLFEDVPLATEHSSDVYI TCVEYWNENLYIGTSAAEILHFVCLPPASPDEAAEPTFILASRLPILFAQSPPAGSDQ EGVRQIVVLSSVNKACVLCNGTVTFYMLPELSPAFGATKVNNCRWIGGLDLNWDAEEG EDPTIMIAVQNRIMLVQIGDEARRIKKIEFPGCLTAARRGTIACAADTHSYSLLEVAH QQKIPLFPISSSNEVFESGHVEDMNPPPRTPLKRSPSSSYPNSPPIDASGHGRSTSLN AFVGMLSPQAQAAQLDRSPSGTPDPFTSTGEPRRSSSEEREGKSSPKPPSNQEPGNKS PTNDSLKPLPPLPPPTKQGSKRLQPHVVSPTPSEFLLVTGTEETEPGVGMFVDMDGEV VRGTINFHRFPKSVVIDTNEHDEMFQTSEDAKEEFVLAVIEDEDGDAGKSRTRLEIQR WDDDPGESERTKSWLEIPSPGETQSTQVGLRHTLSPSHLGLNQIGQLLRMVRLKTSAL PPHVSVTDSRTQASIEHSQKEKELFDSQESTDSDGSKKSDGSASQGWEAQRDAEEAKF AHGLGKVQSSLVMWSGSQIWRVLQNPLIVQLENTLQRAQETDESEHTVLRRDVILDLL LSVQDTEPKTEAEFIGLSYVKQKASLMLYGDLLSMQSNDRNTAAIDGTEKALLAGNLD PRLALLFIPLLRCEVLQGPQGIWIHAGLASMVQKYIEQVGKIGVESSGLDASRSPVLN MVKRFLLSWQQKRGYGSITDETFVLDSTDAALLHLTLEQDAYLTRDQRAASPIRPELN RLVDNWKGNFDRAVMLLETYKRLYVLSRLYQSQKMSRNVLKTWRRIVDGETDAGGEVS ANGVEMQMRRYLVKIKDVQLVEEYGSWLAGRNPNLGIQVFADNASRVRLEPADVVTLL KERAPNAVQVYLEHLVFAKNYTQYADDLISYYLDTVLSVLESSPEARTSLADSYSTYR ALRAPKPTYLNFIIENTPTEPWWQSRLRLLQLLGGISSSQFTSQPLPTGISYSIPNVL TRIEPFQNELVSECIILDGLQGHHGPALRLLTHGLGDYDSAIRYCLFGGPRSSSSSST GTPPDLADYTLQRTLFRHLLDEFLHIEDLSDRIERTSDLLARFAAWFDVREVLDLVPE DWSVDILGGFFVHVFRTLVSQTRETRIERALSAGLNLRIGAEYIDSMEKVGPWVEEAE GVRRLKDAGPRQVSLPTDDHGDDASDDSAEFGETVGPTSGEVDQ PEX2_012760 MSERGSFRGGRGRGGRGGQGQQKSGGGGGAQEKPKKENILDLNK YMDKEVQVKFNGGREVTGTLKGYDQLMNLVLDNVKETMRDEEGNTTTRSMGLIVARGT LIVLISPADGSEEIPNPFLQAEE PEX2_012770 MEAHPPTAESSHKRPRSPTADHGVSKMPKTHSNHLQINYLARQY PDNLPLVSVDDTMPAIIHLLGEYDGVLHRHESIAGNLGACPLGPILIKRFERLFDGPP QVLKSHGKDGPTVTWLDVVEFAKSKPEQFNLEKSRNGVRVCQFYTKQCRVEISEEDFV LIASGMPQKMIPPQPIIEDEEKELGALEILEKNLHHIIQMADQVSARARQLNHRLKNR RNAIVTRRENDASLHGQSRNVTEIWRDANGHGPGNGHASSHPSPSGFVAVNTHRPEGE HTEENQNPLSTQFMFSQSTDNVTMINGQSIKGASPTTRADLMKRFFTTADRHARGYDD ATAPVNPQPLPRPRASDPADYSLYNPVTVTATATPAATATTTPTTTAVAIPSTPSSLL PPPKSIHQEKDDGGPFKLEMIARMEELQRGERVIPPCDRCRRLHMDCLKNLTACVGCT KKHAKCSWRDVKEDEVIAMRAGITTSTTSHERPDNDRSSANLTPPQFGMGPLPPISAE REHPREPPFEPEMKAYYYHNRRESAPSAPNLAPGSTVPMELPSSDNSPRRAASETEKR DRPRFPPGRVFDRSEDDDPDANQRLRQAILDTVDHHTRVAAAVQERERGAERAGEHQA IVLPAPNPAPGSGGAYDREREREREREREADRDRDRRMVHA PEX2_012780 MDPSKVKIPPMKDQTVENITDNVITINSLCEDERMKYVLERLVT HLHDFARETRLSSDEWMTGLRFLTEVGQICTDVRQEFILLSDVLGLSILVDSIDHPKP KGSTEGTVLGPFHTHDAEEMPAGDSMSHDPKGEPLLVVCTLRDLQGLPIDDVKIDIWE TDSTGHYDVQYAGREGPDGRCIMRSDSTGVFWFKAITPVPYPIPHDGPVGKLLEKLHR HPYRPSHMHFMFEKEGYDHLITALYLRNDPYETSDAVFGVKDSLTVDIGKADAEIAKK YNVPEGHPLLTYDFVLVSDEETSKLRAHNSKVALDKLGRKVKIVNGLPVPDLD PEX2_012790 MRSTYLFLSVTASLASAFVIPDESILAEIVSETRAANDHLSKAD VLDISQDEHRGWGRNRDWYDHDEYPWHGDWPGYDEDPHGRWPGGDRPIHGGWPDDERP GYGRRPEHGGWPGHRDDDDHRRYPSHPRHGECRGDHDGHRHHPIHRPIDACPGPLCHA DKTTWELIKENEYTSRLAELLAEDKDLIEILNSTTANHTFFALTNYALEGLPRRNGPS PKFMSSLLRYHILPGRFTIQHIIGHGTLPTKLTEPALESDLPQRIVVREHHNRVMLNE RSRVVGADMKTKNGIIHIITSPLHPPPETRTVLHKAPADFGIFTLALKRTKLASKLDP AQRQGGTTFAPTNAAFRRLGERANRFLFSRQGEGCLRALMQYHIVPNRTLYSDVLYGS NGKAHRLFSGHGSGDGHGGKGGGEGGLEEESANVRLGTLLKDRDLRVDVKMEFGEVDL RVNGFGRVGRLDLLARDGVVHVLDRVLVPSRDIQDKDEGEDGEELMIEELVERLHGCV YGGTRSQL PEX2_012800 MASAGKPPLEDARRTTGSPKMKSRENAKDTLCRNVTIYGRCRYE DKGCAFNHDPTKLNTNQSDSKKRFNVDSPSFTPSLLSGNGVSAPKKSTAISPKAASAA PFQPRTTSSRSNTSTPTTRSEAVQDWAVADVQEFVPQGFEHVTPRYFTSHLPQADPPP IFSAALYRRRANAHNQTPLQGNGNGGITPTGAFDPFVTTPTPMTPGAVGPVSTNPYSH DPTGAMGGAFFANQTGFQQPIQYHLYAPIGPHNQNTLGYQRNVHDLFIPNDLREELQK KSAATLQTLPNTQLPAQVDYFHSLVPLDLSHQKNAATFGYPSWIYKAQSSKDGTFYAL RRLEGFRLTNEKAIRSVQAWKRVCNASVVTIHDAFTSRSFQDSSLIFVTDYHPLSKTL ADQHLNAGTRFPGRHTNAHIPEQVLWGYMTQIANGLKAIHANGLAARVLEPSKVLLTA KTRVRINACAILDVVQFDTQRTVADLQRQDLVNFGQLIVTLGANSPTVIHNPTKAMEH FTRSYSPQLKSSVFWLLNGLQKDQERTIDTFITGISSQLMSTFDSALHLDDQLNSDLG RELENGRIVRLMSKLGLINERPEYELDQRWSESGERYFLKLFRDYVFHSVDSQGEPVL DLGHVLTCLNKLDAGTDEKIHLVSRDEQSSFIVSYKEVKKALESSFQALMKPARRMH PEX2_012810 MSQPEVDLDQLSDSEKSTLEMYMAVTSQEPSEAIPLLRRSQWNL QIAISKFFDGEGPDPLEEARAAMDRPPLPQPNRRTQNLMTDDLTEHLSRVTRATATDL APRVDTQPGDQPTYRPPFILSLLLTPFNLVYRLLCSSFRLFGVLFPFLPRLFNRTANP ALQGARRNTTGRRSLGPKDTAARFIREFEEEYESNPIPFLENGYNMALEKAHRDLKYL VVILLSLEHDDTNSWVRDTLLAPEVVEFINDPQNNLLVWGGNVQDSEAYQVANSLKCT KFPFSAVIVHTPNVSSTAMSVVGRIAGLTTPSEVVNKLRTVVTSNSEPLERLRSSRAE QQASRSLREEQDSAYERSLAIDRERARQRREAEMERQREEQEAAGRQAAEEQRRRNLA QWKLWRGQTLSAEPGPDVKDTVRISVRLPSGERIMRKFAPDADIEEIYAVVECYEILQ EQDTERPVASAPEGFVHQYGFRLVSPMPRVVYAVDEGGSIREKIGRGGNLLVELIDEE DDTDDDEAVTDMS PEX2_012820 MSLLGTLNSNITGGQDVQETHVANMEARRHDPTASVSTDDTANE KSEIGDEEHTEAEVTRLAQQLTRQSTRFSVSSQHAENTFIEVHEDSTLNPSSANFKAK NWMKNLLAIQSRDPERYPKRQAGLSFKTLSVHGFGSPTDYQKDVANSVLQIGALFRAM AGTGKQKIQILRDFDGLVKSGEMLVVLGRPGSGCSTFLKTIAGEMNGIFKDDNSHMNY QGISDKQMQHQFRGEAIYTAETDVHFPQLSVGNTLKFAALARAPRNRLPGVTREQYAE HMRDVVMAMLGLSHTINTQVGNDFIRGVSGGERKRVSIAEATLCGSPLQCWDNSTRGL DSANALEFCKTLSLMSKYSGTTCAVAIYQASQSAYDVFDKVTVLYEGRQIYFGRTTEA KEFFTNMGFQCPDRQTTADFLTSLTSPAERIVKPGFENMVPRTPDEFATAWKNSAAYK ELQKEIADYDAQYPIGGESFDKFVESRKAMQSKGQRVKSPYTLSVSEQVQICMTRGFQ RLQGDYSLTISALIGNTIMALIIGSVFFQLPDDVTSFYSRGALLFFAVLLNSFSSALE ILTLYAQRPIVEKQARYAMYHPFAEAISSMLCDMPYKILNAITFNVTLYFMTGLRQTG GAFFTFLLFSFVTTMSMSMIFRTIASYSRTLSQALVPAAILILGLVIYTGFTIPTRNM LGWSRWMNYIDPIAYGFETLIVNEFHGRNFTCKPESFIPAGDSYADVGRFNKICSSKG AVAGQNYITGEAYYTASFQYSNSHRWRNFGIMIGFMLFFMCTYLVGTEYISESKSKGE VLLFRRGYAPKHSEDDVEQTHAVSSAEKKDGASSDGEQTSAAIQRQTAIFQWQDVCYD IHIKKEERRILDHVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVTMGVVSGEMLVDG RPRDQSFQRKTGYVQQQDLHLHTTTVREALRFSAVLRQPRHVSRQEKLDYVEEVIKLL GMEHYADAIVGVPGEGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSGLDSQTSWSILD LIDTLTKHGQAILCTIHQPSAMLFQRFDRLLFLARGGRTVYFGEIGEHSSTLSNYFER NGAPKLSPEANPAEWMLEVIGAAPGTHSDIDWPAVWRDSPERKEVHNHLAELKNNLSL KPVATTDNDPTGFNEFAAPFAVQLWECLVRVFSQYWRTPVYIYSKIALCSLTALYVGF SFFHAQNSMQGLQNQMFSIFMLMTVFGNLVQQIMPHFVTQRSLYEVRERPSKSYSWQA FMSANIIVELPWNALMSVLIFLCWYYPIGLQRNASADDLHERGALMWLLILTFMIFTS TFAHMMIAGIELAETGGNLANLLFSLCLIFCGVLATPDKMPHFWIFMYRVSPFTYLVS AMLSTGTSGANVYCEAVEMLHFEPTAGKTCFEYMDTYINGLVVNGTQVARGAGGYLLD NNATSDCAFCTIDKTDTYLASVLSYYSDAWRNFGIMWAFIFFNIAAAVGIYWLARVPK GTRSKKTKTA PEX2_012830 MAATHSHIAARGYGSNATYIYEYSRGLGGVDVPRDVIFTRIIYV TLSVVAVALFCGRVAQISHAYLRQITANSADKRQQTFWAQEQSTWWPNVKKHVLYAPL GKKRHNRELQLSSAVNVGTLPSRLQTLLVALYFATQVAYCVILDYSVNNKAALVAELR GRSGTLAVLNMVPLFLLAGRNNPLIAILHISFDTYNLLHRWLGRMVVLESVAHTAAWA VNAVDEEDFSRMLVRVRDTPFFLWGLVGTAAMVFLSLHSPSPIRHAFYETFLHLHQLA AVLAFVGVWLHLKLDNLPQQSWARTIACIWLGDRLARLFRLVHLNISTSGTTTMVVEA LPGEACRVTFHLPKLVQIEPGCHVFAYIPSISWWMSHPFSIAWAEPSTSNTAALIPSA TQPQTQTLGKGYNDLEKQSDLPTKPTKPTTQVSLIIGAQKGMTRRLFNLANASPSKTL TLSGFIEGPYGSHPTNPSSYGTTVLFSAGAGITHHLLYTRALVTAAAQNTAATRKVYL IWSVRSTDHLTWVSKYMDQILRLPNRRDILVVKLFVSKPRRAADIVSPSATVLMHSGR CRPDVVLDEILPGRTGATLVSVCGPGAFADEVRSAARERIGKGAVIDFAEEAFTW PEX2_012840 MADVMSLFSLSGKTALVTGGTRGIGQAMAFALAEAGADIILIQR DTTNTSTKDEIINRLGRKAWIHVAELGDRQAVKGIIPALTSQGLKPEILVNCAGIQRR HPAEKFPDEDWDEVLEVNLSSVFIMCREFAAYLLARDASEFPQGRRGSIINVASLLTF QGGITVPAYAASKGGIGQLTKALSNDWLAKGINVNAIAPGYIATDMNTALIADADRNA GIMARIPAGRWGKPEDFKGAVVYLASQASSYVSGEILTVDGGWMGR PEX2_012850 MDEESPSEASRRDIAKRVSRACLHCRQRKSRCDLDSNGNPGKPP CQRCVRENRECVLGGSNRGGRRIRKNKIKNFTPANQSPDKDSEASSPTNSENRRVQSA SYPGPLVFLPPNPPAPASASVEEDDTSISSVPRNPSDAWQCLTGIATEGTGAIPEVHN DHVRAEPTAFPAYNSLRNGVVSDFSNQTTGIRAYRLVQTCALDPETVWQLISRYAENF HPYLPLVPRKYFTRTALDAFAANEKHLLTAVLTIASKDLVDQPEIHEYCSKYMHELIS GIAAGAECDVEAVEALLLLAEWEPQGLRPRIERVGRGEEDRAAWMHVGLALRSGYFIG MDRTSFRGDPYGDHESEARRRLAWASCYVSDRLISVRIGRAFWSRGPGPMTGLVSQDF PSLQPVNEGEEDYAKIFQAMLDLTQLYGNVHEVLYSGMRTSNQMMLMGDYVKYVDDFR LAILRWKSLWGSLDCSPPMRATLQLSYEYLRLYTTAFAFQAAISQSLVKPKTDLQGQR EHLRSTFKNVASMQDSRFIYESVDAAKSYLTILVDLVDPEKHLHFMPLRFYLYGIYSA VFLYKARSFGMMVPSEEAKVQGLVIRTTEVLKQASAGTDDVGSRYARLLELLWKPKST NPSEETQQSSDFSLQTALPNPVADPGYMQFSPANDFSWLDLEAVGDYVSGDQISGGLL GLDAFQNASDMYQSGEPRSQSWQPSTWMGDMSSSLLF PEX2_012860 MSFNEIQGRLALITGASGGIGAACAHQLAQHGVHLALTYATNLT AMNALVTDLQSKYADNKLRISIHKVDVGSADDIETMFQQIDTEHGHRPDILISNAGHG KRIPQIWDCSLEEFDYTLHVNLRASFILVKGVVEHMKSQNWGRIVFMSSIAAQGGGIN GCHYAASKGGLTGMMKNLSTRLAEFNISVNDVAPAMIGDTGMIPNAAAIPDVAVGIPL GRLGTPEETANVVTMLVKTGYMTGQSLLLGGGLK PEX2_012870 MANTTTAALVLHGAKDLRLEQRTISAPSPKEVQVAIRATGICGS DLHYYSHGRNGDFVVRAPMCLGHESAGTVTATGSEVTNLKVGDRVALEVGLPCRTCAL CRQGRYNICKAMQFRSSAKAFPHLDGTLMERTNHPADMCHLLPDSVSDAGGALVEPLA VTLHAVRRSHPPSKEEVLQNRAAGEETAALVFGAGAIGLLLAGALAASENFSAIVVAD IDARRLKIAEDMGLGLKTALIPRAETPPPAKDAPHAEQTAYALENAQNVAAKLIEAVG AEDGLVVNGFTRVYDCTGVPACVQAGIYASAPGGVLVQIGMGNPIQTLPVGAAALREV DIIGVFRYDGSAYPAAIALLASGKVKSVEEKVVTHRLKLEDGERAFSLAGKGVDEEGN PVVKVIIESRRGGSGSL PEX2_012880 MATQSALLIGEITHARKEWESISSLLTLKEFPSGTREEFIANCK AGKYDDVVALYRSNNSTKYTGPFNAEMLEVLPKSLKYICHNGAGYDNIDVAACTEKNI AVSSTPVAVNNATADVGIFLMIGALRQAHWQGPITAGGKEYRTTLGHDPKNKVLGILG MGGIGREMAIRAKAFGMKIQYHNRSRLPAELEVGATYVSFDELLASSDVLSLNLALNA STRHIIGANEFTKMKDGVVIVNTARGALIDEKALVAALDSGKVRSAGLDVYECEPQIE PGLVSNPNVMLLPHIGTGTYETQKEMEILVLDNLRSAVEKGPERQERKKPHQIWWLKR EPDDDEKRQQLITEDHHTPLANSFKMVRYAAQEISEAKSARARGSYLRVSFKNTRETA QAVNGMKLSKALTFLENVTTKTMAVPMRRYAGSTGRTAQGKQFGVSKARWPVKSAEHI IDLLKNAEANADGKGLDTSALIIKRIQVNQAPKGRRRTYRAHGRINPYMTNPCHIELI LTEAAEEVKKATTDKQVRLSSRQRGTQIRRALIEA PEX2_012890 MTNLSDYRLLCFDVYGTLIDWESGIITALAPILSKSTTQFTREH LLTTYHDLESTQQTATPDLLYSDLLSAIHPNLAARLGLNPPTVEESREFGNSIGTWPA FPDTVDALRRLSKHYKLVVLSNVDRASFAKSNAGSLQGVPFDLILTAQDIGSYKPDPR NFKYMLSAVQREFGVGPGQVLQTAQSQFHDHQPARKTGIKSVWIERSGALMGNTGDPI FDWRFETLGEMADAVEAE PEX2_012900 MKRFLGSLSRRSSEYLTVSMSPRISADLYLNIGSSDSVEHREDS PEAIVLKELTAFCESNANENANGSRDAQGTEFVHLPRIVEAAESSPNAAKEAALRIRK YLSDPAGTPNNTQYNAIMLMRILVDNPGHTFTRNFDAKFVTTVKELLRTGRDWHVQSY LREYLDTLEQQRAWDEDIKLLLQMWAKEKTKASHGLIDRFPMNSVVPPQVPARPPGHH QQTYRQSRAPANSLPNPVELAARIEEARNSAKLLTQFVQSTPPVELEGNELIKEFVDR CGTASRLIQGYIHMNNPAPDEDTLLTLIETNDEISVAISQQQRAMLKARKITGSSSPT SSNLNSPSPTSQTVAPAATSFSPPPGPPPLIETRSPETSAPVAAQLPSPTMTGGRPLA SHPVSGSATTGRYEYNAEDFQVRNPFADDFATNDSDERNRVHGNSQPQSDRVRFQPTE QER PEX2_012910 MSTPLPSKMHSIIQQTASSKVLILTSTPIPTPNHTQGEHLIQIK ACSPCAGELLWPKNFPPSKARTLIPCPDMAGIVISAPEDSPFQQGAEVYARTNYSRPA NAREYSIAVTDELAHKPKGLSWVQAAAVPVSAQTAWQVLFVHALPSGNGEEIDMDAAK AVWAGKRILVTAASGGVGIWLVQLATLLGAEVVGTCGSRNVELVESLGAKEVLNYRDV DLKEWAAQSPSNKVDVVVDCIGGKALADAWWTVKDGGTVLSICHPPMQVRPDGFEGEG VHDLFFIMQPVRRQLEEISKLIKKGMCRGLVDSVWPLEQYEEAFKRLDGGHAKGKIVF DLSLNH PEX2_012920 MKNFTPALAFASIISLVNAHGFVTSPAARQPGTAMGAACGKQVL SNQESDKYGNIQGELQVAATQSDYNAAECDIWLCKGYKFADNKDNVQSYTAGEKVDFT VDIRAPHTGVANVSVVTTSSNKVIGAPLISWDVYASTATGVTANETSFSVTIPDDLGS QCATAGDCVLQWYWYAESIDQTYESCVDFTIGGSGSASAASSATSSAASSTSSATEIA VTTTPTAAVQTPTTAAAESTTSSTTVAPEPSTSSTTVAAQPTTFATTARPSTTSAEAQ VPTASAASSTSASALPFPTDSASHVLSWLEALVGNLVGN PEX2_012930 MSQQAKDWSASQYLKFENERTQPARDLLSHVPLTAPKRIIDLGC GPANSTTVLATQYPSATITGLDSSPDMIERAKKVLPDRDFHVEDLSTYSPDPAKPVDL FFSNAVFQWLKADDRIAVIKRLLQPQEKGAVFALQVPDNLNEPSHLLMVETAAEGPWA EKLAGVQRDGFQSPQELYDLVKPFCSQVQIFETTYYHALESHEAVIEWVKGTGLRPFL DPLEGAEEEAFLKDYLRRLQDAYPVSVDGRVLLKYPRLFMVAVK PEX2_012940 MSNPYFDIEYTSANGQVENWGRIVFKLYDDVVPKTATNFRALAT GEKGFGYAGSAFHRVISGFMAQGGDFTRGNGTGGKSIYGEKFADENFQTKHTKGGLLS MANAGPNTNGSQFFITFVKTPHLDGKHVVFGEVVSGQDIIQKMESKSLDRSGNTDGTI KIAASGTV PEX2_012950 MVKEQTTPKATKPVKAGIVKRTPVKASPANVSSAAAGKDLLFLW KCIKLSSGVKIDWAAVANDAGKTVGTVQKQWSRLNIKLEKIVQAAAAGDSDEEVDEEV DEEVDEEVDEDGDLVAASNDDE PEX2_012960 MHRDTTTTAKAAKASLANDAADIENDVELENTAGIPPEMKRNFS LWSLLFMCCCTSTTWEALTSTMSQALSSGGSSSMDRLVDYKLVWRDPIPTWLSKSNKL AVMGDAAHCHLPTSAQGACQAVEDAFCMASCLQNAEGDVPLALQVFERIRFNRSHVIH MSSISNRDAAHSTDWTPELAAKLPDSVSIPHDDWIIEYDVAEETEKHFSRIAEEVMSG KQGTIEELSLPAGGSFAVLDEIKNRKDEPKGPILETINKQEQKQAAT PEX2_012970 MAPLSINEGTTTALEHELTTMQQHRIGNSSDEEGQPPPRYTPES DPFQLASKLKTEDEIRQMKANTSRKRDSTAASKSRKVGSIVKDTALLGKQAFVTKKLQ GFYESQNENIERMLKPVEEHRRAARELSVDNRLKYRIAVYGSFAANVILSVIQVYGAV SSGSLSLFTTMADAVFDPMSNLTLLLCNKAVNRVDPRKFPAGKARIETAGNICFCFLM TAVSFIIIAFSIRELVSGSEEETQSFHLPSVIAVAVAFATKFALFLYCWALRNQVSQI RILWEDHRNDLFINGFGVLTSVGGSKLRWWIDPMGAIILSVLVSALWLHSAYGEFQLL VGVTADTKMQQLITYISMTHSPAITAIDTVRAYTSGPRLLVEVDVVMDPEESLRATHD VAEELQIKLESLPDVERAYVHVDYETTHKPEHSLKKEL PEX2_012980 MAPVKYTPPPTPSPPASCYAMSDDEEDEYNTIAQAASSRGVKLL FSKSKVYVHPTSSSKDNIPGFIALIQQKPLPASHASASQSKNPDTPSYLLAWVPESSL GEAYDTYVKVDLSEGDSPPRQKYLVPPLPTTTTYKDPIGLYSFAVPLSEIYSLLVRPP SLGWWFGSLVINTRAGDGFPALFFHDNECESTILQKRKKVKENFDPFGKEGGLFWGGD EVLRWLRRYVEVQRSAVDTNVYLINPSDEDQLSFGQPAIHGDTSISAKAQPEAAAGSR AEPADASMDPFMKTLKETRWKVLEQLSKITTFTRRTANEIAENPRIPPQMRRLMKNPE IQTLQDEFDSARVYLARWAMSVAEQSDKERNQRIWTAQDMLEMENSSVGDFEILELET GNLALQERRRVLQLQEWEGFFDPTSGRLQVTVEEVKERIFHGGLDPNDGVRKEAWLFL LGVYSWDSSREERQAMMNSKRDEYIRLKAGWWERMVEGNSTIEQFDNWKEQKNRIEKD VHRTDRTIPLFAGEDIPHPDPDSPFAETGTNVHLEQMKDMLLTYNEFNPDLGYVQGMS DLLAPIYAVMQDDAVAFWAFVGFMDRMEHNFLRDQSGMRGQLLALDNLVQLMDPQLYL HLQSADSTNFFFFFRMLLVWYKREFDWSDVLRLWETLWTDYFSSSFHLFIALAILEKH RDVIMDHLKHFDEVLKYINELSNTMDLVPILTRAESLFHRFERSVQAIDKKDNFPAAP AAHQRRPGATGQDAPSGSKGKSPQPTVGSSSGVSAGPSNLQKAIDADKPKVISPELRV LLRKDIPWKRQQTS PEX2_012990 MAPFGDKNPDGGLKSAFRKPTPEETPKTKSVDEIWSEAMEEIAK DYSLSSKELEKWDGKLGYGETGTEKAQALFANSRHPGDKKDKATKAVGNCLEWVENGL GFVKNNISGTYAVPVQILTGSISYMVKAAQNVSDDFDLIESTFETLDNALAEIGDLRR FNFKSDSITFLERLTAIFIAMVKLCALSGKVFSTSRKERWFKTLVGGRDQKIQGACAN VTKAIQAFRDMVPLQTLGQIQMMSTAMQDMPDRFVEQLQTKLKYSELQPLWGRSQKLL DQIKDPFKRCNLFHPEVEARMEAQMGLVDKQLVGGTFSWTEDNSTYKAWESGRKAPYL FILGSAGSGKTFFACHCYKSIQKHSVKVIATSEDSSERKRAPFVTYFPFKIGREESQQ LGNILAYTILQVAMQDTKLRESIAQDLNTSKHLFEKGKDIDHERTKFLWQNLLVAKFE RTPEASRELFIFLDGIEVMNESDRKMMLDLFQGLSPDKCGIRILMTGTESVLISKKEF GLSGCPKITLDERIRKEKDIEKIMQFRIQNSERLKSYNAKVWETVEQKLLDSPNPIST VDITMTVMDQADDENGGMEMIKELTKQNGLYEAMIRSVLIGKSDKEREFFRFIFALCT FTQRPLSIYILQEFAKQESMFRNSNLDVVYQIETRLSNFLYVTNTSDEKLAPEAGQGE EKKEDKVAISKQQMVTFRQASFHEYLKNNTNDLIPDPLKSKVSFFVKLTNLLCGKDTD SAGLRDVFQDYAARWFMEHLKDINVKKADPTEGCQVVEAITRVFRNDGDVSRLFEDIS SDSDYHSDGADIYDISTDAESSGFENLKVFREWAKKMNFHDEEDLSSQAKEWIEGTIH EPHKMLEILARGHFQRWTETKIYEEAKIPYNFLCRALQLRQGRSDDFEQAGITKIKSL LEYAKEGEFIQTPIQIARCQISAALVLHNGSFDEEDREFARELYETNLQQKKTSGPEK FYSHLGLAEHFYRANQADSEDTEDDKPDQRWNLVLEHADEALRAWWKEKGALGSDLNE ERCIKAFVLKALSLNQLKQDEEAINTCHRCLEEGFQYNPQISELLSLLVDIHSKNKNW AKLVSLVWQQKQNVQAEFLNHLFYELDTLDKAYLLMEAAVKSNRVDYLIRMVEGAREY AKEKDGPRLFVMTWMLAYIYRIVAKVPRMAEHLMMNGVPDSDQYSTAHAYFAFPGLVT IYQEYFMSACSEKAQLDLIRKLELAIKSYEKNILQDNGTLSKAELALAKMYLGIGNTQ QVEAHLNRAFDICIVDLKDSIDSNDQNAFRALAKVLAFLGLETEAQVALSLMCSRVGG SGDELPLPEELNMTMSSPDMDDDNVNQPEENGGTIGNINTTKATTDEDATQLANTDLD QENKDPNIMPLANVDSTPDQKVEDESESPNSATEIPHSPSSEPHENQKVIGSEEQPKK PKKPSFTFSQDLNFEESGISCDGVCEPKLNISSFEPGGPKLMYCLDCMDVDFCEACHM KQINFFDKGEDGFWFKCCWARHEYLQGPIDGWLGVKNGFIYMENQVEDKVEIYKVQFG DWLTSVESRWKKRIKTPTVWDELRARQRPQGLF PEX2_013000 MERRAVFLKSWYLLGPVTRFQVVGEHVPYEVAQQPISAVRISGE GLNPVAEELKVVCAKTGKELRSHLTPTGLLFSTISDDAPNFHEFFPELEELLGKVDFT KLPHRRSISYEGRFNWKTMVDGYQECLHCQYTHPSFSKYYPPTFYTVRNKQNFSQHIA DPNKLDDGLFLYFFPNCTLNVYGGGMSCFRVCPTADPHVTRMEFDYFHLESGEKFEEY FKFVRQVAMEDFELCEKTQSNLAKGVYHEGILNPNKENGVSYYQRRVFDMVCEQHDSD RTPKIAKESGMEEHVAPTMVQMAA PEX2_013010 MESTEANYSTSPASILVVLVTIIFLLHRAWPHLFQTKADKVTES IAITSPRNLDLTVSKEPEIPEGWWSGREVFELERRALFSQTWLYLAHSSQFHKPGAYQ SFDVAGFPVFLIRGKDDKIRAFHNVCRHRAYTITRKETGASTVLGCRYHGWSYDTTGR LVKAPQFDDVPGFDKSQNSLFEVHTHTTDQGMVFVNLNSGEPAAFDSQVPSTLSGFAH VPGLEAKSSWVSGQTLSGDFNWKVGVRACHLDPYTSEIHRRMSEVSGPSLIRKLFRSI MGNSTREDCSLFPITFLYAFQDADLWLALSFFPASESKTHIRYDLFACSAVSEPDIKK MSEVLQSATKNLIAEIELEYQSISTKQGSPGELNYTDTRQILSRLKEHTKLERIEGGQ ILPAMHKPEGSTQFQKADQLCKELDCVSGGSHNSTSPSALDW PEX2_013020 MVGFDEEMLESWGPAVWGVIFDRLQSGNVKGGVLQVAWPSKVDK KTAAQQIQWATKLPLAQLPQKALEIIFDRMVGFSLGVRYMEEKKIHAIHGLVGNNGPL KASVEKWLRRAGTVEKWRELIRSYTNFEDAMGHLSDCTTFPRETDNHPFKTHPAYNAA IQCSSCLEFLVSFGIVTPNGYDLSGRSWLEAGLNGPNMDLLTYIVSNADPQHLIKPRD IRETRENHILLSLVGVGAFSQFVIALNRLRQAKLVPIEELRTIFHEAAMHEFCAVAPV PVAEALYQHGINIGNVEHQFHDLGGQLESSWHIAAAFNPAGADFMKWLDKFSMLNAEV RNSENMNPLMYAACFDELAAIDWLCQKCDPMQPRLDGGPQGYALICAARSSYLHSGEI FSIILSHLPDALFENEYGKIFGTEIAEGLASHKRKLADGRTTDPTQNVMELLAVRKMQ ALVRRLSNFWPGSEWHLALEAFIRDNDLSILRHSIGTGTRLLRSSSRDTSRTRRSARY PQSPQLRRNSEQDVLLMYDDSGLVSAARAAAIRRNRPSHVTARSGGRQTLGPIRDPSN MVTKSVRRGGSNRRS PEX2_013030 MLGKLPSIAALLALSLSAQASLIFKNSGTLSGWDKINQEHKGTV KQVTDEVYDSKTALKMTQIYDKSYSGRYHSEVVKDNIYKRGDSGAYGFSFRLQDNWQF SPVQTYAISQFIGDFSDSGCDDWMPTTMVALKGNKLYTRVKQGSVCNQSVKGFNNLAT VTAGEWHRVEIEAKWESDATGYFRVWYDGEKVLDEKNLITTIDGDAAFEFRAGLYANG WHDDKEMKGTQGTRSIWYDEIAAGTELADISG PEX2_013040 MSYWLLLSSSGLRDPSSQKTKTSNQKKLAFRPPSLKLLKKPFGL ETKVTAIQGTVSGQSSLTVNKDLQTMPVRADTAHGPSGAVPRVPGKHMAAETKKTQGQ SHHLGLKEEDIASGGHGDTRSASPQKSLDTMVDLESPQDSEDDSEISPLSDYPHPLLS ASRLVRFFPELSSHFAVVSPVSADSTMDRSTGPSFFERELEERVQTLYRGSADVAPDA HRSADQPQITLTSSGSDETLDCASSCYSRRTSVTSVGSTFWGDDGQHPHKTADAFSIY SPVAAGVFDDARSICSSRPSSIVAPCHLHVSKLAHPIPITKKASMNDLKNKPLPLEPS FGPSSAPDRSDDSPLSAISPRVRSQWSTQFSKRDSLSSLQHSTTVSHGDWKHSMLHQL NNQRESQHLCHTCGHSQRQPQRRGRQRSDLVVAGHRARHVPTLSQAAEELEDALAGLT DQDLSHKTLLILDGPLQISRHNGDLIATRPAPLPPSTKPHSETSQGKSTLKNSRINTI KSIGPSPAKEKSHKLSKREAKDRRSGRDKEKEMDEKANAKNPLTSKETIRKTKSKKSF LAFRKQSPAPHNAVSVNSRSEDSLNITLEAHSSPTRDSLLHQLPRLQTNDLRNPFDHV AEQAALSGSLGPAVNLEEKPIASKTRQSWALVSTAQGSSVQLTEQIYELPATPPSPLS TVPSEARRNAPLSIPLPEDMPLDLILSIMQNIDSLDDLFNFALVNKKIYNAFKGRELP MLKNALFKMSPPAWEMREMSPPWEMEWQLLVDPDSQVPEYTPTLYLQRYAQDIYTLAK LKALVLARCAPFLRRDTIRGLAGVDNTRAGEVDDAFWRLWTFCRIFGCGKGRENDLAG QMDWLKGGVQAKNHFTSASTMTQPFGMNNVLFEPPEGFGRGNLSGLSQKQMYDLTEIW TCMGVLLQPLHGKCIEARKVGIFDDMNVPDGDLAREETVLEEWTSYILTLGLGAVLTL SAVCPADTTAATFIKAKSIGLAKWESTETEASRSSFLKEAVSRAYDLQDRALDSPTDI SPQKTSPTENDRAIRERQAGFAHELRCRRQRGLDPDPKGRFSFSAERPMSEFSTIVHN LNASLRDHRPIPSVPALVLDRSSTSTAGTAPQTPTHPSDPAGFFTSSHTQPPAMMPLP LRPQVLDPVDRAIDMMVNELGFNTQDAKWALKITDTGEGIDATAAVQLLQHQRQKNER NPFGQGDILLSDVIKRQKSRDSGWRWA PEX2_013050 MTGPDRASLNRRSSTQHYQTFDTPPPKSRGRPNSGQSESSGDGS HDPHHETVDTPGTSSPLPKRQMAVLAIIALAEQTALNSISPYLPDMASTFPEVEPTQV GVYVGSIASAFALAQFSTNYFWGWLSDRVGRKPVILLGTFLTALCFVAFGFCRTLVQA IIVQALMGVVNGNQGLVSTCLGEITDRSNQSQAFTYLPVLYGIGGITGPLLGGLLVLE TNPFTGNKNPYPYLAPNIVSAVILLMDFVFTAFFLEESLEDADSLPKIGKKVRSLFTW LWQFTGNARHPTYVEVPQAVPYPHSHRDLETEDHDSDLDSASEVSSIIGHHEELSWDE IFTRDTLLLLLTYLIFAFCNVSFNSMFPIFAQAKPPAGRSLTPSEIGLAQGFAGIVTI IFQICIFNRLRDKMGNRWSYRAGLFGFVVSFILLPFIGYKSKTSKGLTGKSAIMAIEL CLVLLIKTIASVGGLTSALLLITNSAPNHAVLGALNGLAQTLSAAGRSVGPFLSGGLF TLTAKIQPKGEALAFGVFGAVSFIGFIMSFGIRGRSLEAEGWGEDSDDGDKSDEDEPS DV PEX2_013060 MLETFEILTTSGVVLWSKAYAPVGAHVINSLINDVFIEEKVVPQ NATADGVSPAYKKEKYTLKWKRVKEFDLIFVAVYQSLLHLGWIDKLLENISTIFIDLY KDQVKGNRARIQTYRFDQYFEQQVRELEDNTGGFVEEHVQEISEKKDPLVSSDNGGPP PPPVPGLVKAQPRAALAGATSDEGTPPATPEISRSSTPQGHLLTAKAGPGGRGSRRSR KAANSSANASSGDEVRKGKSAKTPVKKMRRWDASGMADEDDGEILDYSAPAEEGEAAA PAVEAVSENDWGRRTGKGQFVLKELGEEVQSILDQADAEKSKGTASSGVVGSGFNAIG GFFRNIVGGKVLTESDLEKPLKAMEEHLLKKNVAREAAVRLCEGVKREMIGKKTGNFQ STDAALHVAMESSLRKILTPTSSLDLLREINAVVAPTTKQQAPRPYVMSIVGVNGVGK STNLSKICYFLLQNKYRVLIAACDTFRSGAVEQLRVHADRLKELSVRENAGDVEIYQK GYGKDAANVAKDAVDYAAANKFDVVLIDTAGRRHNDQRLMSSLEKFAKFANPDKIFMV GEALVGTDSVMQARNFNQAFGTGRNLDGFIISKCDTVGDMVGTLVSMVHATGIPIVFL GVGQHYGDLRGLSVPWAVSLLMK PEX2_013070 MYRGSFAPPPAQSPPLHHPVPQHVSTVPMMRSPPPPAPQQPPSA GYGGNPYQPSPAHGGSGSYAPGAPAFGGFMNEPTAQMGFQVGKSAMAAGQEYMENNFN RYVSIPALKHYFNVSNSYVLNKLILVLFPWRHKPWSRQQARMAPTPGASGQILQQQYS SMFLPPRDDLNSPDMYIPVMALVTYILLSVLLAGFRGDFHPELLGSITTTAIAVIAFE ILCLKLATYILNINNDSQLLDLVAYSGYKFVGIIVTMVTSEIFNPGQGTRGWVGWTVF AYTFLANAFFLLRSLKYVLLPDSTDSAMHAGSMQAVARSQRNRRGQFLFVYSYAVQFI FMWVLSREGPSSAASAGSASS PEX2_013080 MADIDVKVASWKLVEVGRLVLIRSGPFEGKLAAIVEIVDHRRVL VDGPSTEEQKIVPRHVLPLAHATLTHFTIPQLPRAAGTGPVKKLWAKNEIDGKWAKSS FAQRTDRTERRKNLSDFERFKVLRLRKQARYEVQKSHAKARAANKS PEX2_013090 MADPRVEEIHDDEVSKTVEESSSESGSEAGDEPNIPAGASVAVH SRGEKKARKAIGKLGLKLVPGITRVTLRRPKNILFVVNQPEVYRSPNSNCWIIFGEAK IEDLNSQAQASAAQQLAASEAAGDHAGHDHEEILGKAKAPETEDKKEDEEDDGEEVDE SNLESKDIELVMAQANVSRKKAVKALRENDNDIVNSIMALSI PEX2_013100 MAARSAALKIDWAKVSTSLGLRGQTATSLQAFKKRSDEARRKVQ VLSEQPQTVDFSYYRGILKNQAIIDELENHFKTFKPVTYDVSRQLKAIDAFEAQAVQN AEATKGKVEAELQNLQKTLENIETARPFEDLTVDEVAAAQPEIDEKTASLVSKGKWMP PGYKERFGDLSAV PEX2_013110 MGPEPSDPLADNPCSRLELLPVEILQLIFLHSLEVNLPRASPRL SEALSTPLLYTWLIRLAFSSPNSGSREGFFTPDFLPPPLDFWALSWKERQQLQTDLLA CRWCTFPLLRKCQREYVAHAIQRKCTGLVFHPDDQEVLSNLDPRFENLEACDWAVLGR RGKGDLVIPAQLGETLRTPSSRTVDRKVAIWFHFGAVQIRKPHEIYHENDLFRLPCSV AIGPGRIPDKVLRSPWSDAQFEFLQLLSSDFYLDEDEARPDRSSDITYRLIRTRKIEP FSRLLRISFRAANCRVPVRWPLQHSHYSLVRRCGSGPGDPFANVILNERWDDMPTEAK QDLLRYIESPSPKDAH PEX2_013120 MSPPKGEDEIVTRPKLAPPEPASSAHPSAIPKSKRRPGRTFKKP IRSGSSRSSRSSRSRSSPYDRPSDSPKPRTSRPQTPRRMLSLLEALPVEIIEQIFLQS LNLNFPRASPFLSRALSGEHIYRVLILLAFWNDALESPRSKAVDRMMVPLDYVPLKLD ERARLQEDVFKCRWCTVDRVREQIPTMQILTIYRRWIDAGIVMEKDEQAAFEKFLARK DDSVRIFHGKGGPMKELASMPPEFFRMAPNAMKGINEYKLHVLPMVTTEFQCVDVGLT VNLPALDLCKFPSHLLRGRSNGFLPEDVAFLEMLRMTSCNWTPPKSSLSPSTLTKVDR KALNEGIQNAIRHQDFNAMLSLLKIDEFLFRYKAENQGRGVYYTIPSEHFLAVTRTGR DKPHLNLAFFEALLRASAESLPSWSSEITKWTVDNMELAKKNPNTYNQINGKFARWLS NFLLRLPAQVEYAHGFPTGQLFCNGQLDVMDLEGCRFVDEVLDPSREPLGNWMMESSF RTEDHWLRKFGPPLPP PEX2_013130 MDCLASHIPPSLRTLLEAYAPPGSAEYASHIGVALISLVAVYVG YLYLQSWRQAAVVFNVPIPPEVRKSGSIKTWDEAQGLQKMVLQDQARGKWNHQLIMSY CPADGRVLGNGIKPATVEDVNEVVRVARAAQPEWAKTTFAERRKVLRTLLKYVLDHQE DLVTACCLDSGKTKVDGSFGEILVTVEKLKWTIDHGEKALLPEQRPTNFLMMYKKNTV TYEPLGVVGACVSWNYPLHNFIGPIISALFTGNAVVVKPSEQTAWSAIYFLDMVRGAL SSCGHSRDLVQTLVCLPNVADAFTSHPDIAHITFIGSRPVAHHVCKSAAKSLIPVCVE LGGKDPAVILDDSRTLRNIPSIASILMRGVFQSSGQNCIGIERIIALPSAYERLIEIV TPRIQNLHLGSVLLDTATPDVGAMISPVSFANLEALINEAVQQGARLLAGGTEHKHPV HQHGHYFTPTLLVDVTRDMRIAQTELFAPVFLMMRAESVSDAIAISNSTPYALGASVF GHRQADVQACVSRISAGMVAINDFGAFYAVQLPFGGVRGSGYGRFAGEEGLRGLSNIK AVCADRFPTLIGTGIPPRVDYPIQNRENAADAKGGVAAWEMCKGIVEMGYQLTLGGRI AGILRLLRNM PEX2_013140 MERRITRSATQAAAALIAGEENSPSVTQAPNINKANKRKRLDPT SNSNTTNALNTPKIKTINPTLKQERSDLPQALFNELPHNLGSVPAPLSIATEPKSSIA GSDKENQTVKGNQVINLATELQDTVNKATTKLKKEPKIQTTPTGRKPKKNTYGLTPGV SPFPELVRPTAEECEDVNRLLSSIHGVVTAPATIPEPSLTVTGCGEVPSVLDALIRTL LSGATTGNNAAKAFGGLVQRFGILSEGIGKGSVNWEAVRQATVKDVFEAIKSGGLADI KSKNLKAILDIVHEDNQARRATLLDSESKNDSMSKLVPEKAEKDKQYEIACADQNFLS LNHLHNLSTEEAMTNLIKYPGIGPKTAACVILFCLQRPCFAVDTHIFRLCRWLGWIPA RANEVTAFSHLEVRIPDYLKYSLHQLFIRHGKTCPRCRAATGESSAGWEDGCVIDHLL IRDGKRKGSGPTVVSKKKAAAKSAAGKRKRMNEDSEETEESESSISDETSSD PEX2_013150 MCGIIALIQANPSSAAAVDLHEALYLLQHRGQDAAGIATCASGG RIYQLKANGMAAKVFQEGAKVTNLPGSMGIGHLRYPTAGSSANAEAQPFYVNSPYGIC FAHNGNLINAGDLKKHLDLEAHRHINTDSDSELMLNVFADELSETKKARVNHEDLFAS LTRMYKRCEGGWACTAMLAGFGILGFRDSYGIRPLILGSRQSADGPGMDYMMSSESVA LDQLGFTNHRDIQPGEAVIIAKGGEPVFRQVAPKKAYAPDIFEYVYFARPDSIMDGIS VYRSRQRMGDRLGARILEVLGPEVVKDIDVVIPIPETATTSASNVALYLNKPYCHGFV KNRYVFRTFIMPEQKTRQRGVRRKLNAMKAEFKDRNVLLVDDSIVRGTTSREIVNMAR EAGAKKVYLASCAPEITHAHIYGIDLASPQELVAHNRNSEAIAKHIGAEAVVFQTLSD LKDACAEVARENGQEEPTNFEVGVFCGSYVTPVSSGYFEHLESVRGEGRKVKAIDKAK EAVTNGFANMTDFQIAAHGVTMDTNGKIIPAIDNESAIGAAKQATSQEDQHNPKVSDR MDISIHNQADHEA PEX2_013160 MADINDRSRISVSPFGDPVPISTAEFRAVTHELTMSDDDIIMLD PSAIFTASPMQEASHTFFRPAENLQSVIDLTCDDEGNQAMEELTSDMAQVAAPSAIAP GDDSASDRSSSGHSSFVSNQSISCSPTPEIVQQGIRIGGIVFKAGISLELDDGSFMRV DDMIPQPHDLRFFGRRLYRTTHPEAKTYLPKLNDELVWLTQNTDHVSVKKVRRVVPIR FTNYRTDFNHLAPGEVLTCRLKLTIRQNGVVIIPGNAPLSAEQCAIEWLTFAESDSGL GKPANQLRAEWRGQTVPFGEAGASSMARDLERQGVIDLTAPDRAYTFGDAYCGAGGVS CGARQAGVKLQWAVDIDKHALETYQMNFDDVEVEHSDFFSFLTNDPKFLRVDIAHCSP PCQTWSPAHTVPCPRDDANSACVFSAGSLIRESRPRVLTMEETMGLPQRFPVIFNRVV LDMVEFGYSVRWSVLGCDEYGVPQERKRLLLIAAGPGEVLPHYAQPTHGMPGHGLLPR ETISSTIDNIPPDADDHNVEGALARTITCGGGEYNYHPSGTRPYTSREMALLQTFPLN FQFTGRFMRKQIGNAVPPLFAKAIYGEIVKSLRETDREEAMGIFH PEX2_013170 MAARQSTAPAVHTDKSYDPEIQDMASYIHDYKVDSDLAFDTARL VFLDTLGCGLEALKFKECAKLLGPVVEGTVVPNGTRVLGTPYQLDPVNGAFNIGAMIR WLDYNDCWLAAEWGHPSDNLGGILAVADWISRTNRAGGNLGNGKILKIHDVLEAMIKA HEIQGVLALENSYNKVGLDHVVLVKVATAAVVSKMLGLTERQTADAITQAWVDGQSLR TYRHSPNTMSRKSWAAGDACQRAVNLVLKVQKGEGGLRTVLSAPTWGFYDVLFKGKKF QFQRPYGSYVMENVLFKVSYPAEFHSQTAIEAAEKVNAKLAAMGKSAKDIKEITNRTH EACIRIIDKQFKEMDNFADRDHCVQYMVATMLVFGRLTASDYADGSEAATSPLLEELR KKIRCVEDTKFTTDYHDPSKRTIPNALTVTLNDGTVLDEVVVEAPLGHRLRREEAKPE ILAKYKRHLEAHFDQARVEELLELGWNRSQLENYDVDQYVDLYVKDKMIASS PEX2_013180 MLITNVLPVFALLGSSLALDRTRHQHPARASACTPVAGGSGSID DVPAIKSAITKCGKGGTIVIPAGKTYNLNSPLDFAGCVGCDFQLEGTLKFSSSTNVWK GQTAMINIKNIDGLKIRSLTGKGVIDGNGQNAWDLFAKDSSYRRPTLLYITGGSNIEV SNLRQKNPPNVFNSIKGDTKTAKFLDLHMDATSSSKNDPKNTDGFDIGASTDVTISNV KVTNGDDCVAFKPGANGVIVKDITCIGSHGLSVGSLGKNNKDFVKNVHVSGAKMIKST KAVGIKTYPPGNGHAKSTVSNVTFSNIVVDGCDYAIQIQSCYGENAAYCAKNPGDSDL TGIVFENVSGKTSGKYKAVTGNLSCGSRGACDVKVSGYTVVAPTGGSEVQCANTPSKL GVKCTAGASG PEX2_013190 MHPQNFFLAALSLGAAVLAAPAELAARTARNSAPAGCLTVGSKG KYSTIGAALKALGSSSAPACIYVASGTYKEQLTISYPGALTLYGQTADADTYKQNTVT ITHTISSPAAGSLDKSATVNVVTPRFKMHNINVQNGFGKSAQAVALVANADKLSFYAC QFLGYQDTLYVKAGTQYYANSKIEGAVDYIFGAASAWFNNCDIVSNGPGAITASSREK ANDKTWYAFDHCNVKGAVSDGTVFLGRPWRGLARVIYQNSNLGSVVNAKGWTPMAQGA TPLYYEYKNTGAGSSTSARQYLSSIKAAVTKETVLGSDYATWI PEX2_013200 MDLTPEEINLIEIARTTINAIPKSDTHSVASAALSANGQVFTGV NVFHFTGGPCAELVVLGVAAGAGTPRLSHIVAVGEDGGDGVIISPCGRCRQVLHDLHP GIRAIVRRGGEVKSVSIDELLPYAYEPRE PEX2_013210 MSQEAQKGSGSESPADITQPLSQPAHSLSFEDVISELQTNSDDG LTTAEVKQRLEKYGENILEGDEGVSLAKIVIRQIANAMMLVLIIAMAVSFGIQSWIEG GFIAAVIALNIVVGVYQDYAAEKTMDSLRSLSSPTGVVSRDGKTATVPANEIVPGDMV ELKVGDTVPADVRLVEAFNFETDEALLTGESLPVQKEAEAIFEVDTGPGDRLNIAYSS STVTRGRARGVVVGTGMKTEIGAIAQALRGTDSKRRPVKRGPEGETKKRWYIQAWTLT TTDAIGRFLGTNVGTPLQRKLSKLALLLFAIAVVFAIVVAASNDWRGDSEVIIYAVAT GLAMIPACLVVVLTITMAVGTKQMVQRHVIVRKLDSLEALGAVTNICSDKTGTLTQGR MVAKRAWLPSLGTYSVGASNEPLNPQDGELSLMAEPPIKLGAENLGDAASPDDLLKDN DTLKDFLNVTAMANLAHLHQTATDGWQARGEPTDIAIQVFASRFNWGLNRWTKGDKPI WQQQAEYPFDSSVKKMSVIFTKRDDNSEKSREMIFTKGAVERVLEACTTIKWKPDSEP VPISDEMREEILQNMEALAKEGLRVLAMAGRENTSPAKEGSDPLPRDEVEKDLSFYGL IGLYDPPRPETAGAIALCYKAGISVHMVTGDHPGTARAIAAQVGIIPANMDLIAKDVA DAMVMTASQFDKLTEDEIDELPTLPLVIARCAPNTKVRMIDALHRRGRFVAMTGDGVN DSPSLKRADVGIAMGQNGSDVAKDASELVLSDDNFASIINGIEEGRRIFDNIQKFVLH LLAENVALALTLLIGLAFKDDTNQSVFPISPVEIIWIIMITSGLPDMGLGMEIAAPEV MDRPPQSKQGIFTWEIIIDTLVYGVWMAALCLSAFSLVMFQWGNGDLAQGCNTHYNDP SKPFDCDTVFRARATTFTCMTWFALFLAWEMMHLRRSFFQMQPNSKKVFTQWMHDIWR NKFLFFGIMTGFVLAFPIIYIPVINHSVFKHSSISWEWAIVFVETVLFFLGIESWKWC KRIYFRRQDRKAAARGDGDRRDMRDFSRYTTMDRSDTQASEVKVEQSMV PEX2_013220 MKVDTMAATHPGTSSASSASSTSSALSTASPRTLVDSLSAALSR REAKSARRRLTVLPRTAVDFSSNDFLSLSTSSAYRERFLALLNNTPPSFPLASGGSRL LDGNSACAEELENFVATFHQAPTALLFNSGYDANVGVLSSIPQPGDVILYDELIHASV HEGMRLSRAGPRKMFAHSSPIGLREVLQSQIAADPAIAEGTRNVFVIIESIYSMDGDV APIKEFIAIVDELLPHGNGYFYVDEAHATGVFGPRGAGVVQELGVQDRMFIRVHTFGK ALASHGAMVLCGPETRDYLINYARSLIYTTALGFPFLASIRAAYELLSSGETVSLQHR LQELIRHLRQQLDGLHTYQSVMFEVDHFPTSPIISLRTSQPRQLASLCQENGFIVRAI MPPTIPEGKERPFFGATGCVCAIVFTCFGAAYGTAKAGTGVCSTAVLRPDLVVKNIVP VVMAGIIGIYGLVVSVLVANDLKQNLPLYTGLVQLGAGLSVGLAGLASGFAIGIVGDA GVRGTAQQPKLYVGMILILIFAEVLGLYGLIVALLMNSRARDAKECT PEX2_013230 MPPVGAALWRSLRAHQVYGANTDVGKTIVSTVLCNAVQRQKQQA AFLKPVSTGALDDADDRHLKRYGAGTLTKCLYQFDDPVSPHLAAKDKFIPRDDDLLAS IHNTLSGWARSDIDFALVETAGGVHSPGPNGNSQADLYRPLRLPIVLVADSRLGGISS SISAYESLLLRGYDVSSVLLFRDEYYQNHEYLRDYFQKKSIPLVSLPAPPSRPSQLDA DSQLRDEEAMLNYYQKSAQESEILRLLEEMSTKNTERVQRLEEMADRAQELIWYPFTQ HQGMKAKDITVIDSAHDDYFQTFGSSKPEDAQSELRPTFDGSASWWTQGLGHGNPELS LSAAYAAGRYGHVMFAGAVHEPALSLADNLLKTIENPRLTKVFYTDNGSTGMEVAVKM GLRASCDRYGWDASQEQIGILGLKGSYHGDTIGVMDCSEPSTFNKKVEWYRGRGHWFD FPLVKMVGGSWKVEIPGELQAELGEDVDFTSLGSVFNLNQRLESATAQRYKDYIRRTI EDLVHRQGVKFGALILEPVILGAGGMLFCDPLFQRCLTDVVRDHPELFSTNAPAAKQS PSWSGLPIIFDEVFTGLYRLGRRTSASFLGVHPDVAVNAKLLTGGLVPLCTTVASEEI FDAFSSPEKSDALLHGHSYTAHAVGCTVAVDSLKTMAKLDSDGSWDAYRADWRSSSPA TAEASTPDVWSVWSHGLLQDLSSTDSVESVFAIGTVLSISLRDAAGGGYNSNVAKGLQ QKLAVGGDQFNVHSRVLGNVLYLMSSVTSKPESLQEMERLLRSAIV PEX2_013240 MIHDGVTPYQDPTDSESGYNEKKMLEDQSPQYQDAFGNEEGAEV KYKTMKWWQTGMFMIAESVSLGVLSLPKTLAQLGLAPALVLIIGLGILATYTGYTIHQ FRARYPHIQNLADAGEVLFGAFGRELFGLGQLLFSIFIMGSHILTFSVMMNTVTEHGT CTMVFTAVGFVICFVCSLPRTMKNMTYISCMSFASIVTAVVVTMVAVGVQNQGGQNLK ATIDTDLVQAFSAVTNIVFAYCAHVAFFGLIAEMEEPKDFPKALIMLQTFEIIFYTVA AVVIYYYVGQDVTSPALGSAGPILKKVAYGIAIPTIIGAGVVNGHIGLKYIYVRIFRG TDRMQKRDMVAVGSWVAIGLVCWVIAWIIADAIPVFSDLLSLISSLFASWFSYGLGGV YWLHINKGKWFSSPRKIALTILNVCIILIGGCMCGLGLYVSGKAIHDDSSNNSFSCAS NAVV PEX2_013250 MTTFPVSGILVEAEAFDNFGGWVLDSQFETQMGSPYLLAHGNGK PVEDATTVISIEEDGDYNVWNWQLGGRVNLCSGKTELILHDLTGFCGRCDAIFLGRDD TPPPNEVNEDTRAWRRSLRGLPDEPDELSAFDVVVVGGGVTGAAAALTAARLGERVAL VQDRPVLGGNASVEIGLSPRGVTGPLIDEISQRTPEGDLVAIQLLRAEPNATVFLEST VYNTVTTDSNIVSIDARDARSGREIRFSAPVFIDCSGKCILGLHSGAETLFGQESQTE YGESLAPAHGDNMDHGNTTFFRTRMAESAVHFPPVPWAIEVAKDFSDLRGQLRTPGRE NGPGPNVVPAGYVPDPTIKHRMKGPLTHFWEYGQWLDPYTQAEHIRDHLLCAIYGTFS NVKTMEPETYANLEFDWVAFVPAQGEFRRYKGDYILTETDIRTHKEFPDAVANNGGAF CLHYPGDKKYDFRLKAWEWDERDGKTYDIPFRTLYSTNVSNLMMAGKHMSATHVAGSN TKFMGNCGQHAIATAAAAHLCHKYDTSPRGIYENHITELQSIVVSITGPDAPVAPSRL PEX2_013260 MKTTNPQFKAHRRLIQDLMTPAFLDEVSAPRIYEAFTSLVNLWA KKARLANGHPFSASGDINKAALDVIFAVIFSLDPKDSVINAQRQLIASTASINITSPS SIDEPAVFPDAPISGAPKAILTLTESLKFLAISPIPKLTFWLVSLLPNMRRARAAKET MITTELEKAKTRLSIGAKEEQFARCAIDDILRRELATAEKENREPAYNTRTIFDELFG LLIAGYDTTSTAITWGLKFLSDHQKIQKKLREALRSGFPAAIAECRKPTAEEISKAHI PYLDATQQEIIRKSITVPLVTRTAMVDTVILGHHIPKGTNVFLLGNGPDFIEPPISEI PEERRSKTCQEAKGSIGSWDPADSNLFIPERWITNENGKESFDSTSGPLLTFGLGPRG CFGRRMAYLELKIVLVLLLWNFELKIAPENLSSYQAEDNLTHQPKQCYLRLLSSPLEG PGL PEX2_013270 MNSTTGAFNESGLIENMALKAGSFYVGYPTPGSWISKNIQRAQD YLVNKTRLGIPAIVQSEVFTDFCWSMLRSSTLRLDTLVLGILNSSRKWLTLLLRKLRL LVSARYLRQSLTSPGNCDMEGWMPAFKRPIVDAGAWSIMSAYHSYDGMPSVSDAYTLT EILRGEWGHKYWVTSDAGATDRVCTYFKMCQGNPIDSDAVTLEVLPAGTDVEMGGASL LEEPQLDPPDGVNSS PEX2_013280 MPKIPEYQDSNPDAVRALLVEEAQDLTGDHTTTIVISVSTIRER VKEIIDANVVLREQGTLSPKPFSLIAQSTNIRETFKKLRATYAALSHQHSYARYSKWI DLRFKNRSASEFIRKFQKALRNLTTSNGKLNSGYILCQFKRAISENPKYSAFLQNLRV DESDINLIDKVYAEFLEVDIHIRSINPSYNANSTTIQTSSSSHNNKKKDTKKGGNNKQ SNSNNNKDKSSKKKTDFVREENVILYRHHRTLRNHYSNKCPLLKNSANATTIQQPQQQ QSPFQQVTVPQPGQIIGQVNNQGRILSLPQQQPRPRANTVFAPASYPTAPQQGPPSGD PLVRYNNLFTNTLFTGIQADAVHSSHIISKEGLMANDNNDVTR PEX2_013290 MVYNVRTKKIKRSRNVIFNENPSPASLPDPAYNLNITGINQDHK HNSQDRHIPIDFLRPHLENPFNIQSTSPPSPTVEDNPKDQSQTRAPNTLDPSNPALFK EDLTYFRQVKDLDFYEVYTVIAKPISFKVFAAVAAAKGWYLHHIDIITAFLYAELKEP IEIELPEIQREEYPDHIGLLMKTIYGLKQSPREWYSLLHDVLVSIGFDRTQSDHSIFV KRQHGGSPLYVMVYVDDLLVLSPSEDAIQQFKSAISKHFDTSDKGKLQRYLAINVHYA NGIIHLSQADYVDKILVRFSLENCKPVITPMDKKQALIPFEGTATKGQIHEYQTKIGT LICEKGLSTSGFLFKMAGGAISWTSKKQPCVALSTTESEYIAESLAVQEAIWLIQLLT ELGIEGFLSKPIPIYADNNGAIALASNPEFHAATKHIAIRFHRLREEVAAGNVKFVKI PTADMAADGLTKPLGKTLFKRWIIQMGLTVYKNG PEX2_013300 MASSKPAINYLFATSMLLLSLLQIGQWVSKAGVLSEISSWGSSA HLPDGYECKHEYTIELMSFDPLVIYANNFVSDAEINHLLETTKENWNHSLVYHRRGDK FLSEVDQEYRTSQSAMISLNDPVSKCLSTRLKSFLGNLQHVDTEPLQLVKYNGGERFR MHQDWLVAPKTNSFNSDSPVRPFNRLFSSFVYLEDNCTGGETYFPDLKGVGPSADGHK FSRTENGQGLLFKPRKGNAVFWSNLFMNGTGDPRMAHASLPVKSGTKIGMNMFGLYYL DLPILGEVEYDS PEX2_013310 MDILRTQRFTIAILLFGFFSFILSDLKWDLSPSYICRLLGNYKH ISTDLQVQVFSRDPLIVYIDNFVSQDEIDHLLNISDGRWTPSMVYPGGKSHVDTSQRV SESAVLPRDEIVLRVEKRALALQGWRGENTYMQKMKTQRYGVNGFYNFHYDWDILVKD GNRVTTYMVYLVANCTGGGTNFPRLQRPNDSRWCNVIDCEDDEYSGVTFKPRVGAAVF WENMHPNGSFHRGVRHASLPVKSGHKVGLNIWGWDKEWRPPVELEE PEX2_013320 MFLPQPLPRVLTTEEIVPAMHRIINEYNTIRAQIVQTTTPTTAT FNNVMLPLAQVENLVQGELGMIDMLQYGSPSLATQGAFDEARKLYHKASALWTADEKF FRLLQAARDKPDFQTLEAESQHLLEKELLEYKHAGHGILGLAELGEYQKSKMEISDLE RKFQQNLARDSGGMWFTLEELDGVPADELAKWKDNPEQVALISEKHQEKKKFVPFANG GTLAVLTHAHSPETRKTMFLADNLKLKENKPLLEEIIKRRAHQAQFLKYTTHAEFRIE RRMVKTTEWVKEFLGQLRTTLCPRGRGEIAVLQNRRLEDLRARGKYSDGQEKSGFPPW EKRYYEQLVQREFEIDQLKISEFFPLERTATNMLGIFASLLGLRFDSIPEERLAGDFI WHDTVRGFSVWDTRDDGFIGYLYFDLLWRENKYRGNQSVNIQCGLPPDFGEMPSIMLE NWCWMKDVLEGLSCHYTTLDENYMADWRKQHPSEPDPPRKIPEGLVENLVEHRSISIF DLQIHSLSTDKEITDLDIQKLWYDLREEIEGMDFSECRNGFAFGTFTHLTAGYDVCYY AYLCCTAMAQDLFLSVFAHDPYNKDTWDKYRRGILEYGGREQNLLLMLERFLGRPPNM NALVESISRSESRR PEX2_013330 MGKIYTIGLATFAATGSFLFGYDSGVMTDVIASPNFLNFFNTTK ASTIIGAINSTFSGGAAIGALMAGLTIDRFGRRRTIQLGALLATIGAILQSAARNLVM ILVGRIVAGWAVGILSMSVPVYQAECAHPKNRGLIVGLSQQMIGVGFIVSTWIGYGSL HAPNSSSLQWRFPLAFQALPAFMLFLGMFWLPESPRHLIEKDQDDEALRILKRLHYDG ANIEWIQTEFTEIKATINAERVLTASGWTIMFKVPQWRTRLLQGTLVQVFSQMTGINV INYYQNIMYEALGITGSRATLVTGIYNVVGPLTNLLFITFVLDRIGRRRPLLFGAAGI TIALVCEAALNSQNEDGTKTGYSIGGVFFLFAVTVLFSMSFGSISWVYMSEVMPMQIR GKGVAFATGIGNWTVSTLWSQVSPIALGKIGWKFYLIFAAWNVCVTIPTIFFWFKETK QKSLEEIDLLFGGRALGILNDNLHPKALELETVGTARQLEDVTV PEX2_013340 MALGLKEAPPGVAAVIEFIGLNVTTEHTDTSINQLATRVKDIGF SLNNSIELASTQSYWLFVKTDPLLSNTAGAVSLTTSRLMGRREPLEIAQNKLNACLQQ ALPSEDPEARTMLLFRIQRGLGSASAPEYK PEX2_013350 MKWTLASLVAIAAPALASNCHCLPSDSCWPAPSAWASLNSTVGG RLVATVPIGTPCHEPNYDAAACAALKASWNLPEPHLDSSSSVMQTYFANQSCDPFTAK SQPCRLGNYVNYAVNVSSSDQVIAAVNFARKNNIRFVIRNTGHDYLGRSTGAGALSVW THHLSDIEYKDWSSPTYQGPAFKVGAGVVGYQILEAGSAKGLVVVTGECPTVGLAGGY TQGGGHSALSTNFGLGADNTLEFEVVTAAGKLVKASRSENADLYWALSGGGAGNYGVV ISIVVKAHKDAPIAGASLQFTAANITTDTFYEAVSQFHSLLPAMVDQGVTVIYQMTSS FFAINPVTAYNQTTDDVQAILAPFTSALTKLNIKYLASFSQHDSYYGHYNKYMGPLPW GNLAVGSYQYGGRLIPRKTLEHNSNGIGSALRNLTQAGVIAVGVGMNVSAPVNVPNAV FPALRNAAVTMQIGTPWNETAPWSKMIADQDKITTEYVPQLEAVTPDSGCYQNEANFR QPNWKQTFFGSNYPRLLAVKRKWDPSSFFYALKAVGSDVWSVSESGRMCRA PEX2_013360 MSQPDLGPALEKGIWAAVVIAAVIVILRVFGKIKINRFRVDDGL MIFAEILAIVSSAFLTLSVHHGFGKNLNTIPPNDKEQVLKNIAIQVPIVTISTTIARS AFILYILPLLGTNKYYQATLWAVLAIQFAGNVASAVLPLSICRNVAALWDPAVAVTTT CGNLQAVIRFAYYSNTFNSATDLFLAVFPTVVFWNLNLKLSIKISLIGLLSLGIVAMV ASIIKTTKLDSVPSITNTGDGGGIELIRWGYIENVIIIITSSIPCIRPLIISSVRKMS SGKYSRSYELSMPFGRKSGAAPNETNHSRRTRKFKSDIENNSVDRILDHNPSVHTSTS VGGAPDSPTFSAPGITKQVEISVISDPRHPPKEPMGDLSLGS PEX2_013370 MDSKPLSKDSMQSTWRTAPRSEWNISHYLLDALNAHPIDLNTEI PVHSKDEKVPYMPQWYLQRWVLFYSSIPLILHETYMAFTGRTIGPIAAFNFYFFAFNA TVIYQVHILRRLGHKYGFLDGDKHARDGVPDVGVAKVVASLYKTTGSRMILSIFLSYN HTQRPSQLSWIWLPLEIGLYGIVLDFWFYWYHRLMHDVSYLWKYHRTHHLTKHPNPLL AAYADHEQEFFDMVGVPVMTYFSLKLFGLPMGFYEWWICHEYVAFAEVFGHSGLRMHS GVPSTLNWLLQMFSAEIVIEDHDLHHRKGWRKSYNYGKQTRLWDRVFGTCMERIESVE GNVDYENTASMPLF PEX2_013380 MSLPIRSLSRTLVRSYGTVQGSPSAASLSSIPLTLTDATGATAP RTNWTRDEVKQIYETPLSQLTYAAAAVHRRFHDPSAIQMCTLMNIKTGGCSEDCSYCA QSSKHNTGLKATKMSPVDEVLTKARNAKANGSTRFCMGAAWRDMRGRKTSLKNVKQMI TGIREMNMEVCVTLGMIDEHQAKELKEAGLTAYNHNLDTSREFYPTIITTRSYDERLK TLSHVRDAGINVCSGGILGLGETDADRIGLLHTVSSLPAHPESFPVNALVPIPGTPLG DRKMIPFDRLLRTVATARIVMPSTIVRLAAGRIALSEEQQIACFQAGANAVFTGEKML TTDCNGWDEDRVMFDRWGYYPMKSFEKPALRADASATPPPPVNPEVTAAPVAASA PEX2_013390 MSVVLMAMKGNWPAPSKTPRQLDFSSNLQPHLPPIDPHSSPAMA SKLFSVPRVGRQLVHQLPKPQYRAFSAGSQRFSDSLSVHRNSPKNNPTIPFKFSEQNL TLADEILKRYPPQYKKGAVMPLLDLGQRQHGFTSISVMNEVARMLEMPPMRVYEVATF YTMYNREPVGKYFVQICTTTPCQLGGCGSTAIVKAITEHLGITPGHTTEDGLFTYIEV ECLGACVNAPMVQINDDYYEDLTPESIKTILTALKDSATATGAGAGTKIPAPGPLSGR NTCENSAGLTNLTDVPVWNPEVMMRKDNALDAALKQ PEX2_013400 MSTSTPDRYKLIFFVPTANVEPCKEAIFATGAGSFPGGKYTKCC FQTVGTGQFLPNEGANPAVGSVGALERCEEVRVEIMCLGRDIMLNAVDALISAHPYEE VAYEVYKMENV PEX2_013410 MCQSPLHEFLEGLPKCEHHVHLEGTLEPELIFELAARNGITLPT DPVYTSVETLKKRYEHFSNLDDFLHFYFEGMAVLRKEEDFADLAWAYLQKAHADGVHH AEVFFDPQVHESRGVPYDTIVTGFSKGCKRAETELGLSTRLILCFVRHLPVSSAKEVY EEFVAHKHFESGVVHGLGWSSSEVGPPKDMFREIYASAAQKNIPLTAHAGEEGDPTYI STALELGARRIDHGIRLVEDEELMRRVAREGILLTVCPLSNVRLRCVTSVKEVPIRRF LEAGVKFSINSDDPAYFGGYILDNYCAVQEAFNLNVMEWRIIAENSVQESWIEDSRKA EILASIEAHVNKYTRLHPISV PEX2_013420 MPTQTRVVPVQRLSQGPGDLSLAEWWEKERNQKTPESQAIEEAA KLLRSSDIPVAFPTETVYGLGADATRSASVQGIYRAKQRPSDNPLIVHVDSLDMLERL LNPTSQGSPDSTKTSPITLPSIYKPLIDKFWPGALTIILPNPSGSLLAPEVTSSLTTF GVRMPSSPLARLLIHVTDRPLAAPSANASTKPSPTTAQHVYHDLKDRIEMILDGGASG VGVESTVVDGLCDPPAILRPGGIGIDEIRKCEGWENVAVGYKDGTLDVKEIPRAPGMK YRHYSPKARVVLFDSTSNPAGVMRHVQTDLKDTAIGAHKIGIIRTRNWKLGLGLASDD HLVRTTNPVSSPIEKIISFPIPVLENGNPSSRTKMAYDYHLGSDAVSIAHGLFAALRG LDELDVDVIYVEGVSDREGDLAAAVMNRLRKAAGAEMRV PEX2_013430 MTRSDFLAIFHTGPVIASDGIVQNDSPPCTLETTSDSTLKRKKK DFDPHSQNPAPRASFRPSSDNVCARMVRH PEX2_013440 MVDFLEQLVPAPHTSPSKIPFLLTNTTMKQRFSSLDVKVITQEL ASECVNLRVSNIYDLSSRIFLFKLAKPDHRRQLIIDSGFRTHVTQYSRTAATTPSPFV TRLRKYLKSRRITGISQIGTDRIIDISFSDGAYHVFLEFFAGGNIILTDREYNILAFF RQVAAGVGQEEIKAGLKYTVSNKQNYDGVPDITADRVLQTLEKAQGLSAQEGSAPKKF KKKGTDVLRKALSQGFPEYPPLLLDHVFAVKEFDTTTPLDQVLGSQDLLQAVKEVLEE SRRISNTFDSGDSHPGYIVAKEDTRPIPEGETSSKAPGLLYEDFHPFKPRQFENKPGI KILEFERFNATVDEYFSSLESQRLESRLTEREEAAKKKLESVRSEHKKRIDELKNVQE LHIRKADAIQDNVYRVQEAMDAVNGLVAQGMDWGEIARLIEMEQDRGNPVAQTIKLPL KLYENTVTLLLGEAGDDEDKEEEFFSSDESDSDSENETEQETGRAERESKLLTIDIDL GLSPWANASQYYDQKKQASEKEQRTAQSSTKALKSHEKKVTIDLKRDLKKEKQVLRQS RTPFWFEKFTFFISSEGYLVIGARDAMQSELLYRRYLSRGDIFVHADLEGATPIVVKN RAGSADAPISPSTLSQAGNLCVATSTAWDSKAVMSAWWAHAHQVSKIAENGSGIMPTG VFQIKGEKNFLAPSQLVLGFGIMFQVSQESVRNHKQRFDTSDVPQAAITPADETEASE LKDAVEPEVPGQTEATEEATTKATEQASEDAEKEDAGSEEEEEDEKAASRNPLQRGNS ELSTSQPTQEPESESEPEEGPEDELERQAAEDQPEEEEPQSTAGQNFVPEQHEEPNLN ARERRTLRQGKPLDRPSEEEPVAPRIAPTRGKRAKDKRAAAKYAHQDEDERELALRLV GANKGKAAKAAKAAEAKEQREREAEAQRQRRRAQHERAAEAERKRQAQFTENGTDDYN EETAAAEAADLTWIPALVGTPTTDDEIIAAIPVCAPWAALGRYKYKVKLQPGTVKKGK AVKEIIGRWVSETTTGKVKKEHAEDVGISRVDAERLREREGELIKGWKDTEIINTMVV GKVRIMTAGAGSGGGDKGKGKGGKGGGGGGGGKGGGKGKKK PEX2_013450 MPLLQRSSPTDFANSVLPNLHGPLVNIRLNPSGKEYTVLRAVLV SQSQYFSRIFNGNFSEANEQSATMEELDGILSTRSFEVLLLWLYTGKIKCGTQEPGSK AATLIEFARLADMYGIIQVEARIAKQLRKIINQEIAYKGSVDKESEDGSPTDNEDDPD ANTHCFTAEDIRSVVNLPMGHRVRSLVAAASVAGFLLKKDYRFAKVAQEVPVFAADLL AQVHATIGGVQYDSTWMAYVTDPVTKRLLYLTKW PEX2_013460 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKKANVD DFPMCIHLVSNEYEQLSSEALEAARICANKYLVKIAGKEGFHLRVRVHPFHVVRINKM LSCAGADRLQTGMRGAFGKPQGLVARVNIGQIILSVRTRDAHRATALEALRRSQYKFP GRQKIIVSKNWGFTPVRREEYLQLRQEGKLLQDGAYVQFLRGHGPVEKNMKRFPVAYE NVA PEX2_013470 MNLTWTESISQILGWGYFILWSMSFYPQVLHNHRRRSTDGFSVD FALLNVLGLSAYTVSNACFLFSSVVRAQYAQRHPQSPEPTVQWNDFVYALHGALICCW IGSHFLCARFWNFDSKSQRPSTLALVVFWGCLGVVPLAILCVLVSASWEWIDVVYMVG MIKVFLTAVKYTPQAVMNYRRQSTAGFSIMAILLDLSGAILSLMQLVLDSSLQGDWSG AIGNISKLLLGNITVLFDLVFIFQHFCLYQERAAENKPGSPEHDHLLDSEHEAQASIY IT PEX2_013480 MASALSKDLGSDSEPQNDNHHDKNGSVVTTLVEPEEQKESQSLF AALFSRKRKVDPDSIATVRSVFDDPLLSQYYQPRPDYENLHRFDPDERWTHREEVSVR RKTDWKILLWILIMFFGLNLDRGNLGSAAADNLLDDLKITTNDYNNAQNMYRIGFLIA EIPSQMLGKRLGPDRWIPFQIILWSLASGGQFFMQGRSGFFACRFLIGLFMGGFIPDS ILYLSYFYTKTEMPFRLALFWFTDSMSGVVASFIAYGVLHMRGVDGREGWRWLFLIEA LISLVIGFLSFLFLVPGPTQTKTWWNPKGYFTEREEKIIVNRVLRDDPSKGGMHNRQA ISLKMLWQSLMDYDLWPIYIIGMIFEIPTSPPKSYLTLSLKGIGFNTFQTTLLTIPVT VFASINLLLITELSERLQQVALVGLIPQIWSFPLLIVLYTSSGSLSHWSMYAVSFILV GWPNPQATHVGWCSRLSGTVRTRSISAALFNVTIQLSGIASSNIYRKDDKPLYRRGNR QLIAINVATMVAYVLAKIYYTRRNSWKKAQWEKFTPEEKANYLSTTTDEGNKRLDFQF HS PEX2_013490 MKFTSLLLLPLLRIAAGQLIGPVGPTTDLQDKTYECNILDYGAV ADNKTDISTALETAFSDCVRKHPGSRLIVPEGEYLIERGVTLLNGTNWAFQLDGLITA AYGGDWDIARELLLQGFAGTEIINATINGEGDNKFLLDVLVIVNAVDFEFYSSNGKGA FQGQGYLYRNLGNTGRPRLVRLISPTNASVHDLILVDSPKFHIILDFAINVEAYHLTI RGANLGSYDGIDAIGSNYWIHDNEVTNRDECVSVKSPSHHALVENLVCNQAGSGISIG SLNASAEISNIHARNINIIQGNNIAFIKTYPGGSGYVTNITFENFRSLGSLYGLDINQ YWQNTFEPDTGAVALSNLVFRNFSGSVADGTKRPPLYLVANDLTFATNVTVEDFSVWT ESGTKVVNKISNIFGTGDDSYGASNGIKSLGAGESPSPYSSTYTITATPTGWKAPATP TWAVPSTGYGTASPIPVYSPAPLWRPGGVDYDLHYWGSF PEX2_013500 MSGRKNVLLMVADDLGKQIGCYGASTIKTPNLDNLAAEGTKFDY AFASTASCSGSRSVIYTGLHTHQNGQYGLASHRHHFVTFDHVETAPQLLNQSGYRTGI LGKIHVGPAPVYPWEVSKESETRDVAVIADQANDFFQESLVDERPFFLTIGFHDPHRD RTRGGFGNDQEYDPRITKGSYTTDNVEIPPFISDSPGARFELAEYYNSIHRLDQGIGF VLNALEKAGLAESTLVIFISDNGPPFINSKTTLFDAGVRLPLIIKHPKVQPAANSDMV SYVDILPTLLDYAGHPGAVDPAKKRLGRSLLPILGTDAKTSEWNQVFGSHTFHEVTNY WPTRFIRDRRYKYHRNLAWRLDFPFAADIYGSLSWEDIRNSPDSEIKIGERKLKDFFF RAPEELYDLENDPNEVHNLVKDPEHAAVLEDLRTRLETWQRRTEDPWLYRDGVSTWFV RYHFPAGLKIPDRLDFDAEQPGNQNQKAFEGNVPWGADVDQVKKDTVA PEX2_013510 MSSPITLVIGASRGIGLELVRTLSQDTSQQVIGSVRKPTNPLDA PNVRFVTLDQADRASVKAAAASVPELDVLIINAAIGDDEKVLSTSDERLAEYFNVNVS GPLRVIQEFLPALLARQTRKIAVISSGSGSLAGQINATGGFSGPYSISKAGLNMVAVQ LHNELRDQNFTVQAIHPGWVATDMGNVTGSGGMPIPESARGVLERVKEATAKDSPRFV NWKGDTMLW PEX2_013520 MTPSLHCSTFSTLAEGWVLCIWFDPQNPRNWTATQKWTATCLVT LLAGFFGAAAPIDSPIIPNAAKEFHVSELAEALSVGVFLVGFGFGPLLVGPVPEVLSR SVTYLLNIGSMLIWLMASELAPNFGAQLVFRFLAGFSELRKAHKAHKAQPNPTRKWAG L PEX2_013530 MSYIDETLTHSVPILSSIEQQNLSGKEPIAAVGSQNEKFVEQPL VIPVGDSNLDFVLVNYEENDPENPLNWSSMKKWLIVFAISWMGFVSVFSTMTITPTAP QILQVFHSHNKLDQTLLVTIWELGEGIGPFFIAPLSERFGRLPVFHIGNFLALCCLIA CALSVNIPMLIAFRFLTGCFLSILTLGPTIMIADFISPVAGAYIAHDLGWRWSIWLAV IVLGFFSLFLLAVLREIYAVVNLRRKAERLQKESTDGKTYRSKYQAHVDASTIFESVM KPLQILAQSPIIILTTSYMAVTYALVSLILATITETMESTYPAVFNSGSVGLTFLGLA IGNTIALIFYSLTSDRYVIHQQKKNGNAFKPES PEX2_013540 MSTGSIGTLLYNTPHQFPGIFIIGKIFFLLDIITYSAIWASLLI RFSHHRHAFKETFTDPEEAYLIPTAALGFATILFNIELYGVPACGDWLRYVQLVLFWI YVAISILLAVGLNWHLYHTRMATRQPFWLVRLLPSFPAMLAGTTASLLAGGQPTHFCI PMIIAGTALQGFGFMISLFILAEYFHGLHYDGIPPMRRRPQMFIAIGPPAFTAVALMG MSEIAVEKFPPFYIPLASHVNTADVLLILTVFLSIFLWIFSFFLWMIGWLSIFAAHKE WKFDITWWATVFPNTGLALATIKIGDFLTSEPIRWVGSAATIIQVGLWLGCASSHVWA YFTHRTLWPGMDEGFGPDEHIDHALDLEGEVQPYKTSSY PEX2_013550 MAPAIHDMPTGQTSLPPACNIGINGFGRIGRNVLRAALVRSDVQ VVAINHTCVTVQDLVYLVHYDSSMGNLDERVDIQILSDTLITIDGKQIALTSERDLKK LDWASLGADYVLECTGKFTKRDLAMEHITYGNAKRVLISAPSSDAPTFVYGVNSHNYT PSEECRVISNASCTTNCATPVLKVLQESFGIYQGFLTTIHAATRSQQVLDGYSKKDVR LGRGVFNNIIPATTGAAKAVASVLPELKGKVTGVSIRVPTPNVSMIDLTVSTEKPTSL DKIIHKFRLAAKSDMAGVLRVADQELVSSDYNGSPYSAIIDAPACAELNPQFFKIMAW YDNEWGYSNRLLDMTTLVHAQEAK PEX2_013560 MARNAFGLMGRALRLAQIILVVAPAFIIFGYNQAGAGPLATLES FVHNFPQIDTVNTTGALEAKNSLGKGAVIASFQIGALAGALSCTFLSDRLGRRKTIFI GSILTITGQVLQVSAYSLVQFTVGRIILGMGVGQFSVSVPVWQSECSSAKNRGQNVIT SGLFMCLGYALCNWVDFAFTKLPDTNTGQWRGPLAVSVFPSLVVLMSVFLLPESPRWL VRVNRLDEASASLAAYKGLSPGDEAIKSEIAGIDLSLEVTSASKGAISEMFSKKDEER LFYRFCLCIVLQFFQQMCGGNLISVYASTIFKSNLGMGSSLSSILAASALTWKFLCCF IAFFAIDRLGRRKLFIISGAGMSACMAALAITTSFDSSNKHASVASAFFIFLFNLFYP IGFLSGNFLYCTEVAPVRLRVAMASISTANHWLWNFVLVMVTPVAIDTIGYQYYIMYA VLSACIPVVVYFFFPETMNRNLELLNHVFRDASSPWEIVSMARNLPQGELTEADLMAH NEGKDECFVEMKENA PEX2_013570 MQIPKTHKAVVYDQPGKISTSLLEIETPAPGHGQVLIKMTHSGI CHSDLSLMAKTLTVQWSWLPEPVKAGQIGGHEGVGVVAQLGPSSETSGVKVGDRVGIK WIASACGSCMPCLAGADGVCENAVVSGYTCPGTFQQYTLAPAHYVTPIPDGLASEVAA PLLCGGLTVYSALKKCRAHAGDWVVIAGAGGGLGHLGVQLGGRGMGFRIIGLDIGSKE EFVKDCGAEAFVDISEYPTSDGKTAADRILEITGNLGAAASIVCSGSNAAYDEALSYL RFNGTLVCVGVPYEAKAIASAFPHALVSKQLAIVGSTVGNRREAIETLAMAKRVATTP FQVEKVENINTVFNEMKAGKLQGRVVLDLQSF PEX2_013580 MSATPRKPGTPGSSSKSSTADPHSNNGSTRGHARSPSATNSLNR SPSSRGSTPVSARAAARKPGRSNLSMSNVPRVSNDSSEEEARAQNAALIEELREQLQK AENASEQYQKQLGVLQMRLDEAISEQTKLEDQAHERDSRIEALNSDIRDQGRQIRDLE QNHESERNAMLQEKEQQTSREEEMQATIQRLKDSMAQKERMNAEGDRSQVSRSSSFRN RSSPDVDGQFAPSSHIERSPSRNNSTLLLQKDKLIESLRLELAESQIKLVEMENAGGG RQRELEKDLLEARVANARLMEDNESYQLLLSEKTLTGDFAKGEFMRDANPTKESASGL GSLADELESVDESPESDAAQPDGEVKALKDQNKALTLYIERIISRLLMHDGFEHILDS NDESSTIKTVGSEKELPPTPPEKDDGSSQSLLQRAKSVVGAPTSRPSTQPRSRPTSML PPSQPSQNNPNEDPNTAPSIPFRTQSVRASHRRSRSEQVDPSAASVVGHMYRGGRNSG GPISPTAMGPGSRQSMFSGAASYISGMSRAPSMSSQPERFGHSSSMSITSDPLGDTVS IGGTSSTPRSSGGMNNYTGAVMQQDKLRPLRLVSETARIKEEEEAARKKANRASWIPW LNRPNTDEPAPPQ PEX2_013590 MTGSLSLFSVNAVLVMSADDGSRIYAKYFSAPHPPAGAAPNSTD YPGANPYPTLKEQRAFEKGLIEKTNKSASDVILYDNRIVVFKMESDVMIYVVGSADEN EVLLYNVVLSLRDALGILFKGATDKRTIVENYDLVSLAIDETIDDGIILETDPVMVAS RVSRAPAADAPNMKNIDLTEQGLMNAWEFGKRRLAEGLRQM PEX2_013600 MLLCISLRDDPNENPLKANFMRLAAPVVTAIPNNKPDIENFIET ELENRIESGQLTIGSPLLILEIQDALLSGSQGMFLWVALQIESLCTMESDEEIRQALA DLPKDLSETFSRTLRPKSQNTRQREILAILTVAQSPLTLHQLREALCVVPGDLNWNLD RLLNNMHNTLSSCGSLVTIDEEELTIHLVHHSVKQFLLGEFKDSTQTLVNVDDAHQMM ASIILTYLNYSVFETRLSKTVIPCLQTGSVPSEVIMSTIKSSTGVRNMAIKLLRSRKG SAFDIGKVIAETKLQNHYTEEQFHFRKYAVSFWLHHAVHAFDLPEGIHKLLLRLLDRR VALSLEVNDKDIDKLLSWSAKKKQYASLIKILLDSGNMTSMERDMTLDALHIAAGEGH SAMIQVLLERAKMNDMMMFEGTHPQRLYLSAQKDVEPTESQSLDSNAVDINSRDSLRW TPLHRAAMNGHTSTVIFLLTVVNANPETPDLMGLTPLHLASMNGHAAAVTALLDHGHA DPNASDEEGRTPGDLALEKLDEETISKLDPRLFEYSREIIDAGSTVGQTGSSSWLDGL ISE PEX2_013610 MGGIQGAQELLQAAHVAPEIFQLRPDYRVLLMVVEGIPPGPSDD FSEALLMEAESSVKAKLSNCPVTELPHIAAWREAYKAFGAKPQRTRNSLEALTRRAQD GLPRVNRLTDIYNAISIKYQIPFGGEDLDKYDGSPFLVRATGHEEFETFSGGKPQTEL AAPGEPVWCDDNGITCRRWNWRQGPRTALTDDTSRVLFILDALEPLTDDVLAQAADEL ALALQGLSPEVETVRRIIGPSI PEX2_013620 MKATTAASVLALIWEGATAQDYNAAPPDLATLPELSLFETWRPH THVLPPNGQIGDPCAHYVDPETGRFHVGFLHNGTGIAAVLTEDLVHYYDVRPNGNYSI VAGGPNDPLAVFDGSVIPSGVDGKPTLLYTSVSALPIHWTLPYTRGSESQSLAVTYDG GKNFTKLEIPPVIPEPPVGVDVTGFRDPYVFQNAEFDKSLGNAEGTWYATISGGVHDV GPGLFLYENTSPDFEQWEYLGEWYHEPANSTWGNGDWSKVFGYNFETTNVFGLTREGY SYSGSPFLTIAVESSYVPIQDSVSSLHAQLWAAGSVGVPEGENITLTPDMVGVFDWGL ASYAAAGKVVPASSEPSTASGAPDRFISYVWLTGDVFGGVVGFPSAQQGWQNTLLTAR ELSIKAIPNVVNNDLVKETGSWRVAADSDSTGNCVELETLGIKIARETYDAMTAAPSF TEADKTLTSAGVTPFTRSPKTKFFVLEAEISFNARASDLQAGFQILASEFESTTIYYQ FSNESIMIDRSKTSAAADTTTGIDASPESGRLRLFDINDSCGNNGGNSSGHGGQGVYG GHGGQGGYAGHGDHNCGEKGEQGEHHSTKCKSEAASGSNTHTSRSTEVGGEHIETLSL TIVVDNSVLEVYANSRFVLSTWVRPWYQNSTEIRFFQNGEGEASFSNIRVADGLYDAY PDRTQ PEX2_013630 MDDNDELESFRKQWREEVTRRSRPKAAPPAPATPTSTAPPPARL PPTRHEASHRKEIEEEGPPLASFDPDELAQQVGELSVKSPEDDFSRREVTEPTSALEH FERAVEKEAEGNLGDSLSHYRKAYRLDSAVDKSYRNKHYSHVWKKPHLPAPTAPVSAT QQPTEVPTLPTPELIASFAHLPISQLDPIVENTPPPPCPIATVPSEVLVEILRHVATD DPSAFGRMALVCKRMAYHFAHEQQIWRRICQGREFGFEGMHYDFACELHGEPIYTLAP RYTPFPKEGPVEIPSPLSSWSQVFQTLPRIRFTGIYISTVNYTRAGAASAYTNLSWNS PIHIVTYYRYLRFYPDGSVISLLTSTEPVDVVPHISKENVMAARTPAHRKHQRRPSDA GHTLSGATDAVPPVALNTLKHGLRGRWHLASPVDTPETSETPAAAWKPDTASDQKSLL SDERDLIIETEGVDPKYVYTMHLSLRSSVVPKSAQVGPAPPNPSKNTKLAWKGFWSYN RLTDDWGEFGLRNDRAFVFRRVRGWGLD PEX2_013640 MDEWQEAFNGLSPKLKSCLIRAREEKADVLTALLREAELKKTLC LVKRWKVNLGGKTIVLRDLFDKIIAWVYKFKAIGDTAVQFDPAPATLAWAANEELEKI AELDTEVDRLTRISDAEVQLQIQDDMHKTQTIPKSIQTPFSRVVDASTIYAKSVEEQQ FRQLLTWMSSVPYLQHHARHSEARLQGSTEWLFKHPRYTEWNETSTSSILLLHGIPGS GKTSLTSSVVDSFLRSKSQNPLAAPVAYFYCGDSKMGRYWADPEETMGCLTRQVAVID RQKLEVHEHVLLEYQRKAAEANLDGFDVPKLKISQCADLILGVLGSNPAILVVDGVDE IEETRRYELLDALKRIRDESASVVKIFLSGREDRNILGRLSDALMLRVEENDTRLDIE LFVKNKVLLGISTLCLLGGEVPRDLQEELIAFLLNRSRGMFLWVTLQLNCFFRLKTRA SVVASIQDSSKATCESLSDLYSEMLGHLHEADPSAYDIANRAFSWLLCMHEPLTPQAL LFTVSMSSPEEQRNFTLPELLDFCFNLIYVDSKLNTLRFVHFSFIEYLKTKPEFSVPC INTIAATGCLNACIQDTPSNLEDTFNPKDNFVLYAALYWTQHYQNAAIGNDHSPILSK LEKFAFNNDGETNLSFMAWLDTVHIAAGLLSPDHPLKAELNSVTSMQMTPLFAGCIYG IEEIVQSVVTREGFDVNKKSTTGHTPLYLAAKFGHDEIIRILLSYGADVGLEGGKHGN SVNAACANGHVSATNLLLSHQSTSISSDDVGLAVQKALISGHENVALFLLQNSVELPD HETYTKTAEQASRAGMFKLVQWLDKEHPSLSNANEAQSRILDMAILKGQLSFIKRYCS KLQFLDNSVANAACMGHVDILSFCLDQGASIEEEGPLGSPLRAASLMGHEMAVRLLIA RGASINAPGKFGDALQAAAMNGHWSITQFLIQNKADVNAQGGYYGNPLQAAASRGHLE VAEALINAGARIAAKGRYKDAFLTAAEAGHHAVVGLFIEKGFQLPQGHVRSIMKGLYQ NLLWTAWNDAHGSLVHKEKENNLLSLPLPDPGLGVSFNTLKDGISSTPRNRNTATPVR GLKAPTPVEYSSLVLAASKGWDLVVQCMVTEREKIKLGQAELELALEKAAYHGHVGVL KIILASDIEKNWKILNALMAAAQTAQITIIQILIHHVADSDVLSWDNALLVLRYGSRE NQISSVRYCLSHVMDKERHALVALAFKHAAKFNSVGVLKSLDQDGNYIDGQVVRQAFQ IAASNGSTDVVQLIIGSDFANSIQSEDYLVAFRGASFYGHAVQSQSLLGHVSSPCSPE HLKVLFINAAYKGYRGVLSVLLPEIKNLDCCQTLLDTCLCFACAEGEFDAASFLIEAG ACVNARADSEMKVDENPALAGLCLDKDTDEQRPLIEPTDSRFSVYYKNCGKWTALQAC LKSPRQFQFRRGDSEGPRALDKKHFAVLQLLILHDVDVNVVGEDGLTPLHWALSRETM CFPMVSLLLNAGPESYIEEAEAEGRYPLLQTALRFFEGGGCFKDCESVEEVFTTGPGA VIRLLLESKPNISATDRQFRLVLEMAATVGNADFIQLLVERGVDVNTPVDFFSHALIA AAAFGHNDCVQLLVTTGADVNISHPLHGTALQIAVIGGHTRTARILLDHGADIDVYTQ ASFPSITSANSAALQLAIDRGYFEIANLLLDAGANFNISPPFVSPPLVAACGQSNLEF VKDLLQRGANVNIDGQRRESSNNEVLVASEEASALHKACARGRNDLVSILLEGNADIE KRVGGSLTPIEVAARAGHLVVLDQLLDAGATIYDPSRGVNALREACRGKDPIPSTRLL RGRLKAESGSEDFISAFEEAVPEVLRKGCGDTLVCLIQDLPKIPSLLHHACVLGSTDA VELMLYHGIDVNFNFESGGQPLHIACYYQRVELVPLLIKSGATVHEEDLKYGTPIQAA LEGYIASGLIADDKPFHDMVPTRSPLQTLSNNYYGASRVSRQDLIVASESNVLALVSA GATADAKERPIGPPLHLAAFIGSIPILQLLLQTGVDINTVGGYFDSALIAAVYAKQQN TIVYLLGQGIDANLFSAEFGTALNLACHQENQNKTKTVRALLKHRADVNANGSESESP VSALLSSNMTSHKPSIAEDTLEIILQSAANLKVRPEDLVLAVTVDDKCGSELREELTE RLLKHDPTAQVTIEVIKHAAKCYGSGDPENRLAMLLSHSNGIYVTEDILEAASSPEIL EVLLQHNPRCGVTEALFKTFARSDSWLGKDYLEVLLDGDISATPTIAVIQGLLEARFR PEEGDAKFNNILEMLFDRNPNIEVTEEMLAATMNSKERDILLSRAHKVSCE PEX2_013650 MSRTDFHDLQGFNGLHGLHDGLRSPDAESLRSFTETIQDEYHEW VSESGRISRTDQLLDNFPQPPRSESLDAIEEEEMEVYPTPKPSIKNLRRHATANDLPL LQQINPNEGAPEFNPVSEENGSYDLIAPYDGGDLPLYKLERIADIMFSSEHMLTILNS PRYLARFRDFLLEERPRSISTLTYYLNACKALKAIQYANALVRLSVDVPPPVVQTDGE AAGVTVNRVLEQRVVDGLLALTAEELPAFITSRCITITSKIVEQRIRGSLPMKFRGTS NALAEVFCLTDPSRPDNPIIFASEEFHRTTQYGMDYVLGRNCRFLQGPKTNANSVRRL REAIHAGRHHSEMFLNYRRDGSPFMNLLQCAPLCDSHGRVKYFIGAQIDVSGLAMEGA SMESLMELQHKHRDPDEDSIAEPPEPEEKDEFRELTELFSPRELSAVQQHGGHLFQPA KNMTSPHHPRSWLQPDVSLERETEAVRLQDIKSPFSRMSFVGVYENYLLVRPYPSLRI LFTSPALQIPGMLQSPFLSRIGSSSAVKDDLLQAMKVGRSVTARIKWATRSNPDGRNR WVHCTPLLASNGQVGVWMVVVVDDG PEX2_013660 MSIKALNQNFPSLEFAPNMDEYGPSHASFAPHGEDDHPYPNPWS FQSDNGQAGHMGHPYASMLGQFTDEGFFNKAKNEASDASASRRPYPYPGPQGYQPPYH SPGYPPQPHTHRGPTEGKNRHSSTWDGDKSKPSPGKSHPYSGLADGHKPHPYPGHIPW RQPYPSPWEDDSKSKPSFPLQPYYQFPGGSHSAGPFHQQPYKYPGNPHIGPSFPQQPY LFPNGQQGGYGNRGWGGHGFFDQASFGGYGRGGFEAPHASHSPWGFRQNIPQQPPYPF PSTGSEKYRPEVDVFDTPEAFVIHVPLSGVKKEDIEVNWDPKTVELSITGVISRPGSE DLVKMIVLNERKLGAFERNVRLGRTANPPKVDGDAVSARLEDGVLVIEVPKTEPDDVD VKKVEVG PEX2_013670 MPPPRTSTSDSTKSTTIDAPQSMKEYSKTTTNVSVKDEDSAIAG GSPGSPGPPENQSTLRVVCLIVSAFVAMFLVALDRTIISTAIPKITDEFNSFDDVGFV FLGSTLVFEVASAICGAAPNSVAFIIGRAICGVGAAGILAGTIMCIIHSVPLQKRPQI QGLFGALFGIASVVGPLIGGAFASNVTWRWCFYVNLPIGGAAMVFIAFCLKIPEQDTA KVSSAEKVLQLDFFGTTLLISSVVCLILALQWGGQTYAWSDGRVVVSLVLMAVLLLSF VAIQVFLPKTATLPVRIFSQRSIISGFWQTLCVGSGNYIFVYFLPIWFQSIKGSSAVE SGIRLLPMMLSMVVGSIGGGITNSKIGYYTPLAIIGSCIMSVGAGLLTTFQVDTVEGK WIGYQIVYGLGLGLCFQVPNLAAQTVLPKPDVPFGLALMLFGQMIGAAVFVSVGENIL ANQLVKRLSGLPGFNSHLITSGGVTLLLNRIPADLHDTVLHSYNEALRKVFQAGLIIS CLAVLGAATLEWKSIKKGQPNSDTENNGLGGGEKTVEAGKGK PEX2_013680 MVGDYKVRGIRALVSTLLLKKDRQFHAMATPLSPSEHTATIKSR RVLACVLCQQRKVKCDRTFPCANCIRAGEQCQQATRQRRRRFAERDLLARLRHYESLL RQNNIRFDPLHTATTDRRSPNADEQDEISEAVQSEGTIPNSDSRPLKEKKAVKSKSLN IWHAMSQKNVDPKENDGNDDEDDENGTGFLHDNDDIRHAVIKKAWNHMFQSKTNDHLL FGSPVGNIDDLSASHPTQVQIFRLWQIYLDNVNPLLKVTHTPTLQTHIIDAASDIANV NPELEALMFSIYCVSILSLTDDQCNTLFGWPKKDLLTAYQSACQQALRSCRILRSSDR ECLTALYLYLVSIRPETDPASLSSMLSVAIRIAQRIGIHDESTYGRCSALEAEMRRRL WWSLIIFDNRICEMSDYKTASLAPTWDCRAPLNVSDFELQPDIKTAPKTNDRPTEMLF AVVRSELADFVRHSAFHLDFTNPYLNTISPRSTTTDEAEQLMALENKIEETHLALCNP ENPLHFMTIWTMRGYLAKNRLLQHYSRYSTAYMQQTDTQRNVGISHALRMLECDTNLM TSPLTKGYLWLVQFQFPFPAYIHLLQNLKKRPLQEHADQAWEVMSNNYEVRMMDAKEN DRPFFIVFSRIVLQAWEARERVAKQQKTPLIPPLVVSDIRNKVMQMMSNFGQYSDPMQ PIGTSSVNVETLSMPIQMDYNVPDMGYCDWGPVPQSLGPWGYPEMLGPSSMEVDTNQF ILNTMEWNKLHAQSR PEX2_013690 MYTIIPKALKKGDTIAFISPSARLNDILATPLSRGKAYLESLGF RVQIIFTGLTTTTIAESVRIRCEELHSAFRDNTIAAVICTIGGSHANEMLPFLDYSLI RSNPKVFVGYSDATFLHYAIQSQTGLRTFYGPSVLTDLSDFPKPMQFTIDHFLHVLTE TGNPVGTLPRSPICSVEHTEFLLNEADKAREVVESPSWRWLRKGRATGRLYGGTIICV VRLQGTNYAPSWEGNILFLESAMGDDMQLPYSVSQFRNNLVDLALSGMLHKISGLVIG RGYKYDDRMHDELASLIEEVFDVIVGREEELPILMNVDFGHTSPFLTLPIGALVGLDS EIDEFKVMEPGVQA PEX2_013700 MPEKVRTVFADSDEEKIVKPKVSSQPTEQPERKKKRNKKRKLDD LEVSKPADQDEPREIPAPAKQVETTVPVLKKRTETVSQTNGLSASKSSYPKSKSRPFT DTKTNFTPLREKAKALLETRRKLPVFENADLIRDLLREQDVMLLVGETGSGKSTQIPQ FLVDEFWCRPVPTQITRDGRTEKKMVGGCIAITQPRRVAAISLARRVAEEMGTPLGSH SPASKVGYSVRFDTSTSPSTRVKFLTEGMLLQEMLHDPNLTKYSAIVVDEVHERGINV DLTLGFLRNLVSGKKEGRGGVPLKVVVMSATADMESLTDFFHQGFKQPEPQQKAIEAA EAEADKMDTSSPKDISVCRIKGRQFAVKTIYSPAPVHDFVDAALKIIFQIHQKEPMPG DILVFLTGQETVEALEHLVNEYAMSMDPSLPKILVLPLFAALPQAAQQRVFAPAPPRT RKVVLSTNIAETSVTVSGVRHVIDCGKAKVKQFRTRLGLDSLLVKPISKSAAIQRKGR AGREAPGQCFRLYTEKDYLALDETNTPEILRCDLSQALLNMKARGVDDIVGFPFLTRP PREALEKALLQLLNINALEDDGKISAVGLHIAKLPLTPTLGRVLLAGAENGYECLLDV IDIISCLSVENIFLNTTSEEKKEAAEAARRDLYRREGDHLTMLMTVRVYAAEQADRKA WAERHLVSHRAMQSVMDVRKQLRTQCRQAKLLTNEALNNTSLHDPSPILILQSFLAGF ATNTARLVPDGSYRTIVGNQTVAIHPSSVLYGKKLEAIMYNEFVFTNRSYARGVSAVQ MDWVGEALAGKE PEX2_013710 MAFQVPTHVPRRRTSYSTPQPPTLNIPSSSPEQRDDDTAQWVLF SPTARTHTTSTDRTRTVGPSRLSDFGSFAATQSVSGVEGEDDDENALDDQLDEDGTEL DSLDDGLHAFRAPELAPASPIRFDQGPPAMLPAHDGLGSFQASSQAVQDQLWQHEQYN PQRPSYGLLQHRRNSSVQRHLDTVEEGETNVERERWQRIEEWRMDQSRALLQEIERET RQRRSNLNSRSNLHRSVDHGTQTRVSDVLSRDVPLSRDVSEDEESFWRRITRTVIRDL IGIDDSLLSVIFGESLPLDAQSHPQEDSLDMETVLAREPEPEFSDSPLWQTKVLQTIA HELGILVHQLCEHPGAFTTYYQMTKDISAEYAGMSLNRLAESGISQRPTESTVSITVD ATGDSMLSPQFMPTLRDSNREHEAQWGIEDEDPKRSDQLPESTRLQHESEYWESGLDV MMVFRYLRNRFSHRGYMPNETNTPTPPRRPQDASRRAAIIRQHHPLVARAYSRSQTQT RRASQFSGMSNPAGVSSALRPHPRRPGSSCASQSAKLSAISSRRTMTSSSRNYWDIGG SETNSVIGVGAGLGNWGEV PEX2_013720 MAAATPNVYDFIVVGGGTAGNVVTGRLAENPEVKVLVIEAGVGN PDDIPMITTPARAFELRNSKYDWSYQTTFVDKPDYERIEKPNTRGKVLGGSSSLNYFT WIRGSRETYDAWQEYGGDEWTWEKCRHYFEKACYTSEQRTGVLFSNKLIFSRLHITMM QECTIRDFLRSHGRVHFTFRTQLCSPKLALSEILLEGLTHCVSTVYEGVRSSSAVYVT GKENVEIMHSTVATKINLEGYTAVSVTVVGTDGNETTLKAKKEIIIAGGVFETPKLLL LSGIGPRRELARHGIDPVITSEHVGEHLLDHPILPHVFRLKDGLGLDHILLREGPAHD SAVKQYDEDKTGPLASGLLEMVAFPRIDAQLEEYKIYHKARANNGGKDPFGPEGQPHL EIDFIPMFCDAFQWHFDIPPEGDWLTVVVDLLHPQSKGGYVRLTSIDPREQADINLNY FTDELDILALREGVRFVDDVLMNGEGMKEIIAEDFPWPMPRESDEEMDRLILDRAQTG YHPCGTARMSDDIYQGVVDSQLRVHGAKRLRIVDASIIPVIPDCRIQNAVYMIGEKGA DIIKAAYPELYK PEX2_013730 MDSKEAAMASHDQYHDQHDDQPPPPYEAIPQDLSEAQGISVNDE GRVVVDASSRLCRSLSRLLPHVIRQSTVPPPSYSEGVPTFKFPLNIVIQIVGSRGDVQ PFVALGTELQNVGHRVRIATHNVFEQFILEAGLEFYPIGGDPADLMSYMVKNPGLIPS LDSVREGDIQKKQLMMAEILHGCWQSCLMPDPTTNAPFVANAIIANPPSFAHVHCAQA LGIPLHLMFTMPWSPTTAFPHPLANLKNIPADPQWLNRVSYGVVDWLSWQGLGGVIND WRKHELELEPISLSDGPFILTKLNVPYTYCWSPGLVPKPDDWPHNFDVCGFFFRDPPQ YEPPAEIAEFLKAGPPPVYFGFGSIVLEDPVEITKTILQTVQATGVRAIISRGWSDCP HEWLFERVSAVVHHGGAGTTACGLRNGKPTIIVPFFGDQPFWGDMVSAAGAGPNPIPY KDLKIESLAHGVRFCLTPEAVRSAQEIAVKMKREDGVKTAVASFHRNLPKGLVECDLL PGSPAVWRCRLGKKRFCLSKLAAEILVEKNLVEVKKLKPYQPNPIVIENTRWDPVTGI SSAGMGFTFDMLKAGGDIFYKPYKVYQDGRPVPLQSPTGSENASAQLLSPGGSGSSLR KAQSMNDLSGCKDVRPKKKGRGAAMASASTHASGKFLGKIASGVMVDIPLAAAEGFRV LPGLYGDKVPQYGKVKDWKSGAVAGAKSFAHGMGGAMTDMIYQPYKGARDGGAAGFAG GLFKGTFGVLGKMAHGGIGLVAYPSQGIKQSIYSAFHKGTRNLILAALHLEGEDMVRQ ERVRGFDDQKVIEKFVTMTKAEFDDDSDYM PEX2_013740 MSQLRLRVEGQSFRDPLNREVILRGINVAGDAKYPKTPDLRSHN VQEFFEEDVSFVGRPFSLEDADIHFQRLRDWGYNAIRYIFTWEAIEHAGPGIYDEHWI DFTIGILRIAKQYNFYIFMDPHQDVWSRLSGGSGAPTWTLYAAGLNPRSFKNTQAALV HNTWDNPAEFPKMLWCRDFAPKAIIDGVNIQDYLQSHFIAACEYLAHRIQNAGDLEGE VVIGWESINEPHKGLIGTRDISSIPSEQHLQVGTSPTAFQAMLLGSGRSCEVKTWSFG KFGPYQTGTEIIDPKGEQAWLSAEYDDCQYGWHRDPGWKLGECLWAQHGVWDSSKSKP TLLKKDYFSRNPQTGEILDYERFTNSYFMNHYRAYKDAIRNVCGSTIMFCQPPVMELP PTLKGTPDDDPNMVHAAHFYDGLTLLTKHWNRLYNLDVIGLLRGKYLAPAFAIKIGEK SIRNSLRRQLRFLRDESLEHMGNHPLLFTEIGIPYDMDDKHAYKTGDYRSQIRAMDAN HFALEGSKANGFALWVYTAENDHEWGDQWNGEDLSIYSQNDVKLPVPASSGSTRSDST GCSKDHLINVEEEKSGSLNALTPPSTSHVFPHAILQPQKSHRAVEACLRPTPIYTNGH LESHTFDLKKCTFTMRLRANLAAPHTPSEIYLPEYHFPMGDTEVTVSGGTWEICILEF HTVKLQYLRWWHGQGTVEIKIRKMKRKPNSQEYAALQKLQSSRCMMILIRFLPNIITF KPILHKLGDAFEISDHQIMAIDHTIPEGQSAQPETEHLLAANTTMPLFRTTGRTIRSA SVSTVTRLLDYNPSGGMWQATGTAIAHAPNVKDLRSPDDVFFDVHGRGVRRVSTQDTV GGAMIRRATAPAIELGVLQDEKDIAAPVESHSGSQLDHNQSYQDHIAPKVSWGTAIKK GGIAAWRFIITPTGFFIMIYGLNVIAWGAMLFFLMLGVGSMSKERKEIWIEIDSQILN GLFCLTSWGLAPWRIRDTYWLLMWHFGSANISKKSIMHLAKRNSSWYRMRDFEDPTCD EMLRETLTGKVAPPTKSWKMDFVVINMLLNSLFQVGMATFMWVYNRHNRPAFGVGLFI GLGCFSSLLAGLTSWWEGRKVKRIEGAFFEAIPENEKEEPIDRSA PEX2_013750 MVQLSTTLCALGAVATQAAAVSRCSPSNLPKPTLLGASILDVQA EPVHNYSAVSLGPGSNEGKKYTINFCNVTVTYTHPGWNDTINTQVWLPLEGWNGKFQA LGGGGYSAGFGATYLTYAVAQGFASASTDGGHDVGTDAVPTDLTWSLKSKNNLDWYLF EDYAYKATNDMGVIGKQITKSYYKKSAKYSYFAGCSGGGRQGLVMAQEYPDVFDGILA VAPAINLEAFIPAGYWAAHVMNKHYTYPSPCEIQAFTKAAVKVCDRLDGVEDGIISLP NQCNVKAHDFVGQKYTCDGVERTLSASSAKVIQAAWSGSGKAGWPGVNKDAAIETYYL PTTCTGNGTCTAGDSSLLGSWFRYAVAKDPSFPLQNITDTTFFAMLRDSIRQYEGMVA TNNPDLSKFKAHGGKMITWHGLADEAIPPNGTVSYYEEVLNLDPKAHDFYRFFEAPGV GHCYGGLGPIPNGAMSQLMEWVENDHAPVTLHATKGSNDTARDLCPYPLRQKFVGGDP RNATSFTCAK PEX2_013760 MVLKVSCILLLEVLIKSTLSQPLTFVVPGRDDIAYHISETDATY IQITASKDVGWVSLSQGQDSPNSSDVAASNTIFVSLKEKYSKKAGSDLPNCQMISGKP SVHDGAVQATIRCDDYSILNRLESFTWAYEKEGTVQYGPMRVLEGHDSSNVATTGHDG LRRVVRSTESTETSTVPAQTPSPEEIAALMARYDRLMKIRTIHGSMMAIGFVVLFPAF ASLIHLLPGSKPVIKIHASLQAITAILVIVSFGLGVYLNSQVTIKGKHHQAIGALVVI LLVIVQPILGIRQHMLFRKTQQKTKWAYAHRWQGRIMLGLGVVNGGIGLNLSRSGSGD SRGALIGYGVVTGIVYVGYFGILAFKAFNARQNPQGDKSDIPERAVRTGTEEHNLTEI PAHN PEX2_013770 MTAKTKQVNHSQRDVGYTLTMKKDIGTATQEVTSDLEQLSNNED GKAFQEREKGEISLLNDADMLKLADWNRTMPTQVDWCVHHQITEQCQAQPNAPAVCAW DGNFTYGEIDQLSTALAVQLAGYGVGPEMFVPLCFEKSCWTAVAILGVLKAGAAFALL DCNQPQSRLQELCDSVNATLVLSSRDNKSRSQKLADTVIVLSRTMEFPGESYDSSAIS FAQPHNAVYASFTSGSTGKPKVVVVEHSAYCSNVLAHSKELHFDKHSRVLQSASYAFG ASIMQIVTTLMVGGCVCVPSESECLDNIAAAARKLQVNWALFTPSALRTIQQEDLSCL KHVVLVGEPPAREDIAVWADHTQVMKGYGSAECSVCCAVAQDMKLLSNPQSIGRMAGG VSWVVDADNHHKLVPLGVVGELIVEGPILARGYLNDTKKTTEAFIDPPSWFSEFCERY NKISRCGRRLYKTGDLVRYDADGSLLFIGRKDTQVKIRGQRVELGEVEHHVRQGLAGN AGPQAVAEVVTPLGSDVPMLVAFIAIGEEANESPDHVRAALAKLTQGVEDWLMEHVPR YMVPSVYIAVDMIPMTATGKTDRRQLRELGGALTLEQLAELQPSRSTLRVPTTALEEQ LHRLWTRVLKLPPNRIGVDDSFLRIGGDSITAVQLVAAAREDGLSLTVADIFSTPRLS DMAHIVKIDSSVDEHIAPFSLLQPGIPVDLARTQVALQCKVDMGLVEDIFPCTPLQEG MLSMNAKRAGAYISQNTLELRGNVDIRRLERAWQEVVAMTPILRTRVVDLVGQGLVQV VVAGQAPFVTGQNLRAYLQADKNETMGLGKPLARFAIMDGPGALRPTFVWTAHHALFD GWSMPIIFKQLEQAYHGHTLDRLVPFQGFIKHILQSGDDTMATEEYWQSQLGGCEPMA FPSLPLPDYEPRADEGVQHYISQLQWPRSDITASTAIRAAWAILLAQYTNSPDVIFGA TVTGRHQTVPGIERIAAPTIATVPLRIRWSWEDGLQDLLQQVQTQASSMTAYEQMGLQ RIRRISPSTEQACQFQTLLVVQPAARESETPPPDMLFEVPSQENDEDDESARKLSTSN TYACMVECHLGEDSLQLRVNFDSRVIEKVQVQRIAWHLEHLLREICVGRAMPIAVGDL VGPSAKDHHQLQEWNGKMPAQVNRCVHALVAERCQAQPAAPAVCAWDGDLTYGELDVL SSALAAHLAERGVGPEVFVPVCFEKSRWTIVAMLGVMKAGGAFVLLDPSHPRARRQVI CRAVSAELVVASASQAAAAAELIAQVVVVGDNVTTWQTSGGWKRSSVTPDNSLYTIFT SGSTGTPKGVVVPHAAFASGGFAHGRTDHLTAQSRVFQFSSYAFDVSIIDILTALIVG SCICVPSEASRRDDITKAASQLRVTWAQLTPSTAKLLRREDIPTLQTLVLAGEAVTLR DIEPWIGHVKLVNGYGPAECSGLSSIHPGLGRSDANNIGWAPSSVFWIVNRDNHEQLV PIGAVGELLIEGPIVGRGYLNNPVKTAEAFINLPAWLQEFRRKSNPQAAADYPTINRL YKTGDLVRYAADGSMRYLGRKDTQVKLRGQRIEVGEVEYHTRECFPGARDVVVEVVTP AEAKRPPMLVAFVWTDDINGAKSSDTKRDDNERAEHKADELLASPTDAFRAIIPRVEA GLHDAVPSYMVPAVFLPLVAIPLTATGKTDRRRLRERAATLTRAEMEAYSSPAMAKRA PATAAERTLQQLWARVLHLAPDSIGADDSFFRVGGDSISAMYLVGLARGQGFELSVSQ VFALRQLSVLAKALIKSQIVDTSKSIDPFSLLDPQQKSVILHSRPSGLSLTNDAVLDI IPVTDSQVFFLTQWSLSCFSYSIQGMVDADRLRLACHSLVQAHAIMRTVFIKHQGKLL QVVLKSINLPFDHIHTEKPLEPIWRSLCEIDSKEESVSGKPLVKFTLISRSQTEHIFT VRLSHAQYDGASKPMILTDLVAAYNEDIQSGPVCATFPDYVYYCASNGSDTAFEFWKD CLQGSAMTMLPCLDLRGETLTDIHETAFGDLPSAFEDITIPILVNAAFSFILSNLTQK DDVVFGVVMNTRTIPLPQVQTILGPCINLNPLRAPIAQVSTVYDLCRLLRDQYTQSVQ FSHLDFSDIRAKSTDWPLDTKLGCIVNHLGGAENSPLTLGARANISDSLVMSRINLED QLLIRSIPGDGKLQVQVLTSNKIMVSEQAGLLAKRLIETVNVFARSPEALLSSMCS PEX2_013780 MIDDIHILGLGGVGVLVAHALAGIPDSPRVNLLLHRPRDHHSGL LAITRNGVTEHQTNFTIEEYRDGCWHRGLSYGSVQPQHMESPKRASDESPIRFLIVAV KAHHTIDSIRLVKHRLTKYSTILFLQNGSGALDELDVELFPNPADRPCYMSGIVTHCI HRKDFLSAVHVATGSITLGPSPRIPSAPGAISFQLATDSAKLAAILNRSKLLNVSLQD SQGLLRQQLIKLATNSIYNPLTSLLECSVNDLITLNNSQVQNISDALICEISDIIKAL PLSDVITKEDMETVFSSSKLKRIIHEIGLRAGGHTTSMLQDMRNGVKTEIMYLNGYFL RWALKLGIECPVNAMIIRMVLEKENGTTKNI PEX2_013790 MAVLRSWFPSGLDEGDTVTLNKPKTSKWIIDKKVNTHSYQREDR SVDSYASVLFTCHNATDGKEAFMRIYTQVPHAGFENADKATRAREATEFTPPELKAYK FLSIKHSQNTPALLAYKMGIQDSSGPVPRGHITWIVWEKVPGKRLGDFKSAFLYWDMD RDQRKRVREVFLREFPMAKRMGYFPEGAKPRNLVWDENNEDLYFVGFRDAMPFDAKGN FGEEWFPRFDLAKPPQRHYRMNRDYKGDISDWKL PEX2_013800 MASFQDKVIAITGAASGMGLATAKLLASRGAIISLADINEKALG EAIKSLPNSEKHMTTAIDVRSSKSVDSWIQSTVEKLGKLDGAVNMAGIITPAAPITEE TDDNWDFTFSVNTRGVFFCLRAELKAMKAGGSIVSAASVFGQMGAPGVSAYCASKAAV IGLSQTAAKENQNIRVNCVSPGSVNTPLSAGEDPEDVKRGLQKTAQKRQAQPEEIATV IAFLLSEEASFVTGAVYNVDGGWMC PEX2_013810 MSQWLVSSAPPRTTLRIISNIDEECEKATLSDDIEIHQGPFGAF RFNQAQDTLSSEPAVNDHEETHSSSPEDVIPISNSYLASSDPHLSPWLQALMQFTFDQ AENVPSPPFPGYLDAMLDQDCVEGDSAPHEYSPTSCFPDQSYNQPISPTSFTSSMGTT KAVPQDAVFLLKHYVSAVISLMTPLRHSKTPWHVLFIPHTKSCLAGLALGDDMSDASL CAFYGTLAISAFSLGGVSRSQTWNEKAIIYKQKAQEHARMMLTTAYDVPKVAKYKSIL MALLTMVQVTMFSGNRRQSEYYFVEAEKFIRLRGLPRKKSRKVRLLHHCYVFERIIHE SVFVCGANSRQRHRVHAAIETSGLGNYSLDSLSFRLSGWKNLDQEMMRVRGQEEGEND LHLERPGFWSDTLYPEIFGIPEAWVLLLSQVVRLGKEKDTAGGDNVSNCISLKEFIGQ AKTLEDYINNLPLPSLENIHSELDHDIIENMLNAMKNALAIYFYRRIHDVDASLLQRK VVVVLDHLLRCEHRDSTVVHGSAGFIWPAFIAACEAEDPLVQASFSDWFVNSARRSGL SCFTETLANIQRIWQEKTCANGKSVTWLDLMKNTVPLQQIF PEX2_013820 MDVPGIALITGAASGIGRACAKTFARDGASGIALLDLNPEALAA VKAEIEEQQSKQGATPCRVIAYPTNITDENRVNEVVQEVATTFGRVDYVVNAAGIAMK HAGGAAFCETADWERILNVNLTGTFFVLRAAAKIMLKQEPIKSSIDGRPLQRGSIVNF SSIQGVAGIALSTAYTATKHAVIGLTRTASEDYAKDGLRINAICPGYTETPLTTKSPQ ILAAMEEKVANAVPMERMGQPEEIADGVVYLSGGRASFVAGTALDWSHIFSTLCPHSP TGLPRPSELRPFREIKGEKHTAVSTTGDLLFHIRSERRDICFEFERQLMDQLGDSVTV IDETVGFRYFDVRDLLGFVDGTANPVGLAVPASVLVAEEDASASGGSYIVVQKYTHDL PGWKDLSTEQQERIIGRTKIDNVELDDAQSGQRSHKTLNTIEDKDGNEHDILRDNMPF GSPGAGEFGTYFIGYTRRLWVIERMLERMFVGDPPGLHDRILDFSKPLTGTTFFAPSA SLLASLGSD PEX2_013840 MPHVEFTSGHGTGSQFATDSNASLGTSWTAQFEDSTMATTSATG SPPAPKTPVPTDNITPGQRMVSATAGNVLTGLLVTPLDVVRVRLQSQSQVYNTSPFTS HTTQTLKNLPPNLGITSCCREVFWVGNDAQMCMLGPQATAVGTHPHPAIDCAVEETQR RTFTSTLDGLRKIARNEGTLTLWRGLSPTLMMGIPANVIYFAGYDWLRTDDRSPIKQR VSEGYVPLLAGSMARVAAAAATSPLEMFRTRLQAIPGTGAGHFKATVQDLYHMTQAKG YSSLWRGFTLTMWRDVPFSGLYWWGYEEVRKALIAARQKAPHLSGSDHEPQESSVQAF LDSFISGGISGSLAAFVTTPFDVGKTRQQVFRHLDDVPLTGTAPRTALPSGILAPEQL SLPKFLMHIFREEGTAGLFRGWTARCLKVAPACAIMISTYELGKRMAREVNERRHSDA PEX2_013850 MTSRPPVTNQTRSWFDRVNIADDTDVDIVAIPPIGAHHQKTWVA PGATSSWLHTTLLQHMPRARVLLYNYGDLHDDKIDTLGERLLNQLRSERKHELRQSTR RPIFIICHSTGGLVAKAALVVASREPGQSLLTSCHGIAFFATPHHGSTYLSADEYAAS IRHLLHLEHETPAALRAQLRPRHERLWHLSNQFKTLSADMRVWSFLETVDSTIHVIDA ETDKMLEFHVPITSIRSGLLDIEHEKELPMATDHTGTATFHGQESTRDRFLDELSDAV NTAVEISKQEDTPLGVEQQVMVQINGFFEDTALGVSDESPLKMWSTKVSLEDYLSRGP TACLRERLGRVQPGGLDAASITSLDSLSSSYTVPSSDPNGHHQDHHGEKPRPLQPPLP FKQSFDDPSGPSPKIHITEADSDGYLNDSPSESPPPPQEQKRKSSLTKALGLIPMKIS PHRNVSDSSSQESIERPPSSSATSQPVQSPFLAIPKSTRDRINQNAERPDVPRAVPRF DRPDADTEKLMWIHVPYTHTGWVSQVIRRACQDRQEPYLVRKFINDENWYLRLNRARH LEPHARFVKPACLHSRQTEFPQSPTDALEDPQLALYAPYLHWDTYRNLIQRRKVVEDR LKQGRSRPAPGRISKASLEAQLIWTYLGCEPPVHFRRTLDQYGYPNLRSTIARDDDQM LWKRTRRPARIDEQLKEYLQSAEDDPDNEENFGEFMDGNVLMVDQLWLWILDQKTVIT FFPNQEATTSEGKLFEQSNLHSSIYNELNGDLSRRFETAGDLAALIMLHAVTVLLDKT LHHDLQVLRIFEESISILTESVTKSFKRFRNRGFTNRPADHDRTSDGKFMTAAQQEHK EFQVARRNREDLSVLLELRDIEDELSTILKLLDQQDTVIKSMVKYFDSRGYGKSFLDN AQERIDEYRSQINEMKENSHLAQKAVETLLDLKQKQANVDEAKMTRWQAEVAQTQSRA VMVFTIFSVVFLPLSFFTSLFGINAREWSGEPTNPTLGQMFEIAAPASFAIIFLSLFI AFSDTLREIVSKTHKISVGLLKDFILYPVKSFLWDSTSSRIHAHVVPEDSELRKRFDE YLGYGRRNMQRDEDIWQRWQESPISGGKGVKKGKYQVKSGLDRRIRAEV PEX2_013860 MDFSMYNFGFAGGSSKRKLDEIAARRQQLRAELLDDSIEVRVER QLAQEFPSAARGGRGQGGGHGAFHEAPMDLDHGWVEPEPEPEPVMTGALLRPGGNVEP PQQEAAQANAPPVGMAQPTTSSTVGDEMRRPPQNTNASLPLPQEDNFEIDLGP PEX2_013870 MPSPSSLSTPSSALTSLTGQAIPLPINESINSLINTTVSSFDEA TFDEATFDEATFDEATFDEATFDEATFDEATFDEATFDEATFDEATFDEATFDEATFD EATFDEATFDEATFDEATFDEATFDEATFDEATFDEATFDEATFDEATFDEATFDEAT FDEATFDEATFDEATFDEATFDEATFDNT PEX2_013880 MAENKRQVSVKASAQKQSGNAVSPGMATPIVPLEAALSGAIGAR VRITTAQPLQSTFEGTIFTACPITNLVAINTAPAPNPGDAKQAQNGDYRVIPISRIQN FQILALAPPSNSSSSSFTDAQPTIQALDTRALRARESKAIGEALDREARRGKGVTTQA QDLFDAFSRTMPARWNGHNIIVADAVTIAPPYRVDDCRSIVEGDTAALARVRKVLEME RKKIELRNASATIGSTSTFSRHPSVPNDQRKGG PEX2_013890 MASIFTYDPDPPRVSSPWSTSGSSTPQINISGSRGLAIRTRSST NPLRVDPDLLSNYGISKLEPEPQEGPTEYKLHLLLRPRRPYLSMSTGHVIAGSYHHHY RTIPSTSISVSPSVEPTPRPMQAKSSQSRHQRLQGLTTQLLWRLQQSSPFHSSTTSNL VVPVLPEAALELGVPSKPARLLPGLEESQGALYEIGVSDDGTFVGLTQDELDESVTNL RAMAASLGCKVEILRRVVVGNCEWAEDLPSATADEAKNNTESLWVAEALVSPDLDFYN ISPIKSKNDTKTTANPEFSNTSVLDEDYSHTEQIRISLAGPSTAGKTSLLGTLTSSAL DNGRGKSRLSLLKHRHEITSGITSSVAQELIGYTAEVPPTVVNYASGNVAGWDDIHAT SMGGRLAFVSDLPGSVRYLKSTLRGLVSWAPHYVMLCIPANCGAETPSEQAGTEQSEI DTCLSYLELCLKLEVPVLIVITKLDLASRSGLRDNLGRVLSALKTAGRQPAMLPASPA GDKPLDLQQVSTLDSTQVQKVCAAADGKWGNTVPIMLTSAVDGSGIGKLHAFLRSLPI PTQPSQRTPHVPKGLPMPSNNSANIFDVDEVFAIPPSKVYSLDSEKGSQENRGMVLCG LVRRGNISIGDEMIIGPILVDVPTDQSNEPQPPGGSLSRSGPGPPGDFPASFPQTSLS GKDSQARWQRIRVVSVRDLRLPVRRLIRDQVGTIGIEPIGVSEDGRLPRFGRIRKGMI LSNFHAPPSSSNSTSPGPSLLALPFHTGFTATFRSTEFSAPNSPPLLLGGNAIAYIAN IRATVRVICMALTGTGEELSSEPPSPSEPEFFSFDGDQHSPSEKSNSNPTANNTMDGA GDAVRRRPSATDISKVMSGVSSASIVGAASSAEALKEDVKITFALVSSVEWVELGSQI LVMPGVSTVSAASQSGATVASAPGSSSVSGTAYMSGLEGFVGTVCEVVSGRVSVTES PEX2_013900 MKLVRFLMKCANETVTVELKNGTILHGTIVSVSPQMNTSLRAVK MTPKGRDTISLDTINIRGSTIRYYILPDSLPLDTLLVDDAPKPKNKARKETDRGRGGG RGGPRGRGGRGGPPRGRGRGRGF PEX2_013910 MNWTYKHTKTVGITNPTSASVISGVDGWNAYGVELRWKSTDLTS APVTTFVSIITSAVVKSTTTPTLESEEKSPGFSTGAKAGMGVSVALGVILGFLGIGFW ILHRRKRRGKDGGKEPSELAETQSITLRHAIHELESNPVFEKDSVRGARSQSR PEX2_013920 MRPLLPSRAQETWKPDWHTEDRDPTFFEERDPSELNPNIGKISW WGSMPALDLLKLDANEGQDPSPNMRVLLISSHDIRNVVETIAHLPDTYSGHCEMVMSG MQPGMFEQNIILLLTAFHFPPEEAVPIMIHLWYSALIPESFLTALRVKLLPLIEEVCS EAAQKCRRRIFKRVWKKNKASLHLMLLRDEWERLRNTLQYPDHLPHTQATRNRQEVTL SDKRVDELHRVLYAQPRYWRVATMKFRRDGILLPFGCSRKEFKTPNPAIFCAGHSWPM PHSADPRISWSPLDVCTGSYTANYPAKNDLYGRLFIYLRETLLAFCRQLSQQDVNIRL LSIDPLSLPGYIKRQPGHSGFDRIETYITAEKDVLGIDATLAIFSPLLKPKILNPKAM LLVLFVCDIEDMWSRDTLDQDVARAAKYLPEPETSDENDADQMRNKRASSFFCNVSKL FESYKRSTGFDTLTSKYGLKMRGNNTIVAHWPLRPGKNAPQEVFDILEASGASGYERY VEWEWA PEX2_013930 MLPTPDTSHVSFDTIYEPSEDSYLFLDTLSSASESKWLSERFPK NQEASPLVVEVGTGSGVVLAFTAAQSQQIFGRQDILTLGTDVNRNACIATRKTATTAI QAEQQPSPQSVHISSLTADLCAPLRPGSVDVLLFNPPYVPTEDLPRLPSAAENDPAVA EAMSRSAKFDNDSYFLSLTYAGGADGMETTDRLLDAIPGVLSVRGVAYVLLCKQNRPD QVMERIRGWGGWQVETAGSSGMQAGWEKLIIVRIWREDSS PEX2_013940 MRIAQRLLMATPASIGSKSSLSEALALLPPLQLYRRILRVHRKL EPEMRVLGDSYVKNEFRAHRSVENPLHIIGFLTEWQLYAQKLEGDQWAGDKLDQGKLD KMSDQQLGQLLELMQALKNEGEGEGQGQ PEX2_013950 MPSILEDPSTRNPPPKAHTDAAPTLTARKVTLSKSSSAPITLYP ITGGPQTVPKDLIKFLHEELTAEILRGGTYPMEEPMALDQFADYWFGTFAALAILDDE GEGLREGRDWQSVCLGTFYIKPNYPGRCSHISNGGFLATTAARGKGVGQAMGEAYLEF APRLGYTYSVFNLVFANNPASIRIWEKLGFSVIGRVPKAARLANSEDLVDALIFGREL GN PEX2_013960 MHATADSPPLATDLATHPPNTSTIPASPLSLTPALSVSPNDSST STSTSRRLKSKSSLWSLGSSNNDEEPGPAELTSAGRTSILRRLSPALAARVKLLDGSN KSAAQNRNANAVGRIPEEHLKELDNLHQDLSIKVKRKGQAWSRTNVSPGQTQEFKRSA SNQLELPHHDILQEIADAQREDPESSEAVVDGPELHIPAPIVVPETARSVEHISPPTP MSVAEPVLAQLRAAPMPNPQPPNDAQDDRTDFEKYVDDTARREEQSTEEESAPKPPAK DSPPADAVHSRSSSNSNSNSQSYFNPMGLQRADSIYSFSRASFSNQLSQLTSIPLPQP ASLEASIENISTALSAVRALNGAAEQIQIWIKKASDVLSGLDSEDDVEWAAAGGREGL DEVDKAITRFESLVNVYVRAIENVQLRDDIANVDVDNLKTIVSQMESILQNWAQIKKK LKGVKEQVELAMEWEELWSNVLGDVGVEVDNLSGLIFEMEEKRHQALMDTTDTSGGLD INELETIVEESPTKTRGHSQNRLSIGPLLASASDTPVIKTPQDDTSHSNLMAIFARMQ PLKASLEFLPMRLSMFQGRAETIFPSACEEIEDRRNRLEKSYKVLETDAEALLKELAE DKWILVFRNAGAQAQKMFQSVERSIGKLQEGLEIGMQVHNPSTLTKLIENYEAKKMHY VPAIERVVSIIQKGINDRLTVNGEILRLLSDMTSRTDALKASTKVMDTSLEDVHITKG HQLRDSISSILTMDSPATGSAIETPGSSPASSVIMAGNNYKRASTPMGGSSRRESSVG SATARSTMPPNRRYSSLPQATATYTGRKSGIPQPTGIVSPTPSHRSSSYFTPTPAARS RTPAPPSTIPNRPRWNASTNLNDTSYNSTLRKSSAPLARTPRPLSASPIPGSLRSTSR VSSRFGSRSPHRNVSSPTPRSTLLDPPPYSRLNRPTGMSNTPRTRQSFAGPPTAFSRS VSGAAGGMESPSKSSRPGTSLGHSTNRRSSLLPLPKRMEKEQATPKPVDTRPRWR PEX2_013970 MDAPRRSMESKVAPFRASSPSPSIPATPAISSYSSTDRTFSSES SRSTSSATSADARSSVSTSSRRHGYTRALGAEFSESARHRDSVMSLGSIAHLQYYFAR TGLLDGKSGRGREWEKGKKNKNNVPRVLITPNQRHMDDDMVMSPTDMADPVEGEFEDE EAEVMLPPTVSTYSIKTHHIPPPPDLLFLRRQLLLALDKAETNIEAIQNGAEPPPRPI IRASLSPGDIPEDDQSRQVLTPMNKEEAQGMCILDDVTNAIRAAKIYYTSHENSERLA TIKSEREIRKELLDVLEVLKRWAARHFASGLREEERGRIQGWFVAVRTMISREKALEE LEAQERQNWDWAAGDWRGRERSREESFLRSLLPGGDSLPTWSPVEEAAGDSLPTAFLD RFRDGRALVQLHNLAIKKSKRQFGEITAYHLDIAKPYRQTENLQFWVKAAQLRWELRL DVDVMGVVQNSGTTAWEKFDTALLAWCKTVREELVRDWQAANPGRPPSAGLI PEX2_013980 MNTLSVRAPLRAATKPQYLHLAVRTYSIVAATTLNPACGVSKRT LTFSLTSKRSISSTPQNQITDYFPPPKTPNVKEVQTAWVHPVYTESQMRNICIAHRQA SNWSDWVALGTVRMFRWGMDTATGYRHPKPGQELSGIFKMTEHKWLNRFIFLESVAGV PGMVGGMLRHLRSLRKMKRDNGWIETLLEEAFNERMHLLTFLKLAEPGWFMRLMVIGA QGVFFNGFFLAYLISPRICHRFVGYLEEEAVITYTRAIEELEAGKLPEWNDLDAPEIA IKYWQMPEGQRKMKDLLMFVRADEAKHREVNHTLANLKQTYDPNPYQIEYTDPSIVHP TKGIDNLKPEGWDRKDIFTIEAGRKP PEX2_013990 MSNIRVAFKVHGTVQGVGFRDFTQKCAKLDELKGWVRNTTCGRV EGEAQGSDEKVKKFLQRIDKGPSMAHVVKLEKRDLDLRDDEEGFAVMRTAESMF PEX2_014000 MPSIFAWLRRVYSLDTLDTRFTSSATPAHTNTRPSKDARTNVIT QGASPSLWRTPEFFVYYLFFIIFVPLMFKTVIDVSKKSHPAYSTYSHLLSPGWIPGRQ VDNSDAQYESFRDNIPFLLLLLIVHPLVRRVYQSYVNRSNVATKQSSNSVVGAGEAQL EQRMRFDFWFGLVFIVGLHGVSAFKVLLILYINFRIGKDVPRTYVPAATWIFNLGILF SNELSGGYSLARIAKVFAPGSGMSEDGANALVQWGQTLDDLGGLMPRWEVLFKVTVLR LISFNMDNYWSVDYPAASPIEKKQLDPTTLSDRDRVRIPAEPTAFTFRNYIAYMLYSP LYLAGPILTFNDYVSQQRYTAASVTRARIIMYAVRVGLTMLCMELILHYIYAVAISKA DPDWSVFTPGQLSMLAFFNLHIIWLKLLIPWRCFRLWALIDGIDPPENMVRCMSNNYS ALAFWRGWHRSYNRWIVRYIYIPLGGGGSGRRPAGAPKPSSPPKSNFMGKLLQIRNFL LVFTFVALWHDINLRLLMWGWLVTLFVLPEVLGGIFFPAHRWRSHPTTYRVICGIGSV GNVLMMIIANLVGFALGIDGLKDLLASLTGSYSGVAYMISCCVVLFVGVQVMFEVRED ELRAGINLKC PEX2_014010 MFRVELVSDTSTATPGWSYAPARGFNPAQAMAPTLGRKRGIRDA GKGGDISSRQANAIARHIAELDRENQRDVSIPAPVKQAREAGARGTRAKTTSNVRRIL QSQKTFRNHLDDEEAAISSGSGGVAGMQGAGGANIVAAKGGKTPLRRSATPASTAGVK RPLAAVAGKITGPSTPAQDTTDAETDVDEEPVRKPKLIKSEYDNDPLLRSYAPPVPSD RLMQRLLAEPPLSYNASRAKPLASGRPGRHFCCVVLSFRGVGVSWCVYTIEKELGLAL FY PEX2_014020 MPIGTKIFLPLGGRVGIRSFSSSRARWESAVPLPSSKPVGAFRG GVFGFLTGSVVAGASVYYYILAEYRLANEMLTDDISALQKATIKLQSYITELESRVDQ LHKKK PEX2_014030 MSLDPSAFPRSNSPASSESSLTRSRLQGKEGSLKKDKNYRRYAS SVERALSLFDNALQEWADYISFLGRLLKALQTHPPDQPVVPHKVLVSKRLAQCLNPSL PSGVHQKTLEVYTYIFGLIKLEGLSHDLPLYLPGLAPTLTFASLTVRPLFLSLVEGYI VDLEPWAIRPALKAIILALLPGLEEETSDDFEPTLRTINKLRDAAGQLETQRTSEAGA SGQYFWQCLFLASITNPSRRLGVLAYLNRYLPKLGIADRRPSTAGGNDPENIPPEILA AADSVILPEPGLLIRCVASGLSDDQLLVQRNFLDLLVTHLPLSSPILQNKIAASDLRT LVIAAVGVVTRRDMSLNRRLWAWFLGPDSPNESSSMEDRKFSSETARSASIDAKELTQ SQYFSRVGLQPLVTGLLDMIKQAPSIPSDRTKPFRITLSLMDRWEIGGYIVPAVFLPT VRSVQAFESTAPENDFEEVFRSASAFFDGVESGVIFSELLGLVDYRSADVDGDSDQVL RDLDLAQFIIENFNVREEDMVQIHVPLLTLSMLVKMRDISSKERTANKQAVTAALNKV LKSLTALLTERAFSRKTGSEKTAGNDTKGRGTDILKTVHGFYEQSKNSLELPPLPYAP KNIGEMIIREAHELAIAALGSRDGNLSVHEPLDILVTLLKKLPKSRVLRDRKLYEALS QRLGSGKDKPTTASFCVVSTIASTVTSLFFIQTPGFYVSYEDACDLITPLVNQLWWYL SPLSPKFHVEAVRCLWLLHSISWIDHLVEASLTALMVNVSTAASRHLSSEQQAERFYV LWNHSHHNTHEQPPKQVLDVGGTLFSYQCSMLERPLFIVLDLLSQESSDSSQSVQLWL QDLPSIHKVFHVVISNLDELSEPDEISEKKTINYSISPDDYKECDYLLQTASNIISAL SHNGWIALLTHVLGNEKRLETSKPEENTDFKSLHSAIFEASLRIVGTLSPAPMEVNLD GERLHKDALQLMRQLLLGPRAEELVESGIDDFLVERLLISSEGGSIAVQGALIDTLLA ALKVRFAQAYLPPPPPRPKHLRASSRDRLTSPSILSFTSDKADRRQSIPQIPQPPERL LDCLLKGISSPKSREIVDKWIVLLCEVLPLYSGSIFQILLMLVECFCREIRASYGRLQ LSFQQTEDWPQDRSEHVTIALLTGLETCIANAHERLIVEESNVPTVKSPDQAQGFFGN MVSGVFNSEGGQGRPNTANDRLTVLLCFQDAVRLCFSIWAWGAGDHSDSPPDCESLAS FQYTSLRMRNRSRRILEHFFTAEALECLETLVEMWTKADTETASLIFNLLHTLDGSSP KITIPAIFNAIYTRTNPTALDPSRKSSLTSNLSESELASFLVTYARSLDDDVLDEIWT DCTTFLRDVLSNPFPHRQILPRLIEFAAILGVKLENTTFGEDRRMRKELGDVLLRLLT AVFTSKPLGLNHDTGPMARSSVDHDRTSVSHTGPDDMLSILAVSMPSFITTLGDSDRI NTAITSVSTNVVGPLIRSRLFPNNLNRNVMILLQQMAKVPAAAKMWKKDISDAFHDAR FFGLQLDLVKNSWMDLLRQWVLADKERLSELLVRIPPPSSAGIMFGVGASTARLEADR KAQLNLRRIALLLLSASDDYFVGELPALLQKLEDLLAATSASSPSSATRAEVFMVLRA VALKTSASAMAPFWPLINVELQEAISAVPYGSQPEVYNSYSLLQACKLLDILLVLAPD DFQLLEWLFVTDTIDAVYPPDRWEPIALADEISQTFGPRGAGSPIVPTETNETQTHSG LKRPWLISDWIRETAKDDIVERVLRPFFARLSIYVFESTYGMGSVDVTVCRDDLLADL FNESTMAN PEX2_014040 MPAAGMNTQRDPKTDGEQPVEISNQPYLFRSSIPDHNESSDSSE GERPPLPPRPNTLSLLNDETGSRAALQAEATTAISRTDIETQLPEAAESPYSTLAVRS LAQGPKARASLSQLASPRGSEAGDSASIGSSIPNGDSGDVEALFMDFAATAPGSQRHG ATGLLDFPEFAADDIDDDGILSEFEAVGELNEEGENEELLLQRWKAKRKHYVILSAAG KPIWTRHGDSGLISGYVGVIQTIISFYEEANDHLRGFSAGDTRFVVLTRGSLHLVAIS RMMESDNQLRLQLEALYMQILSTLTLPSLTHLFSVRPSTDLSRPLQGSESLLSSLADS FTRGSPSTLLSALECLKIRKQHRQAINNALLKTKASTLLYGLLVAGGRLVSVVRPKKH SLHPGDLQLLFNMVFEADGVKAGGGESWIPVCLPGFNSSGYLYMYVSFLDIRDDIENS AEITKDESVAIVLISPDKEAFFEMQGMRDALVEQMERNGSLKEIKTAIDNGRPATTDI VPGTVLHHFLYKSRANVQFTMSSYAPEFSSVTRRRRLMSMYNNLHASIHAKNTHVKVH HCVSRSATSFGWVTPVFEFYCIAEPNTNRNALAQSASKIAQWVQREEERLFIIGGAVF PEX2_014050 MPSHIKFAEPTSNKASKDRARAISQEKGSTQRAPSPGSEIVYPT GWRLMLTTTGLLIGFFLSNLDVTIVSSALTGITDDLKGFEKRSWIITGYLATYTGSMA IWTKVSDIVGRKQSTIAALVILLAFSIGCGFAQTVNQLIICRALQGIGGAGTYALTIL CVYEIAPKTKLPIYSSLMSFCLVFASLIGPIIGGALAEDSAWRWTFFVNAPLCVIAIV VIIFAMPKHFGLDQHTPSFRTRASYRSFANLDIMGSILMMAGSFLIVAVLNETNLAFS WSSRDAIALLVLTGVSWIAFFAWEWYISGIPGKDPIFPKRWLFDRPWLGILISSFVIG APYNVVLVYVPQQAQLLLDKSPLDAGIYLIGYSAIAAVAAAIVNIASSRGRIPFVYTL LVGCTVHTVGIGLLSTIATSRGFHAADIGYLVIAGTGMGLTMGILVLSTPYIVEDRDL GMCSPEKFPDIEVIALTNWSITFVVAIATGTVVQIRFLGGAIGLAIASNILNGRLAKR LRGVMTSHELHLFLENVKSIKSLSPSLQEEVKSVLASSFNTQLLVMIGFAAAQLPATL LLLKAGRQLAASKHSGEQQTTPRLKKNGVVLRKAGLSPTRPLFLVHINIAVMAAKLID RRFHNVSGKLRVSELFFDVPVDYSKPAGDKLRLFARSISRLNKPIEPVKEEAKEAKEG KLPWLVYLQGGPGFGCGAPQSYPWVEFMLSKGYQVLFLDQRGTGLSSTLTAGTLARQG DAIKQAEYLKNFRADNIVRDCEAIRDVLTQDYPPDQRRWSILGQSFGGFCAVTYLSKF PEGLREAFLTGGLPPLTDGPDPVYAKTYEKVKERNEAYYQKFPEDVDRVKNFMQYLTQ NKVALPSGVLTPSRFQQLGIMFGFHGGFDSLHDIVVRVSNDLEMFGFLTLPTLSVIDN NGGMDKNIIYAILHESIYCQGKPSLWAADRLRASNPQFQINDSLPEIYFTGEMVFKDM FESYSELSELKEAAEILATTDEWPALYDEAQLAKNKVPVYAATYIDDMYVHFDLATAT AAKIKNSKQFITNTMYHDALRSKSGEVMRQLFNLREDTLD PEX2_014060 MQNQFQQDIWKAAQGPWESRILPSTENLVRARASLPAILPDTGS SLESVQRHIVDDIVPAFNGGSLSANYYGFITGGTTPAALFADNVVSTYDQNVQVHLPN HSIVTDVEYNTLGLLLDLFQLDRSTWHNGTFTTGATGSNILGLACGREFILQKAAQRK GCHLQSVGEEGLFEVLNALGLSGVQVLSTLPHSSLVKAAGVLGIGRSNVHNICRADDP LRFDIDKLEKELARTDKVSIVAISCGEVNTGRFATSGADLREIRQLCDKYGAWMHADG AFGIFARVLGESPEFATIKKGCEGIELVDSITGDGHKLLNVPYDCGFFFTRHPNVASQ VFQNANAAYLAAGNSDGPSIPSPLNIGIENSRRFRALPVYASLLSYGKSGYQEMLERQ IRLARKIYGWVFDHPGYTALPEALSKSELLDRTFMTVLLRANNEDLNRELGSKINDSS RMFVSGTSWDGSPACRIAISNWRVDLERDFLLVTDVLAKVAQG PEX2_014070 MGKKNKKSAEHKDRVAAKQTKKSAQKEKRSKAKGKDADSDAEDA DLDAILAQYAEEQAKFLKVTEVPSEPPVPRSSSTVLASPSNRNELFLFGGEYFDGTHA TFFNNLFVYLIDRCEWREVTSPNSPLPRSGHAWCRGGNSGGIYMFGGEFSSPKQGTFY HYNDFWHLDTATREWTRLEVKGKGPPARSGHRMTYFKNYIILFGGFQDTSQQTKYLQD LWIYDCNQYTWSNPTLTLASQKPDPRSSFSLLPHETGAVLYGGYSRVKAAAGGGKQGK GGGGPQKMALRPMVHQDTWFLRITPPAAEAPSSTAPVVRWERRKKPANTPNPPRVGTT MAYHKGRGIMFGGVHDVELSEEGIDSEFFDTLFAWTTDRNRFFPLSLRRPRAPGKKQQ ANQNAKSKNRGKADEEELLANLKALEAKVGIRDNEDDDDIETSMPQPEEPVEPAKPSI VRFEMPHRRFNAQLAVQDDTLFIFGGTFEKGDREFTFDDMYSIDLVKLDGVKEIFYRE PENWNLLNEAEDSDEDMDDEDDDDEMDEDEEADAESMSLDAPSPAPTDVTVPSVTKDM EQLEVEEPEEQNVNDSRPLPRPFESLREFFSRTSEEWQNIMMEIVKEREQSGEKSIKE LRKAAFSVAEEKWWDSREEIMALEDEQEAAGIGEVVSMSDRTENMGGAGRRR PEX2_014080 MVPASTLSTTKKRASPKQSSIVRESFREPPLSSYKLSRQKSTPL RSDSFHSPGPYDIESIDLTGELDLSRLSSDTIPAVEPRGSWAEESTPCGTREKRGKKR KSDEYTSDLLSPSKHAAKVRTPSKAALPSAPRSIASEQPIIQRQTTQTTQRNPPSAAK RPEYHSPVAPRSHRKRVIADSDDDDDLFDDWADNEDPADKMILDAEESLYPILPEMSP AADEKKIETKPSRLESTPKAPLPTVQPSTQSKKRAVAKDPIPSTPWSKPSNSQEKDPD LLKFLTLGNNAFGHAISKLRSTLQKNSEIVYQQAMEGQPVPELIAENKTLVAQIEAIE MLQKHRNTHRGSVSRKQDLKQNLIRVISQGLDPTTMPEELAQSRAVEAELEQTETEIC QLLSKVNILELAHDCPSDPPAMINTQPTFEAHAVTRESPLFSSSHADLNAKSRGQQRL PPTSPRKTKETPRPDSYDNSFARNMGSPPLDSMDLDEFDWNVSDDEILEAAEGFEGAH QIPAREQASQNRKVFAETSGNIPKAPVPKKSPGHSAFWSNHPWSQEVRKVLKDRFHLR GFRPNQLEAIDATLAGKDTFILMPTGGGKSLCYQLPSVVTGGRTTGVTIVISPLLSLM EDQVSHLQKLKVKAFMINGDTDPEEKSWIMSQLSNAGGEGMEVLYITPEMLSKSQALI RALEKLHGRNRLARLVIDEAHCVSQWGHDFRPDYKELGEVRSRFPGVPVMALTATATE NVKVDVMHNLKITDCEVFLQSFNRPNLTYEVRSKGKNDEVLASMAETITSSYRNQCGI IYCLSRKTCDKTAEDLRTKYRLKAQAYHAGMSATAKSEAQRNWQMGRVHIIVATIAFG MGIDKADVRFVMHHSIPKSLEGYYQETGRAGRDGKRSGCYLYFGYKDTATLKRMIDAG DGNGQQKGRQKQMLRNVVQFCENRSDCRRVQVLAYFAEYFRREDCNNTCDNCKSGLVF ELHDFTEEASWAIKIVRQFQNTKEKVTVLYCCDILRGDCKRPKAPEHRKMPGYGKGSN LDRGAAERLFYRLLGEDALAEDNVINKSDFAVQYLILGRRAAEYESGQRQMKLQVRAS PNSKAKAKSKPSGAVQKKKSGNSGGDPQSTMVSSPVQAAQDRRLDRYQYPGAPAARTS VDEDSDGFEPIRTTGKSRRINTHEMGPPITSDQKLDRLDHMHRVVVEDFQEHAKIMLQ DLVVKKGLRCQPFSDQVLRDMGISFPTNLTELSAIPDIDQDKVKRYGRQILGLVDNAK RRYLEMTQEAETSGIVPDPNHHNVINLSSSDEYSDDDLFMDEASTFNLDNPISTAPSN AAEDITSRYFPPAASPGYDSGDDWESGTAPSGSKGRKRQPSSGKRPSRRKYGSTGSWK GKGTRTKAKTGDRPTSQSSAPRKNARAKTPKSTIGMMPL PEX2_014090 MDVTDQIVQKIQNFSEKRQEAEQESAKEPLSGTALHVYTRRLDA TLQGLQEQLRELNSLDLTETGTDSWARISQARRAKKAYDSLLKSEDELLATDSVLPSL LAIEETARLVQENKISVKMTAEQLSLDRERLRVEEANLRDSQSIASGLRERIQKIRNA NTRKEEQTPSQVAREQLNLQKKQNKELDRTSVSLKVSLDKFIDETLAPMLAAEDLGGP TVGDAFEVSDATLKAGYTAHGKPKKQKEPAETKDASQQRIDKFMKRNADETPTNKRDA AAKEMHGLLDAMLEADSYIDLERDSASSRFLVRAKVAQFHPRDARRLRLIDFGRSLGN PEX2_014100 MSASPSNLQSTKRPLEDPSSPSGPNDQPEAKRPALDKVVKSDAD AEAETDVSSSQVPAVEVAKDTQGDTVVPDAPNGKGLPVDTQPIQSTASQADRAGSDQP PQDESSWVHIRAVISSPEAATVIGKGGENVSQIRRLSGAKCTVSDYSRGAVERILTVS GPQDAAAKAFGLIIRTLNNEPLEAASTAQSKTYPLRLLIPHILIGSIIGKGGSRIREI QEASGARLNASDACLPLSTERSLVILGVADAVHIATYYVAVTLVEQLSERYGGPAASA YATRSGGPAGAVPGGMQVVPYVPQPAGGQYGHPETFKRHHPHPNRAGGGAYGVPYLHG QPAPAPIPQTPMHFPTAPQAPYGGAGPHQPAPFVGGPQQPTPGRGPPTAPAPVGAAMP GQPLTQQIYIPNDMVGAIIGKGGAKINEIRHLSGSVIKINEPQESSNERLVTITGTAE CNQMALYMLYSRLGWSPPFPGFPGPPGETSCAQVLMDTTESEKHRI PEX2_014110 MSQTVSQIVFFRVKSSVKPEDPVSEEGEALLKIFRSTQHQSGHE HSSWGRTSEDEDTIVWVIDWTDPRSTINIHLLEPFLAPDNPQPPSTLHVTFSPPLSCT ETLTKNPVTELCTLSFPSDLDVLAVRQINADLISFRTTLVEQLPQSAGPRSWSMGHVD RPSKLPHENSPSGQAFAHLLAVGWENIEAHLKVKETEKFVQSIAPLREKMLPPIPGLE IKHVSFQKVEG PEX2_014120 MAFNFGASNPAGGSASAELGSELPDVFTDEVGFKGVSGDANIRF LPTAWPDNALPAPTSSLLAVAHTKGLVVGAGPDALVISTTDVVRKSIEAPAGEDMEKT KPFQPIATIPLPSRPTHVAFTPGDDGLILATENGPAISVFDTNALTQGNAQPAISIPT NGVSLRALAPNPDPSSTLVALVTMNGELLIADLKAGSLLPGPNGPVLKDGVSCASWSN KGKQLVAGLADGTGYQMTPDGTKKAEVPRPSDLEGDCHISSIAWLENDVFFVTYTPNI SEDDMGMNPASSYYIITRRKQAPFLIQKLPEVCSTMGFMLKRGPAYQFITRIREYKPH LRDVLIVASTASTDLGLITRSDQPLANDDRTKSHVGQFMTTEVSDDTKRASVPLKESG DETSVIGLAQNLSATENVVAPLPGSDILESSTPLPGVLLLNNDGILSSWWFVYADSIR QNIPYSGLISSGQATQAPSQPQAVAPTPAPAPAQQPAFGQSSFGAPSPFGQPAFGKPA GAPAFGSPSAMGASTMGATAFGKQSAPAFGSPSRLGGSPSPAFGKTSSPAPAPAFGTP SQPGASFGTPSTPGQPQFGKSGFGTMGSGFGQSSSPANPFGAKAVASGGGFSSFSGGG GFSGFATAKPSESPFAAKQSGGSPFAAKQPGESPFAATQSKGSPFGNASAGESAFAKS SASPFGAKPQAPTSFPPPASSAVKNPFGAAQGGFELKSTFKGDGSAANDLPKADKPSP GAFSFGGSFDEMVSTSRKGSSSPSESMDDTEDIEPVNKETFSIFGGARKPASETPTSM FSSKTQFSKTTTPAENTKSAFSMFGTTADQNRVTSPLSALSDKTETPKKNWPSTSSID VEAPLPPDPITRASYAAGDTSASSSKCSAEDAPLPPDFTKAKKPSPKPEDDAPLPPDF LSKKKSAPTTDDAPLPPDFLTKKPAPKTEDAPLPPDFLTKKLAPKTEDAPLPPDFLTQ KKSVPKADDAPLPPDFLTKPKKSEAAVIPEDAPLPPDFTAKPKTKPFEEAIPIPDESD ESGISDGDSEAADESDFSDSGEEITHDEAFIAKSKQSAQSSFGAVSAVSEQSSTGGFF SSPARNADDKGRLPRQLFGEIPKQPLLPPPGPVAQGNREPYRSPSPVRIGGKKNVLFS ERPHTRKGSTGALHSRKASLTHIAQRDGRLRKASDVAREEQEKQARAHAAAQLQEDDV LSLSDDDEDDRLRDELAQPVEPVDTLDPFLPHQNYMGETAKPGIAGQVERLCRDINSM VDTLGINARSMAGFLLHQQPKKPSDIDDWVMVLNSDQPAEILDKKMTLKDIESFEELI ASIAQSLENQRVQGVDEKLDACRDLLTKQVVTLRGQFASIRKTLDAHTDVGAILEAPL SAEQGALQHDLRTTFTNIQVNMAALEQAVSLLRAKIADVPQANGTGRNRPTVEAVTKT IATMMSMAEGKSTDIDVLEAQMRKLGVDIAPTGPPSREASPFSTPRKNVAGRIPMTPG SRGSIDGSAYHTPDSVSRGLNFRASINGSVRQSRLRSVEGAGEPALPQEDAVQYKAKK SRRQHLNGNLKKAFEDKQVKVRGVDDW PEX2_014130 MPGFDFTNYNRNAALHARGVPLPKATSTGTTIVGCVYDKGVVIA ADTRATSGPIVADKNCEKLHYIAPKIWCAGAGTAADTEFTTALISSNVELHSLSTGRD PRVITCMTMLKQHLFRYQGHIGAYLVVAGVDPTGTGLYTVHAHGSTDKLPYVTMGSGS LAAMSVFESTWQPDLDRQGAIDICAEAIKAGIFNDLGSGSNVDVCVIEKDQPTQLLRN YMKPNERPQKERNYRFPRGTTAYLDQRVISKEDMKKYVTIETLSGDDNLATGDSMEVD T PEX2_014140 MSFGRHAYRHALKPPATATLDHPWISDDLLAATFRRFANGQRRH GSCVPGPLEARRRLAKRRNTALASIGGGPVEDIACLFGRNGREHMKWTDHPWQRAPFE TQSFTDHSLRPPEVPFSFSDQNPEAGPEPSEFQTTHAPSYLANANQATREQMLEAFLN GNNWGIEDARDFTRRLRIDLHREPRYSRQIFERLLARSDPDLTEAIAFLDDPFLNTRG SGNYAAAVEIFVRTKTKRGKRTAVLNTINRALELGLISTDEICLIITALPNIIVERNK TLGLWDHKALLKHYRAMWKAIGCCNILGYHDLDRSIVDAWLGELLRTRSFRFAEEIII ETHDADSRSQWPSTLVQAWLETMEVDSETNLPFPDKIFSQLDVNSAADCVIRVTEALA SSSADRVSRNQLLERWRDCLSKAEVISTVAKSQVWFDFPLPCVQTQIKHTPLSHSTQS QIILRLWLLRTLGRSTGPMYNQSERATDQPICSLLNLYETVIQDTSGIFFPDFLREIH DLDLPYNSLLLLALNKKGKTSITKTTRRTLERLETTQLSLAEVWTNPSIYKGIQRLFH TTFDQMFHRMNLTDPATVEEILNVVRSGDSTNIWPILRLLNNNTPFKVSLHKAWQPIP HPDEKVLVRYHPGPRTSRCPDPHAAVDLINQLAVALSCCKHLTPCQSFHMVHWLYGYL RRHGGPVDPEFVRAMYHAGVVRYRRDGRRISATQYEYILWIVGKFESSEVVEELTALP QIGESRPDWR PEX2_014150 MFKMAMDGKLFQVPLQDPKHILDIGTGSGIWPIEMSALFPNTEI IGTDLSPVQPTEVPPNVHFLIDDATEEEWLWDKNYFDFIHTGHMTGAMPSFKSVLRQA FKHLKPGAYMECHELDPKPECDDGTMPPENPDGGYSAYAMHDWVDLNVRSSRDSDPPR QFRIAHRIAQWMKEIGYVDVEQRLSKIPTNTWPEDEKLKTIGAWSENNWLDALSGWSY KPFLGLGWSKPEIEVFLVDGGNPFQTKVNLLPEFLSSPCFLVMAVLRFITPFRYVLSE MISM PEX2_014160 MQICEGETKNAGICARRSMVDCCLDLQITLISAPDFSIHFTCWV CTTLFRRRRVIRQLVQLPYRSITSSTPIGRLGLTVQLHTSMDGFNTCPM PEX2_014170 MVRQTPTRSARNRATPARQPKRQRQTNDHAPDVYQDLLEEAEAR DPGQFASDRPIKRRKAGDMKAIPVGFGPPEQTDRSAETNKHSTQPVQTVYDSSTSEES DVDWEDVELQQPSQSLLNRRLVSDGDDDMLQITLEQEPEKHKKAVQRRKPLTGAERKA RLDVHKCHILCLLGHVQLRNMWCNDEELQEFLKKTLSRKVIALLHPDEDKPHYSRSTT FMDGLNQAADAFTRRFQVTKPGMKRSHWAEDETQLKQKLMNAARVKNHLLLQGLDELD SPNSPPHVLLNQNSIQACPIPTSRDSSFPVFWVEAFNEAAHKWVSIDPIVTKSLAKPS KFEPPASDSLNMMNYVVGFEDDASARDLTRRYVKAFNAKTRKLRVESTRNGEEWWNKA LNAYEKPFFEDRDEAEISELTSKSAAEPMPRNIQDFKDHPVYALERHVRRNEVIHPKR VIGHVGLGKSTARSETSEPVYRRSDLHIVRSSDKWYRLGRDVRVGEQPLKRVAASRNR GGGFSDDEDENEPQETTLYAEFQTEIYVPPPVVQGRIPKNTYGNLDVYVPSMVPPGGV HIKRLEAVRAARILGIDYADAVTGFDFRGRRGTAVLGGIVVAIEYQEALEEVMRGLED ERRHAALEARTAEALRFWRLFLVKLRIAERVKEYAGDDEEQELEDIEDEIDVEDAGGG FFPEPDQPLNSPPLKAQGRGGMVHDQHFPEDYAGREVEPPSDEEDYALGGGFLPDDHD PAPGPVEPPKPQPLTITRRSIPESIARNQASKTPRYSLVVVPNHGSNPTSVPQVIAGS SDKAPIAIDSSTYGGSKSASVVTVSRPPSPVPIYDSDSEIEKGSLLSEDPDDEDAIPE WLMSDED PEX2_014180 MKQPHESRNLPETPRNQSRNPRRPSPPDLPTTATKTITARNRSP QSSTSASTRKRTSLQRVQSTLTQIDFVTQPTPSNDDQLGYIEEYKRRDDTQDTIRRLN VDDGSDKDSDYLPAQPVCSARISKFKMSERERDSDQRSQKRRSSGVVNRDASRSYVPR KSETPRASARTRGGRKSLEKSVGKRDKTLTQMDFVRRYITIDDDDDDDVNMSYIQPAP QKKDIKYEQTEHTPKPNQSQPMKRPTPAKRKRRAFEQELDLSTGEPISQQKEAQESNP GSGGEGERESAAPVTPRKLRTREIPSSQTPESPGLAIITSSQFRSATRSPSKRKPLNP TDNSMEPIKEEHPEVRRVVEDSQDPGGESLPRPTTFDSPNIHINLNHPDLTTIEEFPS SAPPTESSLQESPIEANGNPRNEPTRRERTVVYETDADSEYGDFEDNPIGRSVTPTPK KAHRALGPQDGSQATQHSPESPKDDSQELPLLAMQSSPGLDDDPPFEGPMSDASICYQ RMHAATQFPHEPIPTLNTQKMSELFPHAGSSQNTTLETWRPPIRKQAPGPFLQTQTQS QEGGKESTEMVPESSPIRERERGIESGDSSFQRPRVPGSVVQVESSQVVDRDPQWKGQ VLSRSQLLTSSVMESIPLPNFWMGSQDSVGEPYSLPEG PEX2_014190 MDIAYDHIQEEAFSNKEGAPSDSKPQESNANLNDELQETFRAFS ASPWGSRIGGLWDNVRKQGETYYEGARQEYAAASEEAVKGFSDLRETLADRTKGLSLS TAALTGSGEGQSDETATPKAAAEGGESGDKGEGEGAGASGESFITRLKAEAARRLKEI EKAEEAADEAILRFGMNISQKLREAVSILPPDTDESGKLLFESKDAEGKRVIHATRFE AQLHVIHSNLESFSKDPVSDEWPSFKKEFNVEIKTDEIAADLEKYPELRSAMEKLVPE QVEYVDFWTRYYFLRLVVETEEKKRKELLKGATAEDEEEVGWDDDSDSESQSPSTPQV RSGAQTLAPIKETEKAEPRRSHDQHSQADSESSYDVVSGAASRTPGSPKEKIPSAAKA DESDEDWE PEX2_014200 MNYAIRSGIAITASYAMRQSSRLLRNVKSEDRDELISLQQRLES KIQVIAPAIDMIELIAARGNTSLESAVALTKSLRWDIQALGQRLGRAAASEELRRKGA RSSKDQTNSEKEIKLIIKDIKKLLARIEDAVPLMNLAITTSGAKLSTNLPATVSPSRL LQASTFLTAGDTQYSMSPSQAVQVGPTFTLSMYMLFASHLRPHDEESVREATWKEVMH KARLKLRRVPIASLQSPDELPRTKLPGPAGSDEYAYQVLIIEDLDDGRVHTIDENDPQ PQSYEGVSTAGIREILPIHQISKIFYADTGRILNISTEGETNNPVLLLKRDLNALPPR RMMERDEVEEDFPQPESEEEPEDEEQAQLDAQLNGGENTDTSIFNYLHEDSIPEEWRL PPGLDPEWIAFEVYNEDETSDTESEAENPESSTDEPSIDPNMMAKLSLNEKGHSSHSP SPLNQSFSSAAPTTTVSNPHFENIRTSLSLLETLLRLTSLQQFQQQSHLSISDELLNF FLEESSTTGAGGDEQHRQRLRSEARRRVGWDPYNESPVKHRGEDYQYGWEPGSGPHQY GGDPYSPNGRSQGFHLRSRESTPETPVRKGSSNVRPGGQRGMRPAYAESPLSKKMGRS LPDGDLGSGTTD PEX2_014210 MSNQTPESWEDELSRQAEGVNLNAQSRPQAQAPSFQPGAASFTP GASSFVPGQQYQQYAGYPQQGYPQYGQQQAYGGYQQQQQAYGQYNAYAQQPGGFNQYN NGQQQQQYGGYNQQPRQNAPVQQQPQAAAPAQSAPKPASNAAAPKAKVLSIGATSSSA APKTKVLSIGTPTPAAKPADTTPKEANGDTKGAAAAEAGSKVAATKGLDKTDKAAVAG KASPTPSSGRSSPARGETAKQAREASAVAKAQLADVDDATLKEIYGERREHVNVVFIG HVDAGKSTLGGSLLHATGMVDERTLDKYKKEAKEAGRETWYLSWALDLTQEERSKGKT VEVGRAFFKVTIPHPEGDIERQFSILDAPGHKSYVPHMIGGASQADLGCLVISARKGE YETGFEKGGQTREHALLARNTGVSKLILAVNKMDDPTVEWSKARFDECTVKVIKFLEA LGYKKSDIFCMPISAQRTIGIKDRVPKDVCDWYDGPSLLEFLTAFELPERKVNAPFMM PISAKYRDMGTMAEGRIESGIIKKSGTYLMMPNREEVQISAMYGETEDEIATAKVGDQ IRLRLRGIEEEDFFPGFVLCSPKRPVHCVSAFEAKIRILDLKNILTAGFNCVLHVHSA VEEVTFAALLHKLEPGTGRKSKRPPQFASKGQTIIARLEVTSTAGAVCVETYDEYNQL GRFTLRDQGQTIAIGMITKLITPETEAQ PEX2_014220 MATISTAQPATDATSYLDLAITLTINNWPALSLAVQSNWGGPNS SDKRDWLCGAISEMLTDRPETDAEDLEEVLVQVMNDEFDVAVDDESAADVADMIMELK TQTDRGEFGTVQQMLENFQKKSQNRSAAAQFQRVEAADEDQETDDESDEGDVEMGEAP NLVRAPRERAEPEIDDDGFTKVVGKKR PEX2_014230 MIELRNIGPDEWEVWKYQRLAALTKAPDAFSSKLADWKNASEQQ WRNRLSRCGSYQVVASLQGTIVGMAGGVTLAEPGVAELISMWVAPAGRGCGVGDALVA AVQGWAYGTGATILKLSVVENNHPARKLYLRNGFVDAELESSDMEIKDVYRERVMVKK SNRFSPDSV PEX2_014240 MHFTFHYALQHSPPFTGSSLSKLSLSTASTPSSPSSLALHDAPT GQAIHIYLVPTLATAPNELTPLSLSTVTSNAQRVSALIKRIHPTVPLTIRRIVLFFRR TPSTMPLFLRHTTHISSASFAKPKLLELVPVLASQPSFHSDPIFYKANAINRGNPTTK PKQYCTYYQCKTVHTIEQCHLNPADANANANTTRSADTLTTTAASSATTANSVTGSPM LRSNNPFTS PEX2_014250 MASDLLKPLAVFCSQSQSQRYIKAVSNLGDASRSLAEKPLSKSE EDSILVETNFDFVLKITNTLEEQCQSTSTIVEMSAHEPSTSLLNASSESSLSTKSSAT SLSTRPSTATEPPRHYRIFADYGTDFIWRDPDDLMPEEGDCMLEAEEVLSTFPSSVLE SYNAWVDTYTDNFSERRDKTQNYYADVFLTASEEVAWNVAGFLLAWRITLAPQVGRIE FSAGCSKYLLEKGKETSATLQFLQNQVDILAEGKGPRMQ PEX2_014260 MGIRSRYKIWKDGKSPHQGNVGVNVKSTDPAKTPAVSNTTTPIS SSVNFRSQNFQTRQSTEISRSTSHSLLTTPDASVKPPATEGTEVDGGRCNTSQNDTSS LFMPSKDDPEDLWQLSFDELSQEEQGQLQAFLTPSHVIANEQTQSMSFKCTDFEDLIV VTRKKQDGLSDKIWKFDFYGRKIVPRDYTARVITCLTTVGDASVQLMPQPASIVWPLV KGLMQVPVDADEETAAVLMTADILVRTIRCGKTYETIFREKIKNQHTNLWTDFQSALL KLYVTSLRFLVYALRQCDKRTGRRLVNALLNPSKAQDQVSDLESCRSHLREVVLDCRS KIDDDVDATVMEFLEKFSMFNSVIEQRFDELFERLDRQEVIEILDWISQYRELDRHHL KAEARTPKTCGWLLENSVFKEWEQSSSPAVIWLQGLPGTGKSFLTSRVIDDLRANLHP GEGLAFYYCQRSGQTFEDPSDVIRALFRQLATPAHEFQEDEMRKDVRDLYSQSKRMTQ PSLSICKQQIIKSVSQYSQTTIVLDALDECAEPRNELFDLIDSLVSQSEYPVRIFISA RPESDIEERFHDEPIIKTEVPDVKEDIKSFIDKKIGELRWNSNTVKFREEAVEALVEK SDGIEQLLAAIRHDENHNELEEVIDKNTLLSLCKNLLVFDQPLNRFRFCHASVSEYIE NELWNSQRAHCYTAKSCLRFLMLAYGEPAASTVPKSGYEELMITTQKDPELHEDSVIF SRDYPFHFYSRHHWMRHIQRQEYIALESNGFDYPLNALLREFLSSPSRSGPVYQAWHQ DVSDDVRLIRDTAIFTDFSTLEDISPPTLPILAVCRFSFNTILQDWWDRDDMFLTYFN KQNETPLIIAVRAGCISICEKLLNRQAFVDRSGDRSSALATAAFDGKLGIARLLIQKG ATVDLALHGDFGSALATAVSTGNLDMVRLLIENGATVDMPLQGDYRSALATAVSFGDS PMIELLLENRANVDLELQGHCGSALSVAVSTSRLDIVKLLIEKGATIDMPMKRDFQGN FGSALAAAAQRGQLQVAEYFIEKGANIDLELPGLYGTALAAAACGGGRAMIEFLVNKG ATVDMEFQGSSGSALALAAFTGNLSGAECLIENGATVDLALEGKFGSALAAAVAASHG NIPVIDLLIRKGAKVNMALHGSYGSALATAARKSRVPTAVILIENGATVNAVLQGDYG SALAAAACSGSLQMTEYLTQNGATVDMVLPAGHFGSALAAAAAAGWVGVVEYLVETRG ADVNLPLIAGSYGTALNAASHWGQTECVKILLGAGAIVNLQKDHSGFSNALEAAQAEP CEDYHDFDLITNKRWGRRRDAAQVAADKHVNALQLLTAQLRTARPNAPAQIPTSQGAS TPSGKQTTRGIPSLVGMDEWLCALGHSEVDVNGLNIVHIAGTKGKGSTCAFTSSFLRS HGLRTGFPKQIGLYTSPDLQCIRERIQINNQPITEELFTQYFFEVWERLSRAELERRP RYLQLLALLAFHTFIKEGVDAAIFETHHGGEYDATNVIQKPIATGITSLGIDHINQLG PTIKDIAWHKAGIFKPAAPAFSVPQELDTIQILYDRAAERKTNLTFVPINSSLPINNR VLSVPVQRLNCSLALELARSFIQIKAPDQVLDSDDISNGIENFSSIGRFEVIEDGTSK WFLDGAHNTLSITQAAEWFAKNSNSSDISKCRVLIFSHFSEERDGVALVQCLAQSLSE HDARPDYVIFTTYHEREDGTTRIDKTLKMPETEFPDFCAIYSSLWAKFDPSAAVSTES TIEAAIRSAKRLGAERGGMEVFVTGSLHLVGGALYFLRP PEX2_014270 MKTSFVTIAVSLVGAAFAAPATESRAYPYNIGELSLKHTIEGNT WDLTFYLTSRSLAGEALETTTCHTAWTNGTLPVGAKSPEPCADTAYSFFFPTGAFNVE KYEIAAEGPAGTAVTSIESGYKYQCGPYTGSVGNVDTECKTINGGEFYLYQ PEX2_014280 MSNTTSDHELIRNSIANIAVSFDTNTFSGLRNSFTEDCVADYTG SLGLMNGIDTVIEKLQGTIGHVTTFHGLSTQVIRLTGKDTAEATTYCSASHYVGDKSF FAEAKYFDKLVKVTEGSTTNWLINYRLTTMMGVPRGDVSIFNMDLEGWVDTLKA PEX2_014290 MASPFYNYTIATFTRGLNTLSSILKKAEEYAREKNIPLDELLNA RLVEDMKPLSFQVVTATNTVSKALARAASVEPQPQQEPDGTYEDLYKRLERTLAELEK VDPARFAIKDGQTFKAPIGSNVFDYTLEDYSVRFAIPNFYFHVVTAYEILRVKGVQLG KMDYLSEFMA PEX2_014300 MADLSRSSLIEQLLHLRCEASHPDSSHRLSTLLSSQIGPWPIRH LIPTYQDIYKSIPESLKTNNNESIARLRAQVKAATPNVAELIGTDSRAGLIDANTGRH LTHIAIRKFLESFHIPVGPSSHGKPRIAVILPNGPLMAVAVLAFVNRYTIVPMTTNTV PEQLQTDIENSQADAVVALDADIGKLQLDNGSRPVFGIEQLEDMTFRVVSTHNASSAY DHPANSADDVAIILFTSGTSGTKKLVPITTYNLIAGTIATIESVELSETDTCLNMMPL NHVGGIMRSIFSPILAGGATICCPSFDPSMFWDTVQAPHMTPTWYYATPTMHQMILAE AEHRPDAVKQSAIQFICNAGGGLPPTLAVQLHDMFHCVVLPSYGMTECMPIAAPPRDY KLDRPGTSGKIVGPEVAILTESGEPVSQNGMLGHICIRGSPAFEGYLTPEGKIDTNAF NKSGWFDTGDLGHLDEDNYLYITGRSKEVINRGGEIISPVEVENAVLTTAKDPESPLY GRVTETLAFSVPDEVLQEVVGVVIVTPPGVTRPDLRQIHEALQPIIHQPKWPALVVYM DGVPKANNKIQRIKLAERLSLETLTTTTPLANRHYHAVCPPTGAPLSALIEKKPCVID DKIIRSVLAKKANTSDVHVQINPRDGLAQVVLFVQNPDDDHVTPGELHDHLDGYLVPS RIIPLKGPMPLDFYGNPDQAAINEAIHARNSDGDISPPQRRVREIFAGALSCAPEEVS AATDFFAAGGDSLSAGRLVSQLRREFGIFLAGDILFHYSTVGEIEHKILEAIEVKAAK GDEGEVELPGCEKTYSSTNPIILVLHLFPTVFFFPMKRAFQWIMFAYVVAECSNRFPI RENLIARLMLVVFAVMSARLCSQIVSPICAITFKWLVIGRYKEGMSPMWGPYHTRWWL TQKALQVCGKGLFNNYNWSRILFYRLLGAKIGKNVTMSASAKLGEYDLIEIGDNVVLD TCVCRPFAVERNTSMLLKRIRIGKDSSVGIKSVVAPGADIPENTCIGPNSSSWELRDA DESNRQLLTSQIPKPHWLWILFIVEPIKLITWTAARITWMGALIPMVLEFPIPAADMF RSTLEWYTSDKRIAYHITARICRAVGGPILVFIAVLVIKFFLDLICGKPKPGPASKQT TRQKIRSAVLAQILPAGDIHQLTRLTGRHYEFVSMAVRALGGKVGRHVYWPSVGPVTV DFDLIEVGNDVVFGSRSTLVTSDGYGRDRIVIGDGTMVGDRVVALPGATIGRQAMIGS GALLRRNGEYPANTVWTGSKGGEAIQFPSSTSTTTSTAPTIVGDGSSSPSSPSSTSSS DDEKTPIEKQPYYDSKEKTVTEVAEQEVDTCKPFGRAFYRHESSYYVLRMWQIVIYST FAVIVTTVYWLLTVLFSLFTLRTVLNYSDAAGFKQGAWRPFVLYGTLASILSIITSAQ VFLAFGLIICIKWMVVGRRKEGEYHWDKSSYNQRWQFLLSCETLIKDCYDGVGLPSMI SGSAYISWYYQLLGAKIGKDCAIHANGAPSIFFTEPDLLTLGDRVAVDDASLVCHLNS RGGFELHTLNVGDRSILRAGSRLMSGASMGQDACLLEHTLVLSGDHVENGDTLQGWPA EGFEGKRV PEX2_014310 MKFSLLKTVLFSLAASSYGWEHKGHEFRPPLPGDSRSPCPGLNA LANHGWLHRSGKEIDLPAFQSAIAGAYNYEPTAMDGIFQLALNFNLSTTGNQSTFNLF DLARHDEIEFDGSLSRNDIYFGDNVHFDHNIWATVAKNLNLYDTLGSEMNQYVTVETA GKACAARAADAKRVNPSFNASAMQMMGNPGTTGLYLVTLWDEDAGAAPKSWVKALFEE DRIPYLEGYKVPKVPRTLEDVNEMTKRVSAVKV PEX2_014320 MTVDDEDQYALQVGIPNLAFQNKLLMKSVLAFSAVCKCCDVINQ PSISHEDREKVLVLLSIADQYHMESLREVQAKLSETDQYDHILANAAMMGMYGSSSHC VRIWLAETASDNDLERGRFIPKSCQWISLFRAVRVAYTGLLNDRFKTEDVVQLASPIS FIDPVASCDFQMHCECTVSSRCEQQKGPQDHALYPILAATVGSALRRLRKRAQEIAKN GMGDYEDQDTPAIHNDSYVQACFAALDLFENIAIETFPDINLVPNTPGLSPLASEVDV NPVGQASKVSPWIRRYTARITSMISSKLPRRFIMAFVHKAPTKYLNLVEDMMGLMQIG APGSHGVTACPLNPMNSEPSLAHQLAVDIFAHWLVLVMLLDKVWWIGGIGAWELGQLI SLKRDTRWLDNSKNTESRIGYDLKGKKITTRRKAMDYLLRRFFAS PEX2_014330 MSEPQWFNVGPLHVGSHGIIPLPIRTPKVHLLSSYFDDAHDLLS SKAKDAIELPVLTPDGPTDPFYKVVIPRRVHEELENIYMIKHDPVHEERRYTIWIGFD LDRFGPIKTPARFFQALDMALLSEKVIVQDTTALEGRHMPNRAVWMAMYGYFGNWYKP EERKWAILAAKRHIRLLKKLHERADLRLVDEKRNERTCAPSEANPEIVACWDFTPNCR RPAERYMIFPELFKEKYKMTAKGKESEKHTHGCAHA PEX2_014340 MDIVSIVSAALRLISLLGELMMFANDYRLLIVTKELAYLLSQAQ GLQTLLTSTSNAFENVLRHVAFSPQHEGGYKALCEQLQRRFVEFRQTAKTINNEIQSV CGQKGPKTSKGRHLITKVYIDNDRVESPDPTLFVTDGADWLSEAQETEFLNIGNAQDF AKCLSNVEEPWTLIIENAGDLTKAKEYFPSGDKGHILFTAPSPDQTVESIHISEMNHE HNRGFLLWEAGFVEPWCASDIAWSKDVIFKLGSNIGLLTLSHVGATIRNGFCVAEEYP ALLEKQQKMALKPDLSMLAFEVAVAQIRQQKTRSSKDALKLLKLFPFFNSEYIALDTL TKAIVIRKTFTGEGRRSYYKDPGHSDIWVRSWRHDNIWDRSRREVKSFNSNCIGKKGK ALNTPQFASDFQSPAFLDPSRAYWALTELVNMSLVIYHPSNDTYSMNGVVKGWIEDNL HPSDHEDWRNLARMAASHQVLEPEGVEKNLSVPAS PEX2_014350 MTIPEEVDIIICGGGSSGCVPAGRLANLDHNLSVLLIEAGEDNL NNQWVYRPGIYPNNMKLDSKTASFYQSRPSEHLDGRQAIVPCANILGGGSSINFMMYT RASASDYDDFQAKGWTTKELLPLMRKHETYQRASNNPELHGTDGPIKVSFGNYTYPIK NDFLRAVETQGIPFTDDLQDLKTAHGAEHWLKWINRDTGRRSDAAHAYVHSTRSKHTN LHLQCNTKVEKVIVENGRAVGVVTVPTKPLNGGEPVRRIFRARKQIIISSGTMSSPLI LQRSGFGDPEKLRRAGVKPLVNLPGVGRNFQDHYLTFSVYRAKPDVESFDDFIRGDPK VQKKVFEEWDKKGTGPLATNGIDAGVKIRPTEQELGEMKKWPTPDFTEGYESYFRNKP DKPVMHYSIISGWFGDHMHMPPGKFFTMFHFLEYPFSRGHTHIQSADPYEVPDFDAGF MNDKRDMAPLVWGYIKSRETARRMSSYAGEVTAMHPQFAFNSSARAQDMDLATTKAYA GSNHISAGIQHGSWTTPLEPGKQPSASTLNSNRHEARPSLEYSDDDIKHIEKWISRHV ETTWHCLGTCSMAPREGNSIAPHGGVVDERLNVHGVKGLKVCDLSICPDNVGCNTFST ALLIGEKCAVLVAEDLGYSGADLDMKVPTYHAPAEFSNLSRL PEX2_014360 MFTSPNGNGTNGSIASPGASRDRNWYQTSVDQATGLPDVDPVVL PKVSPRLEDAEDFDDWYDEVMNILKDNNLHLLIDANLPRPSRSDPARQQWHELSCQVA RWLELSVSNEFYLEIRAYGNDVYLADAFMANAKKHFSGKHHRALKPAMMRFLNTRRAE FDSTSDFISALEDNFSVANARGAMFTPYLALCIMLRELSTVLALEAFIDLKEKELYAI SDPQLNITEARYHETCSTIINHVKRAGIDSLS PEX2_014370 MPPTREETICSVSALATQLGNQISVHMLNYLSTTKDLPDGFRDL SHTFLDTCRMLWAIEAGVTELAGANRALPDVIIEEVEKKFVSTYRDFQQLDKVILKLV QYEHRGTLGKLQRGWNRPGHDLNRIHESLKKTTETLQISGMAFHWSLADAHPEESVGI GYAGLAAALDRVSKGRSVMGINKVKSFEPERSSSMQSQSPDSPRSTPSVPPKESFSAS RLNQSIRQEPEIIPDDMSSILSLNGFLNPQSRETEKIPYFDHRALPRRPAKTETSASA EEISLRNDSYSDHDAASARPARSLLGHGINLNEETRDGTTLLIEAIRKRAPQQAIETL LNSGCDPNRKDDHGKTALCEAVQSDQPSIVTALLNKDANPNLPGPEHVLWSAVHRPGC LRILLAWGADVKKTPGLMEQATSVNNIDAVRVLLQAGMDPNSKKDGIYTPLCSAIRDD RPDILTLLLHHGADPNVMASEWPAWKCITHRRLHFLPDLVAAGADLRKPPGIVESAVE ENNPEALHWLVSQGGANPNDRNEEGHTAITTAIRKNRPEMLEWLLANGADPNLRGKDW PIYMAAQSPTMLRLILPKITGLSAHKGVMEKAVQANQLENVKLLVAAGASVEWKNGGV FSPLTTALRECHWGIVRYLLNEAGADPNAPGEHLPLVKAIRRCDDGDFTMIEFLLERG ADPNKCYRDWNAIMQAIEDRNLRLLHLLIEKGGQIDLTQKDETGTTVLDMANSSGWLE GTELILKNARQP PEX2_014380 MVLMSHALGRSIEDVTMLTAYQLLACACYGPIGSALAHKYGKRP QFIFASVMGFVGTLVCCTTGDNYGVLLAGRIIQGFGSAVFESLTVAVMGDMFFVHERS LRTGLLVMTWTCIISLVSILGGVIAEGLGWRYVFIIHLPFTVVGLLSVFFFLPETQWK GSRSHISSEAADQAEDKEMASVSHGHEENLSESSLPEAKKTFMQEIAIFSGTHTDANL LRLVFAPFAVIINPAVIWSIAVGGACIGFYVAISYILAQIWTPPPYNLNAHQNGTFYV GALIGGTWPTTIGSGSDAFRENSTEIFILLMTAKNFIFYGFSQVMNTWAEEKDPSDVL RTFGIITMCLIGTSPVLYIFGKVNRSFMHKTRLMRRLTGEEDVLRSIP PEX2_014390 MVSTNSSSAIPAIYSANAPALKSAFYEAFAPGMPSSFTTNDKIY RQKKQILSAAFAPRKLEAMEPLIRLHIDTFCEKIAAAGKVDIAVMLGALSIDVLSDLC FGKSFDTLNNEPERDRILEAMEKSVELVIREGTMHKWPRAIWKVLHSKEKVIKRGYVY QRAVEAMMQQMHSKSERDDFFTNILQARRPETGEPYDKRELMGEAILLLFVQTAHIPD SVISLLIKVVYSVAGSDTTSTALTMIIWHLLANPKTMEKLTAEICENFDSTDSIKYQA LQGLPYLHAVIEEGLRICPPNPGLIPRVVVDRTPGHLAIDGRIFPPGTEIGVCNLSLH HNPLYFDQPDAFLPERWLQDSEIKCDKGAFSPFSYGPRSCLGRNIAYMEMSLTLALLV YRLKLSFANHDKEMLAGFDVDDAFVAIKPAVPVTVTRV PEX2_014400 MGSTQTSTFVDGKPTNIETRLFINGEFIESSDKQTFSIYTPSSH ELVAEVYEASVDDTNRAVAVAKPAQPAWAELSPTQRSAPLKKLAALLRENTDELAYLE AVSAHKFESFAEAGYTAKGVSSLNTPGFVNMTLRQPFGVVAAIIPWNVPLVTLAGKVA PALITGNTVVLKSSEKAPLTSLKLAQLSIQAGFSPGVLNILSGHGQISGATLSSHMDV RAISFTGSTRAGSLIQTAAAQSNMKNVILELGGKSPAVIFDDADLESAAAKTANSIQW NSGQVCMANSRIYVQDTIAEKFLQLFNIAFGSIQQGNPLNPKTNHGPMADDVQFEAVK RYIASGKDSGTLAMGGASKNGFVEPTVFTNVPQDAKIMREEIFGPVVNIRIFHTEAEA LEKANDTEYGLYAAVFTKDINRAMRFAKGLEAGTVGVNCTSPVTGHDLSFGGWKSSGV GREGLLDSISAFLEHKSVLIKIE PEX2_014410 MTFKGTVAVEEAVIDPATTWILSESQHILTPGDAGKAAVESHKQ RLLDIDGRLASMDAEGVEYMLLSLTAPGCQGIADQKLSEETATGFNDWLAGEVSKRPS RFGGMAAVSMHDPVQAAEELKRAVQQLGFFGGLINDFQSTKTSEKQLYYDTPAYDPFW RMAEQLDVPIYLHPRYPELSDLQPNTKYGSRLHLIGAGVQFYLDLSFHIYALCASGVF DRFPRLKVVAGHLGENIPFNLWRASHWYNKPSKKATRPSLHDYSYYFHKNIFITTSGN FSTPGLKFCIEELGVERCLYSIDTPYDQVKEGQEWWRTVELKDNYKELVGRENAIRLF KLPIEI PEX2_014420 MDSRDYAFKAFGDAKHIYATAHWAKSQTDTPLGIALAFHGGGFV VGSRKMLPMEQIEYLANAGFVVVSADYRLCPQVSLYEGPIQDAKDVYAWCKDCLPGLL KDDASLDVDPSRTVVFGHSAGGCLALHTGALQDPPRAILNFYGVKCTTDPFWFSPLPA LAMIPSLDEEFIKQVYKEPVSSNTMLSLERATNTSNQPKTKGLPRPDLSVARNAWLFV SLKEGTQLQAIVQDGDYKRIDTDGMVIPKFSIEANEKLRSLGVETKILLPEEKSHGFD VGVEVDEPEFAPIRAGLDFLIEHAKL PEX2_014430 MSDIAAITEKLAPQPEAAYPKTPFYEGPEAPCRFEGEVYNCIVR GTIPTEVQGTYYRCMPDALWAPKYEDDVFINGDGAINAIRIKDGHADFKQKYVRTSKF VIERAARQAVFGKYRNRYTDDPRVKHEIHSTANTHIIYFEKQLLALKEDSPPYAMDPH TLETKGPYTFNGQYTAPTFTAHPKIDPTNGEMLTMGYEAKGDGTTDVAYYLFSKEGEK LEECWFNAPYVGMMHDMAATDNWVILIVPPLETQPLDEIKKGSKHFAWAEEKPLTFGI LPRRNPKPEDIRWFTYKNAFYGHTGNAFDGEDGCVYLDAPLTNFNKFWFFPPRGQDQM EAASGKPPASGNVSQYVRWKFDPKATDFHVEPVVLVDADGEMPKVDDRYVGKPYNTLF YAMHDPTKGNGPVGGVYNAIAKCNVGTGELTFWSAGDHTAIHEVAFIPRNPESPEADG YLITLANRRDTGLSCILILDAQKITDGPVAVIELPFRLRNGIHGSWVSATELDNAIDL CDMSGVTEKIRQEFATKEVTFPVL PEX2_014440 MTNNYVIPEECRAAVVVDEGPNFTIKTQTVKVPVPGPDEVLIKL NATGICYSDIHYMLNDTGGRKMSASGICSAGHEGSGVVVKLGDNVKTFQVGDRAGVKP IWNTCGSCNLCWGGKEVHCQKKVLTGVGATGTFQHYIVSPARYTTVIPEGIPDYVAAP AMCSAATAYRSIRESELAVGSWATIISGGGGVGIQAVQIAKAFGLRPIVVDTGSEKRD LSLKMGAEAFVDFKEFPDTVAEAVRLTGGIGSHGVFVTAPPAYPTAIGYIGARIGGVI MCIGLPAASSGHIMNVNPTMMILKNLSIKGTLVGTMEDTDAVLQLVQRGLVREVCEVL PFEKFPEAVDRLRRGEVAGKLVIDYEA PEX2_014450 MSKDVGGLSPFEENETPPLFDGQVISYRNADYLPDRKALDQAAM QFFAEINSVIYILDQDRFHLWIDDVYGGRGVRASVLVILYLVMALCGEEDPSFHIARS YIDDLIEESSLESVRAIMLMSLYRQRENERSVAWAMLSVAIRISMSLGLHQNIGYVHD TSIYGSEVKRRLWWSLCEFDNWSSCMLGHSSGLGCMGDAVSLPSQEFNTTPYTPPGYA TSSASLGSLIGQISQQLYIQNGNLRSKEQLTSELIQKVEAWNNDLPDHLRPNSAFPSC FARATLYLNLKYNYARMLIGRPYMAHSIFCNNGHDEVFKSRAETCKLANRDSIRILTE FYRRGLLSTTLWLDTYFILATAIVLFLRVVETPSVQNELKSFLPVLKMCDRNQIAKYA AGSLERLLHSLENGITENPTINVADPFSQSSRLGDGGGSCHEIDFNNLGDLNTLGSEC FGLGDDFDLDLCGPWNTLESGLS PEX2_014460 MAAPLYLRLASLEDDNPWTIEQKYFMILNDYLQPASQVSAAKAA AGINELTPINREAKGEEAEHPESWCSEFWGTVSEVVKQIPHDHPSQDKMVEIMKELKN LPGVEVVFYKTATTRIWTDLPCLMEVWSEAYITPSPKDDASEFEKWINWQAFSARLLQ AGLADWSHLTTWCFRDALEEEPLQAKELLECQIRAAVQWIEHSRDIIFHSLDNLPNLR DLRPGPLYAGKEGLSRERWDFWEARFHDFAENGMLAEETALICGRAAQQIAAIAAVNG VSDEQGIGETAKLEDSH PEX2_014470 MHSKKVLIILSDAHSFPLKRNSGHDAGKVVDQPLGFFLQELAKP LRKILDAGHKVTFASPKGLEPAPDPSSESLVANAGNIFERQREYDLIERMKRENGFSR PRPFSTISNDELTTFAAVFIPGGHSPLQDLGGNAELGRILRYFHQENKPTAVICHGPY ALLSTKKAGDGSFVYNGYRITSWSDAEENLMETLWGGEVEKVESTLRNEGAVMVEGVR EKTGGTTLHRELVSAGNPMAANALGDRFVRMISV PEX2_014480 MGPPDGPGSISLKQEGLDNGDTMNPYVNEPGKSKKGEGETDSVK VKGTVRTDRPQV PEX2_014490 MKPHRARRFLRKVLEFFHLSSSSKREVKAHNNAKLWCVATTTTA PPLQSICASRGCGQVEVDGQVDDPPQYTFRDDPLEKPVSTRSQLECRIVKLWKKVWLG LYQIDDDEDRYHVERLEAPSLGAGLIRTYRAGELQVLRRHFLRSETNNGIASLKLFHG LSLSTLLSVIHILEAYESEIENMRLLYSILRSSQLALHETADLAQTAVVRTEYMAIAE PMEKRIRQYDIRMNVVNMDICDEAMGFYQRQKGEYIYHKAFPHAW PEX2_014500 MANDHTGEGESRSAANAEHIPVNSVSSSGRPSSPVSSCPPAEFT SIRSAPSDTQADSIGSALDDNGVARTVSRRRSYASGHDTKGEEWAQIERLISRMFGSE RKANSEEEKTRHVGVVWKDLTVKGVGLGAALQPTNGDIFLGLPRLIKQLFTRGRKGTG AGKSSIRTILDDFTGCVRPGEMLLVLGRPGSGCSTFLKVLGNQRAGYESIEGDVRYGG TDSEKMAKQYRSEVLYNPEDDLHYATLTVRDTLLFALKSRTPDKASRISGESRKEYQE TFLSAIAKLFWIEHALGTRVGNELIRGVSGGEKKRTSIAEAMVTKASTQCWDNSTKGL DASTALEYVQSLRSLTNTANVSTVVALYQASENLFNLFDKVILIEDGKCSFFGPSQDA KAYFERQGFKCPPRWTTPDFLTSVSDPHARRIKDGWHDRIPRNAAEFQAAYRNSDAYQ RNLADIQNFEGDIEAQRQEREAARSTTKRKNFTISFYKQVMILTHRQFLVMLGDRESF IGKWSVITFQALIVGSLFYNLPDTSNGVFTRGGVMFFILLFNSLLAMAELTAAFYSRP ILLKHKSFSFYRPAAYALAQVVVDVPIVLIQVVLFDIIVYFMANLARTPSQFFINLLF IFILTMTMYSFFRALGALCVSLDVATRLTGVAIQALIVYTGYLIPPWKMHPWLKWLIW INPVQYAFEALMANEFHNLQIKCEPPYIVPDGPNAVPGHQSCTIQGSDPDQLIVHGPK YIQTAYTYSRAHLWRNFGIIFGWLILFVCLTMLGMELQRPNKGGSSVTVFKRGEAPKA VEDAIKRSGPREDEESAEKNGIAATKNDGDSNESSDKVQDVAKNTAIFTWQDVNYTIP YKGAQRQLLQNVQGYVKPGRLTALMGASGSGKTTLLNALAQRINFGVVTGNFLVDGRP LPKSFQRATGFAEQMDIHEPTATVRESLRFSALLRQPKEVPLQEKYDYCETIIDLLEM RSIAGATVGSVGSGLNQEQRKRLTIAVELASKPELLLFLDEPTSGLDSLAAFNIVRFL RRLADAGQAVLCTIHQPSAVLFENFDELLLLKSGGSVVYNGPLENDSKTLIDYFEQNG GRKCSPHENPAEYMLEVIGAGNPDYKGQDWGNVWANSPESKQLSEDLEGIIASRRNSQ SDEKTKDGREYAMPLYVQVVAVTKRAFVAYWRSPDYILGKFMLHIFTGLFNTFTFWHL GNSFIDMQSRLFSVFMTLTIAPPLIQQLQPRYIYLRGLYISREANSKIYTWAAFVTST IVPELPYSIVAGSIYFNCWYWGTWFPRDSFSSGYVWMSLMLFELYYIGLGQFIAALAP NELFASLLVPTFFTFIVSFCGVLVPYATLPHFWQSWMYWLTPFHYLLEGFLGVVVHNV PIRCIEREEAHFSAPAGMNCQEYAGSYAQKAGGYVRDAGNGMCSFCQYSTGDQYAKSL NVFYSHKWRSYGIFWGYIFFNFALVFAFSWLYLHGVSNMKRWFSERKTRKGRDHS PEX2_014510 MKEETEPRDTPEGSAAGDESKASLASKDRKCQYCQQAFTSSSLG RHLDQFLFKKKPDGIHDVEEIRRIRSGITRRQARTSTGKTEGSPERTQKKGTSEPFTA VDSGSKSRETPVRMMFNTPTWHATGVINDIPNPSRVLDGPRIAPSQSRTGSLQLPDYV SRGASSSNPDTMRALELALREVLDNIKAASSRIRPRLSPFDFDMQAQTFPSMCLQLLP PPPSLFATHPFSSSTSFPLQPPGAEHLDILRQALRSKVAQWQTDQLAVDLTAGPHMRH GNSGVDPNMIYRSAQQHEDISFRHLELAFNHWNSLSHETRRDAWQLEITRAFARETEK RKSSDEQLARVQQEANQLRAQVERLGSCQWPREFALFPPDTLPLPRDVARELDAQESH ISADSTRWDYDSVVAKWRRVVMHDKSMGRVGVGYGNPSPLGDPDQSQSQQSPDVRPPR PGEDTTSHPNRLRPLQSATALSPDAAATSTPASSTHYASPHSFADPRSPPSGALHSGM PQVGGLPPAKRQRLMNGSEDPSGPSSESGHPPPSAAGKPWGSSTPHLSNLAAPSGQTP TSSSSRN PEX2_014520 MTGGSWQLKGEAKRQSILNAIPTKWRLTHPVPPATELRDVTGDY IRQYLTEREIEITETDAVDIVAQTSTGRWSALEVTEAFCHRAALAHQLVYCLHEVFFE AAIEDAKEQDEYFAKHKTPIGPLHGLPVSLKDQFHVKGVETTMGYVGWINTFEGQQDD PRNGTEESELVRELRNLGAVLYCKTSVPATLMAGETINNIIGYTWNPKNRLLSCGGSS GGEAALIALRGSPAGFGTDIGGSIRIPAGFNFLYGIRPSAGRIPYQGAANSMDGQGTI LSVIGPIAPSARSLTLLFKAVLGQEPWLYDPLALELPWRDEIVQETRALIENARTGAS TLAFGIMKYDGVALIHPPIARGLRVVERTLQRLGHRVIEWKPPSHAVAVELLGKIFNM DGGADLNYHIGLSGETRAPQVIGTENGVQMTASEISALNVAKREYQKQYMDYWHSTAE LTGTGRPVDGLFCPLAPHAAVIPNEFDDVGYTGFVNVLDYTSLAIPVTFADKKVDVRL ANDSVNDSEGIQWDYNADAYDGAPVGVQLVGRRLQEEKMLTLAEYLGKEIAQDTEERA PEX2_014530 MFIFSKLREVVWGKPAATKAERKLLVKLDLVILSFCCLMYWVNY LDRMNLNNAYVTGMREDLHFRGNQLNIANTVFYGGYVLGQVPNNIALQKLPPRIYFPA CMVAWGLLTLGTAFTHHPWQIMVIRFFQAVFESSTFVGCQYILGSWYKPDELGKRTAI FTSSGLAGTMFSGFMQGGIHQSLDGARGLPGWRWLFILDFCITIPVAIFGFLAFPDTP TSTTAWWLSEDERKLAIERLPEVKKQRGVLGWNLISRILRTWHWVGFILLWIFGSNTE MFSSNAIMNLWLSSTEKYTVSQVNYIPTGVAGVGIITTLSLGWYSDFTKRPWHVGIFL ACTAILSGAIMLRPPSTGAKFFALFLNGSQYAGQTVFFAWANAATGDDDAKRGVILGA MNMFSIAVYMFWSLLFYSTTQGPDWKEGSIAMICMGLALLITTIGVKYLERRDKQRME VHDGVQTTVGTNEVKDSIDIDRSREIKE PEX2_014540 MAQIKGLSAYDRPPDPVRLCYKKYSKIALSKVDNDPGILDLQRI DPDQLPDGVTITQYMSSQDLRLAFDDFIRGSHAATDEHAPLTEDIPVFAHKSISGLLM IPALFPPTIQIELLSRLFHRDLPNPEHQTNLHLHYDVTYPEESEETHMPKSFFADDPT RAFQPKDPHVHKPLTVQNLLEKKLRWVTLGGQYDWTAKVYPSGTPPEFPPDIAKVLRA AFPATSAQAAILNLYSAGDTLSVHRDVSEECDVGLISVSFGCDGLFLASHDDGNGCEI IRLRSGDTVYMNGKSRFAWHGVPKILPSTCPKWLADWPSSGESAPGMPPGPYEMWKGW MSSKRVNLNVRQMTATQACEESVDQA PEX2_014550 MSATMDNYQKIEKIGEGTYGVVYKARELNHPNRIVALKKIRLEA EDEGVPSTAIREISLLKEMQDPNIVQLLNIVHADGHKLYLVFEFLDLDLKKYMEALPV SDGGRGKPLPDGFKAGTTLGLGDAIVKKFMAQLVEGIRYCHSHRILHRDLKPQNLLIN REGNLKLADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGRQYSTGVDMWSVGAIFA EMCTRKPLFPGDSEIDEIFKIFRILGTPGEDTWPGVTSFPDYKSTFPKWKRPDVEIVP GLEEAGCQLLESLLEFDPAHRLSAKQACLHPYFRNGTAYYSGRGASNSYQ PEX2_014560 MEFDDEMGYAVAGPGSSRSNSRPPRPRCQAPQEFVKQFWEQFNT KYPGKVYTVLPDNPYARTRAKHVPSGRIQGHEAVKSYEQARQECEKSVCRIVKECERV NQKYSDPHFDIELDLKCGRRHYLDGLDKPNNDFRPQGVKRVTEIFENPQFFVNGPTAS DVRQGYDGDCWLMAALCTMGNKAELIEKICVARNEDVGIYGFVFHRDGEWQQCIIDDK LYLRAADYDESVTERPLWDDINRNDTEEEYRRVWQTGSRALYFAQCVDDNETWLPLLE KAFAKAHGDYSAIEGGFVGEALEDLTGGVTSEVLSSNILNKDRFWTEEIMKVNKEFLF GCGTGLFSNWLEPSYHGPPRDRKGIAEGHSYSIMDAREIDGHRLLRLRNPWGRKEWYG AWGDGSKEWTPEWMEKLGHKFGNDGLFWISYKDLLKKYQHFDRTRLFGPEWTITQQWT TLNVPWSADYHSTKFMMDVTTSGPVVIVLSQLDARYFKGLAGEYSFVLKFRLQKDGEQ DYLVRSHNSHFMGRSVNAEIDLDPGRYHVLMKITAFRHEDVDSTEEIVRQVASTRREK LVQVGLSYDLAHAKGLVGETEQEKHEQELLKAAERKKLRDEIKKNMQKDWIRNRKLSA REERRKARCGSRTPSGSSYDHNPECDYIPSQILKERPVEESPIEAASISSESSDRRVN GSVPIIHVNGGQGLHARQANSGRLRGADSPRPSLDVRFATDSLDPSDLELLEGFEFDS DVDMPPDEADVKNHPPLMDRDEPALDPWNAVCVVGLRVYSKDPMLSLQVVRPVPEDDT EAPLDRDDPAASATTSNKANTPILNKFYQRSGTCSNSAMQNIICSSRGIALVLRRSRG ATRIFVACFQSSRYSTASSDCTDETISLPIGNNGAISLRITRPSALSWSQQGQSPKDP NVILYLPPGPLFQGNGTSESQKHGNFEVDHQRTGDTNALASAAGSPQHVLASTASALV VTVNYRLGDKQTPISPSSDEISISQESIESSDQTPEPINPQLTSYKYPTPVHDTLAGF DWIQTNLRPSQLAIFGTHIGGSLALMLALTEAQSIQAVAAVEPVCDWPGLDEYCTRES TITPSKKGADNTTPSTTITSKHKRQPRKKSQAPPDLVPLLQARSKFFSTPERYFDSFA SPILFLRSAGRDVPRTFPQYLTGPEYPVPVLKEKARSTTAAEQHAASDRSIWDRDVYP DMDADDVDDISGTVTRRRKALSRWPPYGLDYRISGNTWSGPGDGIGRLEMALPWVRVF LREGSAGLASDSSSSSVTELKKKTREGGHGSTVIARQADEMVSAMRRACFWGREKGVG ERRVTLSQVQRTDGNEGEEVGDWFKDVFEGTMEDID PEX2_014570 MSPDPEKSKKAPRKHVTTACVPCRESKIRCDGATPHCQNCQRKG KECKYQHGDDKRKVSLRASTELFSARIDQLCHFIKEQGLEPPPMNPEDEAGMNRVLDT LQIARGFPQVSAVADEKRPPGEPAVSGISSKSPSQDPPAVNPKGQTPTSNLVVSGASP AQDTASSGKAPSPEGWNPFGMVPGASNNQNFVHWGFTLPTAESLDTIYANLNGGPRAP AMPRMPVNTELSPDSYQLGMDMAQQPGVLLGQLPHDAENDSDSGEEDEAENDVIEQLS HRIGTLKIAGDGHLRFYGATSNLNLVDVSATQQRQRPDARTVRHDGQDILNHLRVGQP VDQALEDHLVELYFTWQNPSTYIVDKGMFITARNKWRNELDDTPFYSEVLTNAMCAIG SAFEARYHPTFITFPKSLSEFFADRAKALLEIELDSPCVATVQALVIMSSHEGASNRD ARGWLYSGMSMRLAFDLGLHLDMTTYVNKGEITQLEADVRRTTFWGSYVADHFWGFYL GRPFRNNAGDIGVPKPGSALCPEKEENWHPYGHQASHMLSQNGLRNPMELICRQFVVL WEMISPVGHILYGCSDISRHDLQRITYQVTEDLFAWKANLPSTLEIDLNDDTSPKLPH LMMLHMQYHQIIIFFHRPWLSKSYIQPRSPRQGPGYHHARRMCVESATAIARLLQLFE KHYTFRRMNNQVVAIIFSAALMLLFVTVSSSPISPGKQGDSPTYPRSTEMVAYLNLCF RALDELGQSFDNAKRTRDYLVTLQRRWQANMRRSGSATKRQNSSANLASLGSQKPSLQ HARAANAHGIDGSRKKSRLSVSGVPPNMKSTAPVTTNQYSHLSQHTVPPHHQYHQHSS YQQQAPFPVPVPDSQLGDLDWIPNSDMRLLSETQNGNALNGMGQIPSPPFPEDPSMLS EIADIDGWWASENYSRTSMPP PEX2_014580 MASDTSTYKLNHTMIRVKDPQRSVDFYKFLGLSLVNTIDMPEWK FCNYFLAYDGPASLQGTRHWTDRNAVLELTHNYGTENDPNYSVVNGNTEPHRGFGHIA ISVDNIEAACKRIEDAGYPFQKKLSEGRMRHIAFAKDPDGYWVEIIRRADEDLSTTTD PGSYRLNHTMLRVKDAEVSLKFYQESMGMTLVRTIENPENKFNLYFLGYPASNPEIKE GSKNGVAEWEGLLELTWNYGTEKQEGPVYHNGNTEPQGFGHICISVDDLPAACDRFES LKVNFKKRLTDGKMHNIAFILDPDGYWIEVVQNEGIKRTGDW PEX2_014590 MGVKMAKCEPPPVLSFSASISHCSSTTLSIPTTPSPSEPIFLPY WARYPTKCAQNLLDYSDEPIEYDHDDEDYRDNCFFFPEDCSWTPPKNCIAEVDCVALQ EEPEIQEEEHTPSVGQDTDTDTDTMIPGLPDIKMLDAEALSDLLEDNLSPPEITTIIV FATNGAVFAHGSSLSSRQLRNLSATYGAAYTCYAKTASTGNLTGVNPASHPSSYITAK SMSLGDVGSIVFELDESVAVVTRIADKVLVAAVGPSSLDAPEPNGATNADSLATDASA LDATNGTSTPDGAQGDISRTLAAVPAPPNPPHNGHRDPQFEIDRSADLERLASLNLSA SPAVLLALESKCAALGRFLGEKLDDLESPEDF PEX2_014600 MSTPASPADVKLPQRSGTVSSGFTRRTSMSDDEAIPDTDSSETT NLLLERLKAWKHMCGYLEDYLSATAKVQKSQSKEYEKILKAVNDPLKEGHHFSSSAGG VAGLFENLRNNTQGMVTMYMEGEKNLKTAILPTLERLHKEIKNKSKELSSGAVKGAKA VEKARGLTQKHIELLGQNSASYDAAASGKIEQQHDPYLLKRGINHRLNNQVNEENNHR QDILAVQNSFQQFEAHVLQTVQGAMDQFHQHMGGQLERQRAMYADILGTAQRIPPDFE WINFCVRNDAALVNPDSPPRSFSSITFPNMDHRSTQPLIEGSLERRSRAVIKGYSSGY YVVTPARYLHEFKDNDDFRRDPAPELSLYLPDCVVGAIDGVKFNVKGKDVSSGKIGNA FHTNTELSFKAHNSNDAEKWWSVIKDATRAPALTVAAAVPTLASPTATSPAGSASPSR SVSGQSQPPTYAEKEMQKTQASPATAATPVAASPVSASPAPTPGISRTASTASHFHMS PGGTAVEKS PEX2_014610 MALSFFSGGGSASSAKYFDIRLNDEYIVFRGGEHEAASAHLRGT LILCLSEPLTIKHIRLQLTGMSRVCWQLPSSAATGGRKSWRERVFYEKTWRFREPGKG KTEVLPAGNYEYPFDVILEGSMPESVEGLAETWVMYRFKAEIGRKYVKDIVARKPLRI IRTLDPSALELSHAMSVDNIWPNKIEYSISTPTKAIVFGTAIHVDFKLIPLLKGLRIG QITSQLIETHDLTLNPEDHDSIRNTYKNTRTILTDEHELDEDNIEIIDESAEGYQCTR ILDMPQTLTRCLQDTDTKGIKVRHKLKFRIQLHNPDGHISELRATLPVSIFISPHLAI DDNNNLTGQTPQSTRIAVDEFAHQAPPLYGEHTFDQLYSELDPSGYRTPGPGSGPGTP FTPLSRNISSENLASMNALTNTDISASALHHRLINLNASPRPHPINTGTSAPSEGLLG SQSPTDGYNIHRQLGVPNDYFGAISGSNSHSHGSPELSRRPSDEVEPEVLPSGMATPF HPQYDEVETLSRVPSYSTAVRCAVRPRDSGLPDYNAVIASSLPTLPVPQSPQQAYIRS GRASGAATPLEVPNRSGYFNSHGTNADDEERRLRVVQARARA PEX2_014620 MSDAYEREQQNNALLNSLSSKVSALRSVTIDIHDNARDQDTLDH TSDVFSSFSTNLKGSATRLTRMAKQGDSVAVLKIAGMSIAAGIVLYIILGWIF PEX2_014630 MPQSFLRNSFEQLTFHSGWVVHFLELIETKNFEVSDPIIGQCVA IVATIYLQHSFVEDQAFNRKAQTGYEKCLRFLRNMSHRWPHIDRQVRQLQQLRDSVSP GGLMTDNIAPGGTNSRQKWSVNLQLLWKILVYAHASNLSDPAGDIFGPELAKDSVGCS EDHSVDAITDRDFALIGSAGISGHKTVAAECVTYPPEQTEEPIQTPSQTSPRMDFSGI PGDHSMEFSGGDTLFLQLQDYGRAFEDWLSINPT PEX2_014640 MNTDKTHSILIVGAGVFGLSTALELNKRGYTDITVVDRFVPPSA DGSSVDISRIIRADYADPIYSQMAREAYNGWTTEYKDQYFESGFALFSETPKNAYMEK SKAVIRAAGGKLDDLNDAMEIRKLYPSVQANFSGMNGYHNPKGGWADAAGSIQKLASK CTVAGVSIIAGPRGTVVSLRREGSRVVGVNLVGGQALLASQVILSTGAWTNRLLDMGH AASSSGQPVGFIQLTEEEAIEMRKIPVMINMSSGVFSFPPTPDTNVLKLARHGYGYAT DITVNVDGVQKSLSSPKFETSNAATGYLPDDADESLRKGLRQFFPKLGDRPWMNRRLC WYTDTPNGDFIIDHHPTIQGLFMATGGAGHGFKFLPILGQYIADCFENKAPEALRQKW RMNPPQGDSKGPMAGDGSRGGPPLRKLSPLEQAKL PEX2_014650 MRFSVSIISMALIAGASVQAALPKANEYKSTDCGGALNYGHHSS FLGDVTMDDSSHSVYLAGTNWVGFSDKTSNGGSCSGSALTLLQGECNNLDTSHPGKRI KCVRNIG PEX2_014660 MTLNNSDTEANLYLYNPSHILPAVFAALVGTSLFLHIYQNYRYR FWRVTFFICWGGALFTAGWILRCISSYHPANLNIYIASTVFIYVGPPVYSAAAYNLVG RLMNYLPMHAVLNPNRVLIFFVYVGAAVEAITVAGAAKNASAGSNLDEYKAGGTLIAA GLVLQAVVECVVIGIVATVHVRCSRARMLSPNVRMICITLYGTSTLVLLRCIFRAVEA FEMFGNLGCRENCGHILSNEWYLYTFELGPMLLFTWWLNLIHPSRYLPRQKLRYLCLD GRTERMGPGWIDHRSQWETFADPLDLQGVFKGAPSHEKYWLRQDEWPVCKDGSFAMGT ATNTRSAGKNEKDLAPLSPHV PEX2_014670 MPGVPTGRACDACRKQKKKCDEKQPACARCLRLKVNCVGSGQQR FKFKQQQFSPKSTQSGQMTLVPISRSTEDEKYPFEIPRTCPGNSMTALTNLFVGAIKR STDLRYNMWWSFGLFLEDVPRRLGINEALDRAVDAVTIAHAGFCTRQPVSAEALSKYS HALKTLRVYLDDPLQASSSSTLCAVMILLICQTFIGNSGQLISGHAQGAASILHARKN FGPRDDFERKLFLSLRGSVLFEGLYNDAIDLSSEEWDTLVKNDFDQDQPEGRILRCLA QAPGLIKRGKRAIRDGEDLTPLAMEVRPIYEKCKLLLGELKARTVQFETSELSTMTGT FMARILRAHYLRTYGIGLAITTVFNCILQVLDPIDYASAWAVTTDPQLRSMVEVALID YHGDFVTQDGVNIPRELEQASENLWLGSTAHINKPESAPEYHEQCRDIGSSLRRQPSD APFLKISDHGSDSDIDRSYMKDSPAAKGNGFVFVSGQVAADSNGTYLPVEASVTEKTH KMIQNVKSILESSGSSLDQVIKANIIFVHLDRDYEEFNEVYKQYFPHNPARTSVEVSG LPKPADLEIEVIALAD PEX2_014680 MALGHLPTEIILMIESNLDSHKDLNALICTSTRFALMFDEKLYK KNSAHQHDYVILWAAKRGLAGTIHKCLKAGAKVQRRDRFGSHLADRDAPESLKVIPRH PKSHPLTAAAEIGSMSCVHLLLAQGVSPNFLDEHYETPLRQAAGNGHVHIVERLLNHD PTLFQGAFKLRRPLKIAAARGHLPVLEALFSFLEHGDRILTVKDAAQIILYEGLWHRK ESVVRYALREGADVNDKKSEFVLRFAPDPRPEVSPDMPRVKPVQADKLGVKVRGNITP GWSSHIPNALYAALIGGDADLVQLVVGHGFEMARIKPMLRDAIFQRDTEIIRKMKDLG VTVTAAMIYESVENQDLAWKVCMKKQLAKMVEDLGLNT PEX2_014690 MISIMQNHGTSLVAQLASPPNISLQLLGTHTAGEQTEVVDFDIW IDCSKQAKIKSGQFLTINERDHRSWDQSGESSASFLTTSSGWLQDWIGDSNEETSWAV HKLVSLRDDDFAAIKSHAESLASKIAYGGSIIVQIHTPPAEADVAENTAKIQAEWSFG SPFLPTEQPWDLLVMNAMVDGRRGFISVDEPRPSHGRSPFRRAMKSYNTSTVISQCQN EEGFKYTVLKYSKWGSDI PEX2_014700 MNLVKCDPDTTKKSRGQNTRLEEELITAPLFSLSACETLDTDPQ NTEHTLNGVQTFYPQYGLLGMRNRPSSDTLTQGDLVYANVSAPWSAFICGSQGSGKSH TLSCLLENSLIASSPAGKLSSPLAGLVIHYDKFTAFSSMQLCEAAYLHSSDIPVRVLV SPTNYLAMKKAYQALSGGSNMLKVQPLYLPQKDLNIGMMKTLMGIGNRTEQPLYIEVV MKILRDMAIANQGKSGFNYAAFKLLLQREQFLKGQLMPLNMRLEVLESFFEPGSMPGA GANTSKEKGAGDVWSFPPGTLTIIDLSCPFVGQEDACALFNISVSLFLKNRQDTGRLI ALDEAHKFMTSTSPEAADLTETLLSVVRQQRHLAARVMIATQEPTLAPALLELCNVTI IHRFSSPAWFKAIRAHIAGAGAQEVGTSTKASSIFNKIVRLPTGEALVFCPTALLDIV KNGDQENDESSSVTSSSRSDTPDSPFSTDSAQVATTESSSHRVVQLGTAYAHIRVRNR ITVDGGRSMLQR PEX2_014710 MRINIISLVALAATAAGLTVTSPRIGEKIDPDMPLTIKWQAVTT DPETFSIELVNQNVYPPTTTIVAEDIDTSKGSYTVKAKTFTDVDDGKGYQINFLSPTS GILAQSQQFRVTEPGEIASSASSSGKETSTALETSSLISSSTSDLTSSALSSSTASSY LSSTATHSSISASTPTSSYYSTASSSISTPTTSSLITSSSASAILNFLHFYLDFYLDA YHSHFFLSLFYIYTFNFFFHYTNFILYFIHLYYPDYFFFHYHFFYLTY PEX2_014720 MASVRICVCGDEGTGKSSLITSLVKGVFVTNRIQPVLPQITIPP TLGTPENVTTTTVVDTSALPQERNNLAREIRKCNVILLVYSDHYSYERVALFWLPYFR SLGVNVPVVLCANKSDLATGHSETRVVEEEMLPLMAEFKEIDSCIRTSAREHRNVNEA FFVCQKAVTHPIAPLFDSKEASLKPAAVAALQRIFYLCDKDRDGYLSDTELKDFQIRC FSKPLNEADLNHIKETIQKAYPDSITESGIDCKGFIHLNKLYSEKGRHETVWIILRAF QYTDNLSLQEKFLHPKFEVPPFASAELSPEGYRFFVNLFLLSDKDNDGGLNEAELASL FAPTPGLPASWADGSFPSSTVRNEAGHVTLQGWLAQWSMTTFLSPKTTLEYLAYLGFE PSDQSDQSITAALKVTRPRRKRRRPGRVGRNVVQCHVLGAPGSGKSALLDALLSRGFS TTYHPTIQPRTAVNTVELPGGKQCYLILDELGELEPALLENQSKLLDQCDVIAYTYDS SDPDSFSYIPALLAKYPHLEELPSVFVALKADLDRTTQRAEHQPHEYTAMLNMPSPPL HVSVTWSSIQEVFVHIAEAAMEPSTAFPRSEEDVEGKWMSWGIALGAVIITSLAYTTT SVLPPPPPRYTIPVAYAAGAANGMAVPVVETNNTITHPERGCPLQVGEGTYILQDDLL LATPPPHPSEAPIINPNPLATLPTPPTTGVKLSLVTLDLRKKPPTFLRSGVTAPQFGD GNPALAAPPVAAKDASKRRKPKNNIIKSSSSFVSRVITHETSAKRLGDRDSNGIFAFA NINRAFQWLDLSSPTKEEHLTKVLFTKAHMLCHDINEITKTSSHLDIVMGSSAGDIIW YEPMSQKYARINKNGVINNSPVTHIKWLPGSENLFIASHANGVLVVYDKEKEDALFTP EANGHSEQEFGRLPLDILKSVNSKNQKTNPVSFWKMANQKISSFSFSPDQRHLAVVLE DGSLRLMDYLKEEILDIFRSYYGGLICVCWSPDGKYIVTGGQDDLLTIWSFPERKVVA RCQGHNSWVSSVAFDPWRCDQKTYRFGSVGDDCRLLLWDFSVGMLHRPRAHHASTRNR SSIVVPNSQTANRHRADSGDNRVRSDSNQTESFNEDIDHTASHPVEPRSRTALLPPIM SKIVGEDPICWLGFQKDCIMTSSLEGHIRTWDRPSDSVVKS PEX2_014730 MGFGINNPLPASMASECKKAAKILTSFVDPRQSFGPDKVIPPEI LANAKGLAVLTVLKAGFLGSGRFGSGIVVARLADGSWSAPSAIATAGAGIGGQIGFEL TDFVFILNDAAAVRTFSQVGTLTLGGNVSLAAGPIGRNAEAAGAASTKGVAAVFSYSK TKGLFAGVSLEGSMLVERKDANEKLYRSRVSANQLLTGTVRPPPAADALMRVLSSRAF QGNARGQGDSMYNDIPVYDDSHDDVVWEGRKGEAYGQGAQRARSNTNQNEYDYRDKPR RTNTWADDVYDRPASGLGRSATTRAPAGDSFDGYGRNRSNTAPYEEEYSYSDRRPTRP TAPKPVFQQKTGAAQLRADQAVALFTFDADQEGDLGFKKGEIITIIKRTEKAEDWWTG RIGDRVGIFPSNYVEAS PEX2_014740 MYNDDYDRNDPRRLSYITSSVDESITTIPRVAEGSESSLSPSSP RHQSSSDVHTIQANGSQRPPLIANRSFDLESDPGNADGTRLPSDTATSSFPLNDIDYE SNPAAVAQELNNLAAIRRMSMDVAAAGDPDLPGFAPPPSPSADEDDASRLFWVPARLH PELAPKEFKSFLDSKADHIKRRSGDYATLGPERQGSVGGLNRKRSMLSRQIDNSSGYT DGADRLERQRSQSNRRAGMLTPNLQQLETLVDDSNPVDKELLMQGMHNIDLAGNEDMP ILPPAPLGNSLRRSTRTQYKKASSLKKGEKLPYSKRVGRGASESAGSDTGHPVVIPQD PTIAGITGVSTDSSPKTTRAARAPSASSHGSPYSPSSPYSPSSPVSSTFDSVIDQPEA EQEGFSTSDGQPDATLDRSDSGDTTNARVWHSRISSNGRSTLDAAPGDPKIPAIIETP PTTESNRLPSPPSQSKRSPDRAAASTPSSKPASSHDPVPKRPKNPRQPPHEPASSLND VANNPQMVPGNSTRTDSLSFTPTTSEERKPEERKPEERKSESKKSKDKKESDGSRKSS WHWLLGSEEKDKKKDKDNDSKKTKSKIVDKTHDNTRLDVLQSSIESTQRGRESLVLDR LDPKLDEERRKDGVRRASGDSKKEKDGIFSSIFGGGRKKHSVESHHRKHSSRNLSPEP PMRVLRADVDYAWSRFSILEERAIYRMAHIKLANPRRALYSQVLLSNFMYSYLAKVQQ MHPQMPVVSSGSSQKSSKSRDQPDEYAQYQRYQQSQEQQHYGESAYDDSSMYDYDDDP HDRYGSHSRSGKQGYENGQAYGPGHQQYGDSSFGDDVQLDDDDDDDMW PEX2_014750 MATARRKEFLCILPDNPNVLAIRKQVKGIHYDGVKPLVTAGKLV DGGAIFEKHPEEGKDALFKGSVIVYSANSAEEVRGIIEKDIYATSGVWDLSKAQILPY VPAVREPLP PEX2_014760 MGDCGDELFKELESTYCPPIDPALFVAIVSDFDLAIPTQVEQLR ETLDVLNASAVEQENLPFDPTGTTNLRNSDVSGSLIGESSDDASSNFTSWPSLENYEQ ENGDSNSTSREAERIKGSKLAYTFLGMTTADKAQNLISMFPTITRLEAERILEDCHDN LSRSMDVLLNLAFIEETQIAREIPQQTPKEAAQDSQSSIPKSIDGFQAKENQNGGGQK SRKKKKQKQRRVDLASHAMNNTTNKWEAGKKDIEFLSSRACALQREKIASTYHENSMS LCATIRVLAQAHAPTDIHEIEDDPVLVTQVGELSHKYPGINPLTLSGLIRIANNEIPA ADELADTLARRPDLTSVSNIISFVSSPVALGDEEENLAPTQQTDSASDFMDFNEAAAA ANSHFAARSVALAQASQAARRARSNPLYGGASAYYRDVGNEQRQLAMRHLATASDRLV ARQSTQYDLDLHGVTVANAIRIARERVQAWWDGLGDQKYVRGGGQHSHGGFNIVCGVG HHSLDRKSHIGPAVWNMLLKEGWRVELNRGSILLSSTRKPPHSKTSTKALIAAPTIPF FGAFFSSNRTPEQTTETMSPPNQRSEDEWRAVLNPEQFRILREKGTERAGTGEYDSHY PSKGVYNCAGCDAPLYTADHKFKSGCGWPAYFDSIPGAVTRHVDTTFGMKRTEIVCTN CGGHLGHVFEGEGYQTPTDERHCVNSVSLRFTEDASAAKNPRAKA PEX2_014770 MSRRPTPGQAAQNSQTIKTLLKLEHNKICADCKRNKHPRWASWN LGVFVCIRCSGIHRGMGTHISRVKSVDLDAWTDEQLQSVVRWGNGRANKYWEAKLAPG HVPSDAKIENFIRTKYESKRWVMDGEMPDPSALDDGDDDVPLAVVQEKAKIERSASQR VATTSQPPVHRQQASIDLFGDDISPPNRPSTTEPTPRAPPRQAQPAPVKTHKPNDSLL GLDFFGSTQPTTSSRPSSTASTPAAPAGMSRPDLKQSILSLYSKPQPAPMQHQRGNSF GDMASPPAPSASSHMGGLTDAFSGLSFPTTTSPPPAKPAERPSAFSNLSSFGGAKSTP AAPKVTSPSGSAGGSFFDGFTSPTLSAPKSQSRTTSVSSTGQDFGFGGFTSPTVKPNP PSSSLSNDLFGFSSPPPASASTVSPPKSTATSPRQEIKSAFNISAPPMQPPAPPKAPA TSAANAAAASMMSGNLDPWGGNAWSTPDPAPAPAPAALSPASMMKVPDTLTPNDVGHG WGAPSAASKPAPTVAADEDFGGWASAAPVSNPTSSTNNNKSGGFGGSDDLFSNVWE PEX2_014780 MTTLSTSRGHQALAISIVFTTIATFITAVRIFTRAFLVKQMGAD DYVILVSLAFSWGFFGLMVGEVYHGMGEHYTAIPAPIYKAQMICFWASIPIYQTSLIS TKMSILLQYKRVFSTPRMRLACWIMIGFLGLYGTWTIISAWASCVPLAKFWDPTVPGF CLDKKALWFSNSAIHIITDILILIYPMPVLKSLQLPKKQKFALMAVFALGGFVLITSI LRLKSLLVISNSNDPTYDNVGAATWSAVECNVAIICACLPGTRAFLSKLLPHIFSTRS NGYRSKTTRPSRIGRNPHTGNGNTQVLASVVGGRDHSSGYDHDLEELTPSGSFNSYTK EPAKEAFVGIKVTTNVTQERTSQSKIAVNDDTGSTKELVKKHSF PEX2_014790 MADPGGQAGTTHSRSSAPRVRLTCEACRQRKVKCDKSSPCTSCH RLGLVCVPVERARLPRGRTRKPERIVGSDKELSERVARLEKLLKKVANEQGDEQKAFS AVTVPASPYTQQQSVVGASNAGPNTQQDRNENLFGAGQPHLPRPSTAYVGGPFWEDIM QQTQELRSVLEGRLENGDRGVEDSAAGFGTSLVSSESPESLSNSPQVNRRLSLQPQIR HRLCDIFFHNVDPLFKILHRPSLQAYIKDGMPYLDYEQDHQAPATLASAIYLCAVCTL DEVECQSIFSTSKNTIVAEFHQETELALAKADFVTTNDLTVLQAYIISLLAARSQDQS RRVWTMLSMALRVGQALSLHIPQPPFTVRPFEHEMRKRAWLGIGILDVAASLDRASEP MMQSVWIDYNLPSNINDEDLWFDMPGPVHEHTDGRFTDMTHTLITAAATSVTRTLAFS DLTEPAVSIMSLRQQVVHDFQQKASDLLSGCRPDLSEFQWYAQKVAGVTGSWLQLACL RPLQRSYNFVPPKIQENALLKIAADNLHWSQEAYNYPGARSWRWYVSMWVPWHALAVA LAELCVCKSPAVISKYWTVVDDVYQRSRLIIADSQQGMLWKPLERLMSQAKTRRTELL GVNVDTSHQAACPYFDGISFGPFSKQPEPQQDPTDFSLGLREAIDAPRDSHVAEVPTS FAPVPWPNVWDAMDLRDPTLQSGSDDNAWLSYENFIENVYDSVDSIFLPR PEX2_014800 MPSIGPDSSAMEIEMNEDHYNNWPNDKGFDPEYEQREPVELSVT GQIPAYAAGVLYRTGPGKSKVEAENGEILRLSHWFDGFGQTHRFQIIAPDSHTAPQVF YNSRFSTDDLIEEARKTGSLDMINFGQKRDPCKSILGKVQTEYAPQPTPSSMNISVTL SINVPGLDTQPEESTSRWSDSQGIRTLYAKTDYNAFKKLDPETLEPIGLATQTDLHPE LSGQLSASHARSDPITGDMFNYNLTLGPTCTYRVFRVSASTGKTTILATFPATPAYLH SLLITEDHVLLCVWNAHLNPQEFDSSFMDSILPTDPSQPAVWYVIDRKHGKGLIATYE SPAFFCFHTVNAWVEPSKENPDEIDIVADVVRSDSSEVLQSVYYENLISSLDTAKAYQ QKRNNSFRSTFTRFRLPAVPSTPCTDPKKVTVEWSVCKSLSPELPTLNPKIVTQKHRY VYAVTFRGKATLTDGITKLDCDTQQVQLWACHGQSPGEPIFVANPEGTSEDDGVLLSV VLDGMRGKSYLLCLDARNLSELGRANVDGAVGFGFHGQHVPTLGGIPTGDY PEX2_014810 MIFSHDDYTVAWICALPLEMTAAKTMLDKLHHPLSQPKSDHNAY TFGSVSGHNIVVACLPSGVYGTTSAAVVLAHMLPTFPSLRFGLMVGIGGGVPSRDADI RLGDVVISMPTATSGGVVQYDYGKTLRDRCFERTGSLNKPPQYLLTAISQMRSGIMGG STLIEEITSEILPKHEKLQQQFSRPDKDFLFQASYDHESRSADCSKCEPDQLVARTTR ETKGPVIHYGLIASGNQVMKSATTRDAVAQELNILCFEMEAAGLMDQLPCLVIRGVCD YCDSHKHKKWQGYAALTAAAYTRALLGVVPLYSHGQSSDAKEARHWMVPFARNRRFVG RQQEIDYLERFIIHATSPTKVAIHGLGGIGKTQIALELAYRMRESVPECSIFWIPCIN YESVQQAYVNIASALGISHIEPAKMKEQIKAHLSQDIAGKWLLIFDNADNMEMWTKGS ATAPPLKDDLPRSENGHILFTSRNRKLAVKVASPNVLSIPDIDQITATKILESSLIQE GLLHDKYTTNALLEQLGFLPLAINQAAAYINENEIVLSDYLSLLKDRETDAAELLCEE FQDDGRYAETQNPVLTTWLISFQQIHDLDKLAAEYLSFIACINPRDIPQSILPSPTST KKKVDALGLLSAYSFISKQAGGSSFSLHRLVHLATRNWVRRRGSFDLWVRRATQQLDD IFPDDDHNNQGLWRDYLPHALYLMKSEEFHNIHYEFVNFSSRVGRSLHSDGRYHEAKA LLDECLEAHERNLGPEHPDTLASVSNLGSVLRKQGKYKEAKAMHLRVLEGREKALGLE HPDTLDSVSKLGSVLRRQGIYKEAEAMHRRVFESREKALGLEHPDTLDSISKIGSVLR REGKYKEAKEMYYRALEGREKALGLEHPKTLTTVNNLGSVLQRQGQYEEAEAMHRRAL EGREKALGLEHPTTLASVDNLGKVLHQQGLYKEAEALHRRALEGREKALGLEHPKTLT TVNNLGSVLQRQGQYEEAEAMHRRALEGREKALGLEHPNTLTSVNNLGSVLQRQGQYK EAETMYRRALEGRERALGLEHPNTLTSIYDLGMVLDLQSQYEEAEAMHRRALEGREKA LGPEHPDTLAIKERITLTSVQEEARHPVERVLHNHPLFTFLLSTSSVPPYYDLSEID PEX2_014820 MASPGENNISILLATMQPSLDPTTYVFLTTKSPLHSLPLSTLQP QLIVQEEEGTTIVTTEALATSHSFNESTFPCKKISLTIHSSLEAVGLIAAITNRLKDH GISTNVISGYFHDHIYVPVARAEDAMRVLEEVAAEARG PEX2_014830 MSASTPRTSLRQGLRHAPKINQPFIPDTTPARRSHIHHGLTSPQ PQTSPHHVNINPAANPQSAQFTVDSWEGKDNRQVPMSTREVPTPGNRPVIFSHLRDPS KMPRQLDYYDPYFPLRYLEVPRTDHIYKRAHYGLQSGIPDEVDFALYHLVQISNQRWD KFKFEGFPLLAETLMQKALDITQLCTGVKWEFQYDPRTPIDRVNVLNSLHGTRDILDK ISKIPVNLPDDSLETYDFNHRLRNIKEATLVLRNMVLLKENAFYVSRYANGLLRDFLV ILINAPNQPRLNEIKNDALDIAEEVTKFLRTDPEDPLWISLVNCLDSPDRAHVVRSLW ALTHFGTELDDADANRAMETLARPTLQQMYYHTLLDLDKDILSGALDFWYQYTLSHDN IETLMDVLNFPIVFVPRMVALLTYEARPTKKETVLQEEKVAPPPTDIPRVSPELLEKL MELSEPERSSQWLRCCFIEDSECEITQIALWQAYQSRFADPRVTGGGVLPAAEFIKNV SNTFTNAQAQVINGPGTATKFIIKGIRPLETAHTFEGFPYSYCRWADNSKPSKMCQRA FTSPTDLRNHVFGDHMNLEPTDTPGQYKLDTADSPIHTCQWDHCARFRASGPSPNTSM VAGHVSSHLPEDRPADAQPTTAKRVVLQERIVRKWYYMDTPINEKGEPFGVAYKAALV LRNIARGLPNRTTSKYGGLPWKKACFTSQRPKIVEVWDRNRALRKELTELIMVIEKEV DY PEX2_014840 MQHLQPPIPPQVYRLAIAKFSHTTTAIDHVGPLTWNHVPGSGDL ACIFEKFLDFGSVPSRMIQKVVRGDGILEQLDLVFFIRMTRMQAQLIPPPRSQFAVVV KSPCLAVKYPHGETHIRRFQIKFTTERDYFTALTLLGEINCPLTEGKIPVPAIQRFPS VSSWTSGQLSSVAPRTTNTAATSTGSNGVHSYPTEALGSGRTTPIRASSPASTISHPT SRLGPVPALNPPFHIMEPVDLSQPLHESALAHTLNKEPDLPSSQLSTMSAIHDVDQLN QMLPPKRDLPFSKPTARKPCAASLTRTTEKYPQPVPSPSSQHTEPTKDPQPDLHPLVV KPNAYSKLPDSDSQLLSQTNPCPEASQPLLLHEEPPASQNTGPICQSAEQTSQVPSGQ NASDTQKNPTNSNSNHNPASKPSNKSPVLTEDHIAQYLSSPTAERIVFLENWMCELID DDGFMGLCEDVDRTWRRFAFGQKQ PEX2_014850 MQSLTLAGGYWMWDTDEENWDTLEDYLWDYLGKASLKQPVESRV STNLRSLTLDRSECNGQAAFLHCSSIFIIPQLHDLTIRGFMLEEEDTDIDPQFERQTE LKSLRIERSFVNFVALKKALLAPRALRYLSIGHAEYFWHHELKNAEYNQATVTEFVGA LLPHRDTLEEIKVIVDYDGSRESTLTANASSFRKHATQFPVLKRWLGCDKTTLSHYLN SDEPSSSDEDREDNE PEX2_014860 MPYIARTSALPELALSGGLVDPRADRQASFDKRMNCRDVIDFIS NLKSSSLESRHEMGGVSPTTWSMPCRKPSTEYHAKLDEILARSREIGLPLGEKNPDQP LSDLVPGLVISGGLSRSPAFDCLPVVSHWTDRTGEASAEDPNATVRLSSTWGTTHLIG EGTTMAFPLGAPCWTLKTHGIGHAEAGSSKFSQEYIPETDTLTTTINLERRIDTPQTE GVLAAAASASWMRNTRVADAVDCAVGLLANAAALLEARDKVVTTGRTERLAFAEVRAV EMPSWCSARKPLPPKLSGVALSPDQATTDLIAAESCEGPLLNTSIFSMGIGYNRGVYG GSISGLWALMDSGFVLDYSVGVKDSAMAEKLSSAFSDVAAVAEVAASAGPHITDIRIV KGCNYACLRQKTIIEDTHPVPSRSCVVIWDDLAQLARYKLADAVFCHVYYDAGGGEHM AAIAGLGCVAHDWIDIGADVACGEVSNIIPSLTGGSLEEEPLAEVYSRLTGTMIWYRD NDPYNPAALCLLFTHWWQLANCRHRPISLLGRTDLGVGAAIAATIPEERPSLEHFRAC GTKVERGERPFANAEARLQSILASNPLPETRAVIDLLVNPVLAYVKGADSLPSESEYV GAVLAAELAYPHGQKIIELWDLAIVMWECGALWAAGVAGLCYTHTGKANCDRAREDLA DTTWT PEX2_014870 MEDEDFDRPVRFIVTGQYLAIHYNGSNFEISRDYHARGSLFYVS DDGETIIHNRTYVGVLTDYPDYEGDVFYIRNGSQYLTQDGQWTDHVNDTVKVQIDPVG DYSDAEPPIPPSIPNPVIDPSNPISADGVDLYHPDKWFSLYPINGDSIWTGDAGEFES KLYFGGNSYSDGMCFQLSKHDGKTRIRSYDGKHLVVTMEASVAAYLDEDCKQHTRFDR CSRCMLHYTLGYSSEPHEGLVLVPKGLPSMFALNDGIFYYKSNVLKGSYAEVERVEDI EDATPFQFVA PEX2_014880 MATMSLPQTYKAFRRSSGDLPTTLELVEEKMPSSLNPQEVLIRI HAVSLNYRDVAMMNGLYPVEVIDEGIPASDCAAEVVAVGSEVQSFKIGDHVTTIFDLS NLNNTEDILSVLGGDVDGVLREFAVFDQNVLLHLPQHLSWEEKLELVRSVCKPGAIDT INYRDHPQWDEEARRLTNGRGVDVVVENVGVTTVSQSLSSLARRGTVSLVGFLGGFDV DRFPDTILPTLLKSATIRGIAVGSKIDQENLCNFIAEKKIGLKPILDSKVFSFEDSQA AFDRLYAGEHMGKVIIKI PEX2_014890 MNSREQSDMPPAPSYPSPNGAQMAQGVPSYYGNRQMTTDELLSA ELSRDASGPGLGETNNGVHHGQSMVLGSSNAADMGRTSSEDQHQHQHMLQFPPSQQVG VDPNHDLSYGEQSARKRSKISRACDECRRKKVRCDASSETGLETCSNCRRLGVVCQFS RVPMKRGPSKGYIKELAERLHTLENQMQPGIVQPDVPYQSMNEVSLPRGYQDFASPVE STSGNRKRTYSVFEGLPSSSFAQPSFNARVSQNAFDTSETAADPYNPAVASGSAPKPG NLFWNPTGHENDLPSGLEMTDLPKHEGDDDMSPVTLDEGALDAYYQKIHTLLPILPHT KERTLELLHQCNREAQEIFCHALYSVTRTDMSRVAGSFEKINGFDNAQDLLMFHTRQP LIIHTTPVNLIWLQSLLLMIVDCDTRGPDNFVLKDGVPKGTLVQAANKLGYDLAKSQG QLKNKRSVDADVDSDANLTRRNWVSLVILARWYAISVADATVLGGHEIGGREDERVVG QITTGIASYSTFLSEMVTLANVDHNICQTNSGLGRIIGANLVSSLERLAEMEDIFQIH ELPENSTTRPLYESLQAQLYWTVRLLIKRHVFVYSPYEIIFCAQEVINEMHKSTMQSR LPSPFDLHSLALASMTLLEATVLPEHANECWATLEKVEEILDRRSKRAAEGSEFDNIF STPEWDAKIRIFLEWRRIKSQESQLQEAEIGGLAKSQQPVMGPNEQRSLQHLADLAVG AEGSVGQNAPSTPPPGLSNEQGEAEQNLAPQLTQSNGGSGRVVVDFTMLTKEGYLNVF SGLIYRRTR PEX2_014900 MVKSMLVRLASGVTESAAVTTMRCICALKKEHLDTVPETGLPFS PPPLTIETPRKPPLTSTKSESTLTIFRDGHHKPAHKHNDMAHKCGLPYTIPRSHTIHH PTDLPRRSVDHLPLGQSTFIKEPLTLSEFPTSQQTSQNGSPNSAHVSGAEDDLKPTPF DHSYLDNFVTPAPPAPSLDRSHDALSNPISAPATMDKFPLEQIVTNVPPLDVSSFASF PTTSTNSPITCMAFQEHYQECYFTSPDSDMPLGSAGIGAPSVDWSSFPLYSDVPTATS TQAPSYASFDFTGYPSGLPAPSSSGDISEADEFGPLPGLGHANNDMHDLHSVSEASDM DHLRVSSASSLVGLPQARLLSSNDLDSINIDDFLKSTNESTAALEHQLQASMSIEPKP VPTQDIYTMSHIPVYKPMTSVPIPSAPSPPTDSVPIWPGGLFDADSTPPMDDTFFQQS WAQ PEX2_014910 MMPTLIGDRKSVLEGTWALGAVAIIVVILRVFAKARLRHIGSDD VIMIASLGFALTSSILFTIAVLDYGFASGRPGVDEVTALKYYTIMEVSSVTSTCLGRV AFILYLLPVLSTRRVFKISLWVLFAVQIVANIVMIILILSQCHDIRGVWDPKYATDCT EDYVQLHFGYFLCFCNSSADLLLAVLPCYIFWDLKLKPMIKFSLMILTSLGIVATVGA IMKAVNLNQILTWDGTANAIELTCWSMIECYIVIITASVPCLRSLVVSSVRQLFASDK SSSFPITTSYRKRTTTNQRMTNRVQTNREASGSRQNFFSGARGEDIEMATTKASVNAN DSDDGGEVAGDGIAKTVDISITWEQGHGRSRAP PEX2_014920 MNIYAHVKTSIMAWKNDTPLPTDVPADPKFQSWYQFDPTSQQWD PVSANENAEPARTDDSSSDLVLLTWNIDALSKRTQERVTEILTFITQLDSNVDIIFLQ EVSQRALRLILSHERIRTSWFSSEHENSPYRHPFTTMTLVSKTRFGSRHSSGTSRFTL GPVWRVAFPSHFQRDVLFCDLFVPSSTDAASATRVRLANVHLDSLPLKPSYRPQQLSI VSSFLRSAGRGLVAGDFNPVMDEDAVLVETNGLTDAWMALRPEEPGYTWGADGKQRFP PNRMDKVALLGLKARGIEILEAQRVEGLRGQQDTPTDMNIPESQQTEPTIMLWSDHHA LLCSFSLEE PEX2_014930 MTQTTVSYTAKRTLSAVQTRKCLNVDNINQHVRDAKYAVRGELA VKAETYRQRLIDGDKSLPFDSVIFANIGNPQQLDQKPITFFRQVLSLVENPLLLENTE VLKKSFGYKQDVIDRAQALLANVQSVGAYSHSQGAPGIRDSVAKFIEKRDGFSANPQD LFLTGGASSGVSTILNVICSDPSTGVLVPIPQYPLYTASLTLLNARCVPYLLEEEKAW GTNVNAILKSIEDAKAAGTDVRAIVVINPGNPTGASLSADDIKKVLDVAAEESLVVIA DEVYQTNVFKGEFVSFKKRLRQLQQEQPGKYDDVELVSLHSISKGMVGECGHRGGYFE LAGFDPQVQEQIYKLVSIGLCPPVVAQCLLECMVNPPLEGDPSFELYQKEYTGISEGL HKRALSLFNAFQRMEGVELQEPQGAMYLFPTIHIPAKAIEAATAEGRPADEFYCLALL DATGVCVVPGSGFGQKENTFHFRTTFLAPGTDWVERIVKFHSEFMDKYR PEX2_014940 MGSDPQYIKFPNLSIAQHVFNLSNPACAPAVQQSSLKTLQDVIL EHKMAPFYRHLAHPTEGILNNSGEGVTQHPQNGGNSTKPLITSNLLASRKTPLKFDFP WDEKLYQSLLEDNKKELETFQKEEDEAEEAAGETEVQAARGKRAEFWARVGDKDKAVE SHEALLEKTGFLGTKIDLVMAMLRIGLFFGDLLFVNKTIERAETLVESGGDWDRRNRL KAYKGLHLLTIRSYSLAAPLLLDSLSTFTSYELCSYSSLVIYSVLAGSLSLKRVDFKA KVVDAPEIKAILGSGEDQLAALSGEISSGPGARDEEMKDATASLPTPAGAKTAVNISS FSTGSGVAVEAEVPVDFAPLANLVTSLYNGNYRSFFVALAAVEDQFLTQDRYLHEHRA WFVREMRLRAYQQLLQSYRVVGLSGMANDFGVTVDYLDRDLAKFISNNRIACTIDRVN GIIETNRPDDKNKQYADVVKHGDSLITKIQKYGQAVRLRGSERS PEX2_014950 MAAPLSYSKEMSEKSASPLEEQPLDDIKKGRWERSWPTIACGAG LFSDGYLNQIIGPVGTMLTQIYGDAYTNSTAQQNVSSIVFAGTVVGMLIFGYTSDHWS RKWSLMISTVILFVFAALGAGSYGAGGSLGGMLAALTAYRFFLGIGIGGEYPAGSVGA AENTGELKKGHRNRWFIMFTNFQIDFGFVVAALVAMILVLIFTENHLHACWRVALGLG VIPPLSLMYLRLKMDEPEEFNRERMHKFPIWLIIKFYWKRLAVVSTIWFLYDFSAYSF GIYSSAWLKIILGDTAPLWKSFGWTTVINSFYIPGSALGAFMSDWIGPRYTLAIGVGL QGIIGFIMAGCYKWLATPENVAAFVVVFGIFSALGEMGPGDNIGLCAAKTSATAIRGQ YYSYAAAIGKIGAFVGTYVIPIVQKNAPNPTRAGQDPFFVSSSLCILAAFLAIFMMPN IDQDTITLEDARFRDYLEANGYDTTDMGTHNR PEX2_014960 MALRHILRYSNDFALADGSLGKVFRELIQFFIDSTEETPVVHEG FIRCFTFDALDLKHACCIETRRNFGDPIKLESREEEEIEEIIDEQKLRLIDFEKLVIE FQAKFDELALPMMEFLEGHWYNRMIDFLRQRDRYNEEHFIGSRRIGVNLLQEEEFPPN GILRLIGSFHKVVDIEESPRIE PEX2_014970 MVANTLIYHPALAHWLRFVATTVGRDKLLRTIQYFSRFYAWYLY RTNKPQSAIDPYNAVKKQFGTTRKIMRIGKFLEHLKAAAVAFDNKNPVDPVLRYLAIG RQLGYAGYLTLDAITVIDVIGIRKLSSAKQLQKSAYRSWGAGLIFSAVAGIYTLVRLQ EKEKTIDRKEGEGVVEAKKIEKYEFLFTTRMRNGWSANAFIRERSAARIQLLSDVCDL AAPLSAVGIVNLDDGLVGIAGTVSSLIGVWSQWRKTAVPV PEX2_014980 MASTPPPPSRSALSNKAHRYRMLSSLLSLLDRYLSWPLPPGPSV EIAIPSKVSICPGSSQLYFFTSPSKPLCPRKHANPCPPRPVLINFHGGGFSIGHALDD ARWAGTVLKACPDAVVVSVDYRLAPEQPFPVGLEDGVNAILWLWQEAEKLNLDKTRFA LSGFSAGGNLALAVPFRLHEELQKKRWTSIGGEISLAGLVVFYPSTDWTRTRKERDAT NPIAAEKTMISPSMYKFFDDSYLLPTALPKRPDTGRTDMSHPYLSPGLASASLLHASY PPAVAIYTCGWDQLLVEGNTFRERLSGFVEEGKMTSVGGFVVENVIHGFDKKPSFWRK NQMRERMYGDAISQLKVIWKMK PEX2_014990 MSSPLPIVICALDSGIGKPVSELLLPEFEVTHFIQSLSAAQSEI PHLLAGRDPQSPHINDVGTKDYSRAVRAIIFGRGFDLEDIEALRENVASISQDPVVWI AGDPSRKPPPGAVPPPNYHQLVAGVARKLLGGWVEAGATSNEFILY PEX2_015000 MASAGKDYIPLDRSDSLGPLASDFIQQQIAKQRNNNYHSTSLRT MVATSVNRTALHPGGVQPSKGHTELEEELHETAHIDYDRVSIIANPAVPALYEDALVY ETGTAVTSSGALSAYSGAKTGRSPSDKRIVQESSSEGDIWWGPVNKPMTLDVWRINRE RAVDYLNTRNRIYVIDGYAGWDERYRISVRVVCARAYHALFMRNMLIRPKREELDHFH PDYVIYNAGSFPANRFTEGMTSATSVAINFADKEMVILGTEYAGEMKKGVFTVLYYEM PVKHNVLTLHSSANEGESGDVTVFFGLSGTGKTTLSADPKRKLIGDDEHCWTDTGVFN IEGGCYAKCIGLSAEKEPDIFNAIRFGSVLENTVFDPVSRVVDYDDATLTENTRCAYP IEYIENAKIPCVTDSHPTNIILLTCDARGVLPPISKLTPEQTMFHFISGYTSKMAGTE DGITEPQATFSTCFAQPFLALHPMRYAHMLAEKMKQHKVNAWLLNTGWVGAGATTGGK RCPLKYTRAILDSIHNGELAKAEYETYATFNLPVPTSCAGVPSELLNPEKSWTASTSF KDEVTKLAVLFNENFKKYSDEATPEVIAAAPQV PEX2_015010 MDVIPDFPSSDKNGLIKFRTHFHSHNIIWGDAKAANVLIDADED AYLIGFGGGYTDGWVEKEKSNSTEGDLQGLANIKRYLFE PEX2_015020 MARKQPIYDIGPFKSSTKNTDTQLNVYVSNKRFKVDLFTSSFEP SSGLLAEYLWHVQRLDPEWIPDESEVDADGEFEDPLDEMHDWILQPFLPIFYEIAPLD PSQKYTLEDCLFAEELHYTVQVVGDKLAPVYLSNTKNMKNHLIGACLPSSVDYSMFPI YHPREVQVPISADSATLPGVPQKVFIHGQPQPSFFKIVYGGSSITIDV PEX2_015030 MASTIKESASTAAVSTQNATKTAIEAPTDFLHHPYTRAALPFLN GGLAGMTATAVIQPVDMVKVRLQLAGEGARTGPRPSALGITRDIIASGKVLDLYTGLS AGILRQAVYTTARLGFFETFTKKLHTRAEAAGRKVTFAERAAAGLTAGGIAAMIGNPA DLALVRMQSDGLKAPEARANYRSVFDALGRITRTEGLAALWAGASPTVVRAMALNMGQ LTFFAEAKQQLKQHTSLSAQNQTFAASGIAGFFASFLSLPFDFIKTRLQKQQKDPKTG LVPYKGLLDCARKVAKEEGWLRFYRGFGTYYVRIAPHAMVTLIVADYLNLLTK PEX2_015040 MHHSALVGLLALASAASAIPANPQQAHSTSSIKNLRSKIKNVVV LCMENRSVDNLLGGQTIKGLENPIKNGPYCNPYNVTDPSQGSHCTALRDYNSVTSDPS HAVTGNTMEFYSEWTPDNTLIAEGKLVPNNNGFIHEQIHNYGSSVNKSVLATEVMNYY TEDQVPVLTSLVNNFLTFNHWHSDVAGPTDPNRAALVSGTTAGHGSNDDSFGTYSFSQ RSIFQQLGETNHTWLNYWDTAGGTGPEAHWFEWTKSSDNTEKVVPMTNFYTDAAKGAL PEFSYLNPSCCGVGTTSMHDSGLISDGEAFIKKVYEALRASPQWEESLFILTFDETGG FHDHVPPPLATRPDNLTYTEKAANGEEYTYEFNRLGGRIPTLLISPWVSKGFVEQKGT DAEGQTVSYSASSILRTLGYLWDFEPFNPRVEDSASFEHLIRSESRKNTPSTLPGPAS FTL PEX2_015050 MDERKTPVEYSSEPDPIPRPAGWRYKSRKIGNFSTSWYASPRIQ LGMVAFVCFLCPGMFNALSGMGGGGKSDPSLADKMNIALNSTFAVVGFFAGTVVNRLG VRLSLSFGGIGYCIYSISLLVSEHAYVPGFNIFAGAFLGVCAGLLWAAQGTIMMSYPI EQQKGRYFAWFWGIFNVGACIGSLIPLGQNIHVTENKTVGDGTYIAFIVLMFAGACLA LCLCDADKVVRPDGSRVILMKNPSWKSEIVGLWDTVRSEPWIVLLFPMFWSSNWFYTY QQNAINGAYFNTRTKALNGFLYWFAQIVAAVIMGPLLDTERVRRSVRAKAALIGLFIL TVVIWGGGYAWQARYTRADVDPKTTDFTGWDWTTKGYVGPMFLYFFYGMYDAAWQGIV YWIMGALGNSGRKLANLAGFYKGLQSAGAAVMWSLDERKIPFMNEYASNFGLLCGSIL IAVPVVFFKIKDTVPVEEELEGTGETLEDVLPPGAIESQRVGDDKI PEX2_015060 MSATPSRRPSALSQPQSAQSRRRNATHTEAIPLPEYEPPVAPLT VESQRQIAALLSSQHLRTLRTHLQHAAEKLTHSGGEVNERLSDARTRYEKMKEARRRQ GDENVDDDESNEEYQRLAEEEIRVNAITAKMEEKTRLIVDSEIKLQGLTDAMSQIERE EGETVAAALGVRQTRQQRARQRANAADDDDDDGPEDPADGDYEDEQEIEMRERNAENP PSRKLVDKLTEGLQKWDELSLTERYANNNSYIGFYRMVHDSKFPGDDVPPLPHSSTWF DHMEDTNTRSGASTRTRNQNRRASPAGSDDDIAIERERISLKCPLTLTPYQDPVTSTK CPHSFEREAIMDMISRSPTTIAPPASRRGQRRVHVVKCPVCSIPLTADDLRPDPVLLR RVRRAQELQEREEEDDHLEGDGRKQKDRSTGITLGSDVESDDDAMDVDAHPPSQRIKM EPLSQAARAAQSDEESSEDAESQDGEVEDVENVEGENEEEEIEQEQNEEEEIEEPDNE EEEMQDMEIDELDNELAENEVEIEEPENEEVETEQVEIEQEQNEEVETDESENEADQN EGVNEEVPINERQNEEEQNEESQTEMENGDVEDEDAASEEEQNDATNPHKDVQADVQS GKGKDNSEDSDSETEDTEDSDSDIEPKVESGSDEEVEGESQESD PEX2_015070 MYISTLSATLFFLLAIQTTKACNPSRRDTNSPNPFTIGNDGPAP EATKGFAFNHIGLLTTNLPAMKNFYGNILGMRNIFDAQVTAEYSVTYMGYAQGGRNGT GFQTGAEMAVAKNNMYGLLELVQFNISDDRLIASTERTNTFGHVGLVVPDVVQAQTYL EEQGVAILKRVGVAVEDFTGPVPNSMGIGEFAGLHLAAKKALVKAQGLIGFEIFLMVT DPDGNVVEIQQQDL PEX2_015080 MDHPNGNGAHDGAPGRSTPRSPARTNTTYPPTDSGRHRSIEGDG AADGSNHLSSAASKRKRLQERHQALRKRGRTPPSVFSRRNRSRSPGGAPPRDDRRSRS PLQPRRSPSPDAPRQRKRPGGGARQGLLDRETLRRKQEERERAEQDEAMRNSQQRGVT DVVRQHYNAVPERGREWRKTESKIKGLRSFNNWVKSTLIQKFSPDESFVARFEDSKDW ANDSQGPPPPADQKLLVLDLGCGKGGDLGKWQLAPQPVDLYVGLDPANISIEQARGRY DQMRTGRGQRGRRPPQPIFHAEFYPKDCFGEWLGDVDIVQRVGIDANAGPGGSIMASR YGGGGFDIVTSMFAIHYAFETEEKTRQMLSNVAGCLKKGGRFLGVCPNSDVITSRVSA FHKERKEREAAKPAEPEGPEDGEVEEDERAQWGNDIYRVQFPGATPEDGIFRPPFGWK YSYFMKEAVEEVPEYVVPWEAFRALTEDYNLELQYRKPFLDIWEDEKNHPELGPLSER MGVRDRTTGALNMTEEEKEAVSFYHAYCFYKV PEX2_015090 MSYALESKKRKFHRVLESISKPLTPDNAPKPAPATPTTVQERIS ANLSIKKVRLASADRSDLTAVRNSIHKISRPAHRITSANSNKRPTFVPWDRERFLERL ETFRRVDRWTSKPSPINEVQWAKRGWICTDVMRVSCVSDCGGAVVVKLPDEIDELDGF NIEKVEERKEVRARLVDEYAKMLSSAHGENCPWRNKSCDATIQHLPLTNCDAALSGLH ERYKNISEMGDKLPAEDIVQTPEGLDLDVLIKGLPEEWFQEAEQAALSTNGETQTTHI NNQDSTETPKAVNRAALALALLGWDTASDGAAGLVWCGACFRRLGLWMYKPKDNGDVT VYTSLDVAGEHMEYCPWIDNVAQSGTGRPNEKLAELRAGWQIVVEAVKVKHRRRVRTM ASTDTLRTDIGTPMEPAGEEENADAKKKADREWWAKIRRVRQVLTAKSPKRKAVLPQ PEX2_015100 MASLGSSLSLWRGVAPRLAKDFFTSQCLPSQGYAAKSIRQFGAL QFRQPSKIQSNLLNNKKFFTSSIKRSNAAPTVAEAVEEGATKAKSSFPKISDKAVAYW LLGSAASVFGIVVFGGLTRLTESGLSITEWRPVTGSLPPMNAEHWEDEFSKYRASPEF QLLNPNMTLSEFKSIYYMEWIHRLWGRFVGISFVLPAVYFVARKKVSTPMALRLFGIA GLIGFQGFLGWWMVKSGLKDDLFAPGSHPRVSQYRLTAHLGAAFVCYVAMLWNGLAIL RSNRLIADPAAGINQLNALRDPKLAFFRRSVAGIALLVFTTVISGGLVAGLDAGLIYN EFPWMGKGLTPPKEELFDARYSRHEDRSDLWWRNMLENPSLVQLDHRALAVTTFTAIC ALLAYTRTSPTMKRFLPAPARKGVHGVFAFACCQVGLGITTLLYLVPTPLASAHQAGS LFLLTWVVILGSRVWHPSRTAKLLQMAAKARSQQLSSTAASAVKRV PEX2_015110 MGHHTMPLPRIPDLSLPSFRELDESVEANRHNQPSSHPPNNMYD GTGNSSSSSPQKMTTIPNLYPPYPANGWLVPPDPSQNAFRAPGFTYQDVSTGVIQRNV NVDRENHPTPHLPHRLKETMPLQVSPTPTQSTLSSAQTTLREQLSPNTPVSSIPEKIS PNAPRQIPTNRVTLKQVNRQRINRDAAANHRVQRRRVSVHFSEVAAHTAKCDVCNKRN KNGMSRCQNCGWQICRKCLTDRNGDRTHASFGATHVPEGGGDMAMSLSSVSGNENRRS PESNAEVRAAQTLLDLGSFGNATGTTATSGDMKGNAGGQNVFTARGRVLQRQVDTLST DSDMTLSVVGDEEWWRQDESDIPIGEDGLPVGYIITRRNPARAARPSTKMAE PEX2_015120 MADSNPVQEATASIANLLLDDVTGEKVSKTELKRRQKQREKDAK KKEKEAAAPPKPKAEKKSSAEDDEANLTPNQYFEIRSKKINKLRETKQPDPYPHKFHV DTDLRNFLKDYEGLQKGEQKPDVTVRIAGRIYTKRTSGNKLNFYDIRAEGVKVQVMCQ AQFSTGKPFEEQHELLRRGDIVGIVGFPGRTNPKNRDDGELSIFATEVILLTPCLHAI PSEHYGFQDKEQRFRQRYLDLIMNDKSREIFRTRAKIVTYIRQYFDSRDFTEVETPMM NAIAGGATAKPFTTHHNDLDMNLFMRVAPELYLKMLIVGGMERVYEIGRQFRNEGIDL THNPEFTTCEFYQAYADVYDLMDLTEDLVSGLVKHVTGGYETVFHTQSGETYNVNWKA PWRRVEMMPALEEACGEKFPAGDQLHTQETNEFLKRILKKMNVECSAPQTNARMLDKL VGEFIENTCINPTFITGHPQMMSPLAKKHREHDGLCERFEAFVCTKEITNAYTELNDP FDQRMRFEEQANQKDQGDDEAQMVDETFCQSLEYGLPPTGGWGMGIDRLVMFLTDNYS IKEVLTFPMMKDDKTAAEPKTAAEVVGIEPSPEEGIPHK PEX2_015130 MSALNTDNNSMQLPRDTPNTNPLFPVDHIQHAEKDNGFESTGPV YEGFTFFKAMPKQGATWTCVKRTVMHLNQDEYSKMVQKRANQKSPVQQYQDLSSDTRR AHINQLIDEQRHKNPLVEWSCVYAKEHTKMFKPRHSRRSDYEIVSMDVIIMQRPMKTQ AYCRISVGGSVAFGKPFQPDTKNQPMWSDHRNHHLTPDQNGNILRPILQRLPSQLAQA MNPFAQLHSTQGPAYEQLNGQLAIHPVVETSHPIGSRDQLRESAGSTATVNNRPANPD FIAANNSDMTLENTSDYSSESNSDLDDASMHSDESDETSATDDESMEIETECQEPQLN QASFRQQNAGPDRRESSHGPHSRRKYQSRSLERRQDRSHLLRDQCEVPPAKILGPRRA ETARSGSVPGKRYRMQLVNDNEIRSRMLDHREASLGHREKWLRRTFSEARQLERRQPV RDPPAVCRCTCRCAIKEMKEAM PEX2_015140 MDYSNSFSYNAEELLDPSSQLSQRFPQVSQVLRDRLGVAFSKRN NEEFSRCNCRDDLGHRCDSEVFQQYNHTSYDDFIRLSADGKTTAKAVCLCPDLNERVQ IYGFIGAGTFGATFIAREKGAAGASLEDLEQYAIKSQVHTTQWMDRISGVNAQMAAFC EPSGEKRYMPEEALLLIYLDDSKRFPRLNSVYTHGMLTAILMTPCVDPSYEAISIIDE DHFHRIKSKGPIPPRIRKRYPPFPAFDGSYLMSASTKEPQLGEIEGSKVASQLLQAMI ELADMKVCHADISVTNYLMDQNLNVQLIDLGMLTFSLDSTDIFNLDHFIPYQEYQMMP ERAIELEKYDIWRDPSHDDVCIESIHLPVDQREVCLWKYSTLVYGFLHGFWPWDEPKP VPRHLDWHARYDGPYNDPFYPVVKRRRKRMINEDAAISESLSQDCRDVLQATLSRKKS ERPSLEELSSFPWFSRWSAEELESGRPLKRPFVKEFHNRNRADGRRGFTWPSISLDQD MSFPILNSPKNNPSASSEYCSSSSGSSDYSTYSDASDNNGYEEVPPQETSP PEX2_015150 MNIVEWAFGKRMTPAERLRKHQRALDRTQRELDRERVKLENQEK KLVQDIKKSAKNGQVGACKIQAKDLVRTRRYIQKFYQMRTQLQAISLRIQTVRSNEQM MQSMKGATMLLGSMNRQMNLPALQRIAMEFERENDVMDQRQEMMDDAIDEATGMEGEE EEGEDILKEVLDEIGVDLNQALGDTPEHIQKAPVNETRVAQAIGGGGNTSDDDLQARL DSLRR PEX2_015160 MSQEYHPGEGSSSAAGSSRFAGEEEFPEKNPFFRPPPTYMTVGN GSTSDSATALMTSLNQDSGYGGSVAGTEEDANSAWRAGLMEDRPTPVHTPTRPGEWNP AAEHEKQVVASHVSQLLYNSNRTKLARAISRTIDTLKELQDMNRQWPAHYPSVQNTPS FPMDRRPSLNQTQSEFGDDNSRPSTPPRPELRRAATLMGSDELAESSASAERRVASEP RLMTPQIAQEFSILKLDLKLGALSQAELVHSLEKASIAALLDGKISQSMKHLLSLRDR IEDTSSKVLITGDLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLDARENCSVEEVH AVHKDTPYNRNDESTFDVYSLAELEDIVIENTKYMQCKVYVKDVRSIDESLLNNGVVD IALIDAPGLNSDSLKTTAVFARQEEIDVVVFVVSAANHFTLSAKEFILNAAHEKAYIF MVVNGFDQIRDKQRCERMILDQIGKLSPRTYKEAAELVHFVSSNAIPVAPAISQSGSG DGGGSDPHDDDDDKDSKDKGKGKEREKLQDFENLEGALRRFVLEKRSRSKLAPARTYL LNLLADLGSLATVNRDVAQSELKRVTDELAEIVPAFENGKKKKGELAEGVNKAIDDSC DDVYNHTRSALTNTITRVSDADLGVVYPGIFSAFQYAEELKLAMLDQIASSVTDCEDY AREKTVQGVGFIQNIGLLHIGEDKFTPLNFRADAMFRRGRRHTFGRQVDTEVELWDFF DIAGLWERQEKLAGTGVAMTAVTVLGGRALGGFSWVDSALSAVKVIGPNNMRRLFFPS ILAAAVLTTAYVLSSIPTTLPPRLSRKIAATLAEMDYVHSNANRISTEVRRMLRMPAG NLQTCLSQDIEDLGRRKQEVTKIKQESDVATKYFSNLFRDSSENRRSIENLDLDAPLP GGMAAAMQA PEX2_015170 MAGMAVSNKDYPLTGVVICFTSVQIEKRTPLTQMAEQMGAMHSI HLTSDVTHLLVGDTNSDKYKFVARERNDVVAMNPEWIEAVRHSWTQGEDIDIPALEKQ FRLATLHGLKICITGFSDLPFRAYMQKTTEENGAEYRKDLTKTVTHLIARNSEGEKYK FATQWNIKVVSVKWFTDSIERGMILDEQKYHPLVPPAEQGVGAWNRSSPTEREPSRRD STTKENSLNPRPRKLRRIASTKLVDQNESIWGDIVGTGFDNNPTTVPQKNLQGQGPPE ASKPVIQAYQSFASETTFSESTQSRLPLPAAPPKDNGFLHATYFFINGFSSKQIKVLR EHLTYNGAQLVDSLNEFSSPTIPKTGHGLFIMVPYQTPRSAIPSTDEMAFECEVVTEM WLERCIDARAFVPPESHVASTPFPKFPIPGFPELRICSTGFGRIDLLHLRKLVELMGA TYGDFLTPKASVLICNDPKTASVDKLRHTAEWGVPAVSADWLWISIQSGQKKSFEPYI VRRQLPQKASSTDKLGSLSAKRKQPDGYLDERKAGSPYNISTESSNGSRGEKRSLGNI AASRTIPIVGDGFEADAPKPSVPESRSPTPARISDKQTSEEPNTKQPTPSTDLAPPTG PSALDTALSGLLQQARAAKSRQQSESTTINNDGNPPRRKRKPLLGRAPSHSSARMLEG LRPVSRASSIDTLNDDGLGSALESAHPTRDNSISRSNSRAEQSLSSMLSGGKFDFLND KIPPHTEDEDEENQAPQMTQLDYEDPDAAAMRAEFLRDAGKLSGKTMKTDSSGLLVGE VRELEDIGWGSGRRTRKQPVKVDDE PEX2_015180 MFKKALQDHPGNGTKPLHQTDLLRSNATAPSKPQLQSQSGGVKR KIEVAESSLGSLHNAVYFDENDFDDDLDLDEPQPLIAPSVAGSALSKTVTYPSLDTAS RIGQSVYGNENQTDVNYPDLPSVSQEAAAPPSSMQLPWSSSPPSHFQPPVRKPRTLPW TKEEEEPREDKKKSIVTSKRSRPTEPWNKSESTIKAEQKELRQEYKKTQKSDGNPKPQ DNSKIASVFLSDEQRAVLDAVVDRGKSMFFTGSAGTGKSVLMREIIAKLRNKYRKEPD RIAVTASTGLAACNIGGVTLHSFAGIGLGKEPVPELVKKIKKNQKARNRWLRTKVLVV DEVSMVDGDLFDKLEEIARRIRNNGRPFGGIQLVVTGDFFQLPPVPDGSNREAKFAFA AASWTTCIQHTILLTNIFRQRDPEFADMLNEMRLGKITPRTIEAFRRLSRPLDVKDQI EATELFPTRAEVEGANSARMARLSGEVMRFNAVDSGTIQDPQHRERLLSNCMAPPMIQ LKKGAQVMLIKNMEDSLVNGSIGKVVAFMSEDYFDSYKENDKNFADDATVSDDERAHR ARKKLKPMGYKESPASMARKWPLVSFLQPDGSERHLLCQPETWKIELPNGEVQAQRQQ VPLILAWALSIHKAQGQTLQRVKVDLGRVFEKGQAYVALSRAVSQEGLQVTRFEPRKV MVHPRVVEFYSNLITITQIDKSKSSSALNPDDFDDEDF PEX2_015190 MASEFIGYNVLVTLREPQGGRLVGQVANVFGQRLLLQDVTFLWN GQRLPQYSIDASAIIDLSLETNTQVPSQRQQLPQHQINPQTQPYAAMPLPPAPTQSTP TQQQFTDPAILSFSKAPLVSKPAVSANQIPGAVSASLSEPFSSLELNADSDKAGIQGT SHKKLLQSPQELSPSKQTPKRNRRGNQENVREERGYVPKHGAAASTNPKSKGWRQTAF VEPAAPAFQDSPEAMSRSGTKLRKKKSRRYAEDPSGWATEDATDIQELGEFDFESNLS KFDKRTVFEQIRNDDTTADEDRLVSFNRKVKPGTNGGKNLHWTENVLDSPQNSDTGDD IEGISEIKLSSDTLSARERSRAPTHTQPPRKDSAIQAPPMVPQLSALGRSQLHVNISR ATSPRPSRSSVSPMVAPNVSGAGSLRLTTTNRSCPTVSPLQTLEIEQISVAEFGLADE IITENAGRGIAEAAVALLSNDAAAPTMLILTGNHRTGARAIASARHLRNRGHRVTVCL LGLEHEAELLENCRKQLDIFRKVGGRVLKWEELSARLATSDLGPDLIIDALFGMHLSF DDLRTDDQGVAFEMISWMNRSNVDVLSVDVPSGLNASTGEVTLAEGGRLCVNATSVVC LGAPKTGVLNALLSGERLSWNLAVADIGIPQIVWRKYGTRRRHGIDFGNKWVVPLKYQ PLLS PEX2_015200 MGKGTDKLYITHSEWASEDAFSASAGAGVSKAKKGGPHAAFKRL PFNFCSLSLQPFSHPVCTPTGTLFDLTNILPWIKKHGTNPVDGAPLKSSDLIKLTLAK NEDGDYVDPVTYKILTDNTHIVALRNTGNVFSWDTVERLNIKGKLWRDLVTDEEFSRK DIITLQDPQNIESRNLSSFNYIKEGETGVLEGQQTSGSVNTSALGSSAKILKAKEAVA KARSERAQQAGSAAAGSKAVSKTGATNTGSKTQPGKPTPYNAARFTTGKAAASFTSTG LTPHTSAELAVLSEEEYMLKRGRVKAKAYARIVTTAGHLNLELYPEHAPKAVWNFLQL AKKGYYTDVPFHRNIKGFMLQGGDPTGTGRGGESIWGKYFADEFEGPLKHDARGTLSM ANKGKNTNSSQFFLAYRALPHLNLKHTVFGRLIDDPTPSSTTLNKLEIHPVESNTNRP TPDIRIKEITVFVDPFEDFLAQKRAEEARATGATGPSAEEQDETARRAEDDRITWTGK RVRGAEADSNDGGASGVGKYLKAALADRDGQEEDEIVEFLDEEAAPEPARKKAKGPGG FGNFSSW PEX2_015210 MKPRMYYDDAAWEKSEEISEAWIAQFLDVGILRHLGRFLVRHHE PDKPDSFDFLEKGAYNISFQMSYKNTSSAIIRLPQPGATMFPEEKVRNEVATMRYILD RTSIPVPFVLHWGTRKDGPVDLELGPFIIMEYMDHHTNMYDVLNMPGRPKASRGILGR DFDEDKLEGLYGELANILLQLSQPSLSRIGSLSQIDDFNWEVAYRPLSMPLNELIRVG LLPQEGLPGLDTTFDTASSYFESLAELHIVHRMNQRNDAVDSADDCRRKFVARCLFRK LAREKKLIERWASFENGPFNLWCDDLRPGNVLLNKESKIAAVVDWEFTYAAPVEFSYA PPWWLLIEKPEYWPTEGGLDDWCIGFKYRLETFLKAMINREDEAIRKRQLNENQRLSG PMRESWVSGDFWIAYAARNNLAFDAIYWQKIDGRFFGPTRFSDPADAWKERLEHLNAE ERCDMEQLVVQKLEEMKSRVLVWDPDEYTLGHIDIAKKAGEESKTKQGTADEVRTLFI LK PEX2_015220 MFSGSSSPPKDRVDTLPEAGLDPNSLTLQTDTIGTSPREKRFSP PSAGFFNRRQSEEQGTAGEKKRRSSTVTKAANFFSNAKSSLSLNGRDSSAISTSPSIA ESPLHKLGKMDPALSVPQGSFNNSAGESAPTARSSFRVGVTEDRNRKCRRTMEDTHAY LYNFLGTPSPAALELNGAISPKGSPSQSSEDSSTVVETDNGYFAIFDGHAGTFAAEWC GKKLHLILEEVMRRSPTTPVPELLDQTFTSVDQQLEKLPVKNSGCTAVTAVLRWEDRV PNSQSATGSSALGPAAAAATKADANSENIETPTQATAGASAVLPKLQDKAIRQRVLYT ANVGDARIVLCRNGKALRLSYDHKGSDENEGRRVANAGGLILNNRVNGVLAVTRALGD AYLKDLVTGHPYTTETVIQPDADEFIILACDGLWDVCSDQESVDLIRNVQDAQHASKI LVDHALARFSTDNLSCMVIRLDSNRVKDLINNHTELIGVDGDPHTKVAGGVSEADKIV ERAQKSIVSSGLADNPVAAEKANEETLQKMASSNHKQEPGPGMSIKESKDLPSVDILS PNRSPDNPSGSTQ PEX2_015230 MASNAKSFQKVLDSTALAATKFIRRPPIDPKTPIQGKPRVPGSN AFKEHQEKEGRRLQKALNSVTHGKNIFVYNNIRTKQVVYSLTRYLEKTNLIKQCVNHG KKTIPATIRKDMWVPYFSVHFNEAQVGLNVYNHLRQFALLRQLSPPKEMITVTKEYLD SKRPVDLRDQKQWDKENMGRVGQIMMKKERAYALMNQKATAIADIAFVLQKHRDHIVE GVPESSKSGYKTLKARRRRRAALLQEAEQAKARAGEVASLEEQLQVEISTDHSAPKEQ TVKILWQDTYDAQFAKHWPDYIEHGQLRWTRNHMIGQEDLPVPSEDIIADGSFEQA PEX2_015240 MDKDTEHDIGARRERPWLYAWNRRLRHLQGISIRNLVVTPPPTR ARGKTIDDDDIPNALKTPSKILSQSDNHTLHPSRSFTDLKSHSSQHNNANEPVMRSSR RRSTMFWNDPNPRTRQVKLEDITNSRMADTFFTLHCDGLDEPVYISETVDRANNPSFR SFDLNLCGPYVSRMDELTLRVWTKTADMSEFILLVELHLHLRSLQFLGKTLESFHQPL PANSILFHFPDGVYSNLTDLPPVETSLQTAGQKATADGTALPSSSYDALMKLANLDEC VQDALATREKLEAQISLILRQNEHSLNVASDAAAAQEKLVLTKQYVAMERKRVRTAVR RKEELIASIKARKEAMEQGRSAQEKTRSHLPEAQERLVSSEKLLEQNEEDTKGQLRRI AEDLLTIYPIEPIPDTPLAFTIAGLALPNSAFEDIDREVVAAALGHTAHLVYLLSFYL SVHLPYSINANGSTSFIQDPISASLPQRTYPLYPVSVQYRFEYAVFLLNKDIEYILIK QGLRVLDIRHTLPNLKYLLYVLTSGDSVIPARKAGGVRALVAGRSTPNLSRRGSVDSG ASGELVQPHKAWESMSRMNVNLATHRVK PEX2_015250 MGDNSNLYSKAESDAHHRRGYQACDPCRKRKVKCDLGTTRRKRK TSDAEEDEVEAAAILHRDKRMMIGEVAKNESPVEGPPFAPSDPPQFGHEAVLAQQRWS ETPAPHAPHAPPAPPAPPAPRYTPSVPTTRAPTYPVSERPAPPSYGGPPMMNRTAVEL LSPAITNTHDALHLLSEAAGRTEDLNRQSLENRLAARQSVSSFNSGPSPLPQGSSPRS LGGSFVRTPRSGMSMGGSTYYPAGASGPVDPHIADPGPQRESPVNNPPPEPNYLDAIR AWSRLRFVRAGWLTVEEGMDYVEYYYEHLAPMSPVVIPDFSHPSTHRTLLTDEPVLAV TILTIASRHLKPKGEGANTRAFYIHDRLWAYLRSMIERLFWGQEKFDAGTSGIGRPRS LDLSSSMSGKGSVEWHPRNLHFPPGDDENSLLDTDPQTYSRADNPLDNDGEPTSKGSE GRVAFQKWLEPAWRSDRMSWMLLSTAQALAFELGVFDPKGDAKVANEPLSEQTRKRRL RRLILVFITHSSGRLGIPSMLPLPQWGQDITPTSADAKDADANLDRMQDCWISISKIV YQANHLLFASSDQTMDLIRSGRYREQIDRFQPYLREFQQQLDSVNLSPAMRSVLLIEL EYTRLYINSLALQAVVDRWTTMSNESAQSQNQNQNGQPGPGPSNSSNSWFQTLNELYR VNEHYIQQVIDSSRKILQTVLDGLVPEGRLRHAPIRTFFRILSGMIFILKTFTLGARE DDVRVSLDLQDRTVEALRNYVVDDVHLSNTVARLLELLTSSIRTRFLRFAPHDRGADG EGPDRTSVPDSGPHSPSREHSTTRRDGPSTPWPANQGHDTTSGGSGLGYVDTPGSGHP MVSGHDPLANIPAQPINSSNLNVSFMPPPPSVYHNYYESNSTFPANDMDRSSPNQVAS SQTMGDSHHSTSGALPDWFALPLDQFFNSSTGVVDQGLGGTGPMLGEFDMLEVLLNEG YDGNTNGEGETGAGLSSQYL PEX2_015260 MQSSRGETWRSSRKPSAIQDSATESAKQAIEGFSQKGHARRPGS LVVTSYLEKTENSGQDKALSWKTASWYSLGEDWSIAIIGNPYTSKRPDDHHPLSPRWP IIDSKAQNKLVSRRVVSGILALLALNKKRATGTISDGDPSLHCMFYQSSPARTLTSSS RCQTM PEX2_015270 MVVFSKVTAALTCFSVAASAAAVPVKSPRQGFTVSQVQKTTTGT KTVNLPGLYANALSKYGATVPAVVHAAAVSGSAITTPDGDDVEYLTPVKIGDSTLNLD FDTGSADLWVFSSELSASEQKGHDVYKVGSTGTKLSGYSWSISYGDGSSASGDVYKDT VTVGGVTATSQAVEAAKTISEEFLEDTNNDGLLGLAFSSINTVSPRSQTTFFDTVKSS LDKPLFAVTLKHGAPGTYDFGFIDEDKFTGDLAYADVDDSDGFWSFTADSYKIGSGSA GGSITGIADTGTTLLLLPDSVVTAYYRKVTGAKNSNSAGGYVFPCSASLPDFTVTIDG YDAVVPGSFINYAPVSTGSSSCFGGIQSNSGLGFSIFGDIFLKSQYVVFDSEGPRLGF AAQA PEX2_015280 MENDFHLLPRQIWANPTPTSTKTYSPGCTPVVLPSNGLVYLNKS YAITLSANAIFEPTCTGSSTDEVHISAVLDTRDPFYSSITPQLYAIGCATVVSYLLVI ILLITPRTFYVGGPGGGANFLGRHGMISGSYSGNSSVVGVGGRPWLQKVAAILVAISL TIATVDSFRVAERQYDYGFSDAEALSQEVIDGMEIRIVRVISSTFLWLAQVQTLIRLF PRHKEKVMIKWAGFALIVLDTTFSIMDNFWARNSPTRPRLYEDAIPALSYLFELSLNL LYAAWVIFYSISKHRYAFFHPKMRNICLVALLSLCAILIPVVFFVMDIAKPEIAGWGT YIRWVGSAAASVVVWEWVERIEALERDETKDGILGREVFDGDEMLEVTPSEEVDWPRF SGPGYDQGGGNGASSGWGGVMGLAHRPLRARAGLPRVNRNKRAGAPPYNQAATSAPQG PNDNRPTPPPAAMTPISRADTTSAASTVYNVRYHPVASPTPPVAMPNMHEEEEFDEDK ELEGTVPHTPNPNGHALSVQNTREQSPQIVQPDPRWRVLLQPFKRRRASLPKEVASAQ AREEFSEHRPSATTEEAEAETNAARSRAEHFFSLHRKTRLGTGPQTAEGALPVTVIPA RRRGQHTWSPQNRLLEDTRPPGESRPIEPPQHHETPSNRPNLPVRVIPSRPEASAPWT EADVERGGGDYVLHYDPEAAALVNEDISHLDRNDHATDYDQQSWTDTTYSEERNDASA VVSAEPTGPSAESHPEHGPSNEQQGNSS PEX2_015290 MATVNRNMFGASITQPPGGTQLMVLPLNLIAEIVSHVDDTGDLA RLCRTCRVLNYMALPQLYRSLTLTSYDKIRYRGEQPEGIGSASPFTMGLNAIITRSYA TLVQSMTLRGEWKDHELEEHARVGRVPDASMLLNIAARAAVDRMTNLESFNWELNTKM LDTVYTGLTQLPKLTSLTIRFPSSRHPQPTFVIPGMPHLRCLKITDIDPLCYPDDIAT LLCKSRKLRELKLHWSPRMRDAHEPSVSLHDYFRKLIASKQPLAVKKFSFQNLYAFHT DDFNYAFDPTTVEDVTFLSGVEGSSLVNTFVESSWPTVPPHAKLRMKSVRMDAVSKRN SEFIGNFSGLERLYFVNVTSESSDLLNSPRPGGGAVSSALTPPTSEHHLSAPNGTTTN SPITSASPASQLSVMASIRDLYLSHITTNHGATLRHLLLPSKWPLSTGMVARLVHSCP NLEQLALATEFSSMDSLGLLIPFLRKLKAIRLLIPPTSAQSSNGPAGSTPKMPACARG MAVSNVSTVMQETFMNAKTLAEVVDIDDSLLAETLSYDLGNKQVYGNVKIISMGWKAW ELRDFYKAPVPFVINKEPPIPTDGDNASPETTTNSPVPTQGTNGAGLNTPYQPSVAYK SPDTQTTHISSWSNPRSGVLPPSTLGKRSRDRDDSETPRPPTSGPYIPDRHEDSEDPT AFYPGCYPYTLAEDGLVWRRRVRRVGWEALKHWEVWALDAQEI PEX2_015300 MPSHGTMTAAQRALGIAEIIGLIISFVPSGWFAPSNALIHCGLV NKLWLGEVLPVIWSHIGTQIERVFTKLKPGRRQFYANFVVFAESCILNDGLCSKDLSQ NLKDIVFPKMETILMFTCGERGECSLPRMNCPNLYRMTFQDMDYENEEKEDDMCPDAW ESIFWDISTKYPSLKELNFDHPPRVFPHAIRRFKKRHPNLQGCLKKLKAQEITQFFGH GFSFPGGVAVGNNDYFEN PEX2_015310 MESLDDLVTHREVLMMRVMNAITDKSKWDEKVFDKHITSKWREE IAKSGQDVTSKMMDWIIQELQWKAEIFRKTGRVTVFDVGVVKSDNAIPSQLQQDLRQA AALFENVPESQKDHHPGSDKKVVNLVHPSLFPVVFGRTRVLPDQIIGVDDCLSSMGQG TLVPTPSEEESRANREPEFARYSYGRPEFSRKFQWLPFDVDINKDSRCEISSYINNVH PIEHRGLYDVVEKVIERTIPLWDQSLTKMAWGKQRIPYTKVEYGDPIGPEPKCPQATD DDFDESEYLERHEEWEYSHILLPEPGNFEVFEAHDEDKVKLRQQFGKSGLQVIVKLAN IELTPEKPDYEGGTWHIEGQLNERICATAIYYYDNQNITESSLSFRQRGSQMDDIQYE QDRHEFLQDVYGLGMREYYNEIKVAQELGGVVCQEGRLLTFPNSVQHKVSPFSLADRS KSGHRKILALFLIDPHRRVISSANVPPQQEDWVPETSKIVNSVRSHASANSPDTPGQG VPGPVMSMKEAEAYRLELMEERSIEAVENNKRFEHGDFSLCEH PEX2_015320 MATTTTTTIPPLNQSPFELIGPYGDWRDDLHNQGYVVIKNAIDP ERAQSYQRKALEWLKSFSPALDLNDPSTWTKDNLPVQSKVNTFNGYSVTHEKFMWDAR MEPKVLEAFAKIWGTDELLVSFDALNVTLPNQKDRPAKKPWPHVDQSPFRRGLHCIQG IINLSHAGPEDGSLMVFPRSNTVTERFFDTETDPSTWEQKDIRLFSEDEIQWFGNHGM KPIKVLAEPGDLILWDSRTVHWGGEPTVNSNTIRTVIYASYAPFELATEESLRRKKEA FESYRATTHWPHENIVIRDGVVHLPDGSVDPRNRSVPLEVPEHTDRLLQLAGVKSYRE PLTSIFRIAHKANMKSFESRPPVDYPRKRASIACNFCRHRKRKCDGQKPTCGLCTDAG AQVQDIPAEILLRLTHLETLIEQQKDAITELSARVASSSDHPARSVPSIYSSQPKYDW DPSSEHVYDKTFGLFSPENTYGHEYAFTIPLGHHTPTGSLFVLDRVKNLVGDYPQDFF KQIEKKRPFNSIQAAGIPQAFEQIDISRLHPQVTKPLITEFLHHVHPFFPIVEPQLLH MLFDTFSTYTKVNSIQTSLYLVILALGKASSNPQRIFDIEADQDLSGMEYFACAYQYL NNPLITSFTADHLLPLALFYGSLYLRHIGRPIQAWQMIRDASGSVQIMIAELHDMNTH EERASLYRIAWGCFILECDDLAEFHFPSSGIELLVVRLPFPRISEDSRNGHLVFLAMC SIRKLLNRVHSALYAKSDQENFHASPPPQHTSDTPSATPQKHSITSLKTISEELDRQL EDWFGSLPNPIRPTLGNTISADHPYDTYILARYYATKHIICRPSLVFAAHTQGSTVLP EFVFANCKKCVDSCRKFIWAASILMRQRTHSNWHKMQAMLAAIFTLSTAKTTPALEAL VPDFDDLVKEAIQCIELWAQHCETADTVVSMLKTIRQKINFIHGVRLAGEPSTWNIQI GYPADSPGGIVTSVTPHEPSTTDDLESPLALPALTHPHIHLDKAFIHSAPEYAPFLPT AGTFQEALSSTTKAKQQFSHSDLIRRGEWLLAESVASGVTAMRAFVEVDHTVQLMCLE AAVALKNQWKASCDIQIVCFAQDPIFSSEYGEENMNFLETALDKYPQIDVIGTTPYVE SSAEAAKQNIEWAIDRALQLNKHVDFHLDYNLDSNKEALVWHVLQTLKQRSWTTHSTD KRVMLGHCTRLTLLTENEWARLAAEIHENELPVSFVGLPTSDMYMASPPRASEDCCKP SQDRPRGTLQVLEMIQKYSLDAVIGVNNVGNSFTPWGLPDPLSLACLGVGIYQAGSQA DAELLYECVSTRARAAIGLSPAHSGLCVKQGCRPDLLVVHHRDDTGCGVSRPRTNVAE VVWTPPGKFNRDVVSGGRLKISPFAVAESDALYQF PEX2_015330 MTDSTTADSKINHAASLAYWNSVPATTGSMLGEFPSVTRIDLQG SKTFLAKIRRLIPGVQSAGKFPLGVDCGAGIGRVTEGFLSHVCDVVDAVEPVAKFTQV MKDSQLKRDGIIGSIYTRGLEDWTPEKKYDLIWVQWCVGHLTDSQLIDYTVRCRKALT PTGLMVVKENLSTHFSGQDMYDSEDSSVTRTDAKFRQVFEAAGMEIVKSELQKGFPQS LGLLPVQFYALRPKTTN PEX2_015340 MANDRTDERKLEGGSPAPKDDGLHPGFYIALWIALSSSVILFNK WVLASAKFNFPLFLTTWHMVFATAMTQILARFTTVLDSRHKVPMNPATYTRAIVPIGV MFSLSLICGNLAYLYLSVSFIQMLKATNAVATLVATWAFGIAPTNLKTLGNVALIVVG VVIASFGEIKFDMLGFLIQIAGIVFEALRLVMVQRLLSSAEFKMDPLVSLYYYAPACA ITNGVVTLFAEAPRLTMGDIYGLGVGTLIANALVAFLLNASVVLLIGKTSAVVLTMAG ILKDILLVGASMFIFRDPVTAQQFFGYSIALAGLVYYKLGAEKCQSLATDVRLQVGEY NRSNPARTKAILIGVPCALILLVLYTGSSAPAAAQVTH PEX2_015350 MAHMVFVAGDGSLLSKESQLQVSRGLSPEERKLAQQRLIEDRIA DQGDPKTQNTSIFILLLQALSNWRAWVLIPGYSTIVGAGAISYFYPTLVNDMGYTSTT SQYMTAPLYIASLAAAIPICWFADCKPHTRGQLLVGNMTFGMVFFALMAGIRNYTARY VFLCFINMTLWTGNALALSFATTALASVTRDVRAIMLAWISSVTALAQLYGTALFPAE DSPAYVVGFSVFASTFAVGAVCFGLADILFKRYP PEX2_015360 MATNRGIVVISGGSAANNLVDVFNAVRESKNCPLSYIIPISDNG GSSSELIRIFGGPGIGDVRSRLVRLIPDSPANPERSAVKALFNHRLPAEAGIATNEWQ SIVDGTSELWTAITPAKKELIRSFFNVLNLEILKRARPPSSTFDFTAASVGNLFLTAA RLFSGSFESAIYLLGSICGVPSDLVRVIPAINSNFSHHISASLADGTVIVGQNSISHP SEATALEHNSRSRRPSLLLADGDDVDYTDSEMSDPTTYEEDHLPGSLATLRNKNIKFS KTENEDLSSRITRIWYINPYGQEIRPPANPRVLEAINDSQAIIYSIGSLYTSIIPAIV LRGVGKSIVSSPARHKILILNGSLDRETGPPSAPFSASDFVEAIVSAGEESRGRGPII HAQHQSQTPTKTSGQDNVRNYRALPYTNYVTHILHLDGPGTPHVDRERLTHMGIETLR LYGRKIMSKDGDKETVVGMQYDPNALVQAIEVVLGKKGDAMVRGGLGNALGRRNTLDP ARRDR PEX2_015370 MSRNRTDVVDLTTRSSRQNPISLSSSPPNASTHASSSRHEQVAP TRGVKRRRNWSDEHSDSVTSSSTLSEDEPIETIDMTDDSSAAALARTVAKQREDAIKA QASEERDSNLSAILAYKCPICMETPVDATSTSCGHLFCHKCIIDCLKMSEQTRGGDSS KQHKGTCPVCRTPISRKEVPGKSKNLIPLLFFTKKRGDISASGA PEX2_015380 MLPQHDLFLVVPSSSRFNDIGVQQLSDHVHAQVFPNKGRIPDPE LVALSKDHLARHELLGKSQNGTGPVAFDLPALKGQTLDEHFYKLGMDSSEPYLTWAKS YAALNCPAKPRKWVKRSGWTKYHIDGTSEPVDAPNESMLTFDTEVMYKNNSFAVMACA VSPTAWYAWISPWLLGESQNIVQLVPLGDPTQPRIVVGHNIGYDRARVLEEYNMQQSQ NFFLDTMSLHVAVNGMCSQQRPTWMRHKKNQDMRDKIANEHDSVELAALLENNMLREE EEELWVGRSSVNSLRDVAKFHCDVTIDKAQRDFFGELDRDSILGRLEELLDYCAADVA ITHRVYRKVFPNFLETCPHPVSFGALRHLSSVILPVNQSWEEYLASAEETYHKRLDDV QSKLLALCDEALKYKDQPEIYTNDPWLQQLDWSGQEIKMAKGKKKGDPPRPAARQKKP GMPKWYKDLFASNAAEINLTVRTRIAPILLKLSWDSYPLVWSDLHGWTFRVPRDQVKQ YENQPVVMCDMAEEKNTELRDDRKAVYFKIPHKDGPQARCTNPLGKGYMQYFERGILS SQYELAKQALDMNASCSYWISARDRIKGQIVVYEDQVQKSGGKSEASENRVGFILPQV IPMGTITRRAVENTWLTASNAKANRVGSELKAMIKAPPGYSFVGADVDSQELWIASLV GDAPFQIHGGNAIGFMCLEGSKAEGTDLHSRSASILGISRNDAKVFNYGRIYGAGVKF AATLLRQFNPSLTEKQTQETAKNLYKETKGTRTSRRLLSETPFWRGGTESFVFNKLEE FADQERPRTPALGAGITEALMRRFINKGSFMTSRINWAIQSSGVDYLHLLIVSMDYLI RLYNIQARLAITVHDEIRYLVKDEDRYRAAMALQVANVWTRALFSQQVGIDDLPQSCA YFSAVDIDHVLRKEVDMDCVTPSHPHKIPHGETLDINQLLEKDQEAFLDPSITPQSPP NLEKYPYTPRESVMSAFQASNDIDFIKAQITKDDKELRDIIKEKTRAATSASAPSPRT PSTKPKGKSTNWTSAKPQRAVLMDMESGLYPDFHSPPRPSSHGNKQPQMGFHRPSWKP RATARA PEX2_015390 MSVHSLDYAQQGTPRIDVDVEAARPSISSQVSPTGREREETSSP AFTTAARVNSTETTKRRARRNTARSYHPEGFAQDPNWQPGTEPGIDPTKPLPPYTSEW ASNIPADLHRRCQITVVDFSQHEMRQYELDNDTLEQFLEREREPWVQCRWINVNGLSW DVIKILGNHKRLHRLAIEDVVHNTNRTKADWYSDHAFVVLTLQKLMKIQEEDSSDSED EEEASVSRWRSRDRKSSVVSEKSSISLKRPTKWNVIMAALKDIFRFKPSGRQERKNKA TYGSSVRPGLGEASKQNSHFGNVGTATETTARSLQRYRGGPNEDRIEFMERHAALAAK GLCVTLEQVSIFLHADNTVTSFFETSADDIEAPIVRRLTSPETILRQSCDASMLLQAI LDAVIDLAIPVTMAYQDAIGDLELGVLTDPDIEQSKSLYILTSEIAVLRNSMQPMVAV INALRDHRSEPVGIPGFGVLRNPLSPGTAEPVESHPQGGTVTPNLKSIGGTSVTISSM CHTYLGDALDHCITIVEGYDQMRRNADNMIDLIFNTIGAYQNESMKQLTLVTCLYLPM TFLTGYFGMNFTHFGAIENSEGLFWKIAVPFVCATIFFLMRDKIQRYAVMLAQRRLIV SSRKQRRERKKK PEX2_015400 MKHRPCSPSNQLKLQRWKQVRKLIDHSSRRKYDRPSYTLSTFIP RHAQSFYIALRALNVSLSMIPDTTSSPTIGLMRLQFWRDSVTKILAGTPPKEPIAILL ASAISELHERTQGRARISKGWLTRIINSREQTLTNDPYPNIAALESYSENTYSTLMYL TLSALPMASITADHVASHIGKAVGIAAVLRGLPFVAFPTPPAQAPPGGAAGSAIGGGA KQGAVMLPLDIMAQAGVKEEDVLRYGAQAEGLRDAVFTVATRASDHLITAQQMLSNLR AGEDVGHDFEHEGEEGHEYDVSPGARSGESPLDEVNRAFGVFLPAVGTRLWLDRLEKQ DFDVFSPELLRSDWRLPWKAYSAYRRKSLE PEX2_015410 MSEDVEQRPAKRQRFFTDNPSSPLPTKLRDTDASLPHCPEHEEY RTNHGAALEIPPLDHIPKSSPSLENERYQQDTQVQTTEILGLPIADDAPTPPLHQNGV DQQIEEEVTINTTITSTPADGFDVDLFTSIVGEQLPADSIKTIQSAASNNLERAVNIY FDGSWKKPVRINSSIAARSRNPALRVQPTPETPVENESVLRNQPPARYVGAFGVGGWA TRSGLGVLKHGDPINIERARSQPTMKRGRGGKAFVNHKSDVLTRFTNTSGQEIGRLPH ETAEWVSTLIDQKICRFEGVCVFVPDRVRVNDTIYLQLRVYLRKEAFQSGALAALNAD DNRSTGLFEEKESTEEKNLRLRQVGLVKLFHEISLHPTSTNPTTEKHKREGILRAAEI AEQYDSTKKENKPKPNKDSNDSSGEDDSEELEEDQLDTLYQKAQSFDFNMPAAHPAPS FTLDLRKYQQQALHWMLSKEKDSKQTREKSMHPLWEEYTWPIKDVDDKDLPQVKNIDH FYVNPYSGDLSVDFPAQEQHCRGGILADEMGLGKTIEMLSLVHSHKIEPDPQISNGLS SVNDLARVPNSSGVVPAPYTTLVVAPTSLISQWESEALKAGTLRVLVYYGSDKAVNLR ELCCESKYATAPQVIVTSYGVVLSEFRQLALQSALGPNANGGLFSVEFFRVILDEAHV IKNRRSKSAKSCYELKAAHRWALTGTPIVNRLEDLFSLVRFLKVEPWSNFSFWKTFIT VPFESKEYVRALNVVQSVLEPLVLRRTKSMKTPEGQPLVPLPKKTVTIEEVELPKQER EIYDYIFTRAKRTYNDNVVAGTLLQSYSTIFAQILRLRQTCCHPIMTRNKAVVAEEES AAVAADATNEFKDDMDLQELINQFTTENENASSQDTSGTMVKFTTHALRQIQTESSGE CPICCEEPMVDPAVTACWHSACKKCLEDFLQHQMNKGVEARCFNCRAPVDAKNTFEVV RHPSSNSNSFGDDTVSSTLPTSSQPAPRISLRRIYPLSPSAHTSAKIHALINHLGRIP PNTKSVVFSQFTSFLDLIGPQLSRVGISHLRLDGSMPQKARAAVLAEFTKAESFTDDD IVDMEDDTPGTSGPTKASSPSPATPAPTVLLISLRAGGVGLNLTTASNVFVMDPWWSF AIEAQAIDRVHRMGQTRDVNVTRFVVKDSIEGRMLRVQERKMNIAGSLGLKIGGDDGD ADKGKDRLEELKMLFE PEX2_015420 METVNKYVNAASTVIWGENSSPQAQQHGEEPLSGVQGQGSATDP YDGGNRDEQPGAIKSDVNTAPQHPILSNKPQRTEVTSITTPHAPLSISACTSVTPALP ITGDSTEASESKENKPISSGSTETSGSNDDNSSSSNKEQRSTSQAEGGESSEAAGTAG SHDVSKEALKGPQGPAPRPAEDFEKEYRGKKSSGNDDDVEQSSASESSEKTNDSPLSN NSESSSRGSGKQGAMSKVKESVKKHLHHSSK PEX2_015430 MPATGKLSHEERKRGEVALSEFAEYADKQQAHRSGQVAPSDSGY STASVSRDLEDHAELDILDQLGLSDAPQTAKLKDLLLGTGDAIEDNLQMLAGTLQTRI DEGHGETIFDLGLEDGGESMGFDLDQWKAALQRLREAAETIPAHCRVLLTYNVGGPEE SPVTNDRVQGSWGKVLVRHHADNIEEMAELRIAVVGNVDAGKSTTLGVLVKGGLDDGR GRARVNLFRHKHEIESGRTSSVGLEIMGFDSRGEIVGNTQGRKLSWEDIGKRSAKVIA FSDLAGHERYLRTTVFGMLSSSPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMVIV TKIDICPPHILQETLSQLTKILKSPGARKIPIFVKDMEETINTAAQFVSQRICPIFQV SNVTGENLDLVRTFLNILPHRGQYDAAGAFEFLINDTFSVPHVGTVVAGVVKSGVIHA GDSVMVGPDSLGQFTTTVIKSIERKRIQVNACFAGQSGSFALKRVRRKEVRKGMVVLK KMEEAPKVYREFVAEVLILSHATTIKPKYQAMLHVGAVSQTCSVIDIDRPFIRTGDRA LVAFRFVQRPEFLAPGDRVLFREGKTKGLGIVKSIGYDPTQPLNPNAKEGASTPTPTT PHD PEX2_015440 MADTEDTGGPSVSEPLDLVRLSLDETVFVKLRGDRELKGRLHAY DSHCNLVLGDVEETIYVVEEDENEEETLRTIKKQEEMLFVRGDSVVLISPQA PEX2_015450 MRRFSLTEKFASRWGISSRPGGNISKRRQFPPHRNLSHTRQSPH VVTSPNTTTWDISAQRTLQAFYRNSIRQLATTSQRRNSTLTVPRPANLRKEIQVSLPK WSSPAEEARYRENFFRVLENGQPNQVREAMVDPRSVELVGSLPTTVFIEALHLLSPAH FVIPYRDLHHTLHWWGVLMLGLKTLEAVFDEFTRDLLTIIQYRAVAGCPPRLAEYRHL FNCAQAMGNGPLMHALWEGMYANDVTPDTVCYNHYMEALVWDHCYVGKEAYNTRMVPQ SYKKRHMVNPNPGWRGYGTAHYSVKGTVLDIFSQMSQDGLTGDERTYTNVLLAAARVG DMQATKNTLKNVWNVEVDAILAEKDNSQLPPVTPYETWSGLYPTERLLFVVAHAFGTN NDMYAALRTVDFLASSYNITISAKVWSELLERTFTLSKEHKRRQATDGRTGRVPRDMV RDVFQILTTEPYNVPATLQMYRYMTQTNKLDRNLKACKTDMRKAYDILSQTRAKRKEA RDVVMQCLQPVLDSMRPPANDGIKVQDPTKHQQENDVEPDLSLLQCPLLAEAINTYDL LRLEVFQQIYQMQRIAYSMIITHKWRDVSPRAWELQERPKLLEEWKDFLPEHNKYEYR DGEVGTVDFKGITNAKSRHMSQHGRIHARRIPDGSELFHPVEPKILDDRIFWEILLNE YPKLDPSVSPLNRIYSFQVEKTKVLKGKLGRLKTWVTYPKEHPLSQENRPSGGFYGRI HALGFTAKPQSSIFWRDGNPWLS PEX2_015460 MSTSFNWTALAVAITAVFIFWTFSSTSSSPFTRSFPRLENKRIC LLIAHPDDEAMFFAPSVLSLTKPELGNHLKILCLSSGDADGLGETRKQELQKSAKQLG LRSESDVFIIDDINRFPDGMDKDWDEEQISSLLASAFAPEMAALQKVPGKRVDRNKAP TATIDVIITFDKHGISNHPNHRSLYYGAVNFVRALMKDKAGFTCPVTLYTLTTTSVFR KYAGVLDAPVSMFLGVWSNLVAGLTGSKKKDAGASAGPVRLLFVSSINEWLTAQSAMV NCHKSQMVWFRWGWITIGRYMTVNDLQREKV PEX2_015470 MPGRLIPNLIRNASSLHSSVTSSPNHSNSSSTVSVNEIPGHVKK PANKPERARSPERRLSFTMDHLIHPLRDHSKEKRRSLGRAGRSKERSSHEAHPPVAKL DVLIESPPLVCYGPPASSTGALFSGRLRIAVSELTGGVILSQFDVRLVSKTTTKKPVS NHCSNCATRTEELTQWNFITEDLHLNNGDHDFPFSYLFPGHLPASCNGVLGQIEYFLL AHARSVTGEDYSLKLPLDLGRSLLPGPDKSSIRIFPPTNLTGRIVLPSVIYPIGHFPV EMTLSGVVDKGEKTQTRWRLRKMMWRIEEQQKIVSTACQKHSHKIGGEGKGVLHQETR VIGHNEEKSGWKTDFDTAGGEITMQFDANINPAANAVCDMEAAGGLEVKHNLVIELIV AEEFCPNGNTRLITPTGAARVLRMQFHLHVTQRGGLGISWDEEMPPIYEDVPASPPCY TKPDGAHSFIEDYNGSPLPDYTDLERIESLRLDSSSTRSSTLSSNQSTHGSTHGRLPR FTTDDLVTGESPAASPVPSRAPSRAPSIDSSRD PEX2_015480 MTAFEFMRLFITLVLLLIIGFIFVASYQFSSPILPTMTTDPDTT TLVSSVVDKHCKVQLVVYLFENAPQIVNTFGWLWIRFYIAYRFVDFVIDEIVGPIAVF LAKKTHRAFCRYWFANNPK PEX2_015490 MAAVNSSQVQVEASVLLPGSQPNDPRLTEDLTEDRAKKSRSIIS YLLERSAEFRELSDVRQQGWEAPEGDKYFANLRKNAEKSDKQTAIYFHKLMQIIGREM DKATNAFKIQQVDSTPPAILDMCMAPGAFLDIALKKNPGSRALAFSLPVSCGGHRSRL ASSLNTKQVFLDVTMLAADMDMNEIPESHDDAENFLPRQLEDGQLFDLVICDGQVLRQ HPRATYREGREARRLVTVQLALGLQHLRPGGTMVILLHKLESWDVVNLIWKFHKISSV RLFKPKKCHAKRSSFYMLATNIQSQNPEAVEAVKRWKRIWQVATFGSDEEYNKVISDE DPSVETLVEDFGPKLVKLGKAIWKIQANALANAPFMKKPE PEX2_015500 MAGTVLGKRTRGDVDPALPVRTASKRRTVAPRVHQRETLPLRRT RSTVKKAVEQPDQENGEIKTVSENVASKHTLRDDQFKSPVKINSHFTVSKPVEEEITK PIEFEFKTPSKSRFRDDLPQSPITPRHRVQVGAKAVTPRTPRHADLPPTPRQSATPKI ANSVYSQARQLFARGSNSGRLVGRDAEREKLVSFITEGVESRKGGCLYISGPPGTGKS AMVQEVCGDIDLSKVKVSHVNCASMRISRDVYSRLIQDFCEDSDMFKKSEGDRLKSTF IPSKKGEDMFLVTLDEIDHLLNGDSGVLQSLFEWSLQSKSKLMLIGIANALDLTDRSL PQLKAKNLKPRLLPFLPYSAASIANVMTNRLRSLLPAGAESDPKLVPFVQPAAIQLCS KKVASQTGDLRKAFELIKRAIDVIEQETLQKLDKQAKEYENVAILGENTNLSSPAKVG SVPKPTSMSSYTVITAPRASIAHIARITSAAFGQGTVQRLQSLNLQQKAAICSLIALE RKRRQLEVPSTPSKSRTSAPTIKQAFDTYCALCRNDNILHPLTATEFKDVLSNLETMG LVGEYQGRGRGGTVAGGTDLRRTPSKSGHAPSTPHKALDEQSLVCFVSQQEIESQIAG PGEGILRRLLRGEGL PEX2_015510 MDNDVVAQFTEITGSTPELAIQYLQITEFQIEQAMQLYFESGGA PLTGEPSRPSHRSGIPDDSEVVNIDSDTDDDTPRHIAPPTFDDDEAMARRLQEQIYGG PGGADNEDEVRAPLARTTETLVGPGADYDSGEDMHANILSQLRARGRPGRAGIFNQQE SSSIWTGGNESRREALSAATGGASEASSKSNMLAEMYRPPFEIMSRLPWDVAREEGKD TEKWLLVNIQDASVFDCQVLNRDLWKDHGVQDTVKEHFIFLQYSKDDPRASSYLQYYF QGSDVSDNYPHIAIVDPRTGEQMKIWSGPPLVKAADFLMQLHEFLDRYSLNHNVRNPV AKRKSDKKDKNIDAMTEEEMMEMAMRNSLGAAAEAGPTVEDPDDLTRSTDNVKGKGRA TEEEDVVMEEPEPAAEASPFASIPSDQPHTEPAADPATTTRIQFRHPSGRVIRRFSLT DPVQRIYEWLKADPPLEDKAGVEFDLNSMGRNLIDQLSTSIADAGLKNGTVMIGYLEE PEX2_015520 MPVRIGGTTQDRARYDPDFDGYVSYKVNNSLEAPKSLIYGPKFF DLIGNETMLGLNRGLNNRGNTFSAALEARAMISKQLWGLELGNEPDLFEYWKYPVAVA PWKETQEGANAADWAQDFINHWKTPLPILAGGGYAVPFEVVPPWPNLPYLIETAYNQT IKDATKFYNGHLYSLENATANSLNIEMNHQRTVSDLNMLPISSDKSVNRPYVLGETGF HSGDYEMDATLGFAIQTVDKTLHGLALGIQRIFYHQGTINQAFFNWWWSDRVNAPFYG GYFAALAIEGGDSISASDDGNDSYAQYVVYKKGKPFKVILVNTNYYSGNGSRSVTNFT MTGLKNGYVEALRMTGPSSETLVPRLQTDPSLEPSIGGQYFSNDDCSLRGAQNFEEFT VEKHRLTISLTASEV PEX2_015530 MAAETFDTSWMWHPSFREDASSTAGRFVHFRKKLIVNGEPPKAL RIKITVDTRYKLYINCQRVSFGPAKGDVNIWFYDEIDIGPYIHSGDNHIAIHVLRLFS GTSYGTSFPRLGSGGVKVATIAHDAIWSPQIQSSTLWETAVDLFTKLRIDEPEDDFLH VYDKASILGSEDVTSLDWIPAIILRYQSSTGVSAPWKLSPRLIPHMENQKVQFTIIHN VLSCKARPSITPCSSFRASLLGLAVLSSLEVGAPYITEIAHPAQRSTATALFLTFYSV GSVVAGWCTFGTFRIDSTASWRIPSALQGLPSIIQLLAIWSLPESPRWLVSQGRNEEA LAMLAKYHGEGDVSNQGVQLEYAEILTTLRAEATHENNIFMFLRDLGSTPGNRKRMFI LIWAAICSQMSGNAFVSYYLSPILKSVGLKSDLQQTLINATSQMLSRFSAVHFATLPA KVGRRKLFLCSLVAIWVIVICITACSATFTKDPTNKAAAYAVVVLLYLFSPAYNLGIT GNLGLYITEILPYSLRAKGLSFYYFVQFGFMMLSTFTVPIGLEDIA PEX2_015540 MAAIETQGKEDVPKPYDQFILFGDSITQLGCNQELGFAFHAALQ ESYSRRLDVINRGLAGYTTADATQVFESFFPSPQIATVRFMAIFFGANDACVPNHNQH VPVDQYKENLKIIIQHPATRAQNPHIILITPPPVNEYQLGSFDAANGTPFLSRTASLT KSYAVAAREVGTSLNLPVVDLCLFTDGLHLTPAGNRIIYDELLEIIQATWPDQTPAML PMVFPAPK PEX2_015550 MVDCQIHDRQSPITRTECGVGPYVMVLESGLGMIVMARFQDCKR REGREETVLFVWVLTIDKTSTDKQRQLFNRSRCGEGGRGIPDRLWHTLHVTINSSHSP SFSGISMS PEX2_015560 MPPPIDPETIYTKQTCIGGGSFGKVFKGLDRRTGESVAIKIIDV ENAEDDVDDIIKEIAILSELKSPYVTKYHGSYLKGSNLWIIMEFCAGGSCHGLLRPGV IHEEYIAIILRELLRGLDYLHSDQKLHRDIKAANVLLSASGQVKLADFGVSGQLSATM TKKNTFVGTPFWMAPEVIKQSGYDYKADIWSLGITAIELACGDPPYADIHPMKVLFLI PKNPPPTLVGDFSKPFKQFVELCLRRDPKERPSAKELLEHPFVKRAKRTTYLTELIER AERWQITHRGQDDEDEYDDGYDDREADQTATARTQEKEDLWDFGTVRPVGKASAPPLR PMKGSETNARTNETEEWDLCDETPQQVGTFQTQSHAQVAPANPAFNVSPTKTPLPPSA PSSPFKQRPSQTALPPRKVVSPVASKPAADSPPMRGLNEQYAQAAPHYTNKLDTASSA KPTSAVSNQGTPAKQLSSITLHDQYRTPSAQIVPKPAPLSRTIADPLEHQPQSPRIPQ VALPRHTDPLQHAKPVSGLKSKRAPSNMDDRAIPHRSTPPTPTSSDHKRSTRPLPEPE RATACGTVILPALRAAMDRRRNHLVRLEPGRNPNEPPPTPEEEKDFDRSVRIHHGLEK VAEDIARAFNSLHHWDMESPVDMGGGVDAVLDAFLEEVLVRLQPRTDP PEX2_015570 MPNTALPAQETLSSNQQFDDPNRDQSADYSSDSDNDHIGLNGST RALKRKRPLTVSCELCKQRKVKCDRVQPSCGWCTRNGQLCEYRERKKPGLRAGYGKEL EQRLDRLEDIIQSQARLIEMHMLQSQSSMGHELPATGHLSYSSPSEPSAVHGPSPSAA LYFNDPGSAVTLPSRRADVSTHSPSDGSVKNVSHNHLQHDMSTVPVGQLLHTQNAHHD YTGNESSLKVPVSIYSNQEQSLTNPDLDLPPYDLLYALVDLYFEHINSWCPILHRRTT LDTFFGPSPLDEADRMVLYAIVATTLRFSSDGRLDDRSRKRYHDSSKQKVVLYGLENS SVKALQALVILALDLVGSSNGPPGWKLLALITRSVVQLGLAVESKSSLINPLYPSIYT LRAVTLSEADSWIEDEGRRRLFWMVYLLDRYSTLATAFNFALDDRDIDRKLPCKDDYF IKNQPVETRWFRCSSDRADYLTKAENVGSFGLYIEILGILSRIHLFLKRPVDIGALSD VAEWQATYRKLDSELTSWEFNLPAEYAYENSSRLFSGPKNGRALHSDWVQLHATYQTA VIRLHSSAAYPTTRSPIFTPSYSASQRCLLAVENILSVTRFVVEHSMLDKMGPPFAFT LWVSARLLLVHGSTIAHTVSPDIIFFVDTLFQLGKYWKVAERYSSILQRVLDEYNEYQ QSIATDGERSTPSTVKILADMRRCAFDLDFLISRQPRESPADNGIGESHQPQPPFPTM PARNFAPNELEYLDVFGFFNVPRVPPARAPDLSTSNPSHLEISEPVPNPMSIHGLTDA GPAPPTGSVDDSGHPSNANEFNITNYLIPTPETDWLFRPS PEX2_015580 MMATTKLTWLITGCSSGFGLSLARAAQAGGHKVIATSRNPFQTP VLVAEIESKGGKWVQLDVDSRESGDIITELESHGDHIDVLVNNAGYSIYAPIETFDED EVRTQMETMYFGPLRLIRAILPHMRQRRSGVIVNMSSGASLDGIPTMGVYASAKAGLD ALTKILAKEVAPFNIRTLTVVLGTFNTNMPNTVVLGKNPLPDDYNGTFTEQIQGLLVS GKIKPNGDKDKAMAAVYQVVTGEGVGEGRQAEKLLPLGSDMTPRLEGVQEYLGHALEV FCSVTNSVDVDK PEX2_015590 MGSTTEFPRIKEVRTFIIDGVGSGGDYHNVKGGHWLVDSNISTP MTKWAQFRGSRTSWGINVLGSFCVEIEATDGTKGFATGFGGPPACWLTQQHFNRFLVG ADPRDTNDLFEKMYRASMFYGRKGLPVAVISVIDLALWDLQGKIRKEPVYKLIGGATR TRLNFYCTGPQPGSAKAAGFIGAKVALPHGPDEGTEGLLKNVEYLRQQREKVGADFPL RVDCYMSLTVPYTIQLVKRCEAIGLDIDWWEECLSPDDFDGHALLKRAHPTVTFTTGE HEYSRYGFRKLIEGRNVDILQPDVMWVGGMTELLKVSAMAAAYDLPVVPHASGPYSYH FVVSQSNSPFQEYLANSADGHTVEPVFGNLFLNEPIPTKGYLDVSVLDKPGFGLELNP AAPLIPAAAILTPAPQKSLRAPDNEEAANGSA PEX2_015600 MPSIKDFAWGTMVLLAFGVQSNLASPTSSPHTMVMIRDENFAYH KSEEMANIILARNSESPLVKRSHAKVACIPADNTACLIVSNLDDANIWTWNHAGCNGR NTDHKGCPTGENTFGSPGTNSIGVHPGC PEX2_015610 MEPLAPIKKPIAIRPEHVASSTTTIQVKQHSVGWSSGNFTISST PTAEEPLLNKLFSVDGDIASWSQRRHFCDASGLPLFDISRKKAGVTWYIHRPNESSSK EAASEPIATVVPQWSALKDKFDVHLQNAAANGEETILEVRGQNIWKTKTNVYHCGNLV MVVKLMDIAAVYLPIKRPSWEVVVAEGMDLSLGSVIAVLLATVLYQSSGKGKAPVKSD GPTEGNKR PEX2_015620 MAFPQLLIGKVAAITGGLTGIGRAIALDYIRHGAKVSISHLGGE KEDALLEALRKDVNEIEAEAATRFLTVSGDISQPETGQNFVAKTVEAFGRLDVFVSNA GVCQFAEFLELEPSLLNHTISTNLSGAFYATQAAARQMALIQSPSGGSIIGVSSISAL VGGAQQTHYTPTKAGVLSLMQSCACALGKYGIRCNALLPGTIRTQLNEEDMKDPVKRS YMEGRIPLGRLGQPRDLAGPAVFLACEELSSYVTGAQLLVDGGLFVNLQ PEX2_015630 MTPTTQIPIVDSHIHLFPETHLRTLSWHNPNNPLGTQHSVNEYR HAITQSNTTPLLRGFIFLETDRLSSITDSTPPNHGWQHALDEVSLLTRIALGTPVQGE GHVPQDKELCLGIVPWAPVPGGPDVLREYMEQVRGRTGEEVWGKVRGVRYLVQDKVAG TMLGHGFVAGLKWLGREGFVFDLGVDARSGGLGQLREAVEMMGLVYGGLEGDQAVTIV INHLCKPNLRLGDDIRSHPEFLEWKDLVTKMARANPRTYMKLSGAFSELQPLEAESEP DFGEIVERVQPWTDVVFDVFGAERVMFGSDWPVCNVGGGGNDVAWGRWRRVVEEVLER RGLSEEEKRGVWGSVALHAYDI PEX2_015640 MPGFADSFWTPDYASGLGVLYGKLQQGVVENKQILVIASMRADA EEQYGLRMGDIAPSVDRVTSVGFGKDDGASVRKAYEGIRTEMVEASKNHQKIANNIRE LVVSPFRRWGEQHELRVQYSHDLLQSRIKEHTKQAELVRKLRSTYFNKCRVVEDLEEE NKLAFQDPESSPKIKAPPKIVLPTEEEPEEDPIELGERIFPPDHLKKLLSHMLDNIKL GEAKVPIIGTYLNVSTGADIAQYIQTYMEAENLAQVEIIGQDMVNNGLLRLVGNMGNV FANSSKMNYQWRPKVFQLTGIPEKKKPLMRVTSVASSEDGTGNDSPIASVSEMLSGWN PLNNPYPNETPSEKLRREALEADERYKVAVRKLDQIRCRLEEEVIGQLKYMEQCELDR LKAIKAVVLDFSGAISNVIPNLQSTVDHMMLYQETIQPLGDLRYLLENYRTGGFVPKI QAYENYYGSVEEQNFGVDLEARARADRKRVPILVTTILTYLDNRKESMEYAQIHEPKL TNPTGYPELEGDETRRMIWLTEVDLSTTHKLRQVLNNSKTDYAEALPQFEIPVIASVL RLYLLELPDSLVSSQVYEIVKTIYSTTAHETTEEGRIKVLQSTLGQLRLVNIATLDAI MTHFTRLIDLTSADEEYITSLAQAFSPCILRPRIESSLTMNERHSYRLIRDLFAHKDS IFGELKRQSSALGITSSSSNRPRAISTDESNRRAAMEARQRAIVNRSRATSPANRQRH RRDRSSGASEIGRFPINVNSPSDRRSARGSLDVPPSKAPQTGSEDAPVVNTQVTEPVT NGTYTESPASADTSTSGHSSSPSPPPVLAETASDDSPTPTPTPAVASAEFDKRNSMSR SSGARSSRKPGLGSLSSFPTGSAVGASGTDSNRSSIAESEPNRVTLEDKPMDD PEX2_015650 MEEKKFEESTVQTGSDGPPAYGDSSPTRGGMGQRIMDSFKRDPN HTVSGHAGADGSGFDLENAAHNTANSPLQRRLKGRHLQMIAIGGSIGTGLFVGSGSVL AAGGPASVLIAYILIGAMLYCTVHALGEMAVLFPVAGSFAHYSTRFVDPAWGFAMGWN YALQWLVVLPLEIVAASITVDYWSPGVSNAAWVTIFWVLIVSINMFGVRGYGEAEFVF SIIKVIAVIGFIILGIVINCGGGPEGGYIGAKYWYDPGAFRNGFKGLCSVFVNAAFAF AGTELVGLAAAETANPRKSLPTAVKQVFWRIALFYVVSLTVVGMLVRYDDPKLISGTS SADAKASPFVIAIENAGIKALPSIMNVVIMIAVLSVGNSSVYGSSRTLAALAEQGQAP KFLAYIDRQGRPLPAILVASALGLLCYLAASDVQTTAFSWMMAISGLSSIFTWGSVCG AHILFRRAWKVQGHSLDELAFRSQPGLIGSWIGLIFNCLVLIAQFWVGFAPIGYADMT AQEIAYNFFSVYLAAPVVLVSYIGYKVIYKTKFILPSEADLNTGRRDLDIQHLVEQER AEQKQWPAWKRVWNFFC PEX2_015660 MASQLLPLELIDKCVGSRIWVVMKGDKEFSGTLLGFDDYVNMVM EDVTEFDYTGAQIKLPKLLLNGNNICMLIPGGEGPVASS PEX2_015670 MRPNLLASRKASSSIAKHSGHLVRSKPHGSLRCGLFERRRGPDT CARSVPRIRQVLPNTSFTYTPLLASQSKRNFSNTTRREGIWDDDDEVPEPKPVQRIPG RPAFVRPTRHRDLGSLVRSRVDGKNRVSQRLRIEKEFDSLHSYQELVENFIQLKYKHV DDLLEESFRANLAYESEYGAISSIFRKRINDVVSRITKQVLHAEKTTQEYVTEFESLS SFISAHLVTMVSDNTKKVLRAEKITQKYITEVIDLSSSILGLGRIQASVTNAENTGRM IEHEFCSIVFGRTPEWERKLEISAQKIHAWQKCLRTTHRTLLPSLVDISELSICRLRD TLNNDHLRPRHTVRTRIDTLLLESEVIQKKYNELRQKCLNARSFPIRDLCWELQANSN LHPADKRVFGQYKEILELSDRELGNRAHYYRAFWLRRQQALRPLRQSEPWDLQDFMAA AAAARSPQATGKRVLLALALGARKNSPASQYLHKSPLIISKKIYLEYKRLWMPKPARS PELHIYWRQLDVMAPLLTAGVHTWGLHNEVWYLYHSLKGDLGALWSWVPEQTMMHTVP EIATWCIEFQKHRSALRQLTTEYRHLNWLRLRSETLLHSMGQRVYLAGKFEVLNPMSQ DVHSFKKWAVHMGQVAFDAYIPRMAIQQTRGDWESIHNEIERSIGPAASVDSHFLELG SSMKKLRRKKGREESASPKAKAAFIKSSQSRLSRYMKLQLPGHSESSPASQGNPEPVQ PVIPTKGFKGRIRLQLKRKAGLRRAAELAAKHSREEDSRRGHELLSGPSTVNAEDLAA EGELVYNQPVPVDSPTNQGNQEDIQIIDKPSPKLQKRKYGSLDAPKFNPFLVNTPAGQ DNQEASEIIYQPSPKPRKTRYRSLDVPEFNPFLVNAPTGQDNQETSEVTHKPPLKLRR SRYRSLDVSEFNPFLANAPTSHDNQETSEVTHKPSLKHQKTKYRSLDAPQFNPFLVNA PTGQDNQETSDVTHKPSLKHQKTKYRSLDAPQFNPFLVNAPTGHDNQEASEVTHKPSL KHQKTKYRSLDAPQFNPFLVNAHASRTNHEGGQDLPKPPPIRSKSKEVGLSTEHHLDD LRPPISREASGTSPSTRTYNGQRPALMLPRKPFAKPGQNHGREYSTGAIFNQTNFPQS GGISDDSLSERPIKTHMSSVPDTTTGHKPVSIEENDTTGQPIPSSTPEFWSHSSQQSP DGRKLIVHYCRTLQSTEEAVQHFLGSKVIGFDMEWKAQASGWDSIQSNVSVIQIANEE RIAIFQVALFKPARSLEDLVSPSLKRLVESPDVTKVGVSIKADCTRLRKYLGIDAKAT FELSHLYKLIKYGRDNPKLVNKRGVNLSEQINEHFGLPLEKSDDVRCSDWTRALSYRQ VQYAATDPYACVRLFHTMEAKRKAMNPMPPRPAFAELNQPIVLPLGEAVNSEEDPVV PEX2_015680 MADDDSHLSLSTPNSALGSSTSHFYPFATSPDIIRSHEKDAYLT GSLIQQSQGIVRALRGARYAHTHSDAIKHLTELLYFTLTTAIGNRTLGEEYCDLVQLE DDTLQLPSIGRRVGYILSSILVPWTLQRLLPALRQRIRNKLERNIARQQSRAVQQAGL LNKPQFSTTPTKRPLFTKLRIQQYILEHLDSITSLSPIYALSIATFYFTGSYYHLSKR LWSLRYVFTKKIDDNEQRIGYEVLGVLLVLQIAVQGFLHARKIGASLNEDESQSADAG QSPGQGGAVLASIQNPSTIPLLPASVPLYDLEEDPGAVSWIPEGQQRKCTLCLEMFKD PSVTTCGHVFCWICVRDWVREKPECPLCRQEVLLSKVLPLRG PEX2_015690 MDYPVHQTTHFKTPFCSKKGGTKSGTFSHCKPPAFVYDRPRSLG STGWDACGVW PEX2_015700 MAVDQHAQLDAFGLIFPLPLRIAAILVAGFWGWGINLQYLAKAN IDVPALIKYPARTSSSQRPHHTAVYRLATCFTIPLALWFIVFWLATRRSPELVERLDW IPQSVFVILLLILIWPFNRASRSGRIRFLLTLKRISIGGLAESQDGKFGDVLLADALT SYARVIGDLYISFCMFFTDGFAATSKPNRACGSEMVVPIILAVPSLIRLRQCLTEYIR ARRTVTRRETHKVNQHLANALKYATAFPVIWIASKMRNYNPLELRGYSEVSMMRILFI VSFINSAYSFWWDVVKDWDMTLFSPERHDSAHPYGLRRHRYFASDKIYHYVIIADLAL RFSWLWRIVPGLGWIPETEGGFWLLMFLEVVRRWMWIFFRIEAEWIRNTHGPGPDDVL LGEYNHKFDAD PEX2_015710 MTTIHPDSTEEFEYIQTPAAPEQTKPAFDCGVPTTLYPTIKNAP VPADSPGSDSFSNGLMIALEIIVPWWLARQVGGGFYTTIFFALFTSVPVLVAFWAVSS SVSPRKTEKAKYCGRPVEHYLQFHSEHDRATYRGKSKIPMEVFYEKYFNGEVDFKGDA LECLEYRHDWANFKFTTGLFKHFLFGFIPEMLMHTRSQDEEQVRDHYDRGDDFYAWFL GPRMIYTSGVISDINREETLEELQDNKLAIVCEKIGVKPGDKVLDLGCGWGTLAKYAS AHYGAHVTGITLGRNQTAWGNNGLRKAGIEEDQSRIVCSDYRDAPRVEGGYQHITCLE MAEHVGVRHLSSFLTQVYDMLDDNGTFFLQIAGLRKSWQYEDLIWGLFMNKYVFPGAD ASTPLGFVVDRLEGAGFEVKAVDTIGVHYSATLWRWYRNWMGNRDKVEAKYGKRWFRI WEYFLASSTITSRQGGATCWQLTLVKNINSTHRIDGIATQYGLAGARQSAIDRAGSLP KAHIIKKDL PEX2_015720 MLALRDQENLVNTHQTAAAAKPLNQSKQLAPKTPGKPRNDENNP LAFGNRTVKGNGNRQENGKPGHAFMTPMVKDRAPLGMKTTNLKANNLQTPAPFGGTTK QPKTNRRQSTAQKIRKAAPVLQQAQTKVHIDATVDDVPDIEYMPPKPRDLPDLPDDVT YDTTFPQFRPKNRALGLESVYGKQQVGRDGLTAKQRKFKEDSAACDKMVDDMIMKQLE DIGFESSGESESANALQPNDIPPKRPTTTRHTRSVSTLRARDAVAALAGPQPTAPPRV ASTAKPRVASLASSLVMPKRHARVPSNPSSMRNTAASLNSRTTVGYSKGRSVSSTLRE KHSQTQKLASSQILSPETYMQLYGPPPLDSEMWSRCKAAGCFDTPDETTQEPEEPLPT FEEDDEAQSFQLTL PEX2_015730 MDKIKERMIALRAEADEAHELVDELKAKVKTLEQENLSKEQEIT SLNHRNQLLEEEVEKAETALKEAKDAASQSLQHDTQNEALQRRVQLLEEEAEENDKTL RETNEKLRQTDIKAGHYERKVQALEDQHAKLEQRYEDAIKEHSTVKKELDDLASQMAD I PEX2_015740 MGPKDLKKRKIVAGPKVEEVNFDSESRQEWLTGFHKRKVQRAKH AQDNAVKRYKEEKRDARKKIREDRKKDFEQAMAEHKAVLKRMKEDAGDLGDMEGEKEE EDWEGIEEPPAVDYEAEYVDEDKYTTVTVEEMDASREGLLKAARGEDSENEEEKKYPV ESTEADTKAKKRKPRSAASEAARKKKRNFRYETKVERKQTMLKQRSVKAKRAKARKGA EE PEX2_015750 MHLSRFGLLALGAAAVNAFRDTSPFFLASTSEVLTNSAYIQTGT SLLEHLASSLSTCPSDYYVVVYQPGVHSSDFSTRKSAPRLGAKMLGKDSSIRSKMSIN EVAGLVEPKEIKSLLETKCKAQTTAVDASSGSYPSSFEKGPRIIDVEFPMLSLDSNRA QQLSEFDGFLADVIERLPSSSKYTILYITSPREFPETDSVIYEASGDSYQDSLHMELK RDYSAHASASNPSSNSTSLFEEYQYFTPGIFMGLMATFLFLAILYIGIGALSSLEIPY AAFEKDTSAAVQKKAQ PEX2_015760 MRVLPQGLGKAKPSFLLLLLLIIAIAAQVSAVPADDATTDTTQS ISSVTETTTSSTTSRSTSSSTTSTSTSSSSTSTTMDTTTASSSSSTTSGGSTTTSSVS TTTNSSTSSSTTTGSAIVTIPPTANAPYMQESNAPEGTVFIAVGAALGLIGLTLLAWR AMVAWSVNRSVRRAAVIHASESKRLLRGSRKKRSAPYPAAPAVDVSLDKLGAATRASY KPKRAPSASSGLFFSPTAGGPTASHHNPNATGSTGNRGSTYLPAGYYAAAGGSNPAPG GEPPYSPTAGLSSPSLPPAGVYHDSHNQRHSYVGASTSSLNLNQAPQGRAPSAYLEDL FESHAPHGSDSGHR PEX2_015770 MVTNHGPHPFDPIKPEEIKLAVRILEATFPGVSLRYKRIDVNEP IKKDVIAYIEAERLRRPLPPPPARLLYVLFHRLDTGAFYKAILNADKRTIVYAKELPK EVQGPIDIDEIAGIEEMCMKHPAVLAEIEKLQLPAGITVCNDPWMYGTDSDNEDRRLF QCFMYLVEVDHPQNNHYSLPCKFSPVFDGLTHELVRMDYLPGGADFETTSTQPWKPVK TVQYAHDLLDEPLRTDLKPYIVQQPEGPSFSVDGNSVYWQKWRFRVGFNAREGLIIYN VTYDNRNIFYRLAVSEMTVPYGDPRAPYHRKQAFDVGDTGFGMNANQLALGCDCLGHI KYFDGYRNDSKGNPLQLKNVICMHEQDNGLQHKHTNYRSGAATVVRNRQLVLQMICTV ANYEYIFNYIFDQAANVELEVRATGILSTVPFDNENGETVPWGTNVGPGVMAPFHQHM FSLRIDPAIDGFKNTIYYEDSVPMPEDEKNPYLVGYTSESTVMRTAGTANTSVDRHRV FKIRNDNITNPITYKPVAYKLMAAPSQMLLVSKNAHGHRRAEFATKPIWVTKYQDDEL YAAGEFTNQSRRAEGVETWVQRKDNVENEDVVLWHTFGLTHNPRIEDFPVMPMERISI MLKPDGFFNKNPALDVPQSSQLFNKSSLHPEEQPAACCAGASSAGSKAKLYKRVD PEX2_015780 MNGLPLNGSTQSSSFTPGQPTAAPKKGRTRQSGTAWTRSGCITC KKRRKACDKTKPSCNNCLKQGRTCEGYGSIWVEPLGPSAQVFSQMETSKRRRLSASSQ SQFPSPAPSPPSDAWLEPRLSPAPETSLGWSVSSSPREYIPSEAVIIPVQDVEEYRPG PEIDFHSSVAVIPQPQGYISHLSTHESHYLQYHMEQGSRLLANLESHDNPLRSMLIPR AMSSPLLMKAVCAVSALHLANRSQGSGAHTAAVKYYSGTLNGLRTALEKCSTEVFPDD AMLAVGLLCKYEIVRGSVKQWVVHLNALQRLIVSRGGFASMDRDAAEFLRGLFVYAYH MARICNRNCIIPTDISVDSDIGIPKLDIYIGYTEEILKLCARIAELPSLQADTLALQL SVASMFVSLRFYNKIIQSNLRINILSRNESLLAWSHTSTPYIIPQGTSPATLTRLQLV AECFRDAGFVYLHSIMERISRNHPDTSPTASSGTIVNTQMEENPFPSAQDWAPLISTP KNVAVYRCLGRIETFPLGDHCEYSALTFPLFISGCESDDVADREVVLRSLGKLQDNFG IGNVKRAKELLGILWARQDANFEARFVGMGQQKNVHWLDIVEELGWELILA PEX2_015790 MTAPTSSPVESVPGKLDEKTPHVQSTTGDGALQLLEAGSPSVLD PAASRRLLRKIDLYIMPLICIVYFLQYLDKIAISYASVTGMRESAHLQGNQFNWVSSI FFFGQLAFAFPTMRVMQAFPLARYVAVNVTIWGTILACMAACKSFASLMVCRTLLGAA EASIVPAWVVFTSQWYRKDEQAFRVGLWFSMCGFAQMFGGYVAYGVATHIGGDPSAAL RGWQVIFLILGLLTVVTGILFFFFLPDSPLTAHFLSPEEKAMHAERLRGNGQGIGSNV FKKAQVYEALTDPHTWLYAFWVLAANVPNSTATSFGNIMVTGMGFSKTESLLLVTPMG AYEVVALIGLTYLAMKTQRRLFWCIVGHILAVVGAILMATTDRVPALIGYYLTGGIPL GWTTILGLTSTNVAGSTKKITVSCIQTIAYTVGNIISPQTFQAKDAPGYLPAKISICI LYFIVTLDLCLIWWISQRENHRRDREKEALGDAYVIPENHEFLDLTDRENPKFRYAI PEX2_015800 MAPSSNPQDHGQSDSQPPTVAAFSPSTITGSALTSRQRSSVIVH RKSPLLVATPPAITRALAYSHPFLLPLNKFAGLLTWTSGDPWQSFLLVATFWTVVLYS DAIILWAGPILVVVALILGMYGRRYSPLSSTTSTGEKHKTKVAPDSSLRHQKSLDEIV ETLRIFTTRCNILLEPLLDLTDFLSTQRTPTSATTKPALTALFIRILLVTPVWIGLTL PPFYILTTRRVVMAVGTIVLTYHSRPARVSRVILWRSRAVRRLCAAATGLSVADTPSN PQRAPAQAQGEKQGLNISTRRRGNNAGVRFTFVVYENQRRWLGIGWTYSLFPAERAPW TDEHMNVVNPKDSFELPDVQTGDAKWRWVEGSEWRIEGADNFNGKTDSKGSTGEGWIY YDNKWNEGRRGQDGWDRYTRRRKWYRDAELADLSPQATKNASEETISGLTQALEKQKE QENDDNADAQSIAPSTSSKSNRRRWFSGTKDKEPTSSGGPPADSGRATGSNVSIVADR ADASRPISIKNSRKPSHVREGSVATSDSASLREKEMANSQDHLDRWSTRAAGGTERAE REWGLSDEVNMGLS PEX2_015810 MAHRIVSQVVVTGARVFGRAFAEAYKQAQASGKYAGQQKAAGKS STTSSVTIDEACKILNVKPPASGEHDLEHVMTRFKKLFDTNNPEKGGSFYLQSKILRA RERLEMEFREVERKAAHDKELKEGWNPKVYKDQ PEX2_015820 MRPSTPLSAALPPLIMGTATFNNQYNADPYALPTTELVQRALAR GIRAFDTSPYYGPAEELLGRALATEAVQTNFPRETYHLLTKVGRVAGSSFDYSPQWIR HSVQRSLQRLHTDYLDVVYCHDVEFVAAAEVVTAVRELRRLRDEEGVLRYVGISGYPV DTLSELAETILRETGEPLDIVMSYANFTLQNTRLHSHALPRLIAAGVDVVPNASPLGM GLLRRDGVPIGSMGDFHPAPNALRSAIRSASDCASQHGEKLEVVAIRFALEAWLRVGA QAGGLGAPLARAADADPGFLSVASMGTGRKLGVSVMGVSNIAELEETLRVWHSIVDGL ENWNEDDDASYFDTELKPPGLSTPSLDVPTGDVPAASNILTPQEDLITDRAWSHARGV HILQLAREIRGILGTEWVDYVWASPSPDFVNSLSEEHIAAVRRIEAEESTGRKPSLSI SDTAITEDPMPTPPSDVEKQLA PEX2_015830 MPPFAPFQFRGGSNYRGSRPNPRHEFTFRYQKPATSDRPLLSSK RETTPERLEGDTKPELKFAELSTLSDSEEAEMDMSDDSDTERPRKRRALALDGPQDTA PAPPAPKWSNPDPYTALPPPAETTNKRVDVVKLIRKARLDIAAKTNETDAVKQNSDFI SLGMAFEPEDTQSNAPDNAPKGPKAQGVLDSAIASRKRTHDDEIKGYSRKTGKPASRF NYDGSVIDQWKPLSQDTGTPWFEGTPASLHVGTQLHNEILSFYHWAKPQEFEHIVRAD LVNRLETAFQQRYHNVSIRAFGSFASGLYLPTADIDLVLLSHTFLRTGVRTFGERKGQ IYAFSAFLKSTNIAVPNSIECIASARVPILKWVDKLTGLRVDLSFDNDSGLIANETFQ KWKTQYPMMPVILAIIKQFLLIRGLNEVPTGGLGGFSITCLVTSILQHLPQGHMQPNL GTILLDFFNFYGKQFSYDKLAIRMDPPGYFNKGYYFGNPDRLTIEDPNNRDNDISGGT KEIGLIFRAFSNAHTALKNRMEYLALVQGSNKSILDPIIAANFDEYIEQRFQLRQVFM TEERFARYRESPPPPPPPGSPPANGASPPPPPPPPVDGARSPQPAPPAPPARKPAKKP KAKSKGTKSEPEDISSDEAAGPEAKSAKARKGETRRQLCQERAARLKRLRPDLKKLPN TLSLRQARRHAGYETDEQMNADLDRREQKLLASA PEX2_015840 MIDGRIELSAVLLLIGDRVAVVDFRGIKPASYPALVELFSFYPS NQYPNTTLKLFSRFLTYQIYIHHVSLHLQLLLRRVQLLRLLHLHSLSQLTSLHHCHLP PIQPIPDPGSHCYRTSWGAGVLVAEVMR PEX2_015850 MRLPQSLLLLAAAGSVAQASSDKPARPRGLGPEFAKFYQDSTTF TCISNPSVQIPFSAVNDDYCDCPDGSDEPGTSACAHISRNSPLTVADRPGNSDLDTAL ALPGFYCKNKGHRPSYVPFQRINDGICDYEQCCDGSDEWARVGGTKCEDRCKEIGKQW RKQEEQSQKSMTAALRKKKDLLVDAGRQQKEIEDHIAALEAEVQGAELKEQNLEADLK LAQEQDSKVVRTGKGKGKVAALSNLAKVRVDELRNALVDVRRQRDEARERVKELEDIL AKFKVEYNPNFNDEGVKRAVRSYEDYAAREQGTDGANGAVDRDLDEIAKADGEDSGVN WEHWENEEDGCNDSDLVYKLAAYLPPSLVSFIEGKVVSVKGYLEEKGILPKADENSSS ESKAVTRARDALKAAQDSVSSLKNKLRDQRADLEQDYGPSSIFRALRGVCITQDAGEY TYEHCFLESTKQNQRKGGSSVSMGKFSNVGTTSVEEVNAAGEVVNVEKMTIEYNRGQS CWNGPNRSTKVILECGEENKILKTAEEEKCVYSMLVTSPAACAGGEEAGNGAPRSKDE L PEX2_015860 MGNDGGSIPTRRELVREAARAPSTAQVKETQREQQEHSWTTCPL SHKSLSRPIVSDSVGNLYNKDAILQFLLPGDDVEGISSKADCEEILCGRVKSVRDVVE MKFEVDTELTEHPSGRAYAPRERREGWICPVTAKPLGPSVKSVYLVPCGHVFAEEAIR QLKGDKCLQCNESYTEDNIINILTTKKEDKERLIARGQKLAQQGLTHSLKKAPGSKKR KKNATAEGVTDAPGTIEPAGLIVPKQSSDTKSRSNTSTPVLSTASSNGIKNAATSSLT ARVLAEENEKKKRRKMMGGNENLDSLFTKKDTGSKNRDFMTRGFSIS PEX2_015870 MARISDLIRDSKLETQFHAGCTVHTFQEPDPNSGWRLVTRLKYW HHKQDIGFGGFSRVYLEKCIGGGRQDGAVRAVKQISINTRDKIDYNRELEAIAKFSHP RYKTRFVKSFGWYEGPNQLFVAMEYLEIGDLATYLDRRPPTSPLLENEAQQIAYQILD GLNMMHRHEFAHRDLKPHNILIKRHPPGDWWIKLADFGLAKRDEGSHGYSSTLKGTHG YIAPELWKFIDRGTDYASDIWALGAVTHEILTKKPAFANNGLLSVYRNQQRFPDTMLI DAGVSQMGVDFVVALMRLFPNDRLSTAAAMSNPWIQSQIPQPVMPTIIIEDELRAPSI ASTITEEFASWNTNPKALSDILDSKPRSTTTKVPIDNTPQQTNTTQETWKYSSQYSSP QIPQPTVSTRIIAGEPRALSLASTMTEELASWKTIPEAHEDIQQSRPNSTATVVLVNN TPEQTTTPQETGLNSSGQTTLRAVSGNEAATSKPLPSTLRR PEX2_015880 MASPIPRGLRQVLQKSPNDIVILSSLRTPVTRAKKGGFKDAYPE ELLASVLQATLKANPNLDPAQIDDVLIGSVLQELGGAKAGRMGQIHAGFPHSVPFNTI NRQCSSGLAAITTIANGIRAGAINVGVGGGMESMTRNYGSRAIPTVLWPELKESHSQD ARDCIMPMGITSENVASRYGISRADQDAFAVESHAKASAAQKAGRFDSEIVSVTTKTL DPENPDAPAKEVTVSQDDGIRHGLSLEKVGALKPAFSPTGASTAGNSSQVSDGAAAAL LMRRSTAEELGLSGSIKGRWVASAVAGCAPDEMGVGPAVAIPKLLQAVGVEVPDVGVW EINEAFASQALYSVRKLGIDQAKVNPNGGAIAIGHPLGATGARQLATLLPELERSGQE IGVISMCIGTGMGMAGMFVLLALQRNEHQNAKHQDHQHVTRAFLEFVSPSGPTPPARR SQTTFAPTLTMRPSMRLPLREANFVCSSCRIQANPRVSPLGQTRRYASDTSPGILERA RRKIWGTDKPPGPADPYTGSQIMPGAGLSPGEPVQEEAFSLQEGEAQSEEIDENLTWE GMPRIGYLKEKEWRLKGPKGHADRVKPWYHNPRPLPYMKAAHQAAVEIGLKQILGEKV TVVSKNSSRAIEAQLKSVKIEGQSGNWGDRLRFPDIKTMESLLRNAAGVVDGKAGDVA FQEKLKIATEQGDRLYGAESKGQLQNSLSSLSLADGNVKFVFFARLSKLMSQHISDYI ITSSTTTGDVFRAQEALRKKTTRLTPVLLHKIMDKNGAAELPNVKILDVRQTRHDNDE DLGRKKVIVSALYKGGLITKSLGQKQPPPWKQAKKTELNA PEX2_015890 MPYTPAAAFPVRINTKKKRVKKSEVSELAPVPRESQIPQKEKAH HLSQVMEGCVHSSTVHGTRINGSRHHVAGSPERTGEITPAERRFCAENEYPARFTLQE IEIDIGSWMCLACPTSTRKCLDCKQYEGHEDSLIIAIHGECLTEKTRKRSAIGVFYGR GNAGNISWPIPGKDDHSHTTQIAELTACLRALRNATSIIEKRRNMTQKGKVLMPLNTF VIKTDSEYLVRSLTEWLPKWKKNGWKTCKGVPVANADMFKLAETGIIMVETVVQVKFW LVPKENNLEATCLAKMAFAEG PEX2_015900 MMSTSKRVLEGLEHSKAKRVCRPAPLDLEYSSGLPTHLANGDNL SPFVIQNGQPSPIPGELFSQVLNINGSSKTVFTKLVNGDDLSPRLVQQIGQPSPIPHA LINKSLSINETSEVTRPQGPFLFSSNQGNTQSTGEFNPRLSTPNDGASNTVNVNWPLP YTRSVGPVNEDGFAVITQTWYDYSSMTDSDSQSSFNPEFFPTPRTRTRVQYFGRPDGR SRKKPRFILGFRRGCPQCYHRVPGHMSHIVFLESPDSRFL PEX2_015910 MPLISTTEVNEDSRVLGYDPLLSPNFLQNEIPAPARAIETVRAG RNQAIEIIEQRDDRLLVVVGPCSIHDPDTALEYARRLKELSVKLEKDICVIMRAYLEK PRTTVGWKGLINDPDIDETFNINKGLRVSRKLYTDLTSTGLPIASEMLDTISPQFLAD LISLGAIGARTTESQLHRELASGLSFPIGYKNGTDGNLTVAVDAIGAASHPHRFLGVT KQGLAAITKTSGNEHGFVILRGGNRGTNYDRASIQAAREALRSKKQREVLMVDCSHGN SNKNHLNQPIVAKEVSDQLREGQTAIIGVMIESNIYEGNQKVPPEGPEALLRGVSITD ACINWEMTVEVLEDLADGVRARRAARKAQQ PEX2_015920 MSRSAADATRFTATGPYANSKTGGAYQAPDFGKKKSSTKSSPQQ LGPNGQPETPKEKVERLRAQARAARKAQAAGSGADRWIESGRKFANKAHKGMVYSLIA ASGVCGVLTVYSMISLTLYNRRQRTLWIEKELATLKDAENACANGTATIEQQELVKKE KIGEIMKQKREEEKAQKPWAKAKRFLFEKLNMEDSGANAAPASASGLAIEGASNTQSS VMEAVQAKQALDAAAAKTGAPLPGQLDVLAENVEQAAKAKTSSWTGWLTGR PEX2_015930 MGDGSYNASDLNSVLSTLSSLASQGQGSSNQHITTPTHPAKITS SQSKPSQDPRPPQARITRPSTTSTSASDSSTITTWPAALKHVMRTVGQNEETQARIRG VIRSQHRHEQQWFQAREALLKQQQGRPEKQRELDAVLRAIGAPVKEEDGSTEKEFAAE IATYDAKVHRAAVQMGDAIIAELRGLGIPFFTLRKELVQDTPSNIEGAQSRSQMESAG TTSSTVSKSELVKLQQRMLELLEDLCK PEX2_015940 MATRDVDTLTTDGPSGARGKFAVDGPKAAFIPGPVCQGATWSRA QIHKLGRLLCREAKTKSAHVLLAPTICCARNPLGGRNFECFGEDPFLSGKLAEQYVNG VQETGEVAATAKHFVANEQEHLRFSIDAQISETALREIYLRPFEMIVRMASPPKCIMT SYNKVNGQHMDSNVPIIKDILRDQWGYKGLVMSDWGGTNSTVESLLAGLDLEMPGPPE QRGEKLLQAIKSARSDDLLAAVDASVVRILALADKFDLLGLSLEEADRTRDSQEVSST APEDIQLMREVAASGIVLLKNTKQTLPLQADSIRGKQVAFIGPNALNGTPGGGGSASM NPQYLSRPMESFKAVAAKHEIDVTVKYALGAYSQKWLPLCSNDQWGINSTIDSDNKAL VRVEYFATNDFTGPVVETQYRNSSNIDVSDSCPVDFQVDPVPPYSYRVTSNLVPTATG EHSFSLSSVGGSRLLIDGELVIDNSRWTELGETFYAFGSAEVVASKPLVAGKSYTVHV EAWVNTCELSANASSADANHVFAAHPSVRIGYLQQIPSAEKLISEAVALANESALAIV VLGLNEEWESEGYDRKNMALPGSQDELVEALISSVQRPETLIFVNQSGSPVELPWIDK ASTFLQAWYGGQEAGNALADVLLGNTNPSGRLPVTWPRRYTDLPFHWNKEIWPGVDEI VKYEEDTQVGYRWYNHHPDVLPLWWFGYGLSYTLFSSILTSVHDMGTYWSVVVQVKNT GTVDGQEVVQIYNWPAGQIKNTLLVGFEKSPLLRPNEDITINVQVRKRDAAHWVGDKW VLDEGLYAFGLGNGVKEAMKTSLEIKVESHTWSSCEYE PEX2_015950 MEPSSDTPPPRTTKGDGNALYLLAMICLVATANSATQGYDSSMM NGLQILPSYTDYFKLTTTTLALNVAIVFVGSVLAMPIAGPIIDNWGRRWGIAITAILA MTGAAIQGAAVHEVMFCCGRLLVGVSVTTGATAAPTYISEIAPPKYRVMLTGLYGCSW YVGSLMAAGITYGSQYIEGTWSWRLPSLLQFIPSICCLIPLPFIPESPRWLIYKDRHD EAKAILTKYHGNGDPESVLVDIEYAEICQTLEHEKCMQKTNLKALVQTRPNRWRIGVV AATGFFCQVSGNNIITYYLSSVLDAAGIKDTKTQLGINIGMSVFNLFTSAVGAWAADA IGRRRGFLCSTVVMSLLLIIVAVITKEFGDNPTVSSSAAEVALIFLFFGVYSLVWTPL ATLYPVEVLSYSMRANGISFFSAVCYATAFLNTFAIPYAMDWSAWGFYLITSFWNLLV EVPIMYLYFPATENKTLEEIDIIFEGVRHVENTITVRDILQGGKDIEGEVKVAVKQEE N PEX2_015960 MLLFTLELGLLGAVLCHLTTASPLADRQHADPTATVKNGTYTGR YNAEYDQDFFLGIPYAQPPVGDLRYRNPASLNTSWDGSADVTTFSSACYNFGVPQFPE IPYSEDCLNLNVVRPSGIKSGDKLPVGVWIHGGGHTTGSNQDPNYNMTFLVQQSVAAG KPFIGVNIQYRMQLFGFMYGSDVVDSGVGNLGYKDQHLALRWIHENIAAFGGDPSTVT IWGESAGAESTGAQLIAYGGQDQGLFRGVMLESGGPINPYRYNTPKEWDVYYHNILRA TNCTKAADTLACLRTVPVEPLFAIFNSSVAASIPSWGMEIDGDFIRENAREALVAGRF IKVPVLHGQNHDEATIFSITGVNTNEDFYAQVRERTSDNATVRGIAALYPDIPAIGIP STLEGRPSAALGFQYKRISAFITDLIWHAPRRLTSQILAQHNVPNWSYMFNVRPNGVP LSTGVAHFTEVSFMFYNILGLGYDESPFANTPSSYKELSNIMSRYWVNFIVDGNPNGD CVSNYPHWPAYSLSNPQQMTFDANTTELSFPTPDTYRAAPIQYIINHLGCSFGR PEX2_015970 MHLSKNLFPCLLISALTSAANLQPQWVHFDSNGISLAAHLFVPP INSTLNTSRPAIVVGHPHGGVKEQTSGLYARQIAERTGFVTLAFDAAYQGESGGLPRY LENPYQRADDVRNAVTYLSTLEIVDPNRIGALGVCASGGYVPFAAQTDKRIKAVATVS GIDLGTLYSEGFAKYGGPMQDGLQEELLEAGRQRIREEETGKTNLTRIFPQTAADVSP SMPVFYQQSYDYYQTPRGHVESAPNWHLWRSLDLIATYRSYTYMQLISPRPLLMIAGS DADTLYFSQVAIEQAQEPKELYIIPGLTHIDLYDHTNQSAPKLADFFRTHL PEX2_015980 MNPDMSWDASYPHIPKQRQQISTAAHSFLMALHAPHARTHTASR HAATQAALATLDAQEQLFDLMATSYSSIYALCIYTLGAGIFLAVTILEYPPADMGVLH GILRAIRKAIHRLELVQDRVSLAEPGSKLLKFCYQKIQASAQARSSFQDATAYGANPF AATPFMHAPFSGGAHIEESPDHPSEILAYTSGSGGSLPDDIPFDSTQMFEDITQPNFN MEAWVRELGQANGSGWSSLA PEX2_015990 MVGADGGFQPLNFQRIIPLPEAARQELCGICAKLPHRPIMRELI NIYFAEANWYFATLEQHYFEKLYNSWCSLNDSSTEHGQVADLPPDLLYFSALLFQVLA VSLQFVSPDTNCSRALGADSFAARDRLSSNYSTNGVDIARIMGTQDPTITAVQSDFMR ALWLKNCSRGREAWHVLGSAIRSVSASSKRKASFSLQPEKPKTLASTNKAKFIKHHDL H PEX2_016000 MERDSSYSTSPPVLDDGSLELGQDDDWSGITDPKVRRKLQNRLN QRAHRKRKLAQSDEVTEGGPSAPKTRNKTKRPKRWLSAEEAEDLLKQFSTSSFESYAQ GSPTGDHLIILSKLNVYRAFLQNLAILGITPHRDWTSRKITPPFNACTPEQIDDPNLP VSLRPTRIQCEIPHHPWLDFLPFPKMRDNLISARDELDDGELCVDIMGFWDISAKSCS LLVWGEPSDPKSWEVTEEFLKKWPWVIRGELGLIDSTNYWRRKRGDEIIFRYMNY PEX2_016010 MLSGNAPVQQTVEPASKLSLHDERLPRLYYENFHVAHPILVPSS LYHDHNYPHFLQLAVHFVGSHYIASVPSQPYKEQVVAELKTNPDRSASMVQAWLLYSI ALYARDEWTEAQDALSRSIDIALELGMNRWSFASSTNPERSLEAESMRRTWWELYVTD IFMAVPLKTTTFRCSTVSPEVALPCEESAYNCAGDIPKPRMIIEFKRRILAAEDTVFS SFSYRIEATTILCRVLVLNRLRDCHRDHLQAVENALVSWINHLPPKKLDIVDSYGNVD EMMFQAHLTIAYAAMLLHLPRSDLPFVLSQSQPDDRFWPGLTGQLPSTFTRLVHSIKA TEASRRISDSISVCPNVSKHTPFIIPALTLCGLIQLATSTSHSEECFDHHCNRVTLIL GCLKSTQRTWKLAESLYHALRSSAAEVLSDSMEKWNAEPLHRFTSAVTTPNDQEGHSS SANPALVMPDGHDFMPPEFSPQFIDPTCYNASFFSAMPDFDIH PEX2_016020 MPAVIPLLPLGTSLSLGNKHYNPQYSLDDLIQDIKIYLGESGGI SSADVDNEYLISLAQKYISDPNDWARFYYNDTSKNYTRNAIENINQKANILLLVWNPG KGSPVHDHANAHCIMKVLAGTLQETIYNVPDQDSDLHGPLEIKSDTRHTMNDVAYISD DIGLHRVHNPSSDQVAVSLHLYTPPNAADYGYNIYNEVTGKASFVQQAQTVPQK PEX2_016030 MFSTRLPQSITAKSAAAVTLLGVGAYCAQSRLISTAHAESNEPP KVFSGFGFTTLRLQSTTDVNHNTKRLVFEFPDQNATSGLSLTSALLTISRPEGRWFPV LRPYTPISDLNQQGTIELMVKKYPNGKASSHIHSLTPGDTLTFAAALKGHAWTTNQSP QVYLIAGGAGITPIYQLAQGILNNPADTTKINLVFGVNTEKDLLLREELESFKSRFPD RFDYTYTVSHPEGQSDGFRKGYVTEELLRDVVRADADAKVFVCGPPAMENSLVGSRFQ TGVLARLGFAKGQIVKF PEX2_016040 MMFWAAALLLFSGNAVAERHDSDLMSFVTLPEVRALKWEVEYYD RERLAPGYWFVAPYGKISPEQPSLKYQQYQVGPYIYDDSGVLIWAGAPLYDNQNVFDF KPVHNIDDDPHLSFIVGWEYDNSKKGHGAIVNTHYEVEKEVQPPSDVHDFNMHEFNIM DGGKTALACTYRSQVISMADFGRPTEESWVTVGGFVEVDIETSEVLVKWDSFDHIALH ESNMFHAWDNPSGSPGWDYVHINAVDKNKAGDYLISLRFTNTIYLISGKDGSVVWRLG GLESDFKQDFTFSKQHDVKFVSSDGTRHVISFLNNASDERGADEKISSVLFVELDTSV VPMTAKVIKRINRPDSGLTRLRGSARPLPNGNIFIGWSERGYSSEHASNGDLLMTAQF ASDRYSTYRSYKGEFTGRPAAPPDLVASVYGTNAEDMTTIIHVSWNGATDVVQWKFYA QAYDLGPSLLIGTTNKTDFETMFIADGYMDFVSAEAIDAEGNIMHTSEIKRTGTPSNW KAAGWVGTDSGPTPDDPSIIVAANDNTDSTSPADSNSGKAAELINDGTSTGSGDRSTA QYADAKEVAKAVYKAYEVIRGIGGLLIFILVATTLGGAGFALWRHIRTRRIDRYQHVP SEEGLPVEEIPLASNQRS PEX2_016050 MSAAIIAQPPEEQPPPLKYDSLQITGAMRASWIRDPTQNCPIGP SQLTMQNMTESGWGIRHEKRHFPPDQIYEEAVELGLSGEKLYRKIVLWKSGVSRGQYW VNDYVLKTGSGVIFATDSFRPDSAYWAQIAQAVYQDEHPMEDLKYVFQCNIINPETML FVQKSIYVATNGLGWPDDRLWVWEENTAEYQALLGTRLAKGVAYLVLGAFPRGTRRIA RIVTWGGRYIPYIQMRFDIEKV PEX2_016060 MSVQGIQDKKGNNIIEGDFVYPRFRGGSHEGKVEAIIKDQASAQ HEGVDRCPKVSRTSARQYFSSHHSIIIYVVLAVLDTPRNMCMLRVIYTDQHGLTTSTL QQP PEX2_016070 MSAPLVKVLHRLQQLFHNVVATLESMILFPSLFRGLSRRRRKTF LGAHWKRRSLDDFAEEVETSCSTPLSTRNMVDMSEKIRTQFRECLQTSPFCMLPSYDT ALPAGTEKGTYLALDVGGSTFRVALIELNGRDEEVRILKVSSVHIDEQLKMLEGTQFF DWMAGQIETILKEVGTDYGRGDVPLGMGLSWSFPIEQTSHNSGLVIHMGKGFKASNGT VGQELGDLLIRSCRKRNLNVEVAAIVNDSSAALLSRAYVDPKTRMSLILGTGTNMAIH FPVHEIGTSKFGVRPEGWFDHAKHVLINTEMSMFGGGVLPMTRWDDVLNRTHLRPDYQ PLEYMITGRYLGELVRLIIVEATETARLFGGDLPNSMREPYSFDTSIVACLEEDSSAS LSTSAAVLQKHHTFHSQPSVEDLRFLRRISQTVSRRAAGYLATAIHSMWCLRNDAEFP ASSSTVDSLDKESPEITVIESGDSDKNLSIACDGAVINKYPGFRAACQNYLNQLTEET YPGAGCTIRLNPAPESAILGAAVAVAVYVAEKSTQV PEX2_016080 MTNMNGGDSEGEPPGEQGTPQPIAIIGYACRLPGQVTSPSDLWE LCTRARSGWTPIPKDRFSVEAFHHPNPSKVGSFNPKGGYFLDEDIARFDAPFFNLTVQ EATSMDPQQRLLLECAYEALESAGIPKESLARRDVGVFAGSNFSDYELNNVRDIETIP MHQATGCAASLQSNRISYFFDLRGPSITVDTACSSSLVALHYAVQSLRSGESKEALVA GCHLNLVPDIWVSMSMSQLFNDEGKTFSFDERATSGFARGEGSGVVILKPLDAALRDK DPVRAVIVHSGVNQDGRTQGITLPNGQAQEELIRRVYREANINPDECGFVEMHGTGTK AGDPIEATAVHAALGNNRTPTNPLYIGSVKSNIGHLEGASGIIAIIKAAMMLDRDLML PNAEFKKANPNIPMSEWNMKILTTTRPWPSRMKYLSVSNYGFGGTNAHAVLEKAPLTS KAPDGAVEDVDMDPKRKLFLISANDKESLRTRIKDFGIYFEQHPEVFEKTLFGNFAYT LGNKMSQLSYRVGVSATSLDDLGIRLAQLKINPSRVLGSPIVSFVFTGQGAQWAQMGV PLMHEYPVYESAIKRADQCLRDLGAEFSLIEELEKDVTISEIDYPYLSQPACTALQIA LVNLLESWGIRPASVVGHSSGEISAAYAAGIYDLEGAIALAYWRGQMTSWLKSSFPSL KGAMIAVGTSREAIQPILKALSGYATVACVNSPSSVTVSGDVSAIDGLESVFQDKQLF NRKLKIDVAYHSDHMKKVAEAYLTAIQTIEHFPSATASFFSSVFGRLMEASELGPEYW ISNLTSPVLFSDALGKIVSDDETRPNLLVEIGPHSTLKGPIMDNLKSLGSTVSKIAYT PTIIREVDAAKSVLDTAAAAYMRGATLNMTGVNFPKTSAANRWFLSNLPRYPWQHGTR YWHVARISQKHRMRDRARNDVLGVLANYSNDLEPTWRNIIRLDEIPYLRDHKMQGMVV YPMAGYLVMAIEAARRLAEQADIQISQFELREVIVGSALVLSDDTDAETTITLRPYAE GTRGSSDIWDEFRVCSWTSKRGWTEHCTGQVRVRSDPKQQAATISSPFETQTTHTKAQ IARIQEAATHHLDMSHMYQVLSDLGAGYGPIFQDIDNCYSSPHHSFGDLHVRDTRSVM PKGFEPPLTVHPSFLDGLLHFAWPLLGQGLGRMDLDTLYMPTMIKRVTVGLNAPTTAG EYLKGYCSGSSSLPFPAPTKFNLFATPEGSTEPIITIDGLVMTPLRNPDMHREETRKL CYKIDWHPLTEVENAVEGDDQDRNSPAEYCGHTDTPGDANTNGNTEQKGNAHANGDHI KPNHDLFITHFGKADGIADKLRIALSDTSGRQVSIGTFGKMDFSQKYLVLLQTGASSL RYLTKDVFEELKKALFKAQTVLWVYRTDSPDAQMTVGLARTLRSETLARIATLGLAPV DIEYSEKPIQAAISALWPTDGKQRSKDLEFKAKGSELFVQRIVEDDAANSFVHNETHD MTISTQPFSQPGRRFKIQIGNPGALDSLYFVDDTPLPLSEDQIELRVKASGLNFKDIV VSMGQLAQSYIGIECSGVVSNVGSNVKNFKVGQRVMAMSEGCFSTYARCAATSAAEIP GDMSFEVAATVPVVFCTAYYSLFDLGQLKTGERVLIHAGAGGVGQAAIMLAQMIGADI FVTVGSLDKKQFLMTQYGIPEGRIFYSRDASFARSIRRATGDVGVDVIVNSLAGDLLR ETWECLAAFGRFVEIGKADITKNTRLDMQPFEHNVTFSSVDLTKVGKFKPQLMKRLLC DVCRLITEGSVHPVLPLSIYRISDIEKAFRTLQIGKSMGKIVMVPHEGDQVKASSTTL PVISPTLLRPDASYILIGGTGGLGRSIAKWMSSKGAKNIVLVSRRATINERVQALIDT LAPLGVRMVVKACDVSSQESVEALVNEDMKDLPPIRGIIHGSMVLRDMLFENMSLEDF TAVARNKVEGAWNLHNTLINSPLDFFIALSSVAGIIGNRGQAAYSAANAFLDGFIEYR KSIGLPGTSIDLAAVSDIGYLADTDAQRRQEVLKNIGGQTIDESEVLALIAAALTGDL DKSCSGQCITGLGVDSLENNFWIQDARFSVLHEAARGTLGSSSQSDGPSVPLHVTLSA ASSKEEALKVCYEALAAKLAQVLVLSLEDMDPSITVVSLGLDSLVAIEIRNWIAREAN ANVQVLELLSSGSLMALVEIILNKSQAYTRTE PEX2_016090 MRFLCLHGAIGNIDNINIQLDPLVKQMAMDGFASFHYINGPVPV SPPAGTIPASSNADKSRRSLGFTEYFGIGPHYRWLEDGGVAEDSMISRVRKSPHGSSP EDVMRALGKGWDGRWLNHHQVMEYLYDTLEKNPDIEGIVGYSEGATMAGSLILDEDRK AQETGRPRRIKCAIFFTGWPPLSPEEDVILADESDYTLNIPTLHVVGADDPYRYGALA LFNICDPNTAAMFDTGRGHTIPRSGLVIAELGNAVRDLIDRAYQA PEX2_016100 MGGVIVKIAWALLALILRLTDTHAQSVSKDECATSVHAIIARGQ GGGDDLNVMSTLSDLILEQIPGSTTLGLPYDHENVLTDYAKMHTVHDGAVLMQQFVQE YSESCPQTKIVVVGYSMGAVLMMDSLCGTSEVGFFFVTPLTPFYNSTIIAAIAYGDET YIAGMPWNVVVDFIKHRLATIGQ PEX2_016110 MFSLARQFSGVRSKPLVLTSQKFTRSTQVPHRLYSNAPRSSPPR APSAPQTSRSNFPIIPIILITAISSGAYAYLVKTRTNSSAAPPHAHRHNPPQTTILNP L PEX2_016120 MSTPRFSTNDVTVVFFLGGPGSGKGTQSANLVKDYGFVHLSAGD LLRAEQVREGSQYGELIREYIREGKIVPMEVTVALLSNAMADSLATSPPTAGTKARFL IDGFPRKLDQAVFFEETVCPSELVLFLDCPEDVMEARLLKRGETSGRDDDNAASIRKR FHTFVETSMPVVDDFQKKDKVVSVKADSSVEEVYEQVKAGIEARGLHRR PEX2_016130 MPAPTNTLLIEGSFSELADEFAQYIDALRKEGSLQSEIAPLLEP LRQQEQSEGEADLKQRDEVLKKLVSSATALNSAPEKEITSAYNLLVHLVHQSSDADVF LPRICTYLAKPITTSPQFGPTLAISILTTIFNTLSSKDSSRYHVLLAVVAVIRQSGSG IAFEALKPQLTSQLPTWLSAWELDSDDTRKLHIAIAEAATAAGDEDLAQTHTVQALET IDAADVSKPESRELAVRALATALRRSTVFDFTPLTASDAVQALRSSDSALFELLEIFT SDTLDAYETFVAATPLASISGGVLAESADALQTKMRLLTLTSLASSTPSRSLPYATIA SALRVPASDVEMWVIDTIRAGLVEGRLSQLKSEFLVHRATYRVFGEKQWSEVQGRLMV WRRSLENVLSVIRSERERFAREGIQAAADQEANANRNGNGDRRRIQPAQPQAREVEAT AE PEX2_016140 MRSSIIFLSALATAVMADNSTDTYTFPAGFNIGLVSSSQLSDYC SAQRNTCPEICQQGTKLNSCDPSTLKFSCVCSDGSTPDVTPYAQTVPFFVCQDTYIQC VNSHPNDAEGQRACKKAATCGSKNASAVTTTASTTTMSSTTLALATATESSTTQTSSV APASTTTANAAVALGDLTQYSTGLMAGLMFVAARMVL PEX2_016150 MADPAPAIAHLRTNSWANNLISSADYKPIETDSRRLKPTTGEDG YFAQTLNTPSTIPHCLTLQRRNLTPAPTEVPTWLPATKQDAASATKPTPGVNPADIIM IFDLSSPGLSGHPSTVHGGLVATLIDEAMSLAVAAHTNAPTALSTAEDNPRGKIFTAQ LDVRYKKRVTTPALLVVKARVIGRVGKKFWVRAQALQEDEESAGGHLEWAKRKVVKAD AMAFWIETSDSKL PEX2_016160 MSTVNPSFQEAIKVTPQGPNKYSAFLRPEWCIGTVPHGGYTTAV IYQLTLTHFAHAHPKQYKSAASPISIQLSFLRRTASGPATFEVEDVKIGARTSTIHIK LLQASEKKPGQLDTMVAGYITVSPPDAEVGISANTGWKPYPAPVAGSRADGSVDLAAL GRTGTDGAWRKLEAPFASFRKAAAQIELFGPGAGEAQQKRSGNMGIEQWARFRPGGDV NGRWTEAAVAYLVDMFPMALDGFDTMSATAAAKESGTSLAEEKAKFWYPTVTLNVDMK KHLPAEGVEWLYSRVETKVVRDGRTDLEVTVLDEDGEVIALSTQVGLVVSASRNVGTR KMEKL PEX2_016170 MDHHITERSYGYVDPNFPNPGGERDTPIIIYGYTPSIALAAFAA AWFFLHFVYHTTQTIKNRSWWWMTFSAGLIFEVIGYIARSLSAEKDPYHLIYFILNYF FIVVAPVLLAAGIYTILSALIPRVGRKYSILPPRAILAFFITSDVISTIVQVAGACLI GITESRREDPTTANNILLGGLAYQVFVMTIFVVVAASFQFRARHAIRENGLGLFCLAF SISTLMVYLRTIFRLAETGQGLMGELQTNEVYFACLEFAPIAIVALLFAIWYPGRCVG AAVRVNDKP PEX2_016180 MTVFIASLFLPYTVNFHINSSRARSPPLSPPSANPTIEGITPIP NSTASLFEKKTPVKAPRLTPGATTDHERIFDAQIAKTEQEKNGYPFPLAPEQENRLLT ESEGHSPVWGATTSLNQPKPVTVLAPSPSILKHQDLRPAKEAVPHRPPAAETIASYAR LRKASTASRKLSFSKAEWTIETAEQGNGGLRNAVRSATDAGGLDNMMWVGTLGMPTDA LANHTRRDIAEKLEDEYGSLTVFVNDGDFDGHYTHFCKTILWPVFHYQIPDNPKSKAY EDHSWIYYVKLNQAFADRIAKHWKRGDSIWVQDYHLLLVPAMLRKLVPDAQIGFFLHI AFPSSEVFKCLAPRKELLQGILGANLIGFQTDEYCRHFLQTCSRILCVEATPEGIQLE DRFVNVGTFPIGIDPTSWDKRRQATDVERWVGTISERYQGKRLIVSRDKIDSVRGIRQ KLLSYELFLNTYPEWADKVVLIQVATSTTEQPELEATISDIAMRINSTHSTLAHQPLV FLKQDLAFPQYLALITVADALMITSLREGMNLTSHEFVYCQDGRCGDKAYGSLILSEF TGSASVFGNHALLVNPWDYRQCCEAINTALTRDEKERKQVWEELLRAVLKNSTANWVK SFSETLGRVWNEQSSREIMAVPRLSVSRLTEQYRQSKQRLMIIDYEDTLASWGSPRSI ILTTPQRAITTLNDLTEDPANVVYVMSARMPEEMERLFRQVNNLGLIAENGCFVREPN ADTWTHLADKLHVKAWKESVSNILEYFHARVEGSWIEERHCSLVFHHGSTEDRQAAAR SAAECAGNINDACANQDVHAIAVEGALIVETTHTNKASAAELVWKWFMANVDKNEDVI KPDFLLVIGDNREDEPVFRWANKLHSAKAVDYAMTVTLGSRSTEAKATLTQGVTGVLS CLQQLAAHQGQPKLPLRE PEX2_016190 MTLRLTSAPVSGVKKRKASAPKPRPSPFAAHVRRKPTSGGLKPT ADLGFDDPLPDIGGSHFISDTAPVQNVLQAIQYIRDGMFEELPTRAGMNSTRIAEVLN LRRSLPPLASVAHVHTLLEAPTQVEREIVEQIQTGRIRRLIIPGRGNDAAGLGDCLVL AEDWDKLVQESPALEQPLKEKFLDILKRIGTSSAISQGVFTTDEYRALLRAGFIVSSS SYTQGTLSIASLPNLPQMATSSASRSESISHSERPVQSAAQARAATLFLSLPNTGTYL RLLGSGRAHLLALLRRSASGEAPLGLLKDRWDGAIETDKSFHLAKRARGEFAGILPGK TRKWKELYGMHFRWALEEALGAGLVEMFETGSVGPGIRSFSFHVSIMPLIQLEPHLFT SLPRHPDLQGDANTPELREFIQAVLLEAQPLLNQFPSTLKPDYKLRSSPPSKAKVKLL QGWRTLQSPQEGSIPKKEFWVCRESDHQDSDSLPGTVSLWEFHDGLRYNHAEHEMEYT PSVTSVKQLLKWNEPRQWDLNQPITIGNMNYKHFEVELNLIVHTFHPEALIRPRAFIS WTMSATFASSYPVSQSNPGHGFMTVQIPFYLTKPIIPGSQYEGLYAQIMDAVPQRAVF AYYASLERVERVLAPAPPASDPPASADSNTPATNPERYLRWTMLTTSEAGGKIPQWVQ RSWALGGVPRAVVSDVGLFIGWTIKRRERRLEQDSQLEEG PEX2_016200 MSPTLLQASAAAFALLSVGHTIKGRQWTADPRFKAIAGTNSWTC GTLGWYQGSGFFLLTGLLHWQWSRDPSLLQDPLSKAMAGIVNILLWASSVWYIKHGIN DTAIVLAISATLQAFGVGKACL PEX2_016210 MASTVAVAFARPPFEAGAKHSFSMATLMSNNEPIAASNSLSGNT VTSSEPLSLFKSSKPESLSSIASAGLHVSRSRDQLPPMTSPTSVPADQPEHEKDAERN SSQVAREALGASEKSPGAPIHESSVHASPEHMQIDSHATGSPSDPYGSNDHHHNSLMH TSTVASPGPIEESASQDGDRRSRDDSEIDHDGKAFSYPMPTGNINDPRRGLSLPNAGY NRGSPRSPSAKKHRCPYCATEFTRQHNLKSHLLTHSQEKPFVCQTCQSRFRRLHDLKR HTKLHTGERPHICPKCGRRFARGDALARHNKGQGGCAGRRASMGSFAADDEYGDGATG GHDDMDGLMYTAEPERMDEEDERRMTMPSIRKHEAETVARPDSIHSRQSNTYPPIAAG RPSHLFPPPANHGGSVSTSASQSTHMTFPPAGLTSSSSIFPPSSISDSPKPLSPNALS SQHDSAPPHRAHSPGMGQSLPHPQSSFGRANQSSNHAPPTLGLPLPQPGAPQLPPPPG MTSPDGRFGMQAATKHTPSHSHSSTHSLSHLKGFDGPDANSNDVSQIDKLWAYVRTMH DELTGLRTEVAALRAHVASSNSVPPAPVEVNLNNAGPR PEX2_016220 MAVSDDSRDNSPVTYEPVVPTDLTRASYHSAMGPPPQHTVRETR SDSDTSNASLKSPRTARFAEATSIHSPVDRTEAGRSPFADPPKTQARGDVGDVGFGYV GANNEHANDQVPMSPFRPDLKVPQTAKSLNPLSPTFREEYLLEKQEKKAEVENARDLR IKLRVRIAKLFLRFINFGCSLIVLSLLAVTLTVFNTTKHLPTRNSLPAWAEGTNPWAQ YLLLGMACVSLFACLIVFWAYRKGGHGRAEKTAIYYTSFSIAFFAVNLIMWIVGAAVY QHSKSTGNNKDMWGWSCAQNTREQLYHNSVDYALLCRLQDWGLVCAIIEVVIEVLVIL IYVVVFYRVWSKRKLMKSMDTRDNARSDLYLAQLRLQSAPNTPGFAGFAPYPSKSPFY AAGPVDPYSAAEKGMAQPQTTQYASPRSPTRPTPSFQLQAPPIKVQQPTPQSAQEEFA PPSHYSPPPPHSQRQSPPMNRGSAPGERTYDSVPIPGAYQSPMVPAFPPLVRK PEX2_016230 MPRVANKVHRRSNGTSTPHKNSPVKIPLNDDEGEKAARMEARQA LHDRQMSQIKAAVKTPMPPRRYTYDREGSDSPATPRPSGHRSRTSDVNGRAVTPMKRV PILANFEEWMKMATDNKINANNSWNFALIDYFHDMSLLKEGDGVNFQKASCTLDGCVK IYTSRVDSVATETGKLLSGLADSRDKRGRGEEEAEDGDEDEEGEDGQPRRSRKKTRSH EATLAPSFSSLQLKKFELEFAVDPLFKKASADFDEGGAKGLLLNHLAIDSHGRIVFDS SDDAVDDSAKTAEDDRQGSADPDQQDEDQTKPTQKPASDTFEDNTEIDLATLASQFFP DLDRLDEQDICPSLKNLDLGDPSGSLDLPLLKAPEEWRQDKMDEDGRAPDDPSGIMLD DDNAVGFDDDDATLAGFDLSGDTGFGDGGEVWAREAALEPMLNVHRVHDGDNDGEGED GDEDAYAVSMSHQTNSHDHENILSYFDNALQKNWAGPEHWKIRRIKEHATPATSAPKQ RKEKEPFEIDFAAPLDPAVAELIYTPASSNSAVSLPKTQWKTKGRNLLPDDKHFNSRN LLTLFLKPKAKMGSKRILGKRRRQDLTAGNGDMDEAFWANRKPEENAGEEGAAGAYDA NFFADDDGLAFPNGMDLDDDDNLPFADAREMLSPPVDGPQGTSGGEAGGATGLSALLN MVGATPGRPGAGGYGSQLVTQGGRRARPDYVAYARVAKKVDVRRLKTEMWKGMGERLV DAVDFASASQPGAVSSEAPTEPESESDALVPPTPKAESPEQSVGGKDTGRLRFTQIMN SLKTSYPAETLRDISTSFGFICLLHLANEQGLVLESDLSKVGANAATLEEIFVSRDTH AIIEEGGM PEX2_016240 MNQLPSFLQPAVRKPRYSIRPFYTTLLVFTLIATTSWFLTGTTN ENGQFAQSGTELRTGLFKREGELECRLVRNVKDQCAYVRANCPDHEDGLLSYLQFYYC GLADAKPVAFTILILWLSLLFSTIGIAASDFLCIDLSTLASILGLSESLTGVTFLAFG NGSPDVFSTFAAMRSNSGSLAIGELIGAATFITSVVAGSMALVRPFKVARRSFVRDVG YFIVAVIFSMFMLADGKLHVWESAAMVGLYVFYVVMVVTWHWYLVRQRRKNERDLAAR AHFHIPENQELEIEEAAEDDDPGVASESRSLFRGASTEDFDLLERADAVPLWKEEDDE DDETRNRYLAEIRDNMHVYRPVNSRRNTINPIRASLVGALEFQSVLHSLQKSRNTRGN PTISLNSYSDDGEADFDTRSVASHPRASRPSASSDRLSPSSAAGSSRVRAVSANDALG LKFDSSVLEPRPTQGPLLTVSKPSFEDTSGQEAMHSRQLPRIDTGMPLDVSSANRSPS LSPGTTTPRTPDRLAPSDAFNSPNYHSGTIHDRSPMSVSPRGTPARPHSGFGVESPSM PFPSYTDLPSMAPSRPPSIRLPNPAGQLERLQVHDGYDDFAHVGLPFRKYLRNWWPDS IPPPQQIGCTLFPTLAGWKSKNIWERLLGIIAAPSVLLLTITIPVVEPEAPEPVEPDP IPSVIIQDVGDGESPSPRVRLPADSPVLVAQAHDHAGLPSDVPPTHPHRKSSYEHTGR PRWDSELPAVPVPGSPPVYPVPTKDWNRWLVSIQLFTGPFFAVLIAWTTVDEDRQLRN LVFPSLVSLLFSSVSLTALIISTRRQRNHRRPSAEFSIPLLPHESRPQIDILPPQWRP FLSLLGFLVAISWIATIATEVVALLKTIGVILNISDSLLGLTIFAVGNSLGDLVADIT VARLGYPVMALSACFGGPMLNILLGIGLGGLYMTLNSGNQRHNEALQGVRPVQVPYDI AISKVLVISGATLLFILVGLLIIVPMNNWRMDRRIGWGLVAVWCVSTLGNVIAEVIL PEX2_016250 MATNTSKTYHLYSYFRSTCTNRVVIAMHLKGIPVEHTYIDLGKA EHESPEFQALNPSKSVPILIIKEDRNETVLTQSIAILEYLEESLPTLTPLLPPSGDLV QRARVREMVNIITNDIQPITNGRIAKRVRAIRGEAKDQITFVMEVFLAGLTAYEELLA KYSGQFSVGDAVTMADVCFAPAVEMALAYGTNLDGLPRVMGLFKKLGELSAFQKGNWK MQGDTPEALRDS PEX2_016260 MSFYPPGWDYDRLLNGPPDDLLSLTDEQHKALMSGLREAGLFEG LLAKTRQQDAEKRAAEEAAKPQEEQLAEREPRAPYISPLKVLFRWREPEEWSDWGYVV FCAGQYGGEHEEQWAKFRQRWDLIFEQDFAEYRGFHPKSDRAIELFKFRWVEDPTLEM AGPKDISRRFEEMRNDLPRAFATTACLMVTPEVIDSVLDSPLPSSAPEPERQMLPFAI AVSAWAHREQPASFKGYFRVAVESLIRGFYAIVALDIMHLSELVEPMRDDYDIWLDSG RDGIRYHDGIPNPPKRPWAALFEFGEEGDGDFEGADPDNWAIFLVQGVNEINSLAGDD TRNQNPTRAVAIHQNIVFESFQLGLSHQRNFPLLWALHGAFKFEFWLAGIAMLFANLL QVMAPLLLRYFLVSLSDESSSHSNSLNFVALLFTTQMGMSFALVHYHYLGGVVGSQVK AVLTAMVFEKSLKLSNNESNEWTDGKISNLITVDSQRIQSALLYANMIWSEPVAVVVA LTILFYNLTWSALSGLLLLGVGAKGLEFSMGWLMSRRMAINAAVDLRILSLLEGLRNM KFVKFHAWEPYFLRQISDVREAEVQQQHKLLSLQSTIMSLSTSLPSYAAMLSFIIFAT IHGGLTAAETFSSLALFNCLRKPLNILPMVLSQLIDAWVSLQRIESFLMAEDQQKTIE WDFNAANVIEITNGYFSWNYAADGDIPSPTINEETSLLSVHEESNMPGSKISSLALIN VNLQVKPGELIAVAGPVGAGKSALLLALAGQMSQTRGHIVLGATRSFCSQVPWIESGT VQENILFGKPLLQPWYDEVVEACALKPDLKTWEYGDATYIGEQGITLSGGQKQRLSLA RTIYADTDLLLLDDPLSAVDADVGQHIFNKAILGLLRGKTCILVTHQRHIITQCDRIL WLEKGHIKASESLSNPSSGDEMLSHLIPTQENYQQKNPKNAEHGGLSNEDHEAVHSTQ DQNTVIDRETQKSGRVHGSVYKTYLSASGSIFHWPILFFLLIVSQIAGIFTGVWLSWW VDNTLGWDNRAYILGCILFGVAHSVLAWVYLRQASFTGLRASDNLFKAALQRVLYAPM SFHTANPAGRLMNLFSSDVNQLDNGVSGSVQAFFLLVGIAFSTFLLISVQFPLFVLSL PVIALVVSYTSAYYRASRQELKRFETVSRSTVAGNTVECIAGRQTIRSFGVQQNFQSR LGVAIDEASNFSYLMSASQQWLNLRLDTLGNILILFVGALIVMSDNSIPASMSGLLMT YALAVVQIIPGIVSQTAEIENSFITVERMIHYGNEIPTEMSVLATVPPSTWPETGTIT MKNVSLRYQSNHPQALQDVSLTINDGEKVGIIGRTGAGKSSIVSVLFRLFPLEHGSVV IDNMDIANLNLHSLRSKLAIIPQDPTLFQGTVRTNLDPSGEYPDDVLCNALRKTNLYP QVHLDREVQPDGKNFSLGERQLLALARVLVRDPRILVCDEATSAVDQDTDRAVQQTLL DAFQHRTVICIAHRLQTIIRYDRICMVDQGKVVDFQSPLRLFDTNAEFRQMCELNHIT RKDIV PEX2_016270 MPQSPAIVTATAAIARERAGRRGHGPHPRHPRPMVPDDAPDVYE AILLAPGESKIDVEVDTRLPSAAIFTFRKEDHTLGNMLRHRLLKTAHVIFAAYRVPHP LTPEFQLRIQTDGEVTPRQAVINASEALIKDLGILSREFTKEYELRKAANAANQQQQN TADARASASSNGVIRILLRLNSLTILHSFFSSISCWPFCIFFAHASVVSVMYSSSNNF LGSGASGHPGQAPFMQQQQQPPYSQFPQGQQPPSIQQPQPTGFAPQPTGLAPQPTGFA GQPSPFGNSQLQPQATGFPAGQLQPQFTGFPGAAPQQPQQQQAQSFQPQFTGYPPQNQ AQQPPPVPQIPTRFKTSSDVANSFQDAAGSGAPPQVPPKTGSKIPSIRLSFITAQDQA RFEQLFKSAVGDSKTMDGDKARDLLLRSKLTGADLSKIWVLSDTTKSGQLFFPEFALA MYLCNIRLTGRELPSTLPEIVKNEVSSMVDIISFDVPDTQPAPVQRTNVPNFDAPLME NKSTPPIVQQPIPQQPNNAQLLSQLTAQPTGFFPQQTGIQPNPTGFPGQNQSQFLQSQ QTGFMTNPQATGYTGPRPPMPPMPTGYGSNLSPAQTGGMQGLGVQPTGLVAQPTGVPG QWGFINTPAQGLPNIDAMKQQLMPQPGREGGFSAVGLSGNATVAWAITKEEKKIYDDL FRAWDGFRKGFISGETAIEIMGQSGLNRKDLERIWTLADPHNRGRLNMDEFAVAMHMI YRALNGYPVPNRLPPELVPPSTRHLNDSIGTVKSLLSQDAENRKASGAFLQPQKTGVS YLKDHSFRGGAAGSSAASRKDATVFKNNDSAGGYRSSARRRVGNEARTPSPAASGASD EEYSVEQLHKKIREAKVMIDAADFQDENRAEDDDALDRQDRREAEALMDRIRRVQDDL DTHPNASFRQVDNGADRRVLRRQLQSFEDQVPQVASDVRRLEREIADAKLELFRLKDA KAHPGGASNIIGTGPGGTVTESDRIKARARARMQARAAELSGRPAPVTEDDDGQAARR LESESTNVKIERERNDTMTRDVEESVRDFARSLEDSLREEGQNSTREHEKRRWEDALG VEDVIRDFIYDLNRNARTANVRKEERERPSPGVQSRGSPAAESAAARPSMPPSVGSSS SLPGNTHEDRVAAARERAQKRIAERMAAAGLKPNDSSETLAQRQEREKKEREDRVRRA EEEDAKREEERQRRLAEERGVPSDAAPKTAGKKPPPAPPTRRARTDSAGQADATRGDE PTKVDQAAREQAIKEEQGVQEAETKRLEGEARQRELEFQQEKDAQAARLRALEEQVRQ GKIKKQEEKRRREEASRQSKEQEAILAAQRVELEAAKERERQLQRELEGLDESSSDDE GPADITPQYSTPTQSQILPTPPPVPTISIPEPPAPESVPSEVGSPESSRGVPAATPDA ESKNPYFKKLGQPSDPTQATSPPATAPSTNPFHRLTQQEPIKPTFTGVAPLERKTRAR PEEDDDWSAAGSDFDSSDDEDDRPTGGSAKQLASILFGTMAPPRPLSAMDEDKSASKS ATPVQESPVAPPPPPPATLPPLPAIPGSSDAISPPPAPSAVPPPPPPPPPPPGAGAPI PPPPAPPAAAGGAGNRGALLASIQQGRTLKKTQVNDRSTSSSAGRVL PEX2_016280 MKLPKSFTRRKSSGNVIEDVEPPHQSSFRVFERPGPHRSMTDGA VLTKPLSEGHTVPSMEDSDNIFAERERPLDKSRYDRPSTMDSLRRRLTGNRDSGNYES STSTRLSSSSTLPSSTEVPPPDETQSPHSRIHDVPAPPITSALRAAGRTFSFGGRFGK SGPHVPRQQTPDTSKNRPVTGSTDSTATPPKLPDTDFALSSQDDFNKMFDNMGGQGSA IRGSSPKPTKVTPAIARPDKDALLTVSQYSSSPPTHVLPEFQNSRAAAPAAINTDRST AVESPPYSWDRRPSEEGLLRGPQSPPLNQSQSTPDTVVGFRKLSPSPNQFAEATTSHR SLERPRGSERGGLRRSGIYSAKGESAPFDDEDAQMVRKSVVYGKESTSPWAEGSPSGG TPLIDKGKAPNYSGQVNPEPDNMFQYRRSPPPADRLDPSIADHARLAVQYADSLPKSS SPGNKVMTPSQFEHYRQQQELRRSNSDATKSDDESDHEEFDDEQDEVEKQRETERQRR KQEAHLSVYRQQMMKITGQQAPVQSLRPVMSGASNSTPNLNPSRLSVLGEKSNSGKSS EEEDDDVPLGILAAHGFPGQNRPPTRLNNVSSNPNLRASMQPPYISSSNSVSGADPNA SRGSLPPFARNLPRDPYFGAGLVNNANRESLAFGGGSVYGGSSSPAPPPGGLVGVIAS EERARAMRRGSPNTQAMHEMGGVPRPYSMMPQQQQQNVSAGEQASIQLSQQMTTMMQM QMQWMQQMMQIQGGQGQPMMQGMPMPPMMMPGGAMAMPPMGPPSISGPQSMAGNPNFR PMSMPTSVLNASPSLPHIDQRTMSMMDPNISTRRTGSPMPNLSGTPFRPNTGYAASIA PSERSTVGMAPRYRPVSTMQPESNYAVASSNKPFGDENHNPSLLMPQSHIPKSHLATV TVRPVSQSTGVENRKPGSEDEEDDDEAWADMVKKREKKKSSWKMKRGTSSFGDLLSAV H PEX2_016290 MSSPSPSLRKRGGKKDVYTALPSDDGSTPVPVSVPVKQKSEWDY WLAIVILTLLAFATRFYRLDYPNEVVFDEVHFGKFASYYLQRTYFFDVHPPFGKLLFA LMGWLVGFDGRFLFENIGDSYIENNVPYLSLRAMPATLGALTIPVVFLIMWESGYSLP ACVLAAGLMVFDNAHVGEDRLILLDATLVLSMALSILCYVRFYKLRHQPFSRKWWKWL LLTGFCMSCVISTKYVGFFTFVTIGAAVLIDLWNLLDINREQGALSMINWGKHFIARA VGLVIIPFMFYLFWFQVHFTILNRSGPGDDFMTPEFQETLSDNQMTAQSVGIQYFDTI TMRHKDTKVFLHSHWDKYPLRYDDGRISSQGQQVTGYPHNDTNNQWQILPGEPLVDPS QPKSVRNGDIIQLRHVGTESYLLTHDVASPFFPTNQEFTTVSQELADGERHNDTLFEL KIESGKAAQEFRTLASLFKLVHVPTRVALWTHTTPLPEWGYKQAEINGNKNILQSSNA WYVENIENLEEDSPRLVKEERKVKTLSFLRKYFELQGAMFHHNNALTSSHPYATEPFQ WPFLLRGVSFWTKNDTREQIYFLGNPIGWWIASSILAVFAGIVGADQLSLRRGVDALE EIWGPGTRSRLYNSTGFLFLCWAAHYFPFWLMGRQRFLHHYLPSHLASTMVCGALVEF IFNLQPLDPRTALPPVDDPSGKSKARSVSSLRRFITAKERMGCKSLIAGWIATLIILA ATIWGFIFYAPLTYGTPGLDVAGVNARKWLNYDLHFAK PEX2_016300 MADEKRQNPFEELGHYDEREVSDHPPAYTEGPLTDTAGPSTDTA GPSTYTAGPSTYTAGPSTDTAGPSTQPSRSPPVQENPSQTSHKPIAIPALTSSSDSPF IRAYPPILKNYQLPKESFFNFLDQLNKDIAASPPLQVLDATGGILKSIPILLPLHWIG NAVSGLANLSSLGMSKSRTDSSIKRANRDIFGPRGLRVEIAKLDALAHVANFPILDSQ GKINRQAPLFQQLQYEASVASAGTDERQQQELNLHQRIRTLQPWIAELEFDVLPWSSK SKLTRFNASLKKYNEAADEERAGMGKRRMSRRDQERRGMGKRIDFYAQETEQEEAPFK KALWLVIREVETDERR PEX2_016310 MVELINPPETRELLPPLLACLPLAFVSPRAPPALLPLLSPILRQ RVGVLSSLSTSSTESWLQLLCWDAGKAERLQRVIDGATFEPHPVSGEIELPDELPVTY KRIDDETLKAQVPLTEYSLMVVYLWCPTDEEGGGRGWRVAELLPREGPAEDDNSWASS IGEANIWAKELLLADILKAAEEEKPQENSMENDDDDDYWAQYDSTPGAKTPAPPGGSS KAGPSGLSEQSYFSQYGDVQPAMDNHDPTEEQSEVGPSSLNGDMLASLLRRQVNGVNP EDEPRTNGYSPDHVPSDKAAQDLSHPRPASASSGSSDAIAKLEHEAESQSTYEVGVKQ HIGTSIKSLFRLAKATGMPRAEFQALVSMELELLNITDDD PEX2_016320 MADSEKGQFSLTLTPTAQEPHAHEPVAEEANARSDSLMFDRTPL EDGQSNLNPERGEEWAALEKRVRWKVDLRLCSIGGLLCSLNLLDSGILSSASVTTMLD DLDLNQGNRYSVSIFIFTIASVVFQLPCTVAVRFVGPRIWFATITFLFGLLTLCTAFV HTWRQMIVLRVLLGISMSGIYPGLTYLVSAWYPRREQQLRFAFLQSGEVVILATGNIV NFGLNHLNGVAGLAGWRWMYIVQGLITCVLGIITYWWMVDFPENAQHSFCFLSDQEVR LATRRIQDDRADVIPEPFSWGTLLANFKDPKIYGFAVMFFLLNLISTALSYFLPIILQ SGMGFSSNKSILLSTPPYYYAVIPVILTSLIGDFYRVRGPLIVFNALVLIAGFLMFGL PASNLVVVRYIGTFLATGAYISNWAALNAFQANNIVGQWKRVATAAAVTACNGLGGVA GSYIVRQQEAPHYQTAVWVSVGSHILLIAIVGAFAVYFYIVNRQQGRGLKVLEGVSGF RYTY PEX2_016330 MQQDSALRVPGAVKTVAGNVPPKSANPVLPAHQSSGSNNVTTSR YSDELHQSYDHLSLASRLRAHGLSLDDHGTSPPQSPNVPYTEYAFVESQQLLSLPAEN VAFLTSKGCLSLPTFNAIDEFVQEYFRHIHPSVPVLDEAKFWRIYRDNRSTGPKISLF VFQSLLLASCPFVSLKTLRQCGFEDMKDAQKQLYNRANLLFQLRTEERPYATAQAAVL LTHYTSAEDPEAGSLWITRAIEHAMLIDSHPSLVVEYAPISLKKRLWWSIFLRDRSLC LGLCRRPQVTSIGFHGWSDWLNTEDFSEELHQSRVYDYATKKRLLDELQKQCELAVLL TDLDILEETWPTS PEX2_016340 MIFEPAERVLLPTKDLLSYIFDEPPYDQDQPIYVDVNNPTRSIS CNQARKLIRQLIAGLRASGLRKGDCVLIHSFNDINYSILVLAIIGAGGCFTGSNPSYT PHELAHHIKASESKFLFSEPEILDSLMRAVGEANLPKQNIWVFDNLGQSISDGMRSWK QLLEVGEEDWVRFNDLETCQQTTAARLFSSGTTGLPKAVTITHHNLIGQNELVHGVNP RSYPISRVIAVPIFHASAAPVSHISTLKAGSVAYMMRRFDLETYLTTIENYNVTDLAM VPPIVIAILMSPLSQKRPYLRKARMAGCGAAPLDKNVQARFRALMGDNAPFTQVWGMT ETSCVATMFPHPEHDDTGSVGRLIPNLEAKLIDEDGKNISAFDIRGELCVRGPTVTPG YFNNPEANAEAFDSEGWFKTGDIAYCDQRTRKWYIVDRRKELIKVRGFQVAPPELEAA LLSHPQVVDAAVIGITFPGTDIEYPRAYVVRRPGDLGSKLTETEVQKYVLSRLAKYKA LTGGVKFVGSIARNPSGKILKRVLREDAKKEIEAGPLKAKL PEX2_016350 MSSPLSARPYRSKRQRPCDQCRARKLGCQTDGGGPCQRCRLAKL ECTFDNPPPKRARGAENFSSFAAQSIDGNTLVSQTCDNNFTRESSWAESGTQSVEPRF PPIGLNPSNTGVSPGSGVLGPGRPPTQFVQSIDQLEHGHAQLFGASAESDPWLLRHCC FDDSGMKYFYKVHFRNAGGVPTAQRIPIHFMISSEDLTTSIKHETRAGVGEATRERLD YLVPPEYGRRLVALFVKYVFPALPIISRSQLGLTTATHQLPELSALEKVPVHLLAAIY ASAFPFVAHDDYLCVLSTYNASPVGTLWRMVYELVTEEIHSPRLAVLQAALLYIHQQP KDETRLTTADTPFLWSFVGQIVGLACSLGLHIECRMWGIPAWEKRLRRRLWWAVYAED KWRSLLMGRPPYIHPAEWDVSELDEGDFLVGPRQGFSTSSCDTEIPFRYLVNLTRIGE EIHDTFYTLRASQFLNANFIASAELGRGLLEKLNGWYSSLPETFRLPNWSKSVNGLAP YPTSIHFAYLLLVVYVYRAMLRPMARSSDPPLIFDLEDLSATSPLPVDESMLDFMDLP EIESLPEISISDEFGTGDATLQAAEKCASILVNFARRLTSSDFTAFWYSWSRIGFATI SNYLMLLLVQAPNVAHAIRGKHLVDSWLQVLRCQSQSFPLVKLGLARLDALHWAGLGN TFVLPVHVQAAIVNPDIPES PEX2_016360 MKWIVLSLAAVASALQILPPVHFDDTGSAHNKGFSLTTTDRTIY LNADFARWKDQNGLTLLPPSASDFANTFRDDLQELTNSSWELRTVKQFPKDASGIFLD RADHPDRFTYENGRETEEGYELEIKDGSVFIRGSGARGMWWGTRTLLQQLVLTRSPLP TGRVEDAPAYATRGFLLDAGRKWYSLDFLKDLCTYASFFKMSEFQYHATDNYPLSRGQ NDTWNEVYSQFSLHPESEALHPLVQRANETLSRAEFDDFQHHCAQRGVTVIPEIESPG HCLTVTKWRPELALESRDLLNLSHPDTVPLMKSIWTEFLPWFHTKEVHIGADEYDPNF ADDYVEFVNEMSRFIQETSSKSVRIWGTYEPSNITIDKNVTIQHWQYGQSDPVDLARN GYQVINSEDWWAYMSLKSNHVPISPAPYPQFFNNARVVNFADQDGWQWTPELFNPVNT TEQPDANAVPGALLAAWNDSGPDATTQLEAYYAIRDSIPVVASRAWCGARGPRLHEQT LAESIVELSSQAVGQNLDRRLPGEESHGLGPWLSWTRPTTNSNQDEYNLGYGSKGMDY TLRLDVTGPFSLQSSDATLTLSSDGELTFFSDGWPYPLRSVAEADGFDQDQPGRIWAN KTSSSHEVVTIPHKSQITISTNEIYGSRVWVDEAFVGRFEVFIYGGKNKVFSWAQMAF IAPLDVLEGSGLQSLAVFQGSRDDLY PEX2_016370 MATTEERPKLIVGVDFGTTFSGIAWGLEDCPDDIELIQTWPGGG NITSQKVPTLCFYDGDRMQWGYQTDQSLHPSKQEKLIQGVKLLLDESQKFRYAPAIDS QEIIKSLNQTPVKVASDYLRKLIAHAQDVLTRRFGTALQTMDMEYILTVPAVWSDKGK DLTMQAAHQAGISPASLRLLSEPEAAAVYAIRTIQPNTISEGDCLVVCDAGGGTVDII TYRVKQTDPLRFEEAIKGTGAVCGSVMLDERFDALIKGIIEKQSHQPIPQPTVRAARK YWQDYIKPGYTGPLDEDDICEPGYWIPVPGVSGISNVDLSEGHLYLDRGQVKEIFDPI VREIENLVAEQRTSVKAAGFPTKAIILVGGLGASEYLFKRIQAASDGIQIMQPPNAWS AVARPSGAVIRGQEGNQVESRVSRCSYGIKIRVKFDSSKHRADENLQWCPYEEQWYVH NRMQWYILKGNSVAENEPIMMTFYRTVGVGDSLVFNNPLRFCQENTAPEVMDPSMSPY RQTYLKRPTFTDMKWRPDAV PEX2_016380 MWPCDNPEPEQANKSTQTSPDVSSKWGQVTVACMDHKAICDEVE WLRQRLKTAEAELGFFQKGRLLAEEQSRQRGELLENAVRETKVARKENRILMTKIASL QAGIDNFSDEEAKKEMFLLYQDLTHWRFTHFAAKPTQQSNNATPRSDILDISTLDIIQ SDIAALIYQSFWNRFMVGSEPLWSNYLFKVDSEIDKQVSNHISRHWRCAMSTAVLSLG APTLQEQCNWIIEKVEACFGRYAVTDRPKRMKQLHDIIARCIKFKHKLDFQEDRYIFW GSYQYGLPFRDEKMRTLTEEESSDGFVQASLWPGLFKIVEKGEWSVVEKEIVKKIPPV TPSVDMSDEMESHEGDPDLDEI PEX2_016390 MTITITVEKDGYYEVNGTRQEPTVSLYVIPAASKLRRMLKDTKD LIVCPGVYDGLSARIAMQVGFKGLYMTGAGTTASRLGMADLGLAQLHDMKTNAEMIAN LDPFGPPLIADMDTGYGGPLMVSKSVQQYIQAGVAGFHIEDQIQNKRCGHLNGKKVVG LEEYLMRIRAAKLTKDRLHSDIVLIARTDALQQHGYEECIRRLKAARDIGADVGLLEG FTSKEQARQAVQDLAPWPLLLNMVENGASPLITTKEAEEMGFRIMIFSFATITPAYMG IKATLERLKADGVVGVPDGLGPRTIFEVCGLMDSMKVDTESGNDGFAEGV PEX2_016400 MALTQPTNPEFPYSLTISLPLPTSRLASCALRALEVDAELSPFV RRTLTIGAPAVEAPSHPQEKKQKQDPDESKTVLEITYVATTNRMLRVSVNGFMESLGV VLGVMAELDVDVLKAEMEG PEX2_016410 MEHEKGAFGGLSTSLKAELEPQHADLLLFACCLTSGLVDSTIYR AYNTFVSMQTGNTIFVGLGASHQNLRPYGWARSLTSIGCFILGSFIFARLHRVLGPRR RVSLILSFLLQASIILLTAGLVQGGAVSSTLAGKGSQTAPPWDQEVPIVLLSIQAAGQ IVASRALGYNEIPTVVITSLLCDLMSDPQLFLIRNVKRDRRIIAFVLTLVGAIVGGWV TKVTGGVAPILWLSAGIKGLLVLAWAFWKAK PEX2_016420 MASKLTVTGIQGRQITIPTGLFIHNEFVPSCTGQTLVVENPSTG NQLGTISAAGPEDINKAVESAKSAFKTWRTVPGPVKYQLLLKLADLLERDAEDLASLE AVDAGVLYTDSIGMNIPQAVGCLRYYAGWAGKIDGKTLDMDGGIAYTHREPLGVCGAI VPWNAPLMITIWKLAPALVTGNVLIIKSSENSPLYAQKLAELVKEAGIPPGVVNIVTG EGASAGQALSEHMDVRKIAFTGSDLSGRKILQAASRTNLKKVSLELGGKGPSIVFDDC DIENALLWTRIGITANNGQICAAGSRIYVQASIYDRFIEAYKKAAADAPTVAGNPLDA STTKGPVVSRVQHEKILDFIRQGKQSGAKLLFGGERIGDKGYFVQNTAFADVGDDATI MREEIFGPVASIAKFTTEEEVIFKANDSHHGLSAAIFTNDINRAHRVTKELESGQVTV NAWAMLSPNVPFGGVKQSGFGRDMGEDALEGWTTVKAVKYNILPRL PEX2_016430 MPEINATALEALRSRLKAATIYTPESEGYKDIIVRWSDTGMKYA GVVVQPIDALDISIALLWAQEYSIDLAVKGGGHSTAGTSSSDGGLVIDLSRMNQVTVD IEQKTITTQGGATWKEVDEAGAAHGLAAVGGTVNHTGVGGLTLGGGYGWLSGEYGLTI DNLLSATVVLADGRIVTASATENVDLFWGLRGAGYNFGVVVDFTYQAYDQKDPVYSGL LGFTPDKLEGIMDALNETLANPDSRFGAMCIFALAPDGSGPMIIVIGFYNGPREEGQK KFASFIALEPVLNTVDMIPYSVVNTLQNPVATYGGRKSFKGIFYEPPLDPHFARVIFN DFMAKIESEPDLKASAIILEFTDMRKICEVPLTATALASRNPTQNGIIFLKWTDPSKD LEHRAWAREVQSKWKVELDARTKDQGEDSSVPQYINYAEPGDSVVSNIYGVNFERLQE VKAKYDPKNVFHKMQPIATGASVGKKE PEX2_016440 MTETFSSVPIVDFARLNNPVTKDEELSNLREAIFVVGFLYLTNT GLEVRVTTNDKEKCNMINSPSFLGYTRLGAETTAAKTDLREQFDFGTPGVKPWTEQDP FWQRLEGPNQYPDYPGAQDLVDEYIAKTDSLAQAFVRLVAECLSLPRETFDGFKGNMS RLKFVKYPPAAQNSQGVGPHKDSAGLFTFLSQDNTGGLQVLNKNGEWIDVPPIEGSLV VNIQQGFEAITGGICAATTHRVIAPTSQTRYSIPFFQGVRLDLTLDQLKESAAHIVQR IPASDDKKKRAVDVPSEFLSPLYSCFGEAHLRNRILSHPDVGQRWYSELYAKYSQQVL K PEX2_016450 MAPFNLMAVSLLGCLSSLALGAPTATTYLGKRASVNDVATGYAS QNGGTKGGAGGTTTTVSSYAAFTAAVTGDDAKVIIVDGTITKAAKQVRVGSNTSILGK DSKAILSGFGLLVKEESNVIIRNLGVQKVLAENGDAIGVQKSTNVWIDHCDVSSDRDH DKDYYDGLIDITHAADYVTVSNTFIHDHWKASLIGHSDSNSAEDTGHLRVTQSNNFWY NINSRGPSFRFGTGHIYNSYYENVSDGINTRKGAQLLVESNTFVGSKKPLYSTNSGYA VANGNDFGSGENAAEAGTLKSVPYDYTLLGSAKVKAAVVGTAGQTLTF PEX2_016460 MKVFTSIFVLIALATSQAIAVPTAEAADLETRAKFCVDIKVCHG YNYEGGCYSECNRPGTGYGIRKEYRKNAGSFKIETKGYNCQVGSSDQGTQSVNYPGVK RLKDGWINNIEGYQCNKLK PEX2_016470 MEPFRPPQDIAIIGAGIAGIACALSISRELSPFVPNLRITVYER HDVLSTSGGAINLTPVAQRHLAQLGVLDELDRMGSEGGADVDAIELFSMRSGRSIGSI KFTDNQGKGFGGYKGRRVMRIALSVAMLTVAERTPNVEIVFGKKVIGGEEFEDRAVVH FLDGTAAVGDLVIGCDGVHSAVRTRFVDVDRPAEYTGLSFLQATIDTATLSSPAHFRT SSLNISRHGSMLASYCDRDCEQIFAAAIVQFSQESLSRHRLLPGQDWATQNKIRCALR EEIRDRFGKCTIPCIKEMIESEADWMLYPVYQVPPGGRWCMNRVILLGDAAHAMPPRD ESAAYALDDAILFSRILTSYRSEPLTEVFDAYESLRRDTINHAFKESRRMWERNREMG FLEGRIKEWMMTFHLKTNENAREAAWAFDATKMALPTPAPSEDLVSLDSFLRDRTL PEX2_016480 MVVASPLIGSHADRSGAKRAWLLYGLAGALLGSLMLAMATSLFT LFAGRLVQSLASTGLWVVGFATLAENVPADQLGKMYGFVTIAIGVGTSGGPLVAGVLF DVCGYWVAWSSVLFVIVFDVILRCLMLERSRDSGSPRAERAGQDPEREALLSPSHDPD QQVSSQAISEKTGIQFYLCLCSNGRFIGGVVSYFCYAVLTASFDTTLPLHVRDAFHWG SLPAGLLFAAFQGPAVFFSVPVGWLKDRVGTRYPTTIGFALLVPLLWLIGVPGDERFP WASEETTGSVIYSVAVTGIGIVICLLNGVGMMEATQAVDEIERENPGIFGPNGGYSRA ISASSISWTLGMFIGPILSGYGTEQIGYYGMNCVLAGMCGLCSFTAFWNLKSTVPQRP GQKDQTSSGGVFT PEX2_016490 MPSMHERIREDLLNKERALWTALTSADPAPAIWKLSNPEACFLF PQMRIITLEDEDAFKKAVRPPFHRFDAYQFEEVRVIIVDLMAGVVTYKVRAVRGKKEY TASGSTTWSQGSDGEWTLACHQETLM PEX2_016500 MAALSKLPLRQLGRNGPFVPRLGLGLMSASGTYNAPLSEADHLA FMDEAYKRGETFWDTADKYGTSEDVLGKWFAANPDKREDIFLSSKFGIVLTPNQKPAF KIDSTPEYCRKAIESSLRRLNLPYIDMYYIHRLDKVTPIEKTMEAMVELKNAGKIKYI GLSECSAESLRRAYAVHPITAVQVEYSLFCRAIEFPQTRLLETARELGVAIVCYSPLG NGFLANTIHTREDVKPGDARGHLPWLSEDNLEQNVAVVEKIASIAASKGVSSAQLALA WLLVQGDDIFPIPGTTKAHRLVENLESVHVSVSAGEEKVLRETADAIVGARFQEMTGY AFADTPVL PEX2_016510 MPFSSQYGSLFEQNSEQFDFNIDFEQVFFAIIPSALFIIPALWR TISLSRRPIVVNASVFRLVKVGAITAYAALQLALVVLAAAGHFHATAMFMATSVIKLL AAVAMLPLSVVEHSRTPRPSMLLMSYLILTLLLDAAQSRTLYLSLSSAVSTERAYSNL FTAAVAVKVVVLLLESQHKSRWVHWDKKEHSPEETSGIFSLGVFFWLNRLFLTGYNKV LSINDLYPLDGAMDPTRLHEKFSRHLAIPKMKGDKYGLTKVLMRTLIGPLLLPTIPRL ALLGFSFAQPLFIEGLLNYLSGNMLNQNVGYGFIGASFFIYSGIVISWAFHRYYHHRM RTMLRSILVTETFITATNARIGNDDSAALTLMSTDIERIRMGFRQLHDVWASLIQVAL CAWMLDRRLGVVFVAPIVVVLLCFLSLAILMKFIGTAQRRWMSLVQKRVGLTATVIAS MKNLKISGLSSSVSTFVQKLRVEELTAGVRYRKIYIGAALLGFIPLLISPALTFAFTQ SRLDSSKVFTNLSFLTLMTQPLSQIFQTIPEVISGLACISRIQAFMECETREDFRKVA ISFEKHSEKDSLSSQPSPESEVVIENGSFGWEQDKFVLQDINAKIPNSSLTLVVGPVG SGKSTLCKALLGEIPFHQGKVTLRTHASASFVGFCDQTAFVLNGTIKENIIGFSPVNE DRYAEVIEATSLAFDFDTLAQGDETNVGSDGISLSGGQKQRISLARALYLQAHFLILD DVFSGLDADTEEQVFRKVFGPAGLLRRRQTTVLLCTHSVRHLQAADHVIALGGDGGIS EQGTFDALMAGNGYVHRVGLKGLSESKTTSEESSIENSAQESSSPAEKIKAAKLIVTP NMDASRKVGDGTVYKQYMKSMGRLLAGSAILFASLWGFFLNFSTICKYSMSLNTVCIP KEAHSSAYWAGIYALFQISALISLFLLGASIWIVSIKRAGANLHEDILKTLFRAPLRF FTETDIGVTTNLFSQDLNLIDTELPDATVSTLFSITQVIGQMAVMLTAAPYLAVTFPF LAALLYVLQKFYLRTSRQLRLLDLEAKSPLYTHFLDIVRGITTLRAFGFIREDIHKNA RLLTSSQRPSYLLLMIQEWLNVVLDVVVMLLAVGLTTFAVRFHSNSSFTGAALYSLLS FGENLTGIVLFWTKLETSLGAIARLKTFTETVEPEDRDGEDIDAPEQWPQRGVVELKG VSAKYATDDQNDEATSLALRNIQLTINSGEKIAICGRTGSGKSSLVALLLKLLDPIAT TAENIVIDDLPLHRLNRSVLRQRIIAVPQEAVFLPDGSTVQNNLDVSEEATPEECKTV LKSVGLWEFVKERGGLAAGMNSSSFSNGQRQLLSFGRALLRRSVRERKFGAAVQLGGI LLLDEVSSSVDQETERIMQETIRTEFENYTVIAVSHRLDMIMDFDRVVVMDTGEIVEV GKPAVLAREAGTRFGDLFMNVTLYQTNKNETTQKLEFLFYEEPTNHFIVADSVPTSPC EGPSINRRDINLGSYEVAVPFIMSSLSQTPSEPTQKRRKIRKGTFSCWECKNRKIRCQ FITSSATICVFCQHNGVPCISQDRPNPEDTSYQAVEWRLNYVEGLVATLIQHQKAHSP SQRSPVNQQVNKNLFKNIVDPRAIHSHRPGNYATLERGSLGHVQSLLPSAVIFKQILD RSPLRVRPFHLLFCSTTSTQVDLQSSSESNQLVHLAQRIVQLALCLQQPKQNVLELRF DQPSPEMAHYFIGVASRYITSQDLLVDSLDGVETLSLEARFHLHVGNLQDARLLFRRA LGIARLLLLPCRKHEDNRAESLWFRLVYSDRFLSLMLGLPFVDVDCQLTGKRQIVADR WSDQLERIHVVVVGRIIARNLRMQKHHGNQPDMYETPVNVYQETQDIDIQLKRASRIP PVEQFVRILTQMHQFYLVVSLHQPYLLEYLSLESTPHRQILADPSPNYAYSKLAILYA SRELLAHFGMILNALQNIPHRGFVEKAFSSAMCLLMIHMDGHRLKTENVLEHQRPRDL AVIDGVIHAMEEISNVHKDSLTQSCVKILSTLVRMEEYAANGAEYITWLEPETQESNE TQAIIEDQRISFPLPYFGRLHVSCETSPDPPNCD PEX2_016520 MHLLQTLTASLLLTGSSLCAGATKTEKGHHGAIAPKVFIVSMFE PEAEAWWHIPEFDLFTHNITIPGLSPLFPEVYCTKNHEVCQLVTGEGEINAATTVSAV AFSPKFDLTQTYFFVAGIAGVSPEKATTGSATFARYAVQVALQYEIDIRELPSNYSTG YVAQGTDFPGEYPTSIYGTEVFEVNADLRTIAANFARKANLSESDSATAQAYRAHYKT PSGKYKAATLPPSVVECDVATSDVYFSGNILGSTFENTTKVLTNGTGDYCTTAQEDNA TIEVLLRSAMHKLTDFSRIIVMRTASDFDRPYPGTSAAYNLFQAEQGGFEPAIKNIYV AGIKVIEGILDGWDSTFSAGIKANNYIGDIFGSLGGVPDFGPGPEHALVSKRSLQKNF KRRL PEX2_016530 MPQLVEPANLPPAPFNGSTSVFSNTTIRQTLQVSVAAQEIRIRL SNAFGTNDLKITNVTISLPAGQQVGVSGLQTETLKTVFFNGSPDVEIPNGGLVVSDPM QFPVKAQSALMINIYLAEGQQGFSITGHPGSRTTSYLGFGDWTAAGNLTDSSVQSTDH WYFISGVEAWLHSESSGFVIIGDSITDGRGSTTNGNDRWPDLLLARMQKYKSTSNIGI LNQAAGGNRILQDGLGPNVISRLDRDVLAQSGVQYAMIFEGVNDIGVADSDAATQAEI EKKLVAAYKQIAARVHALGIPVFGATITPFGSSATSDYVQPYSSAERERTRQRINKFI RGSGVFDAVLDFDRVLRDPQAPSQLLEKYDSGDHLHPTVAGYQALADYFPLGVFE PEX2_016540 MSGSARVSSPDGTVKKTDTVGTVEEPNTLKGYGSVPGVIDEVTR VVDHKAERRLCRKFDYRLLPILAVMYLFNALDKGNLGNAETDGMSKDLNFKPNEYNLL LSIFFVPFVIFAPPFSMLGKKFSPARVLPILMFSFGSFTMLSSAAYNFSGMFALRWFL GMSEAAFFPLVIYYLTTFYRRGELARRLAVFYAASNIANAFSGLIAFGVFQIKGSRIP NWRYLFIIEGGVTVLFAIFAFFYLPRSAAEAKFLSEEEKALAFHRIQVDSSAVVNEKF NFREALGIFKHPTTYAFLCIEICLGVPLQGVALFMPQIVGGLGYPTVKTNLYTVAPNV TGAVMLLVLAFSSDWTRLRSPFIVLGFLLTFSGFMIYSSIDDVQSDIHVAYFATFMMT WGTSAPSVMLSTWYNNNIAHEGRRVLLTSIGVPLANLMGLVSSNLFRKQDAPKYTPAL ISVAAFGATGACLTAGLALYMWLDNKRRDRSDGVTIRARDIPTERMRDGPASAEFRWF L PEX2_016550 MEYFRHTAHIGTHSLSYALRGIPRQPGAPLVVVISGITSSALEW SGVCRHLEKDASILLYERTGYGQSEEFPTAEPDSLTIVDELSRLLIAAALPPPYLVVG HSWGGMLAREFLAARGPEDICGMVLVDAVQERMFIETWPDPSIAAVIEGLDYREVVGL DRDHRLTESEWAEMMAEELSPHHERQAVRELPQLQISRGVLAKKEQLRPGRDLLQGKP LSVLRGNSLRDMERLYQRGVEQGLGTDAQRATFRDIIANTDHNEEDLQRELLNLSTNA RFSTTSQSGHNIQLTEPELIADEIRWVLQRI PEX2_016560 MASNTSQISPLRPVTRHITGHNENGKAVIHSSTPAEWISLDESK MAFNVVYTNQFHPDLNNDADVKTHETLTKSDQLGLVNPGGTVCRIVDFAPNNIAVVHR TKSLDYGVVLEGQVEMILEDSEPVIMNRGDVAVQRATMHGWRNTSETEWARLLFVLQD CKKLTVGGEELGEDLGIAAGQLKPSNNNQE PEX2_016570 MAVKQWISPLKGIDSLSQTEAPMPAPGPSEVLVEIRAVSLNYRD VEVTNGEYKHHKSAEQDATIVPCSDMCGVVTQVGSGVTKWTVGDRVLSTFLPDHQTGQ VTEKELARSLGLPQDGVLATHRVFPDYGLVKAPSFMSDEEAATLPIASVTAWMSINGM RPIGQSGGKDEYVLLQGTGGVAIAGLQIAKAAGAKVIITSSSDAKLDQAKTLGADFTI NYRTNPDWEAEVMKITENHGVDIILEVGGAKTLRKSFDCIAFGGLINCIGYVSGKIDA DEDRLNVNLLTLRRTVTLRGIINGPKDRFEEMIRFYETNQVHPVVNRVFSFAESKEAF KFLESGSHFGKVVIKVQ PEX2_016580 MGDVSPIVPEEHSHAVKSTHSPQALFHHNPVAHSSPRLPHAIAH RGFKGQYPENTLLSIDGAIRAGAQALELDLHISRDGIVVLSHDASLMRCYGIKKKVID CDWEYLQTLRTLQAPHEPMPRLVDVLEYLSQPGRENLWILLDIKLANEPFDIMQRIAK IVESVPLPANGPDWHHRIVLGCWSARYIPARAKHLPLYAVTLVCVDVSYARQFLQVPL ISFNINQMILMGPLGRGFLDEARAARRQVYVWTVNAPNLMRWCIRHEIDGVISDEPGR FRQVCQGWEKEHTGVLGAPNPELDRIPFRQRIEIIAVALYVICFGWILKRMYLTPVER LGFEEHKSK PEX2_016590 MASMNMGFLPTIKCSNCGSNVEISSMGDHTCIRNDFVAPIPSPP PSAGFDSDRFTESKVGRGPPLAIDPSAANRAFLQPNIPTPANSVHLSPLPVSPAPPST ARPSPHSLPDDSVFSFPMPGNRSPTEVRTPSPMMDRNMFKSRPPVSSPGQGQAVMPEN IQLPPSPLPPKSTDTNHHRGESVVSNSSYRTSFASSRYGDSTTRSSTNSLSRGFRSFM EDIPPVPLAPLRTTNNTSLPRDSNVSVASSRISKEEASEFDFGIPTNQNPTTGLQDLP EEPPSPQFQDNTEYTAFNPANLHPTTATTEPGSDAPRKSSNASTTSAFSVTSFARALG LDDQMNNSESSGSDSSASDARSGSSMSSLPSDASLSRHKPTDPLNLKPVVEEQPVRTR QTVLEVPGRIRSTIDVPPIPAAFFSPDSPTDPSINRGGVSLIAERKEVPPTPTPTQPK QSIPRKPPPQRSFTAPLPPTTPTTTTPARSVTRAKGNCKGCNEPITGKSISSSDGRLT GRYHRGCFVCFECHSPFQTADFYVLNNRPFCAQHYHERNGSLCAGCHTGIEGQYLETV ERNPARPERRRFHTHCLQCRTCHVLLKGDYFEWNGEVFCERDARRAAAASHAPHPGPP GPPGRRRPNLPSSPLAQSGQFPPGPYPPPGARPGHGPGPGPGLHPGPRFPPGGGGRFP ERRTTRLMMI PEX2_016600 MKPLHSLLILPFLIINTLGLSPVAVPDTYSSTYENRTFNHNVIQ AFARLNGTWSPKIRSYTTLEDLASILSGQWAFLGNATKSSAYTDRRSHHVHRAWEDEI NLARAAHIDGFALNFGSGVPYDVAILKAVIAANKVGFKFVFSFDYAGKPLVSTFEGSA NAVDWVEIKAAVDCFFMPDWSSLGAKDALALKTADGLFNWAAWPWGNTDMDTYVDASY LDYLERDDGKPYMMPVSPWFYTNLPGYNKNWLWRGDNLWRDRWEEVMVVQPDLVQIIS WNDFGESHYIGPLPKGDYKAFEVGKAPYDYITGMPHDAWRKLLPYWIDTYKKGKASIS EELVVGWYRPNPAAACNSGGTSGNTAQQFQIEFDPAEVAQDIIVFSAVLASDASISVM VGGVALPAKWENKPSGGVGVYHGSVAYGGNRGAVKITISRSGNTIASFTGTDITTSCP DGYTNWNAWGTAKGNFKGLCEFTCSYGYCPTGACVCLELGPPKKLPEPTGVKGYPIAG EGSSYIGLCDFACNYGHCPPSACGTTEVALTEPTVSPFLPSACTSGTGNDNFIGLCQY ACDFGFCPIKHCTCTSTGTLVPPPAQDLDYDGEWTLEGDDSGLCSFACSRSYCPETAC KSYRPNEVVMCNDDSEDPQCLTDALADSEACDLSLTFNTMTDLENSLSTIPSGCTGIH TMQVLLKLLKSTKANYTAVDNDYDYWFNYYVKYMHRVVPEQIWSFVSYGNKGPGNKYF DCTLRDDEHHDPQTCPVGSLVMSYHVDYDFNDEEGFWNDAAERGIQKDWISFEDWDIT KPCPPKIPLHPDTCRDGMVVLEDYPHPADNMTFVNPKDILSKSNGRFDQLELDIATTW TEMMFGFWDGDFDDAAEAVSLPVFMLAQAVESMEQVKQLGMEEKKYEETELIMKILTA ILVVMPFAGEIVGEIAGLAWVVEAAIVVDIVGNAAMSVYDAVKNKGSPAMAVLDLLLG SARVRTGKNYSHAASKRREMGAEDVSKFGDVFKRHDDALRRWGTSPTEVMKKINISAM PGAKNPQCCDPCRATGSCHNAADACGQAANALEVKRKPNFDRQRVGRPLLVDFVLESG SDQVDNSTQTATNVLSPAGSLHSYAESNPIPERLSPGSSYTAPLPQYGLSNHQVNTSS SAVSPTFPVVGTPERSNQRPWPLRDPEEARLLQHFVDKVAPFFDCTDRQQHFAIHIPY RARRCETLFNAILAMSARHLNRTTAFDPFVSDRYYQACLEKLIPALNDHGVTMDDDLL AATVILRLLEEFDVPLAGSDIRGHSFGTKAFIRSPSMITTTSSLRQAVYWSGLRQEIY NSLSLHQTPDIELRSLDLNSHFNSLGPGAGDCAWANQAITHCAHVLVFCFGEGPRSAA VHADLKVHNQQWSETRPDTFDPYFVGEDGEVGTKFPDIRYGCPWHAIGNQYIDLAQIL LSVHDPTLPTVGPLRRRLIQEADEVQDHIRSGVWKVCGASLSNASVPPAMVVGCMAIH LCGDRFTDPHEQDHLIQVLIQTDKLHGWPTHALQRQLRETWGMC PEX2_016610 MKRLPFLLLCMLFQLLAGTVEALNGAAGAETTFFYQAYLLELEH VTDLTRRGIAPGCPILEGKTKCSFAEFFQEIFNNAGNTPLVDTSRKLREAGFYAHYDQ ERLYPKEKNTPSVAVAIRGMRGIATATKKKDHQDQKRKMIEALELEGELRRADNMKFF IPKLEQTAGVKLETKPATTSDGVEYTTYDVDATAEKNKGVKDLAQKINTAAEALHLIN TITDRPDWHRAIFDKQVIAQWREDAVASSSLTNDKTWDWCLKELQDKARQFDRDGRLI VLNTSSGVCKSDTAISSGLKSQLSNSFDLLSHQAIQQKSESAVVNLVDPSLFPLVYSR TKVLTGGQPCGMDENSWSSRSKECPIVSEHPQLVKGSLAWSSSFGRYIWSSKFQWLPC EVEFTGPPGSTDVRISSYINNLHPTNREMYSAIEAVISGSIKQWNEILVRNKWRAINL FHRNLNSYSRGPIRIRTYGVEWKAQFPEWAKRLPYQEDEGKLSVEEYEAMCAQVEAYL QEPESKDKVYWDRVRTQRIPEDWKTRWGLLRTALTKYACTFVFEHSDPGTAYSYEDWK AGRTGKSIVGPAHFDHVCRPDFELHKFFYANPWLTPYEWMYQPKEEDPDDHQFYTLAL QDEFREQGLQIVVQIHSIELGPETPSFPGEEWHTEGNANERIVANAIYALDSDNISEP QIKFRQRCGRGGRTWVYDRIGADDSDYEESDFAGDEASNERDGLILKHNLWDVKYIGR LYGFEDLQYAPAWQQLGEVKIPPGRLISFPNAFQHQMGPLQLQDNTKPGHCRFLTLSL VDPTYRLCSTRNVPPQQPGWIKGDGAESATPINLGEALELREELVKEHAKKDEGVFRL ASTISFSGFS PEX2_016620 MIGAFLMASSFPFAQLVVSRVILGLGTGGQLATVPIWQSEISPA SKRGAHVGTTGIFAALGLTLALLVDLGMSYAPNSASWRVPVGLPIILCIIVIVFTSHM PESPRWLVQQGQVSAAREVLAALRDTEIDSEIVKKEILDVESSLAIAGKGSLRQIFQM GHQRIFHRASLATGGLVLLQLTGVNSITFYNPPGTTIFQTNLHLDSTISRILAVIYQL SGVLGGIVCVFSIEGLGRRFLWLSSATANTIAMVLVAALSSQSTNTIAMHAAVVFMFI FHFSMIVGFGGIPFLYASEVAPLSLRTTINGIGSSIYWALSVLIAEVTPIAFNAIGWK YFLIFACLNCAMIPVVYLFFPETAGLSLEDVDEVFIMSKGWLDPVRVAKQLPKKLNGR QPEGDCPLENNNNGEPKV PEX2_016630 MAPHASSDAANGALNGSVRQESSLFTVQSPNVVYTDDEIKSKYA YQTTEITRTADNKLVATPKATNYNFKVNRKVGKVGMMLVGWGGNNGSTVTAGILANRR NLSWETRDGKQTSNYYGSIVMSSTVKLGSDAKTGEEINIPFHDLLPMVHPNDLTIGGW DISSLNLAESMDRAQVLEPTLKNQVRKEMAEMKPLPSIYYPDFIAANQEDRADNLIEG DKACWAHVEQIQKDIRDFKAQNGLDKVVIMWTANTERYADIIPGVNDTADNLINSIKA GHLEVAPSTVFAVAAILEDTPFINGSPQNTFVPGALQLAEQRRAFIGGDDFKSGQTKM KSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMVAANHI LYEKDEHPDHTVVIKYMPAVGDNKRALDEYYAEIFMGGHQTISLFNICEDSLLASPLI IDLVVLAEMMTRISWQSDSEGDDYKGFHSVLSILSYMLKAPLTPPGTPVVNALGKQRS ALINIFRACVGLQPESEMTLEHKLF PEX2_016640 MASPKVTDPNPVPLPAPFSTIPRTPLLLGPSPIHPLPRITADLA NNNPHPHVTIYAKRDDLNSAFAYGGNKTRKLEYLLADAQAQGCTTLVSIGGVQSNHTR QVAAVAARSGLKARLVQEHWVEWTDPGYESTGNIQLSRLMGADVRLDPSGFGIEHKNT AQAVVEETKAAGEKPYYIPAGASDHPLGGLGFARWAFEVRQQEAEMGVFFDTVLVCAV TGSTFAGMIAGFKLLEKLYPEDAKRKIIGIDASATVEATKAQVLRIAKNTAAKIGLTE DDITEEDVILDERYHAGIYGIPDRQTWDAIEYAAKMEAFITDPVYEGKSFAGMMDMIR RGEIKGGNILYAHLGGQLALNAYSELGRTNE PEX2_016650 MHDESDELPDELDDISSPDYAAENPQTNTLTAGSDADDHWYILD EFGTDCFIFRIKLWRALGFGESNLNHEGFGHI PEX2_016660 MASTVGQTITCKAAIAWEAGAPLSVEDIEVAPPKAGEVRIKIHH TGVCHTDAYTLSGKDPEGAFPVVLGHEGAGIVESIGEGVTSVKVGDYVVALYTPECRE CKFCKSGKTNLCGKIRATQGRGVMPDGTSRFKARGKDLLHFMGTSTFSQYTVVADISV VAVTPKIPTDRSCLLGCGITTGYGAAVVTAKVEEGSNVAVFGVGCVGLSVVQGAVKNK AGKIIAVDVNDGKEAWARKFGATHFVNPTKLSGKTIQEHLIEMTDGGCDYTFDCTGNV GVMRAALEACHKGWGESIVIGVAAAGQEIATRPFQLVTGRVWKGCAFGGIKGRTQLPG LVDDYLNGELKVDEFITHRQSLDGINTAFETMKEGDCVRCVVDMQ PEX2_016670 MEGLNASEQREFASRMERKQMKEFMTMYSKMVQRCFDDCVNDFT TKSLINREETCIMRCVDKNLKSSARLNERFQEQNAAMMQSGSMGGN PEX2_016680 MVTVGKSHPGADPVHPLPTSPDPVGANSPPSKRDLASWWKQFKR STRKDELKVEAPRGIFGIALNVSIKYANVAISLTNDHGESFIYGYVPIVVAKCGVFLK EKATDVEGIFRLNGSAKRIKDLQEVFDSPERYGKGLDWSGYTVHDAANVLRRYLNQLP EPIVPLEFYERFREPLRIYQRQVLEGKHTNDADKFDHAKAVETYQNLIIELPPLNKQL LLYILDLLAVFASKSDQNRMPSANLSAIFQPGMLSHPQHDMSPEEYKLSQDVLIFLIE NQDHFLFGMSGTAADEQTMKEVEAGIPRPATHPTVRRSVSNASANTESHRKLDSIRRN VSVSSRNSRHSNNAQSPVTPTSITGGGGSGGGVHRSNTLPSKMGPVLTSARYTRANDA GSNNPSGLSVSHQSSRSNSRTPSVREEPEQPQPIPESISHPAPQSVPEQTSEQRPEQR PEQRSEQRSEQRSEQRSEQLPEKLPGQRTPSRSTASLTPGNVYVHTSTHGPLPPATAE RLSIGEIAKPHENLNTAVPSPPVASPPQVVTPSRERKLSNFFTKSPPPDSELREPRQP NRLKKKRIPGSASISAQSSANSLHAPSLDPSANLEPKYENRSVEAAVGDTTPRPPTAA TLGNQENPFDSNPALVESTSQSHTDHSLRPHKSRTPSMNSRSSFTDQSDMDQPEDVSR HENRRSWRFHRSSKRNSEQLGLGFASPPLVATNPRAGQSTSSFGSGHYQSTDLSSHPL SLDAGVQGTSSIGSEPEKKSLFGKFKAKVAQVRDGVKDDRERTMSPTRSEHDPALTNQ PLPPIVTESTNGNPASVEVPREQPKEEHMRSPVSPLPGAGLPPSIPEEPHSPELSTAV PVVEQTESAQPPVTESVLAPEPTMSHEIPKETAGSSTSAA PEX2_016690 MGEHEIQATAVPQGEKTMHDKGCDDAAEMFNGDLVLEYSEREES IVRWKLDLSLVPMMLISYLLSFVDKGILSTAAVYGLRTDLHLKGQQYSWSNSIFYFGF LIWQYPNSIFMQRLPIGRWIGSMVFLWGVCVAATAGVTNFATLAVARFFLGVFEASNN PVFTLLVSQYYTRQEHALRACLWWAGGPIAAFIGDGVSNGVGHFHGALGRWQYLYLIF GPITMAWGIFLFFAMPSSPMTAWFLTPRERQIAVVRVLQNHTGMKNRQYKKYQVIECF RDPQVLMLFAIVFLQCIPGGGLTAFNKIILTGLGYSSVESTVVAMPEHAIQLVSVLLA GGICSYIGKGRCIAMILSNICVLVGSVLLYTLPAERKMSRLGAVYSLLTCTVSYIMCM SMISSNIAGFTKKMTASVLIFVGYCVGQIITPQFFISSEAPTYPTGFRAYFVTASMMI VLQAVLMMYYLNENRRRDKRSEGTVIVDEGNQHHILETDLMDLTDREQPNFRYSW PEX2_016700 MSVHPFYQHVVVKGLPYDRGFSYGEQTKEKIQLNVKYYKQPGKL GPWSSVLKVIQNCYIPGLQRYWPSGWEEMRGVAAGAGVTVEDIVMLNARYDLSHVKFE AVPETPAAEESKTVDAIPEIPQETPVVEVATTEPKAAEVAEPTEKTSTTGENAVGEDQ QVEVAEECTSAIILAPATRNREVLTAQNWDMSHRLFTEDAIIYLEIHPDPSENIPSMF LVTEAGQLGRSGCNSAGLGLTANSLQSNMDLAPDCSVPIPPSGALRRLFLEQSNYSVG LKCIARTPRHVAFNIMASSADDIGICLEVTPQTIFRSVISSGSEKPYLVHSNHFQTGP FLSQTQIADTYLGGSSWYRGDRLEAGLREQAQKENLIEQDVVAAFQDHAGYPHSLCEH AVEAKSSKEENPGPYSGPTSTVCCVVYNLSKRTVRVCKGCPCEGTFQDFELR PEX2_016710 MRNCVEILRRADCTWPKLPGLDALHTTEHSQLVQHASRLERQVA ELQHRLQSQNESRTHEVAPHSISPADYHGELSTDVVLLSMNAAAEPSFIGATSGFSLS RLVEGILSESMLTGRVRDNDTTVTGQTPTREQSVVSDQEDVLVDTFFQRVHPRYPLLD EVSLQDLFRQTTRDEDHNAPNLFLLYMVYAIAARTIQLHPEMRPCTSPETYFTRALRH IDSALASQSLQRVQALLLVALYLLRTPSNVSNLGSWHIIGLAIRHAVEMGLHRNLRDS RARQLNTNDLNLRNRVFWSAYILDRAVSLTLGRPFALAEHEIDVPVYDSTKYQSFTHM CHLRRLESRIHREIFGADVHETSDEKIDCFRYELDAWRLAVPVSIDASFVLPGYSLYD TREFFDIQYSKALRMLLQRRITTAKKEAEGDVSKTSEYLTLSARAAGDICQHYKVLHQ RSPLGWNLLALHSIFTAGLTLLYSTWIRKDRPDLVALEDIRACSSVLFAISEQWPSTK RFRDIFETMAREMMDILKRSQAQNWTELFPVDASAFGGEGFWSIFDDLVEDDYIRDQF RLEEMMG PEX2_016720 MVLDTSSTPGASSSTPQAHDEKPSAPPVNILPSLIARIYSIAHP ALLLALGVTRFEALVADPTKELLSTLPWLTLAQIFYVIVCLPPAGSTLSTETSASAPA DGKSSPRSSGPGGAARHGKHGKRKQHANSWASIWSRLLPAILSLSLTFALATPVLTIL LVLFGAPLTTHNSETVLCAAHMAVLSATALIYAHGLDRAVWNDVWGIARPADAVWGSA LGTGLGAWFGAVPIPLDWDRPWQAFPITILVGAYIGYAVGSLLARTPFVYGKRVKFTS EEVSETEKKTN PEX2_016730 MAEYDGVNTDTSSYSGISVITINRPDRKNAVDPLTAKALYQAIL VFEDDPKQKVCVLTGAGSSFCAGADLHSVAQSNSDTPGDNLQPIIGHNLGPMGPSRLI IKKPVICSISGYAVAGGLELSLLADMRVVEEDAVFGVYCRRRGVPLIDGGTVRLQAIV GLGRALDMVLTGRPVSAQEALTMGLASRLVPKGESLKEAIRIARQLITFPELCLNTDR QSYYYSAYEASSFQDAISQEFNAGSKVISQEAIAGAAKFSKGSGRHGSFKDHNKL PEX2_016740 MWSAGRNVSTSAPLSQTPRSSQVPPESQEAQVASQRNSLSEPLG VIPIENNAVVVANNGDPFIKEESYRGRSEYLGGSVPFDENMVKPGRETTYTNPKASET DMQMLRDQGAYELPPLSVQQELMASFNTHCAPWTPVVDPKWLDESAPRSMLLLQAIFL AGSRVSKAHLDYGSSEVFYRRAKLLFFFGGCDNPLISIVAACLLHWYNPVGPEDVSTD TSGFWIRTAGAMAFQIGLHKEPSPNSRDRGFRRRLWWSLVVRDNIISVGVGRPRTINL RDSDVLPPSLNDFPVDNFKSQLFLAYCTISRLLGDTVESHLRKEISRQRQVDLENAVY RWAKQVIPRLRSSVAAQDDAVICNLEVQQVLVMYFVVLTILHRSPTPGSVPPAASLIA SSFIAGIYEEFLLRDELRYLGPVFAFYPLCAGLSLLSSCRYANLQSTAEHELTVMKLS LQKLSERWLSAVGPLRALNNLTEKVRELGPFGGSSPTLDPDAASFFEGFDTKLCKQWQ TIGHSSEPTNGNTGIAPTCTMADLQDTDALQPLDAPPGQPVDTYDFASLDISLDPFSN NWEGAGFDWSGSWLLNI PEX2_016750 MLIPTKSDALRPRPIWEPTIPQDQIPMNIYRAHINKKFNQQLRS SHDLHQWTVENLQYFWTDLHGYTGIIPSLPPTVTSAFDPSTPMEQVPKFFPRATVNYA ENVVSGRDLNKTALVGVRAGQDLSGEVWTWGLLGENVRKARSALLQLGIEEGDRVAAI ISNSVWSIGLFLATASIGAIWTSIAPDLGDEGCVSRLQQVTPRILFADGESTYKGKMR SNVAKIQSIVKALHSKPQVFLIPITGAHEPVFPSLDHFLSMSRPEDKLEFKRVSFSHP LYILYTSGTTGQPKCLVHSHSVILQHKKTSVLHNSLTSDDVVFQYSSTSWVLWNVMVG HLSVGPTLILYDGSPLWPSAKGLAKIAEYHKVSYWGCSPRYLQELEMTGCIPKNEFDL SSLRMVQTGGSHLGEDQYYWFYRTFPSSVHLTSVTGGTDLATSWIGTDPAGPLYPGEI QLPMLGHDLDIADPATGDSIKATGRHGEFVCRQTFPSMPVFFWGDEDGSKYKSAYFEK FENCWAQHDWASYNPQTKGWQIHGRSDGVLNPQGIRFGSSDIYSITESAPFNSVISTT FCVGRRRPHDSDEVVFLFVVMQPGKAFTGQLAVELKDAIRKGLSSKHVPRFVIGIKEG PMTVNGKKVETLIKQVISSGQLPKVISSTVANPGSLDHFKQYYTLEMQKDNRAKL PEX2_016760 MSTPQPATADSGYITDPGPLMNTYTSDPSLQRTLAWYLPSTTLQ SVQPHLTQFGAEAISEQVREWSADAERNVPYVKSHNVWGKRYDYDRLVTTEGWKQLGK WGARNRIVSAGYDQHLGVDRRTVQYAFMTDGAAFILSSRINKLPSTHPFHTAFQGLIS EKDDHWTSGQWMTERTGGSDVQNTETWATYSPLATPGFDPLGDGDYLVSGFKFFSSAT DANLALLLAKTPSGKLSTFLAPLRRTVVGADGVSKVVSNGVRIHRLKNKLGTKELPTA ELELKDMRAHLLGELDQGIVTIAPLLNVTRLHTFVGSLAGWRRAVSITKSFAKARTTV GEPLWLIPMHLRLLADLEVKHRGAMNLAWFTVALFGVVENQTPSSNNLAHLPQPGKEA EVVFRTLTATAKAVISKMATVGIQECQESMGGVGYMDEADEPEFNISRILRNNAVNSI WEGTTNVLASEFVRFLIKKDNLKIFGAWLDRTLTLIQSADLQNALTAAWSALRARFTT QDPASTVADGRRFMFTLAWILSGALLALDAERDNDPVATEIARRWILSAEGGVGEHVF HDIVTVSGTASASSGGEKHLQWDCRIAWGIDLPPNRASGHRSLQKASSKL PEX2_016770 MSELSHIFDLDVLTMFSASRLFRRRGADRDPAESHVSYEVPLYT NAAYYPNWRIYRKEPPSSLRLGFISHVFYAFAWVKEDGTVYLSDEWADTQMPVDGAEG CLRAFVQLKQQYSKMRVILSVGGGGKGSENFAAVANSRSGVDTFVRTARELVDQFGID GIDIDWEHPSDSKQGKDYVRLLARLREVLPAPRYVLTTALPAGQWALQNIDLAAAQKH LDMINLMTYDFAGPWESETGHQAQLYGAGVSGDSAVSYVVGQGVSPRKIILGVPVYGR SFLGSNGPGQRYTGSGGEDGVFDYRDLPRPGTQEYYDKNIGASFCVGADSGFVTYDTP ETVKQKAEFVTSSKLGGLFYWHVCSDARGPRSLVETGYNTLHEM PEX2_016780 MPSWYIKHSPNTLTAPEKEQLAKSITKLYVSIGLPAFYVQVHFI ENIPGTAFVGGEPHPNFAALTIYHIARAFKTDEAKQRFLTAVDEILNPLFESKGMEWE YFIAESSRDLWKINGLVPPQPGSEGEKKWAELNKAVKL PEX2_016790 MSNELIFITGATGFIGSATAVAALKAGYRLRVCLRKPSEQLETL LSEYSDQVEFVIIPDLTDETAFDNKLNGVDYVFHLASPLPHGTNKQTYFPPAVKGTTA LLKAAAKAPSIKKVVITSSIAAFLPMSGIPTGGVVKEDNDWDFSVDENGDFEDPQNPA ATPMRLYHASKLLADNATWKFRATAKPQYALVTLHPAFVYGRNLVQSSADGIKVGSNT GLWDMIMKADPSRSTVGVHIQDVAEAHIKALDPKIVDGSKYLLAGQKTTGPEIARIVH RLYPDSGALISENFQGASFPVDTTKAETELGIQWRSFEAMVRDLMDQQLGGGHRQSNT RTWLATTTDRLSTLHTAPKLHRAMAAVTESAAAHIISAEPTRDPSYNDFSFTPFLRKS FGFGLASDHPEWRHLQHTDRWFANISSKDYVRKDSNANISTNTTFDECQNASRFHDLD TAPMVTIVFTSMSARRHACRLVSTMTADIANWDHYVQSDMSADRVPMLTLAGRKTYHG LQCALKKRRKNLSTNEFLSVKNKRGKKNENENGETNVVGVVDLGVVASAAVEGVRWIL IARVFLATLHGME PEX2_016810 MAPKVAIVYYSMYGHIQALAEAEKKGIESAGGQAEIFQIAETLS EEVLGKMYAPAKASYPVIEPADLLAFDAVLFGIPTRYGNFPAQWKTFWDKTGGIWATG GFWGKYAGLFVSTGTQGGGQESTALASMSTLAHHGFIYVPLGYKTVFAQLSNLDEVHG GSAWGAGTFAGADGSRKPSALEIEVATAQGKAFYETVSKVQ PEX2_016820 MYYCSMGGRLLPMGCTEFQSNKQAPTTRAPYYGHITVASAIGSS SDTRVVKIPLPSDTESAYAVYRGGKLRKLAVLNLQPFHHTSSPRPSKSSRFQVPKGFA EAKVERLTASGSDSLGEITFARVSYDHDLQRGKPVIVDPRKEMAIIQDGTVNIMVPDS SAVPLTLK PEX2_016830 MASILLRFESRNGQFRLTVSPEELFPSLQKKILEHLPPNVESSS IALSNKPIGTGGEERLLEGLQGVSLQQVGLKHGDKLFIGYQEQTPQNGHSNGTTSTTT EHRLNGAPISNQQTVPIRPQPSSSTSIKNPWELVQQSPLDNLLDKKDGKIKRGMDHKM CRHGPRGMCDYCMPLEPYDANNMTEKKIKHLSFHSYLRKINAATNKPEMGTSFMPPLN EPYYRVRSDCPSGHSPWPEGICTKCQPSAISLQPQEFRMVDHVEFSSPDLINSLLDFW RKSGAQRLGFLYGTYEEYTEVPLGVKAVVEAIYEPPQTGEVDGVTLHDWHNEKEVDEV ARLCGLQKVGVIFTDLIDGGQGDGSVVCKRHIDSYFLSSLEIAFAARLQAQNPKATKW SRTGQFGSDFVTCVLSGDETGAIAISSYQASVSAVEMVRGDIVEPSADPSVMLVQSEH DEDLGSRTRYIPEVFYRRINEYGASVQESAEPSFPVDFLLVTLTHGFPTESSPLFTNS RFPIENREVIGESQELRHVAQKLMSHGNPDKAIQGVSDFHILCFLHGVGTFSKDEESL LCRVARTKSPAEGMQLVNTPGWATLMTILQESGERPPKRPWPTPAEPSRSDSQTRKRR NPPPSTLPRSISPSPESDQLAKRFKGASLE PEX2_016840 MSLWGRKEDHKSTGPPHTQNGEGQHVPEQRFEEPTERSRLLPAD RNQGFLSPDDPAVSPYNLWSVRAVRGVSVIALALSFIWWTFLLVSIFVSPPMIHPRGS GFLAFSYTTLATGYLVLGLLFFAVPSKPMTISAITLAVLLLVDMCIILAVPRIRLEEG WVGIASVVWVALISIYQAIQNRAVAWGKREEEERLTGREETRRSLLEWIAILAETILL AVMAIAAVLFTATLILRAFDAGLEAPGERYLVHGDTYQVHLACVGNKTADSNAPTILL EGGSAPVKHTLQPFIDDVYGRGGINRYCYWDRPGFGWSDNAPSPHSAGMSADVLSEAL ALAGETGPWIVVSAGVGSLYSRLFASRNLLEVRGIFMIDPLHETYLGDIGRPGRGFLL WLRGIISPLGLDRLAGAILRGRSRQDRVIGRAANQSGKYIKAKLQESLVAVTMTAAEM QSSRHIQMGHAPVVVVSSGQEVRKNSQWAARQEDLSHLTNNLLSWDIADDAPHEVWTT GQGREVLERRLKELVQKSQGA PEX2_016850 MEYRLWLAGKEQDGNADLIPIEDPATGEIFAQCHAASAEDVETA ISAAHAAYKSGVWSRASRHHRADVLDRCAVLLSDALPQLISTESKQTGRVIREMKAQV PSLTRWFKYYASLLRVEERSVLPTMGKLHNFVDRVPLGVVVQITPFNHPLLIAVKKLA PALAAGNSVIIKPSELTPLTTLLLGKILREAGIPDGVLSVLPGYGAITGKALVEHPLV KKVDVTGGTEAGRAIGKIVGGNLAKYTAELGGKAPLVVFEKANIDTAINGIVFGSFIA SGQTCVASTRIIAQNSIMDELLTKLKVKIESITRRIGAPSNPESTMGPLISEKQLRNV ERLVNSALDGGNAVSVIGAKRMISQSPLDGFNFEKGYFYEPTLLVSSKENNSIVNTSI WREEAFGPVIVVAGFDTEEEAIALANDSEFGLGAGVWTLDLPQAFRVSEQIDAGITWV NTHHRNDPSSPWGGAKSASGVGSENGVEAYNAYTASKSTIINYASPDESLAADDWFGD GSGNVRYG PEX2_016860 MYTDHTPVNKTVKKLSQPVGIRPEHIAEEETLLYLKPKYDHRSP NEYTIKRYWDDSTIFTVTGHKYGDSPAREFRDSSGLPMFHSRAAVLAWKRPLRVRLPG NEDEELVDFRVDMNKVYRLTFRNSMEPDSKSESDKSATVEVRETSTYAWQGFSASVGG QKVVDVRESMTMNKTLPKCTSQGDVSLMPRQVLEILVAEGFDLSLAALIAVYMADTRF STAPPSRY PEX2_016870 MNDSNPTQTEHDELLDRLLDKIHTEEQLGVIQCNEFAKSPMPKE NTARRKVLALDCEMVGVEDGRKELAFLVVLDVLTGEVLINNFVNPINVVRNWHTRWSG ITCSDMKAAVRENIALRGWRAARASLFEYMDHDTILVGHALQNDLNALGVLHSTVIDT AILTADAVYGPLARPFRRTWSLKALAKDFLGHEIQAGKNGHSALEDTLATRDVLVFCT KNPLEFETWADKARSEEPPVKPEEPEEECDESWFN PEX2_016880 MPESMSQSEDTWEDGSSASSLSSTGTMVPSPTRQLFARTGRRQS SQTSLTWTDFFDQELFLPEDVDNLHIVHHVYLTPPTESGPLFVMHHGAGSSGLSFATC AEEIRKILPKAGILSLDARSHGRTVVTPLDSKTADGAPSTAAAAQAESSGQVELDLSL ETLSRDLVHVIYQTQTRMGWEKLPDIILVGHSLGGAVITDVAKKGELGPKLLAYAVFD VVEGSAMDALQSMEKYLSTRPTRFPSLLSGIEWHTRSRTIRNTTSARASVPSLLYEES DPSDPSRPWVWRTNLSATKPFWENWFVGLSRKFLDARGGKLLLLAGTDRLDKELMIGQ MQGKYQLQVFPEAGHFVHEDQPAKTAQILADFYRRNDRSALVLPPKVADMQASAAMKK GTGAPDSSTGHSR PEX2_016890 MPTRDEDWQPSRRQPRRRPHPTSPTQKIKKRHKPVAADVTTADV TPAQSVQRPPIAKSLQPLTVEETRAREQAVIDYLAKKGYSRTEAMLRMESANQEIDGR PLPQLGEDSRPKTRQGFDLLKNWVEENLDLYKPELRRVLWPLFVYSFFNMVTSFYPQD AKSFFETNKNMFLPEHTDDVRHFEPISLPEHLQDNSVAKLYRNNKYRVVLSNPAYTNL LQFLESKDKEGGSVMNAILSSFCSVKTLDRAADDRFSFAAMLNQISADQTFPAEDEGI PGHHPGSAYTGDNPAMAGTLPRLKLGRLPMEQLLEEDVRAELAEEDAKQPPAAGRNTL IQEFEQMIKNEDDDEAPNRADLPFPQSTARDVQIEVKKVMEHRDRFEIKARTGGVGPG LSVCMFTFHNTYDGVTCMDFSDDSQVVAVGFQQSYIRIWSLDGTNIQAADPDLDNSPP ASSRRLIGHAGPVTAVAFQPCASAREGLSGDDKVATNARWLLSSSMDKTIRLWSLDSW QCMVVYKGHDRPVWDLSWGPFSHYFVSGGSDRTARLWVSDQIRQQRIFVGHDHDVDVV CFHPNSAYIFTASSDHTVRMWAVSTGNAVRMFTGHTGSITAMECSRDGKLLASADDQG CIILWDLAPGRLLKRMRGHGKGGIWSVSWSVESTVLVSGGADGTVRVWDVAGSQETTQ GGRIIGETGTGTRLDAAANPSTSQTKKKKGKDVVVTADQISAFPTKKSPVYKVKFTNM NLVVAGGAYLP PEX2_016900 MSENAPPQELPIFTSADRIRQLNDMDKDVTKLLHSAGLAIQALT NAKPGPSSVAPDGSLDSHKTRFKEASAKYFALLSSADVSLRRQVYSLEESSLVGPERP SRAGETKPGARNEGVAGAKSRAPNSLDISRLNSRKDTVGKDKEAELWAAARQFVEQMQ KPSETSKDSRPANGPEDMQVD PEX2_016910 MADAQFDSALDLLRRLNPRDTKTNLQAITSIVPELTEDLLSSVD QPLEIRRCPQSSRDYLLCDYNRDGDSYRSPWSNEFDPPLEDGTVPSERVRKLEVAANE SFDVYRELYYEGGVGSVYFWDLDDGFAGVILLKKGVTPGSQSSGEWDSIHVFEATDRA PNKTEALGDMDLSGNMTRQVEVDLPVESDASHVANVGRLVEDMELKMRNLLQEVYFGK AKDVVGELRSLGPLSDANRDRETQREMIKSMQK PEX2_016920 MDDTSEEFQALFSEMSAFVTDCMSGHDPSHNPAHVHRVGALANK ILEAERALHPTTQYNGAVVKLAALLHDIGDRKYLSKMDAAPGSQELDPTTMVQHALLA RGATPEIASRVQTIVSHVSYTTECKDPALIRRMIDEDGFVELGVVQDADRLDAIGAVG IGRCFTFLGAVGKKYCVDGKWEMDNSIEHFGDKLVKLEGMMKTATGREMARVRTERLN VFAGWWQDEMSLASGSP PEX2_016930 MKFSILALSALLAIAAAADTTTANPSTITSTPEVECAKSCEATD ICCTAKCYHVPCPSESQVNDTNKCVAACPQGTGSPADAQKYAECEQSCYNSHFWGGNG SGATATYSSTTSSTGSTATQTSSDSSSTDSQSSGNNDSNNNNDNNHSSSSATATSSSG FSQQTTNAAANVKLGASAAGLFGLVVAAFAL PEX2_016940 MSTDSESDYFDDDDFVVPGTPDGPSRPAKRRRVRNRGEEREGQD DGSSIDSFSDMEDDDLPSRRRESPLGFEEYHDRPKNKSCVLKQATVQNDMFVTQLTQP SSSPERIRGPRWQKPVAKPPPPKLETREQDGGGGSGGGGQEGNYSQDDEELMAAIAAS LDSFEEEKTTRGVFQTPSLSNNTAPKPFAPPEKTNTHVSVDTPFELEDIPEGAFDSSP LLSPVARPVHPAAPQFSQSRGPIRQPHLRQSTLFGMVAPNPDVLVPHQQDWGPTEKTE PPTQHKLVKNALDTWVYPTNLGKTRDYQFNIAQAGLFHNLLVALPTGLGKTFIAATIM LNWFRWTKDSQIIFVAPTKPLVSQQVSACLDIAGIPRSESTMLTGGASPGIRAEEWKS KRVFFMTPQTLINDLKTGIADPKRIVLLVVDEAHRATGAYAYVEVVKFLRRFNNSFRV LALTATPGSTVESVQAVIDGLDIARVEIRTENSIDIREYVHARNIEIETFENSDEMIF CMDLMSAALQPLLDQLRTLNAYWGRDPMGLTAYGLTVARQQWMQSDAGRNAHFGLKGK VNSIFTVLASLAHAIDLLKYHGIVPFYRHVTHFKSSSEGQKGGGGKYHKQVVQDESFK KLLGHLEPWSKNPEFIGHPKLEYLKSVILNHFMDRGEGKDLPDGTSQPATRVMIFVHF RDSAEEVTRVLKRYEPMIRPHVFVGQSSAKGSEGMDQRTQLRIIEDFKKGTYNTIVAT SIGEEGLDIGEVDLIVCYDSSASPIRMLQRMGRTGRKRAGNITLLLMKGKEEDSYIKA KDNYEKMQQMIASGSRFTFHDDRSARILPAGVRPVPDKRQIDIPPENSQQELLEPKRR GRVPKRPAKVFHMPDDVETGFTRASTLAGDKPQKKKATAPKKAATPKKRPRSPTPEPV DIPSVEDVVLSTSEQNDLENHYQNIGATSPQFIRFPRNDAFPRLQLIERPTKNVKHGS LTRRMVKALQKMHEAGPDCDHRFKEILAREPPSSDESSQTGRSGSTKKPRHNPKPLKT PSKSTARRASNKATANPAGGEVVSLLSPDREPKQKNDPFAFIDDDFGDDGSDFELMDA SLLFSSGSKPKGRKPNKPIIGTSDD PEX2_016950 MLTKNSFVLQILVQDSAFLMGSPGVYPPGPPPPGFPSSIPAITV DSIGKPTYSSANLDPKPTDESSSSSSTSYSSATSSSSCTITETASSCTVITSYGVDIT DRTTATFTTTSCTPISGCTITGATETSVTTSTTTASCYKFPDDTTFETKRDVDEDKTV FFGSSLWPRGRDDGYYEFGTCNLGIVNDPLHYPSHPGPSKIVDFMKKQNTPLKGLYIV PEQDCGVAPKVALLSDFDTLTSQTCYDQDKKKYAAMGASKSPFVQTEYVYELQTMPAF LTYLIDKNLISCEDMKKTFFPSDGKNLGKELFNQLPSFTNPDFVVLDNSVNDWKGKIF NGKLKGGKGTAARLKEIYNVAMAFDYMRIEDVYTKFQTTNARIYEFLRDTFDYCGSQY APQGGWAPAYSRFMSAYLSSQGAHAATEMSNRWTLASKDKRNGQAETDALSLLTQRYP PESWNFDIDTLLSWPAAGIGKRTECPLDMSTSSSDGSAASSTQTSEQVIGRSSTTSTP TSGSPTSEPATPGSPINSQFSPTQTQAPINPFGTGNELCPPAVQCGNYDSATCEVEKH QVFHMAMDMDDVGK PEX2_016960 MNGPVSLLKLPPEILGAILSVVRSSLDTLKALSQSCHHLHAIAT PFLYSSVVIRSPESMSCFLETAANSHYLTSLIRELQIHYHDLDEDTDNSPEDIEPALP KLVNLESLIIKSSWFDWVKPSKMQLLCHPQETLPALRSVTLSLDYGYEWSFPLGSYGV LLHHPAPFRSTRLQELRLLNCDIKARDIEEMLRYPHQLKHFTIKGQEERSELGCFGDS NRQLYIDALRSHSSSLETLDIDLQFDEWKEPMDLSNFSALQSLTISPRMLIGDNGCFW LKPASTLDWAKLLPSNLQHLKFRNDCGVFPILQIYEALREGYIRLRSLTCQIASNVLE DGSPYFEESDLDSVTPEGIRVFRSPNDLMSEVSPDGVSYSQGFQGLGVGFFVVEVSRT EKLPGLVTFSGIQWRGVNDEIA PEX2_016970 MLPAESDDLIRQHSRLPEDDSPFAPDQNRTIPEHEPSAKGKKKK GKKNKVARAVAESPPDDMYVSTGDCLVSDEHASLTPDCMDSLQPSVPPPEEPLSFPDP EPLIEHHHVNPSITSPVLDVLDSLDEPEGDDLASRTDTWAQSVPYGKSPPTDLMDGDI PSASLPSASPLNFPTIQERSGFGHPSSASPQIRTLPSSYGNGYRSILNSRQQSVDRRK SHSYGSPMSNHAPPPHLPQAHFFGAPDIDIIPTQNRSPTDGSYSFCSFETLPSLSPKG SRTGMNVLLVGTDGAVEILAIEDRRTRLVGQITGLNGRVIEAKLLSGNPSSDPFASSR PHVAVIVHGPLPPHEEEGQLSSATSDANEIPPSTTRGHFNDKRSARDDIQFYQTRVEV YSFRTGEHVSTLFASKPVPCLENLPGLPSFAPSPVGKLKLFTTGAYIILASGVSGEVY VYRHVLSSDTTAYQCLGKTWTGVQSKETRRYSTSSSSTTDPEGTRTDSPRGVSALERP ILAVQGRWLAFAPPSSAYRGSIQGTVPSSLILGKVPGIETRSPPAVPSVSCATDVGEG ESFFDKMARGVAQELVRGARWMGDQGMQAWNNYWNTQQSTGTSPRRPPQGPDPQTQGY GLFPPTHAQDTQTSATEPDTVSIIDLRRFEDGTDIRNVFIHPVSTFQVPNGCSFLSLS PNGLMLFTASKKGDVQYVWDLMQLKHCRSMAFMADDQTGQNPNVRQIARYARLTTSSI VDVIWTAPVGDRLAVITRKGTVHVFDLPRSAFQWPPFRRAKPTANKPPATDPMVDEVP GQSAGRNSLSAAMKLVGGKTQPFFSAVRGRVPSTGAAFPNMSGFALPSAASVKSGKVV AAGLSKSMGAATGTVNTLRHVGENRLHLPGLARDPAPSRVTWICSKGLIFLGVVDGGY FKMYRLKRATVPGHKNRQPHSVVGGKESQIKLPDNLQVPCGPAPLSAFDPELEVHASL VLPSVSSQPSSAARSFCQPLSQAEIETNTPYQPFHTDQRVGLSVFTSGSDASEPSGQW VFGNDIPLIKVHLRSFNSSSDDHGDDEDENAVIHGHSLGAGGDIENLITLGNSTGNVE EVVITTRRKKRHSTPLKADDGFFEDDCEVLDFARDRV PEX2_016980 MLGQLVGSVMLLVATAIFLYYTAWTLLMPFVDPGHPLHDIFPPR VWAIRIPVILTLLASAVVGTFIGIVMINSNKKKAAKAKAAAKKKT PEX2_016990 MSDSDVLQSAVRVPTPPPGASYSPAAASRKRSPPSRSPSPNRRR SPPGDSLREGPDVPNTDAEHADDRERQLAERLREHEKREAARKPMTEEQKQASAKAEY EKLLNMRSGGTYIPPARLRALQAQITDKTSKEYQRMAWEALKKSINGLINKINVSNIK FIVPELFAENLVRGRGLFCRSIMKAQAASLPFTPIYAAMASIVNTKLPQVGDLLLTRL IVQFRKAFKRNDKAVCISSTTFIAHLCNQQVAHEMLAAQILLLLLHKPTDDSVEIAVG LTREVGQHLEEMNAPIALAVFDQFRNILHEADIDKRVQYMIEVLFQVRKDRYKDNPAI KEELDLVEEEDQITHRAGLDDELETQDTLNIFKFDAEWEEHEEAYKRLKAEILGEESD EEDEDRSDESEEEESDTEEVQMDIKDQSNTDLVNLRRTIYLTIMSSIDFEECCHKLMK INLPAGLEHELPSMIIECCSQERTYSKFYGLIGERFAKINRLWSDLFEAAFAKYYDTI HRYETNKLRNIAQFFGHLISNDAIGWHVLSVVHLNEEETTSSSRIFIKILFQNLAENL GLPGLQARFRDDILRPSFEGLFPTENPRHTRFSVNYFTSIGMGCPLWLHEPPLDPPRA PHLIDPVRCAQHALDLLTPAVPGHLRIPALHLGTVAVPTLAPSPPLPGAEATHLHAPG PQCVAVVTHQIVVLGPPQDDPVPDPSLAHRPSVAEHVLAPTTREAQVAVPIDLVARLP GLSHRRAEAKALLAGETAATPDQFPGLSHLNLVVSRRPKVENDIRLNLCHPLPADDVR LQTLSLALARLLPSVGQNRGVEPIRGRRLGADRWGASDDLIPTSCGLAERSVWYCS PEX2_017000 MSASQVLQARNETTTRLYADPHNPHLHLERGIQYEKLGFPDLAS ADAYRALALLESVVEPDECEFHARRKIDPSQQAPEKAANESDDEDEDEDEDDNTVPIT QEEYDAIIDQVYVLLVRSLVRCGCYRDAFEFGVRGLALLENRSATASVAALNVQMDRV KQIYKSRSGSETETIDLNSIDPSVLPAQGFARRVLYPWNEHEPDRRSPETLNMLNERL AVIAPKCEVRAVALPVLHASADDNSSSMDVSVQLGLFAKEDIAPDEIILRESSLLTAT NRLHDDLCDACNAPLPELSAAEPPVACEGGCVDIIFCSQKCHDTAQEVYHGAICGLED GLDSIGKDVPDPKDKADYLYLLLLGRALAMSATQDKHALELPEVKYIWGDFHDLDIES ISAEAETTPTTDDTATLPFSFQLNVLQPERFLDEMGLDPYAVLYRYDTWVLNTLFAKF RGTASGRLSTWDGGPELCAVHPLWCLANHSCDPNVTWEWSSEINFRARRDDETAVWSR GLEMKELRPGGIAKDSEILNHYCDIGLPVQKRREWASGALGGTCLCDRCVWEAGEVE PEX2_017010 MPIPTRSVSLRDPRKQTSNIARPATKPSPPLSATANSSKESVRE TNNKTRSPSNPSPVEGVPPKDNGLTARGRTLLPQRSNAAQENGRGTGYGRIQPPSSKP KTRGDPSPTRQREVSPAKKQTQSDTASMKTGVAPGRRQSIMRPGALKIPSAKITAPSS KSSAPSFAPPSPRKPPGMRSPVQPPTVRRPPSPKKTEMLPPPRSTRSLSLRQPVSASK GPPAAAKIHMRHRSQMVQNTKQAETTPAIGQRARALSSYQRPSSPKKFSKPPTPTPGA QPQPGNMLISSSWPDIAALQTELLQLSLFHSNSLQSHAEWKSDSETRLRKKYDSVASQ YRLVLADETQRQCRLNAQALGLWLSNCREHRGPHDFSEQIQILSQVLQDVSDMIAGRS AQYSQAVKTFEDWLNQAELIRHKRGPGCLDVGVFIDPLGRSWKESLRTLNVRLELCVR QLQVLDILGFGQVEHLEQSALARVARNLAELIQLMTQEIRAMQALEAEVVRSERDSVS LLATQLYLAATLIMKNSLYDRLWRRECGEQARHAGLRGIYGSKEWVNDLDIVNELGGH TGCVNALSWSKSGRLLASGSDDKHLNIYSYQPDSSNSPFALNTTVFTGHKANIFSVKF MPHSNDGTLVTCAGDSQVRVFDIEYSTGSRNEAATSAFAASTRSRRFNEFFSGTRYLS DGNTNARLYRSHTDSVKRIVTESSPFLFLTCSEDGDVRQWDLRLPSSAYPPPRGGQGF MAYRQGLDSDDSNVPPPLISYKPYHLDLNTISCSPSQPHYIALGGAHLYCFLHDRRML GQDTSAARGRATPSAASLNDDEEMSKATRCVRRFAPGGKHHAKHADDGHITACKISDA NPNEMVVSWSGDHIYSFDLVRSPDARETQTGNKSTLKGKNRQARRSSRSRKRKKPATP SSEESGDRHQSHRRSAEPDTFRIQYENGEAENVPFPPFSDSVLDTNPENLLEQARYSV LNDAQRLSMQIAKGLVRLRKTLFSETTVREAAGSDDVGPESYSICFSSALEIASTFLP QMGEVMREWSYPMNPSHETVNFQQALRRNRQSAWRFVQAAGTLSHALADQTQVLEDSN FQMIKPAPGEDDPIDPAAQFGYDFLRAILLWLQGGRHRLLEGFKRRNAPRRLHGRYPI PDESDEDAIETILVPYIMELAHDTPIVNVDASRFEHDSTRILFPTQRAAVTAFANAVK LPLEDLGGSAARFDRRRVSNPASQIRSLDRASTKRFWILRVGRGILMEVGSEVNYRFV NNAFGGLHTTMNESESDSDEERVQDDIDPNVEEERIQHIGLIGAGGSVSRRNDPRPES PQGDANSSDNSDVDTGGDGSDGSRAEESTDDEDLDGWPMDSSSDEEGDRFDSDDDMPR RYAIRKARRDVERHAPCVPHMRSYRGHCNVKTVKDVNYFGLDDEYVVSGCDSGNIFIW DRKTSNLVNILEGDGEVVNVVQGHPYEPMIAASGIDNTIKIFSPDQNAQDDARNGVNI LDPDNPSNKLGQNISSIGGLESRKRVHESYRIMSQNDVDRRGGRSMLERLAANLRDQH GGLGVGIDDIAGDGEHRTVIIDDNCSVM PEX2_017020 MANRVERNVRLSAMRSDREIARSANPNFNSDTGINNLLLAIDFI DLKLDTGVIGSAARVDLIDLHTEIKVPLEPRIDPEDLWDLPVRRPANSINLIEFSSDD ETAEVVTNVDSIDMNSNSHSPVPPDNNDGFETSSSTDLIALWLEGDRYHVRNKKIMRM RPTVDLIDLWSDTDVILVNMELVDFTMHTGVMRHTDSDFDPSENEYITQPSMSSMQST WPNMGTARRTYNRPGLINWLEHTHPEHSSTNAYMSFPDTESGSVTSGLLDTVYASKSS WGLKDRSVTSGPLDTVYTTQSMAEPEVGSVTSSPLGTVYTSNPNSGPEAGNAASIPLD TVHTSKSSLGFEDGSVASGPLDTMYTIISISEPEVGNVTSSPLGTVYTSNPNSGPEAG NAASIPLDTVEAAKSKPDSKPASEGSVPPDTVNSWSFAFAAGLTTRLEALKLETGWIW YSGVSNLPQWFLASPCRPWIVAHETKMIKKGVAIVGWLRCGVYLFLRQDQKYMSAGEN RDLTAYHEPRSSHRTLKMGFPALNDCIVRAMASLDDHEALVTGHGGGFLRIWNIRCGR VICWLHGLTDTISCIEVKKNIIVAGSCDGTICVWDLTKDTDNNASLTLKGHTGAVLCL KLHDNYLVSGGDDREARVWNIENGQCKHVLRGHEKGVRFVCMNDHAIVTASADTSNGG KSEIQVWLPGTGRFVAGQCKFKSISPDLLTHLDMVGDDLIAADDRGYVVKWDVTSGKR VILNYKEDDGIVALAISEKFVMMGKEEGDVYLLDRATMHGVRLLGHASQIWQVGILDT ARVTAAYKKDGHAFLSIWHV PEX2_017030 MKFYIVLLALAAFAMAEADPNLEARAGCSQKGKYCNGGTFLCCP GQGSCKGNQIAKKKYSASEAPSTEGVQRLLLVTGYALECLGCLFSQTPGYSGSVLPLL NSH PEX2_017040 MASSNPSYLIIGAGVFGVSTAYTLIQKYPNASVTLVDRDAYDAE SRVAASWDWNKVVRADYDDKVYCKLALEAQEIFKTDPLWQPHFHQTGVYWNCRSDYAQ NVIANHKELGRHDDIIALPVAEARKLYGGIFDNADYTGVKEVLVNRASGWAAAGDSLR AVTKRCLELGVSYVTAPVTNLEFDGRGSCTGVKTQSGEILSATHVIVAAGAFTPTLLE WSAAKSGNSGLRAGERILAAGITTGMAQLNEEQYEKFKDMPVGFQGYTPNEGKPFIGT IPPTKDRELKWWGSKIFTNTQEVLPGHYLSSPPPTHDYNQWKVPGPLKQDIVEARNLW YGPESATWKMTKHRICWDAFTTTSDFIISPHSASKGLYIATCGSFHGYKFFPVLGKYI TQMLEGELAPELIEKWAWDRQRPDSSENVEYPNSEMKHLLEPAAKL PEX2_017050 MATGHPNLHSFANSDELATQLRGYVLRCQNSALSRHGTFRLAVS GGSLPAVLAKALLATSNGSPEDTAQFSKWHIFFADERAVPLDHEDSNYRLLNDELISK IPAELGSPVIYPIDKNHVEDDDPQELADLYQEDLMREFAAKDSVKLPAFDLILLGCGP DGHTCSLFPGHALLREKDAWVAAETNSPKPPPKRITLTLPVVNHAFNIAFVATGAGKK EILKQIFDLEEGSSLPSALVNQGAGDKVTWFTDHPAVDGVSFPRRGSL PEX2_017060 MSSPVQITPQPQTGGVARPSESTSPQTLDIVRCSRCQQSLSLGQ SGGSIVQFGMNSYYCSRCATKVGFGG PEX2_017070 MPSLGRDKGRSRSRRSDDEFVIFLQGIPPHCRWQELKDLVRQTA LHIRQAVVYDDSHGFPTGLGQIIVKNEDEAWRTYHRLSTSGWDGQSLVVTLSRTSTPT QPIAGPTRSPSMMPASYISGQSTPPLVHGNIAMPPSPVSPESSHPATPPYPYPEYGVM MVPIQIPQGYMPMMPDPHAQPMQCYPPSPVMNGTMYDPHWNMMPGYQMSPPHHMHHIS GDNQPQNYSHYYPGAKATNSSSPYFSPDRRAITIENLNSTTTCADLKTLLQTAGTVQK CSIVATDSVDQSGQLRGLITMQTAEEAQGAVTMFNNLSFMGSRIRVKVDRGSHLARSV SFDGVCAGSDTAGSVPENGDTCQSWADEMTAEANAVDNCKPLVIDGSGLNRAGEGLST SAPT PEX2_017080 MLSALLTSLALAIALLFFMIWGKKQNPHSTSNDPAICRLIIAGV LKRKDQPNILSPHQAKAMANRHLPIAFGIDNAFTRTDSAHASMFVEKVKPLINLSEKQ WHSVSEFARVTTIHWIEHGFPGLDHSCGSSCHGGEQKLKSNKISVDRNRINIASMAQM LSLKVVLWLFFNQKTQDQTCDENLLNLAQSINRVWISSKVRATEDDIPRFEEDVLLQT SLVNIFGKHDRPEDNPLNLILPSFETMWRVVLRAFLEIRFATGKEVPAWRETMVAFAE KPTKPQFETGPVCPSSRPRSESRNKSNTGHTRSVQSSPSAKHIVSESLRLYVPTRHIH RAYQWDQGMGTSHEIKSADIEGCHLRSDIWGSDAERFNPGRWSAPTSAQRDAFMPFGC SPFECPAKPTFGPRMIGVLVGALLVALDDKDRPNTWTMGCENLRVLEHLTSGKKLCPH RNTYTDLYLVRSWNKEE PEX2_017090 MANNPTFKPSPLSFGSPRASPFRRPSTPNSPPSASRTGGTPGSS PNRAYTPMVSPSKLNQSYTVEDGESRSPKSERPIPQPNFGRELPPSPTKGARSPGSLS PIFGTRTGGFTAPAGDAAGKLSAQQVREIREAFQVLDRDNDGLVDKDDVIDVLTTLGQ DSSSSTLSRFFPPGSDQTMNFPTFLNTLSGLMASMSPSQELLNALAAFDDDDNGQIDA TELRDALLHTSPEDGEERLTERQIDEVFSGFIGRPAFIGRGAKSGGMGKRGEVFQYHD FVRSVMGGENGNNAKREGDAAHPSWALGVPGPDTPASPRTMRTLRKIQSHQVLSTSSA LIAQTQASRQSGSHEADPGSAATPGAATRARAHRRARSNSDAASREAAATPPVTQRRP ARKTGSGIGIKRSLLENFLRDGPQSSDPHEGLRELKYLVLSSRVDADGDGMSPYRIYL WLVLLDIPPMPTDDYLALIHRGRSPAYAKIRNDTFRTLATDPLFKRRVTEASLIRLLN AVAWKLHDSRPKSRSRPTSSRRRDMELLGNAPPSIEEESPFGGELEKVSHSPTTDSAM YVQGMNVLCAPFLYAGRSEVEAFALFHYFVTRECPGYIRGAMDGVHKGLRLVDRCLEI VEPKLAAYLFSKGMHAELYAFPSVLTLCACTPPLPEVLHLWDFLFAYGPHLNILCIVA QLIRMRDLIFESPSPNKILRSFPPLDAKEIIALTVLLVRKIPEDLYAEMISHAK PEX2_017100 MATTIPYRPSNDSLSASNNRQTNGLPRLSPAASFLGRSPSSSHG SYRRTSTEHATSSFKPRRCKAQYPLDSPERHVEYILVASFHIDRGPIMEHQYPAAISG DESMLAELMLPDQTHVRSQDWTMFFLHKDTSGDEETDSAGGKKKRKRKSQYHGNQEGA DIQDENLDEISDDPDSSDDEGGEGPPLMYVLNLVNTKQDNTVRRGAVVKAMAICTRHS FLHIYKPLLLLALEDYFKSPFPETLASLYDAVNAMDLSLMPKMSILERHILQSSNTKD MFLEKFEQMIRQREEEELQDGECPPSPKKMSRYALPRDTHEYESKVVYNDIPIPVKIP TVIWPETVGDFSLIQLIQTFAVPHATSPQAFPAHPHLTTSGPFTHPVIVLVNAILTQK RVVFLGHNRPSGEVAEAVLAACALASGGILRGFTRHAFPYTDLSKIDDLLKVPGFIAG VTNPTFANHPEWWDVLCDLPTGRIKISSHVEPAPVTEGLLFFQQQNSLLPNNTSNTTH DPTGDTLFIEDILRSISQRHGENAVRAKWRAYITKFVRVAAAFEEAVYGASNLYIIGP GEELSPDSPTGHQTDASDPTTLRGHGYVWTDEAAKQRELSASVSRIEGWRNTRAYYSY IQDIAAMYFPARPIQRPDIHHHHDRLRALKLSHPEAASIYLALSHSVKDYAGICQLLT VAPENQAGLFYVSMGLFHPDQAVREATADLLDRIATHPAGRHFWTQVGRFAKLGHIRV KRERDAATQSPISGGGSPVPTSPGASFGGEPQSLVGVAMGGGSKRRS PEX2_017110 MSRLYHIYNLLILITILYLSPTWAQSVEPTDLAMPQSAWHPNGE IGIPEEITTVCRRTIVTASASNTTGIPKPFDTLSYNFANSTTCIDFFTKWRANTTISD CNAISLLIENSNAFFHALSSAPATSRILDTSCSANVTQCASIMTALAVDLLKSDNCGE DYDDGNSVVKGTYRDLVAYEPMYRATCLTNPSSKDYCFVDAVSNSTAPDDYSVYLMPL GTPLTVGGVPTCNKCLKATMDIFSSWARRDGQSLDTTYLPSAKIINTHCGDGFVSTNV TVGSADVRAGAGLAVPLPKFGIVTVMASVLGVMLTGLF PEX2_017120 MDSLVPETRVLAVASHVVYGHVGNTMATFVMQSLGCEVAALNTV HFSNHTGYRQFKGTRATAQEISDLYQGLCQSNLTDFDVMLSGYAPSAAAVESVGTIGI DLQEKAEKKPGSFFWVLDPVMGDQGRLYVNDDVVPAYKKIIPFADLILPNQFEAETLS GIKITSLETLASAVTAIHRIYSVPHVIITSVQLLKLSQSGSTPPPPENFLTVIGSTTR SDGSPRLFRVDIPALDCFFSGTGDMFAALTVARLSEAVSAVDGLRTTKSWVSPDDVAA TDLPLASATVKVLSSMHSVLERTLESRDAELAVAVPALDGEASAEELQKRDYLRRTKA AEVRLVRNTQVLRDPKILFEVQDWKKEDLPKDLR PEX2_017130 MTKETTPPPQKKSLPAHNPPSLPASPLPKRSKPDTMTAPAVTSI QQPLPPLLVKKLVESAQAPTRGSAFAAGYDIYAAKETVIPAKGKAAVDSGIAIAVPEG TYGRIAPRSGLAAKHFIDTGAGVIDADYRGEVKVLLFNHSDVDFPVKVGDRVAQLVLE RIYTPEVSVVEELEESVRGAGGFGSTGI PEX2_017140 MAGEVRQPIDIPSLEKYIDQNVPEFGFGQSNPTYLLTAADGKQV VLRKKPPGKLLSKTAHKVEREYKIIRALGDTDVPVPKAYCLCEDDSVIGTAFYIMEFL DGRHFTDPAMPGVSGEERKALWKNAVQTLAKFHSVDPKSVGLETFGKPTGFFDRQVAT FKTISKAQAAVVDADTNEPVGELPHFNDMVTFFSQKNTQPLDRGTLVHGDYKIDNMIF HKTEPRVIGILDWEMATVGHPLSDFCNLTSPYIMDGGDSQLSQFTPGVVPGLPRREEC VGWYAETSGYETSSDVAWGDAFFAFRGSVIMQGIAARFAGRQASSARASDYAKRAKPF AEEAWERVKVVKRASEGKL PEX2_017150 MTSAMYDSDDAFNRSPPMEAIKPNYGKSETPPPFVSTSEKDDGA GSRKPNQPKPQHSTLQGDRVLMEHLAPKHHEIAAAASMSTLEPLSGNHKNFKQPQDFD PRGRSNPYRDDPPRKISLELETYSGSGLASFNETETKPFHKELAPIPLQPKQPEVEVK NELQRERVPSISVPSQRSPQGLLYLKTSSEIKPEFPPLPSLKLTQPLAKSPDSSSNKQ TLPSIQKALSELSDFCPPVNTMPSPFALSSCPGSTTSGNDSPFDRTFPGKFSIPPSPF SHFSPVSVKDSSTNPSPASHSSFWRRTPQSEMLSAHTPYESSPMTAKSPATSYPTPTE QVGVGMGDRHSLAASTPQANGGPVGIYKCTHHGCTAAPFQTQYLLNSSHANVHSQDRP HFCPVEGCPRALGGKGFKRKNEMMRHGLVHNSPGYVCPFCPDQQHKYPRPDNLQRHVR VHHVDKNKDDPILRQVLAQRPLGSARGRKRRTNNT PEX2_017160 MRLSLFRSKTKPGGLEHDLYPTEGAIGMKVLSDPSNADLDIIFV HGLTGNREKTWTHENGTLWPRDLLSKDLSTARIMTFGYDVDIFSFTSITFSDRLYDHS QSLAYAIVSQRIDCSSRPILFIAHSLGGLVCQQALVLSNSIDGLWAISSSAIGIIFMG TPQYGSSLAFYREKLAKGMNIVYTANRDMVGALHPDSNNVQLAGNEFQAMLRRGDLAL KVFCFFEAKDMNGIVGKIVEEHSAVLRGYENGSIDADHYNITKFGSHGDAGYGLVRSI ITGWLHESRGGDAAADKAAMAASVSGNPAWLGSWTPGNFYFNGTANSQIFAQGYYTHG ETFAFD PEX2_017170 MPERFPPKGSADYYDWEFSFSSSEELPFQNIVYIPNIPNAGNMY PIRQKSKSTYGTVPDIAGYPASPEPQQLGVPTNIPTNTPSNSHISTLQQMDFMDTQPD PRVFLSPGNYSTGRRGSIDTDTIGSQYAWSTASNAEILSTPSRMPSFETSIGPTTAPF IQSYYPPGSLQLGMSGPGVSGYTPQQIDKWCIESEPAAEFYQPQPFSNMGSMHYPPSA VVQPEPYPAFNNRLDTANEQWLSCPPHSSNQVPEAFFSLPRSVSAPRSVPAPTISISS SPPHSISDPESPPAAPGSASGSGSGSNSGDLSNYGIPMGDGTWRCAHPGCSSRAVFHR GCDLRKHFNRHRKYLFCRHEGCSQSAKNGFSSKKDRARHEAKHNPGVFCEWEGCGKVF SRVDNMKDHVRRIHRKREAGRA PEX2_017180 MTPQLEIFIDALSRFLGWAYMLCWSGSFYPQPITNWNRKSTVGL SIDLSTINPLGFVCYAIYTSAFLFSPVIRSQYAARHPASIDPTVRFNDFAFAAHAVVL TVILYTQYWPFIWGFHVPRFQRISWTMAVIFWGSILTPLLVMAVVLVQSPDGGYDPST WAWIDVIYSFSYIKLLITIVKYMPQVALNYKRQSTVGWNIGTILLDLAGGILSMLQLV LDSSLQSDWSGITGNPVKLLLGNVTIFFDAIFCIQHYVLYRDTPDVKGTPGPSEQTPL LVEQDDAVPDRV PEX2_017190 MSNSDLAAAGSSTYASNTLHVGDGTWDSGRDTFLLPNLMGVNFE TMRYNGMGNRFKDMAGYHSIIIAHGVIATIVFLGIVPTSIFLIRYYSRWNPYWAFKLH VWCQVLTLLLTTVVFVLGWFAVGPKRSLTNPHHGIGLAIYVLVIFQVLWGWFLHKKES KRQRLHVPLKLVLHRWLGRALLLLGIAQIPLGLTLYGSPKVLFILFAVTAFGYLVLYF ILSYRYDVEGYHTGSDHGGGHSQYTGPSEISEHHDNHAGAALAAGAAGAGLASMFRRR TSSRHPSHAYGDSRTSISDEKYSDESSHHHGGGGGKGGGGFGKKLLEIGALVGAGLMA KKFWDRRKKREDDAESGRYKPAHSRSTSHTDESLSRMEDGRPEPTHHTPLNRPPSRAP SRARSRSHSPDSSYYYNSTYFTEPPKRNASHGIRDAVLGAGAFAAVKRLFSRGKKDDE EKRRLEDIKRREDEDEALQRANSRRRPQAGEGLYPRRRASSYSETDLTETDLSRPPPR HTSHGESLLTAEPPLASGAIHSVVSDMPPMPPSHQQLSGDLRSEAPPSRHSLAHGGAE FASGAAAGAALDAASRRNRSSSRRRGDEVASPPVSVKVKMHNDGRHVTLRRLTEEEAA ASREARRRERRNSRRRNSSAGSLSGNEDAGYDRWRRVEELERRQDEQIRREQAAAASA AHLAPPQASMAPSGSMPTSSWGAPPSQVSQMPPAPPIPAPSTLPYGAGSVTSPTYTGT EMSGSYANNRRRRRAERARTRQERQQQHGVEFT PEX2_017200 MSAPLLNPDLSSMQFKAEIGSSPASSIFEIEHHGNRYALKVFHD HGDPGFSEDGRDLNRFRNEAKAYSNLHSFGVCNSGLVPKYYGSIDRIDPSCHQPWLIS FLDDKFHPSAILLEYLEGSEPLNCVNYSKERLSKAMEALVQVHSALIVHNDLYPKNIL IEEDQQEGLPPNTKYY PEX2_017210 MVFTKSLKSDPLGIVDTRLMMTDSPTERFISPLSAPFTGLDGTD ERQPGGQTEAEASPKQAHRMLELREPFRGFGSSRKYPRIELDVAVDKYHTLDGLAEPL NPQNTPASNSERESAEEYTESLRCYKMENLRTSPAPQTDEFNFDCFQDSPEASFVCED DEGESPKSSKLRRLSVGRRQWIKSPVAALSNHQPGINTGNFNKSGDPDSLLRCVRFSP PASLSPPSRAIRRPLPSIERRQDYVALHRQKKQYRKWKVTSRANSRKSSPCNTSAKRT QSLTARIPKNHDLRGSSLSSLPYRETRQTSFLARVGLSSGAREVRAGNVGDTSVANAS KNASNTEKNLPAFLAVSFSLYTVRPAPSSSAEKAPKYAFRFRITRTDLPQQPSPHFPT EFEHINCYPIIDDLLFHICFIIPALVVLLPLSFIFAATHPLANTRAGVVMLYLARALL YLLMNTISFVLSKCGVSCGCQ PEX2_017220 MSSFRFARSALRARPSFLGAPVQRRGYAEAVADKIKLSLTLPHQ TIYRSTGVTQVNIPAASGDMGVLANHVPAIEQLQPGLVEIIEESGATKQYFLSGGFAV VQPDSQLSINAVEGFPLEDFSADSIRAQIAEAQKIATGSGSEQDIAEAKIELEVLETL QAHVK PEX2_017230 MAKYKNNCYCLRDVAPLTMNPVTIEKNQDRIYSNRGLGGYSWHV KKKSFSNRA PEX2_017240 MPSSTTINALSSAIVARFLRSHDYSDTLKAFLREADLAPDVGQS SGDDTNNWTIQGLVEEKSTYDQTVNFERYGTGNQQSALWSEPAPSRPAVIQTPTSSNI LAASVEQWQKLSGDADEAVADGVSAQSYIVSTGADRQVHLLEKAEGNTAIKSFSDLSG SPVLSFTSILQGRYILMTNMSGQLLLHHGSQTLDSRKDHAKYAVKVVAYEDKTDPSKW WVATAGWDEHVFLYCLNIPDEADASALKIGEPVARIELDSNPESLLFVPHVDTNELLL VVSRRDSTYIYYYQVEAASEETDTPRENDGATAEKSPREARLLGQQSLAPHSNSWIAF SPAHMALSPNDPGLLAVATSTLPHMKVIIVRLLFPTTKTAPASEDPVTQASQALATLD LQNREDAAILVQANTFAPQTAYSTPQVAWRPNGSGVWVNGDDGVVRGIETKTGKIIAA LKGGHEPGFKVRTVWSGYVAVPQEEGEPVLEEWVISGGFDKKLVVWKV PEX2_017250 MALSGAASAPTAEMDIDMDLDLGPEPEFESIQVETTPNLETTVD PLTDEAVYEKVHVRGVDELTTENIKQFARDCSGQEPVRVEWIDDTSANIIFSSAEIGL QALTALTQVAEEEDASTLPPLRLRSAKLLSTHPDSVLQVRSAVKSDRKQARAHEKSRF YLMHPEHDPRERLRQEFADRRRSDDAGEGDYRRRRFDDREHRRRRDRDEDDHFNADMY DDNVGSEPERARGQPRGRGQRDLFPEDEGRSSGRLRNRSASPGRDTLEEELRVERGGR DNSRRFRERSPRYGRKNKDKELFPGAGAGESESGNRELFPNKTTSSYIKKELLPSKAS HHRRSDAFDASSIPGTSEHRRHSNIELFPESTNNGARIRGAAAVTEDQGFAIRGGSSN GMSIKGRGASVRELFPSKFDGDTNNNAIAGANAGKELFSDTLEGRGGRRRRAEDMFS PEX2_017260 MLYAEDDKLVFRFDDHILWIQPWGENAFRVRATRQASIPTEDWA LSSKPSSPSPSIEISADQEATITNGNIKATVSRRGKIIIYDSKGNKLLEEYARHRQDP KDPKCSALTVEARELRPILGGDYHLTMRFESLDHKEKIFGMGQYQQPYLNLKGTDLEL AHRNSQASVPFAISSLGYGFLWNNPGIGRAVLGTNVMSFEAYSTKALDYWVVAGNTPA EIEEAYAKVTGYVPMMPEYGLGFWQCKLRYTNQEQLLNIAREHRRREIPLDLIVIDFF HWKHQGEWSFDPEFWPDPEAMVKELKELKVELMVSIWPTVENASENFPEMLEQGLLIR HDRGMRVAMQCDGDITHFDATNPAARKYIWSKAKQNYYDIGIKTFWLDEAEPEYSIYD FDIYRYHAGSNLQIGNIYPKEYARGFYEGMTAEGQTNIVNLLRCAWAGSQKYGALVWS GDIASSWSSFRNQLAAGLNMGLAGIPWWTTDIGGFHGGNPDDPVFRELFTRWFQWGAF CPVMRLHGDREPKPEGQPTASGADNEIWSYGDEVYEICKRYVGIREQLREYTRGLMRE AHEKGTPVMRTLFYEFPSDERAWEVETQYMFGSKYLVVPVLEPGQRKIKVYLPGGVSW KLWDEKDIVYEGGRNVEIECPIESMPVFCRQ PEX2_017270 MRKAAILQPPLMHKSPSELKFPITNNDTTVRNLPGPKKKPTPKK ERVSRTRKRLETTL PEX2_017280 MVVLCLIPTLAERVSNGSFQLKNRSDLVLAPFSIFVIVCRCRVL VRAMLAAFIELSLSLSWK PEX2_017290 MAASEQPYDPYIPSGSAGAPAATGQNGNQRTAALQAQIDDTVGV MRENINKVSQRGENLDSLQDKTDNLAVSAQGFRRGANRVRKDMWWKDMKMRVCLVICV IVLLIVIIVPSVVATKH PEX2_017300 MCVAKMMSLRSAVRRASCKPLRSLSAPTAPLRVSAAKTATSLRQ TRHYSRSTDPHLTSTRSTVVQLLSNIGSKREVQQYLSHFTSVSSQQFAVIKVGGAIIT EHLQTLSSALAFLNHVGLYPIVVHGAGPQLNRMLEAAGVEPQFEDGIRVTDGKTLALA RKLFLEENMKLVEELERIGIRARPITAGVFSADYLDKPKYNLVGKINGVDKAPIESAI AAGCLPILTSMAETPDGQVLNVNADVAAGELARALQPLKIVYLAEKGGLFNGDTGEKI SAINLDEEYDHLMTQWWVRHGTRLKIKEMKDLLSDLPRSSSVAIIHPADLQKELFTDS GAGTLIRRGNKIHVKTSLSEFGDLKALKEVLIRDREGLDARAVVDRYVEGMKEKDFKI YYDEPMEALAVVLPPQPNSQMAHLATFTITKSGWLTNVADNVFASIKKDFPKLAWTVK EDDENLTWFFDKADGSLSRDGQVLFWYGAENGEEVKQLVQEFNAHGRQMFGDINLEAR LHRAAEAAANIGKGFGASGASAEQKRGFSTATNALRMTRAKRPTVAVNSFRTYATTNP NPPLGEKNISNTQPAKVALIGARGYTGQALINLLNAHPNMDLRHVSSRELAGKKLQGY EKREIIYENLSPEDVRKMSANGDIDCWVMALPNGICKPFVDAVDEGSKTGNVIIDLSA DYRFDPKWTYGLPELVERSKIAQATRIANPGCYATAAQLGIAPLVPFLGGQPTTFGVS GYSGAGTKPSPKNDVQFLTNNLIPYSLTDHIHEREISAQLGTSIAFIPHVAVWFQGIS HSISIPLKQKMTSRDIRNLYQDRYAGEKLVKIVGEAPLVKDIAGRHGVEIGGFAVHSS GERVVVCATIDNLLKGAATQCLQNMNLALGYSEYEGIPLD PEX2_017310 MFYSHEILTSPEHGVATIWLVATLGSRSIARRLNRKAILDVDVP NACRVIINPDAPMALRLQGSLLPSNLILPYDPSFLPETALPGLEIDLSYFTATTDDSS SQLSGLWTKSPNNSLSGTSQLSSLHLELPSDDILGEGTILGIDEINRSAQKQYTFRNM TGLGLGNEEGVLLQPDFEFDEDGNIIELGAREKSPHARKSTVDPRESEGPIDDQKHQL YEDSAIRVAETNDMMELDTQTAGPTIPQANEDLDGVEETIEIEVTRTRRVTRPKEIIS DDTTALRNMTMAQWNNEYVANMTQAMKQKQQNKIPTISKKNAAFWVFGQGIGSVGVGL GMDREPHPLSLFSGEKLFKAVGGYPQRKGRKRSADDNEISGGRRVRARDEQAEHLSRE NMDRFNMEVEIGRDAPSSLFDDHSSQMPWNITASIQSSRQRHRLGSVSELSSQGRKAR SRLTSASPLAGRSYLGGKDRLSLELLGDFGDDLDLTRYLEGELATDRENISSLSPSKR SALERAKSTLDRESLNFIEFMKTKMGTANDGNAGNVQTPSSNVSSPVVARLGQTTFAS LLPPGRTTRAVATQALMNVLTLATKGVMHVHQDEYIDESTEWAICYRYGEIYLQLSGN PEX2_017320 MGRTVDQEVHAAFVEFRAKEDDKKQHLLECPGLRGHPNAPQPSQ PAQAAPNGIGPPNGYPGTPNGPTATPTGPTGPGTIPTPNGTLMTNGVNPHATPLQTPL GALQNRATMATPVPPTGPPSAPPSAVPSRPTPKPKAKTTSSSLPAPPLDDVHAAFVEF RAKEEDKCLSVQCIYCQQVRAKNTSRQRQHLLECPTYLSVMKDSIPANNLLHTFPEGD VARSLQIPAPSLELDFRMSIKMNPKVSVGPSVWGQREWVSFIGGQWAGRWGKGIVLPG GQDTQIVTKDSTTNLRASYILQTVDEPPAFIIVRTEGWLTGAKDVLEKVIDANMADGV NPGSYKYRVNLSMETGDERYTFLNTLMWIGSGCRRGHEVIFDSFRVN PEX2_017330 MGLSLLRSKIPTRESIIYTVCVNAVAYKFIATVVNLEEDTDLAL ELRKNAKEYRASAQAALKQIPLLIAPSLALLQAIICGIFLHQGSGDVDLSVELTKAAC NTCIDLDLSRKALCGNASEEELFCFLWCYTLDRNHAFKSRTSRCLLDVQLPSNFSDLY PTYAPMAEHFLIYLDLARVQDTVVSYLPDSSLNPQNLTLLYDTGEYMLLQMQYIEQRM NNMASLSSEWKGLDSQPEMSALKFAYQSVMTGILYLLQTDPGQPTRSKDSYLQSAREE LLALVSMCHTAEKQTAVNFLNWTILLYPATAYLVLFCNVVATSDIGDFNLMKAIAECL GQTGISYPLVQLRTLYQKFLGLSQEFFNDERNALQRISSPQLGSPITNPVGNPFAISW GADDLVFNQFVFTGAESFSSMLNMPEIDPSLPYSFD PEX2_017340 MESLDLPDQIRLHDLSNQDSAISSSKESGPRHKGSSIRRYPTRR IKLTQGSVLSINYPVPSPIRNAIQPEYRDAEGELSEEFTQMRYTAATCDPDEFTLRNG YNLRPSMYNRHTELLIAVTYYNEDKVLTARTLHGVMQNVRDIVKLKKTEFWDRGGPAW QKIVVCLVFDGMDPCDKNTLDVLATIGVFQDGVMKQDVDGRETIAHIFEYTTQLSVTP KQELVRPYKDDPMNLPPVQMMFCLKKKNAKKINSHRWLFNAFGRILNPEICILIDAGT KPGPKSLLALWQAFYNDKNLGGACGEIHALLGHRWKKLLNPLVAAQNFEYKISNILDK PLESSFGYVSVLPGAFSAYRYRAIMGRPLEQYFHGDHTLAQKLGKKGIDGMNIFKKNM FLAEDRILCFELVAKAGNQWLLTYVKASKGETDVPERPAEFLSQRRRWLNGSFAASLY SVMHFNRIYKSGHSIFRLLLLHIQLVYNICQLIMTWLSLASYWLTSSVILDIVGTPSA TNKNKGWPFGNEATPIVNNMLKIGYLAVLMLQFILALGNRPKGSKFLYTLSFLYFSVV QAYLLVLSFYLVAQAMTPENLTFDVHHGFGALISGFIASTGGLVLIALVSTYGIYFLA SILYADPWHMITSSWAYFLGMPSSINVLMVYAFCNWHDVSWGTKGSDAPEKLPSAQTK KEDETPFVEELDKPQVDIDEQFATTVKRALTPWQEPIENEGVALDDSYKAFRTNLVLL WTFSNGLLALCINNLSIDRLCLTSTSTPRTAWYFKIILWATSGLSIFRFFGALYFLAK TGVLCCISRR PEX2_017350 MATTTTTGALATSTGCGTSAQYEIPVKDASCGVPNNDKYKGFLS KCAKPVGVTAYDNDCAIYALAVDQSVQDLTDCLYKAGVAWKDVWCHGDTNATATATSY PTASETSTKSSADKTSSGTSTHSAATSTSTSSGADGSLPKAHTSLKAVVLLSTLFASA VFMGL PEX2_017360 MFRSMRRLPRRAPVVFTVSSRSLRPFTSGYTRMTPVQPPVSTTL PSDAYQLLSTQEKAGAAEDALYDQQLRDVESWWNSPRYEGIKRPYTAADVVSKRGSLQ QTYPSSLMARKLFNLLNERAAAGQPVHTMGAIDPVQMTQQAPNQEILYVSGWACSSLL TSTNEVSPDFGDYPYNTVPNQVQRLFKAQSMHDRKQWDTRRKMTPEERKATPYTDYMR PIVADGDTGHGGLSAVLKLAKLFAENGAAAVHFEDQLHGGKKCGHLAGKVLVPMGEHI NRLVAARFQWDMMGCENLVIARTDSESGRLISSAIDVRDHEFILGIAEDVEPLAETLQ AMEREGASPKEIDTFELDWVKRHKLITLDEAVDAHLESEGAPQSSRDAYKAFVKKNPD LSFSRRRALANDYAKTPVVWSCDSPRTREGFYHYSAGFPAATKRAKEFAPYADLLWVE TGDPDVEKAGTLAGEVRAVYPGKKLVYNLSPSFNWMGQGFDEASLKSFIWDIAKHGFV LQLISLAGLHTNATITTELSRAFKDEGMLAYVRLVQSREKELGVDVLTHQKWSGAPYM DGIMGAIQSGSSSSKSMGEGNTEKGF PEX2_017370 MVLATMPPFSKSGLQVLAGEYLAGPLLKWDEMSLFDQLFAAGSE PEMSVLTWELTELLCNMVIVVGPGSPQAYLLRVVLAPEFAWFGLHWAGMPHPAQVSTY SSDLLDLLHSRPLAASVALHQNLGLGDEWKTLVGRLWAHLEPYSSYLPAGQLQLAAGN ENDILSRMEDVEYPPSPVEEDFGGVLPMEGVEFVSVSGVYIDTMIRDISPDMDVCAER GTVSAAPPAPMIVPPSLPLVVPSLPAVPPSIPVVPPSFPVAAPSTNKYRQRIKIPSSL DPPDNPASARGTLGTQKPKQTKSRNGCITCKAKRLKCDEIKPSCHQCERRKVECGGYK KDFKWRPFEEINLASGRPTVTKAKKASPSSHKAPSAANIDQSFPTPPTTEQSASPIRI QSHKFDGSRSPPGSPLHSSPISINSFLSIEARSLEDLPLIREDVSMAECTEPPLDINV SLSADSPPFNFLSFVDPFQDSLSLANSLVSDVDAGHARHMPFGPAQPDHDASRLSFSQ LLEDENDDIEEIIRKSDPGLGPWNFTFPERDSFNIDPPSMSDNPTLAPESPEMLVLRF DKLTCGILSIKDGALENPWRTLIWPLAKDTPALRHAIFALTAFHSVKQSPHLRAQGNM RADAALATSLALAFADTWDQHTRTCIQHLRGAKTLMCQVIASGMHGGVTDKELDRIRF LYNTWSYMDVIARLTSLDECGPQNWDSSIFELPSDTVHDIDPLMGCATSLYPLMSRVA KLIQHVRKSSSNTVSVVAQAMELKALVEQWEPPQWFEPPDDPNSEVQHSIQVAHAYRW ATLLYLHQAVPEIPSEPADELAKRVLILLATVPYTSRTTIIQMFPLLAAGAEVDTDDD RKWVLDRWTTIQSRLMLGGIDRCLEVLKEVWERRDAMNARKEREIASSRAAESFSSGD REKMSSSLPTDFHKYSLNGSHKGPIGGRSVQPTSRFARRGSALASLENIEFERTVRGR LHWVNVMAEWGWEVFLG PEX2_017380 MPLTIHHLGRSQSERIVWLAEELGIKYDFVFHKRDPILAPQSLK DLHPSGTAPVIEDDNSPFTKNKVVLAESGAIIDYIIAAYGEGRLARTPKDGEEYIHFL EWYHWANASLQPTFFRVLMNRRLSNDPEAPHVKLGDVKLGCALSMIEARLGETGSYLV GNDVTAADIMAICTLTTMRGFCPIELDEQKHKNILAYLKRVGDRPAYQKAMKICEGED FVPLLGAKAEQFVFPY PEX2_017390 MNAQISPNQTGTDVSDRLVNSPQNPRSCPCGWYVYYSAVCGHVY QEVKFQCGAYQNVEYESGNITRPSGRGRFCKTPAPKNIVQGVQIDAKCQYY PEX2_017400 MINPQDRFWSDSQGYYGPSENPATKFYSNVWDWDQLRMIKVKGT TKLFPPDGDIELSILAPLADYLSPEVRAITVDDDGLLTELSTDLEEDDTMFIAYPPLS LYGIMHQDITPRNVLIDSSTHKILLFDFDRATSGEKNLHDGRDDVSSVVFTNYELITN DTSFSGIPYWERNIDMVQNVSEWTSHRELDSKVSEFRKHLNEWVATRRSNVDEDMKRY LNAPHRLTLPDLPTAPEYTVPFEIGTRNGKPVWRTGDGLGTLQ PEX2_017410 MAVPFTYHAKAQSTFKPPLIANENAFLGDVESSENENPDKPMSC GFYRLEKGTPLVYTYTYDEMKIIVEGKFEIADETGQKVTATPGDVFYFPKGATITFTT DDYGLAFYTGQRKQGAA PEX2_017420 MAIISEDHLGLRLPNPPPLLHTRGQFDRPSTPADGFTSPPQTPQ GSPSKSRLPPGALDLPNVFDKAMKLTPTSPSKSTYNHYNHPMFSAGKSGEDFNESVIH QNPSSPTRRANKENTPPNPTRLTKDLGSTPTAAALSRQEPYQPRDDTTRRQVQMRGLT PEEMEKLAQPKVKRLVNVTQLYFLDHYFDLLSYVHNRQTRHSQFKAAYPDPPATPDEE HEPALLKYLGRERAHLRKRRTRLRQGDFQILTQVGQGGYGQVYLAQKKDTREVCALKV MSKKLLFKLDEIRHILTERDILTAAKSEWLVKLLYAFQDDSQIYLAMEYVPGGDFRTL LNNTGVLHNRHARFYIAEMFSCIDALHVLGYIHRDLKPENFLIDSTGHVKLTDFGLAA GMLSPGKIESMRVKLEEVGNTPVPFGRPMEQRTMAERRQGYQSLRQREVNYAKSIVGS PDYMAPEVLKGDQYDFTVDYWSLGCMLFEALAGYPPFAGATVEETWQNLKRWQKVLRK PVYEDPNYFLSRRTWDLITKLVAGKEQRFKNIKEIHAHDYFAEVDFESLRDQRAPFVP ELDSETDAGYFDDFSNEADMAKYKEVHDKQRALEDMADRDDKMGKGLFVGFTFRHRKP ATDSNGRTSSPRKPIATDGTFGTMF PEX2_017430 MFRFSKTLDPITLFHSPSIQASTRTLNILKQASSTASETATEDQ ASDHSTHAKQQRGEFELEVTTSPPTTDQLRSILDYISPVSGVGGPGNKVTYGVAELVK GARDAEDALKKFKEDNENFVRPITVDWVNGRAVIGDNQSEILRMVRQLPDN PEX2_017440 MSMSMGDMPAGSMSMGDGVPSLFTLQKMYWAVVGSAIGVATLVN VLDRVIASHRLRDSSLTPAKPKSLFFNLYATIIATAREVTYATPRPLQLGGLTLHFPP LGPVLIMLANLIVVMVLCFYKLDTLDPWAWENIGYRTGFIAICQLPLIFLLAGRQNII GFLAGMSYVSLNWYHRWVARTLWLTTTIHMGFWFRDWGRWNYITYQLYNDPLTKRGFA AWVILTFIVITSFAPIRRLSYEFFVIQHLVTFVGFIVAVWMHAPDEVKTWVWIPIGLV VFDRLARYTWGAYANLAIFHRKNNSNALWAHSATFTPLPGNVTCVTIEAPAIGWQPGQ HVFLTCHSVVPLQCHPFTIASIPEDNKMKFFIHAENGGTRSFFRYASKSDGLLGNGQV MSGTTVFIEGPYGSIRPLRQFDSVILLAGGMGATFTIPLLRDIVSAWKIESKGEQIPA RIARLTATKRLRFIWVIKSRSQLTYFDSELQSLLVDVNECRRTNSNFEKEIEISIYIT CDEKLDPPISTLLPLQTQSDPILDTFTQDETKEISEKDNISIHSISAESTTTPGPVAT GKGCLPGGGCCCTAQIEDEEISTTCACTCSGPAPVQPEKAALDVKSLRPEDLTSKTTI HPNILSGRPTPRTIIRKVLEKAEGESAVVVCGPRGLADDVRRSVVSLSDERAVHKGTG AQGIYLHVENFGL PEX2_017450 MSSSMSSMSMTMVFTNTHNTPLFSNAWTPSSSGSFAGTCIFLIV LAIIDRCLMAFKATMERHWLATHLNRRYVTVAGKSTEAGNIDADPDAKLASLITAQGV EESVKVVSNITHGPIPWRFSVDLPRAVLFLFIVGISYLLMLAVMTMNIGYFCSVLGGA FLGELAVGRFIQTNEHGH PEX2_017460 MKFSLIAFTAIVPLASAHFKLMYPTSRGFDEDTMPNFPCGGMSQ SSNRTKLPLSGGSFPVALEMHHSQTAVEILLSLGSDPGDNYNIILSPTFQVKGLGEFC LPHVEINQKLIGRNLTNGMNATLQVQTNGDPSGGLYACADIQFSSDVTYQTPSACSNN TNLVATAFSGASAARNANESTAEGQAQSGSSSTSSTSSTSTSTSKGAAVPMQTAAWGV IGAVLVGGIAAL PEX2_017470 MENLEILRPVGRLEQYSTSRHQVGFYLNVAVSATYFLPDAFVLP IKNYVYQACEAAITEHPSLSAIVADDHTQDPYFVRLPEIDLDQVVSFQDRKPGLLATE ADGEPTPDLDLQTLLATQHNTPFRAPNPLWRVCVLLDIEHERKFTVAFVYHHAIGDGT SGKAFHQTFLRALGAMDSSDQTKSIIKSPQLPLLPNIERVHPMSLSLFYLAKKIFQAK IYSRRPTGLWTGSKILVPSQTRLRLVPFSKLLVGAIRDRCRQEGTTITALLQTIVARS IFAHIPPEFTQLACTGALSSRRWLPDVITDESVGVWVQDYEETYSRATVAPSDGSFPW AEAKRSRETIESVLKMEGKNASTSLLQFVDDFQEELCLSKVGKDRDKSFEVSNVGVLG PQTNPDLPSIKGMVFSQCASVMGNAIEVSAVTGGDGCLVLAVSWQQGVVEADLINEVV ESIKQELYSVDVV PEX2_017480 MTRSPYIVPALTKHTATVIMAHGLGDSGAGWVSLAQTWRRRGKF DEVAFIFPNAPDIPITVNFGMSMPGWYDITKLGRDMDFEEALRTQDEPGIVRSRDYFN TLIEQEMNKNIKASRIILGGFSQGGAISVFAGVTNKEKLGGVFGLSCYMLLSDRIKNY MPEEWVNKKTPFFLAHGLEDNVVPFESGKTSAAKLKELGLENVSFNQYENLGHSATPE EIDDLEKFIEKALATEGEGNVSTSL PEX2_017490 MSRAGLWAKTIAGGLLMVVGGPAFVEYLRPSDEELRKRYNPDLQ KRSAEQGDRRAQEFDDYVSKLKEWSKSDKSIWYAAQEEIDQKRAALEAQRAKEKEQTR TQREEMRKEMLGEK PEX2_017500 MDIANRQPAGLHTDPYLAQCQTTVSNDQRACRKPIKHLRRSGAT PMQSKLKKFRVNQAGGRMDLGAVGAGDL PEX2_017510 MVLRNLSHARCAHRAHQAREMDDLQALDTMMGAAILLVEDHRRN RGQEHLVDAHPQAVPSTIPFPPSQIETQEIRGRLETRETCETPETQEIRGRLETRETC ETPETQEIRERLETREILETRERPETREILETREILETPEEALEILETREILETPEIL EIGAAHHPEEG PEX2_017520 MEDGQICDSHLHADLHQGKAGVAIQWVQCELLARADQTDLAETI QNPWARAPLYPGQSTYQETDYPANPISEPPMRPDTAGSMRSPRSRGPNDIDPGLMIHP TVLMIPPEPANRVSYAPKEFLDSYYESGGADEPDMPNFDAMPDPQQHTPIDEMGLETT PAKRPVHGPTSLPGDYAYPPNGVEAMHPQSQPNFQQADAANQFQNAGFQFGIPGEPSL APAFDHSGPAGYVSNGYEDYAGHSHGPSPVRTNQSGYSHEQVEMPDPHQNPSALPHQN PGALPHQSPDALPHHPAPFRPGHDQGSKPTPVRQYTAPETITAPAAPQPMVSGATAKT PVTIEEIQRLQQQARGNPSDQKTQLLLAKRLVEASTVLVEDSSRLDPKTKAKAKEKYV MDAHKILKKLVTAGYSEAQFFLADCHGEGLLGLEVDPKEAFSLYHSAAKQGHAQSAYR VAVCCEIGQEEGGGTKRDPFKAVQWYKRAAALGDTPAMYKMGMINLKGLLGQARNPRE GVSWLKRAADRADEENPHALHELALMYQNASGNDIIVRDEQYASQLFHQAAELGYKFS QFRLGTAYEYGLMGCPIDNRTSIIWYTRAAAQGEHQSELALSGWYLTGSEGILLQNDT EAYLWARKAATAGLAKAEYAMGYFTEVGIGSAANLDDAKRWYWRAAAQGFPKARERLE DLKKGGSRMQKTRLSRSAVNKQSDGDCVLM PEX2_017530 MSSESSRHNDSETQTPNLFSGRKLTLISLLTVLAAVLITLFWGN TANLFGLRRLFGSSARTAAVPLSASASVAAAATLKGQSDAITSDHIQSSMKTPIYFLS HGGPNIMYELDHPAYRKLGEIGREITTKVKPKAVVVFSAHWQGGRNTIEVNTAEKTDL IYDFGGFPSHYYKEKYPNVGSKEVANEVLRLLKEAKIPAEGVKRGLDHGVWASFKCAF EPDSNPLNVPVVQVSLFGTEDPDQHYRLGQAVASLREQNIVIIVSGMAVHNLRDLRFA FGSSTPMPYAVSFDKALKDAVTTAPAERQKAMAELMKRPDARQAHPSFEHLLPIHIGA GAAGEDLGKRIWTLPEGSMSWAQYRFGEVGNASSTL PEX2_017540 MSGKYVFTQGLKELRFLFCQTSEASAATRSFLNRAYPTMKKHNP QTPIMIREAAGTQPRVYARYAFGKEKQEALSGLSDAQIEERITQLVKQQL PEX2_017550 MSSRVGFRFVNNARFAFRNASAPFRRPGAQGFRWQSSEAGAGEQ QNAFQRLWNSPVGMKTVHFWAPVMKWCLVLAGISDFARPAEKLSLTQNAALMGTGAIW TRWCLIIKPRNVLLAAVNFFLGCVGAVQVTRIFLWQRSQSDSTVEAAKVIEHEAVDSV KATAHAAEGAVKKVIEKST PEX2_017560 MFVSLAENTANSTKPPLDSLDTNDEDFKYFDPGIGYLVVTNHGH GRDDSANVLTALKKCNNGGKVVFDAGKTYTIGKALDMTFLKHVDLEIQGHVQFSNDTD YWQANAFSQVYQNATTFFQIGGEDVNLYGDGTLDGNGQVWYDLYAEDALILRPILVGI IGLNGGTIGPLNLRYSPQWYHFVANSSNVLFDGLDISGFSSSAHEAKNTDGWDLYRST NIVIQNSVINNGDDCVSFKPNVTDILVQNLHCNGSHGISVGSLGQYPGEVDIVQNVLV YNISMFNASDGARIKVWPGASAALSTDLQGGGGSGLVKNITYDGMTIDNVDYAIEVTQ CYGQKNLTLCNEFPSNLVIEDVLFKNFNGVTSGKRDPYVGMIVCSSPNVCSNINASNI DVVSPDGDDQFTCTNVDNSLLDVKCASSS PEX2_017570 MSDEEQHEASMRNAARLDNAEPKDESAAKEVRLLDRFTWANFTC TQSTGGVAILLSETPHQFRGLQTAGVVVFILNLVLFVLFTTAIICRFVQRPSSLRKSL TNPPEAYFTGSLWLSMATIIICMQRFGVPHAGPWAIVAVRVLFWTYAAITLAYNIVVF VVMFIVCPLEPGTMSPPMFLMIFNAMLTGTVASSIAAYQPLSQRMAIIVAGVAFQGLG WILCILFLPLFVGNMLINGLGPANQRPGLFISVGSSGYTIVALIGCAKAIPDEYGYFA KHPTASETLNVMALWIGIFLWLFTFWLFAIALVAHLPIMIPKYGNSMSQPEMSFTLPW WAIIFPNIGFTIATIRIGEELESNAIAWVATVMTMLVFAAWLMDLFLHLKSIFQRRIM PEX2_017580 MLQKQEVVMQPRLERLEQMLGDPLFESILWGHEYDLGGLDNSLR IKIESGQFKRIVFYGMGCSSVVSDIVKGFFLTEHIPIHVQVVNDYDSDWFIDRDTLKD DSTLTIIVCYSGWSVEPCLFFETMRKMTGNRNLIVLSGGGKIAALCQEHGTSLIQYKL RHADREYPLYHVQQFFSIFLDLFHKLKITKSSYQSELEDSVKFLKAEFHEETLKRAEA IAEKLRGSRIVLLSTADWYVTLLKQTTMFFNEIAMVPTHRNLLHEFSHTEVAAYSDPA NKQAIVTFCDSNADEYTRNKIQTLEGLFGSKEVPQNCNIEFVQIDLDQENFFKKFFFT HFFTIYVAYYLGKYSDVEGRDLISIAAKNPWWSQRSIELFPKCIDIPSVLESEKAGDN LNEPV PEX2_017590 MEPEVNQRSLEASKSALNPLRDAKTWVPLLQEPAYKPRRIRIVC VGAGYSGLMTAYEVKYNKALEGFIDLTIYDKNEDIGGTWLENRYPGVACDVPAHIYTF PFEPNPDWSTFYASGPEIWSYIKRTSDKYGLAEHVQLQSKVVQATWNEPSSKWRLKIR QDGEEKEDECDILIDGSGFLNNWNWPSIEGLHDFKGELVHTANWNPSIDVTGKKIAVI GNGASAIQVLPQLQKTAAQLTNYIRTPTWIFSNHAAELTKDGTNFAFTEEEKKEFRDN PASLVELRKEIERSEDNFFNVFFKDSAAQKAAFAQAHASMQERLGKDQELCDKLVPHY ELGCRRATPGDGYLEALREKNARVNVNTIVQITESGIQTTHEHTKFDIIVCATGFDGS FRPSWTVQGRNGHQLNLAWSDSPEAYFGIAAANTPNYFIFNGPNSPGKHPLASKGKIT HGQQWVMVLS PEX2_017600 MVFIKATLASAFVSLLACATAVEVPKTDYDVIVVGGGPAGLSAL SGVSRVRRTALLIDSQEYRNAPTREMHDVIGNDGTPPATFRGLAREQIEKYPTAHFTN ETVESIVPFPQGDFSAFTVTDSKGKNYTARKIVLGTGVRDVLPSTPGLKEGWGHGIFW CPWCDGYEHRDQPFGMIGDITDMLSNVLETHTLYTDIIAFVNGSQTADGEARATAKVA DWKEQLAAWNTTIDNRTISSIERLEDGGDNRGENGDQQFDKFRLHFTTGEPVERNAII INVPTVQTSTLPAKMNLDIVGDKINVTSGMRTSETGVFAVGDANSDGSTNVPHAMFSG KKAAVFLHVELSREESKSKVSKRTGLSHRELEKEAIRAIGSNLDAEWKRAQE PEX2_017610 MFECGTCDFEFHYREECDAHMDYYDHWVECETCDRQFRTEDSCE QHMDAVNHWAPVFECETCTRTFFSQHAADQHMDAVGHWEPVFECETCTRTFFSQDAAD QHMDAVGHWEPVFECETCTRTFFSQHAADQHMDAVGHWEPVFECETCTRTFFSQDAAD QHMDAKHHWEPTVPCETCPKMFHTEEAADQHMESQGHYKNYCVECDRHFMNENCLRQH LNSKIHRGTNLVCPFCDAHFVTANSVTHHVENGTCPKADKWNRETIHHMMRRLDPKGL VTNKQIGWHNDQNVRYYATDRAFDGTSWVCYLCQKGFNSMTGLNSHLNSPVHKEKIYH CLNKRGGCDKEFVSLGGFFNHLENTKGYLFPTGPTEIPIPHPFPPLTLVPLSPYPGYS PPPRWSTMKPTDKHFRCDILGRNPMPAYSAKPHSRAVTICASITPIAPGVEIAKFPRR VRGVGGDMPVNRFTENFRLPPRSDRRRSLNYHNINGFEQSQGTEVPYNFDAARPEYGA GSTVSDPVAPQFFQDTFLDFFHGPFGDGNKATDNSYHAGELSYQSAIPPGQNSTTLPG DPPIFEPERPFATTLIHLILTQAWQVPLDPKAQEELSTNLNFLLTTARIRKYIALYFK YWQPSCAMLPMSFDPETVPLPLLAAVVFMGAMYSNDQREVYVAKRVLDFAELSIFAND VFSAESEINATFSGSRCFDEGIGDWDWFQKFQAGVIITIVQYWAGSRVSRNRAMENRF GQVVKVARRIELVKVRHLPDDQVLEHLWIQTESRIRTISIMSLLDCAFLFFQNFPCRL TFTEMECDLPCHESLFFSEHPFAEPNFRFSRGLKVSEAFEHLFDETPESNTIDLTALD MFILIHVLFSFINNHMTLLGSFIRMRNFKDPRKSAGIGAKDTTVPEDSILIAIRTALS RWRNYWVALRNRMTSDEWASMGFYKNGYNFWLVSQLLITRKDAVDVVMQMEVHCEDKL EKLKVLLLDDQEDV PEX2_017620 MFAKSSGLAGGWGWDDYTIIVSYILGAAIYVLDIYMLRNGFGRN IWDVPFPSITRFYQYFQAMAVMYKIQISLAKISVCLFLLRIFQARTFRYLAYGLIVTN AAIGITWAFVDAFRCWPTRLTWVGWMHEEPGYCIDFIAAVLVNCLVNIFVDCILIVMP VYEVIKLQLPLRKKLAVASMFIVGSVLTIIAIIRVVVFWTNRWGANETLGLYPLMHWS VIESQISVMCACLPAFRAVVGRWFPRFLGGSSHQTDPSNMAEYYNKMPTANSNINKSV TYSVNYETRSAGNSDVELVEVPKRGQ PEX2_017630 MFVSLLAWPLAWLALATMTVAADSSTAATITTTTSSSTGITTHT VQVGPKSNPHQFVPPNITANVGDVIVFDFYPTNHSVVKADYLAPCVPANGNIFYSGAF VNFNEQDGQLIGPPTFFYCTAIDSCLKNGMVGVINANATETWETQYSRALTYPYMLVP GQSMPAEGNGSGSSSDDTSGDNNSSGSKHGLSAGAIAGITVAAVVFVAILVALFFVLG RNRVYSQWMSSEDGRTERTARWAMFNHGDQYANGKSETASSVPTHRATPSDATAVSSP DPNMRTLSPGVIVTSGPGSPSAQQGHWSWNEPPQRARTSAVATELEGHPIVLEAPGST PGYRP PEX2_017640 MSTPSKRPALGISGTQKQALRVWAQSQNPRPSQSQCSAWFEQTY GRGLSQSTISTILSKKSEHLDTGPASTLRRQLAPQWPLLETPLYEWLASQESIPTADV IITRAREIWNQIPEYQTLPLPHFSNGWLNRFKKRYATQIHDRQDGISPPPLQTSRKEV KAMKTLCGEFPEDDIYNMDETGLFWRKPPFGASPAQTQLTTNRENSRVCLMLCTNSTG SDRLPLWIIGHTHTPEALRMVNLKAMDCHWRYHRQAWLTQSIMQEWLLFFYDHVGERR VLLLLDNHPDHQAALEATPPPPNVHVQLFPTQHRTSNEQQPINLGISQTLKHYYRRQW LAYIVAGMELPQSPVHTMSLYHAISWITRGWRHDVANAIIYRAFRKSSLMDPQIEFIT APKSPDLTTLYETVTQNNPDGRSVTSLENFTHPVDEDFEGVLNVAGFIVDGEPTLQQL DDAFVSIPLEQLIPPALDAVVGTQTAIRYLVHQSWATAKDLQALERIERMINRLAIEE RRQAHIGAFD PEX2_017650 MIPQTRARVPAAFRSLSRSNPVRTLSTTLPRFQNDKPLNRVSST ITQPKSQGASQAMLYAVGLKEEDMNKAQVGISSVWFNGNPCNMHLLDLNNKVRQGVQD QNLIGFQFNTVGVSDAISMGTPGMRYSLQSRDLIADSVETVMGGQWYDANISIPGCDK NMPGVLMAMGRINRPSLMVYGGSIKPGCAATQNNADIDIVSAFQAYGQFLTKEITEPQ RFDVIRHACPGEGACGGMYTANTMASAIETMGMTLPGSSSNPANSQAKYVECLAAGGA IKRLLAEDIRPRDILTRQAFENAMVVVIITGGSTNAVLHLIAIADSVGIKLTIDDFQA VSDRIPFLADLKPSGKYVMADLHTIGGTPALLKLLLKEGLIDGSGITVTGETMAQNLE KLPGFPEDQKIIRPFSDPIKKTGHIQILRGSLAPGGSVGKITGKEGMNFTGKARVFDS EDDFIAALERGEIKKEEKTVVVIRYCGPKGGPGMPEMLKPSSALMGYGLGNSCALITD GRFSGGSHGFLIGHIVPEAAVGGPIGLVNDGDIITIDAEKRLLDVELSDAEFADRKQK WEARKAAGDLPETGLTMRGTLGKYARTVQDASLGCITDAVE PEX2_017660 MYRSQIQRALRSQCPALLRGPSRTGMSPQARAAFSTSGRHNIMG MTGFTEEQLTVREAISHICSKFPNTYWQEHDQQEKDPKEFHAALAKDGWLGIALPESL GGAGLGISEATMMMQTITQSGAGMAGAQAIHANVYATQPLAKFGTKEQLETTIPNIIN GTWRTCFGVTEPNAGLETLKLTTLASKTEDGYSVTGQKIWITCAQVASKMILLARTTP LEQVKKTSEGLSLFCIDIDRENPGLDLRKIKKMGGRAVDANEVFFDNYKIPANTLIGG ENQGFKIILHGMNAERCLLAGEALGLGYAALEKASQYAKDRVVFGRPIGQNQGVAHPL ADAFMKLEAAKLATYHATRLYDTNDGSVPFHDIGVACNSAKYLAAEAAFTACERAVLA HGGMGYAVEYDVERYMRECFVPRIAPVSREMILNYVSEKVLDLPRSY PEX2_017670 MSTSGNSEAKPNMSTTVESQDEHPPPRPKFFYSTLFQILVVGLC AFCAPGIWSAMNGLGVGGSQSPDLVNTANALLYALMTLTCFAGPLITNAIGFRWTLTL GSLGYPLYAAGLYLNNRTGATWLVYLGCVTCGISAGFFWSVEGAVATGYPEQHKRGRY IATWFTFRNFGNIIGGAVSLAINHKVNQRGQVGYQTYLAFIAIQCLGLVIGPLLSNPE KVQRDDGTRVEAPRGIHWREEVREMWRLARSRSILLLVPLFWYFGWIQAYPGTYLATY FTVRARALGSFMSAVVGTLATWLGGSLVDLPWLKNRKHRAIVTFVVIALMNSATWIWA VIIQNEYRHTNPVLDWGNQSSFGRGFGLYLFERISLGLVENYIYWCIGNLSDSPGDQI RYSSLLRGIETAAVAVGFGVQAVPTVLIATASINLGLWFIALPFSYYATLQVVRKFEL LEKGKQSPDGSDRVIEQDVAER PEX2_017680 MATTTEELQIEKLRLEDSVVKFESFDLPTSNQRILGAPHPKNTV IPLALRPAKADAKATLDDVVETIKTLQAKDGILTKKLARHGTLLFRNLPIHNAEDFSK FAHAFGYKPHEIIGIVVDRPLLAPNVAPANEAPKEVLIYSHNESPQVPHAPEYILFYG HHAPSKGGESPISSSLELFNRAQQEIPEFIAEVAEKGILSKVTYRVEKQYEGGSTLKQ AFGKEIQDGDDEETKRRKIEAQIARYGRGEHTTWEWTDDGLVLTHRLPVIRTQPGTNL PTLFTGLAAYWKRTQFDAEARKKVTQQLFGDGTPIPEKYLEHLAKITDDIRVLHHWQE GDVLVYDNIIAQHGREPWQGEQSDRVILASLFDGESVPGPYGFGDWAQVVQALD PEX2_017690 MPSKKSNALGQESSTDRGYSSAGNKLGLTPEGRVDPGQIISMGP TTSLMRLLAKEDATFSRTLDWTNGPQDLLNGLQEHSTDAPVPKAGRKNGEPSTDTPSR TGPQYDCVWYSSPLDDPSVGSRKNYHEKRVALRSLKSARDRIDHDIAKVAGFLTETGH IDSSSDDGEDSGAENVFAGFKLMKMGTEEEQEEEENEEENEEENEDDEEEDEEEDEEE NEEENVENEDEEDE PEX2_017700 MGSSYSTTSEDVNHIQHEASATIKGNQMHYPRHSADAVESFNIL RQKLPSELVLDILEFAEYWVLSTVYREDSMEYNEADCRDRTPYLTSEPIQGERFPVQE VRINILSHDQGWSSYREDHGTFRNSWTWFDLGIERSPGRDDISTDEDLRLATNVHAGR EAMNHQITYRRDQKLRWMQNLQAGDRISIVPRARFPGWRNTVEKASIEVYTSPVL PEX2_017710 MSTPAKSESGTQKPRLHTPQWVSLVAGGVAGGVEAAITYPFEYS KTRVQLLNDSAIRTSNPLSLIVQVARQEGVGALYTGCSTLVIGTTAKAAVRFVSYDTI RNSLADDRGVLSAGRGMLAGMTAGAVESVLAVTPTERIKTALIDDAKGARQFKSSIHA IQVLVQRHGIAELYRGLVSTMMKQSATSAVRMGTYNVLKEATKARGIKANVFTTFGIG ALAGVVTVYATQPFDTIKTRAQGVQGAGIVEASRSVIRDYGIRGFWKGSSMRLGRLLL SGGIVFSVYEEVAAILSPGTHR PEX2_017720 MPQDPCWPEDHRWAQFNRTVNGNLIATVPIASFCHVDSFTPYDQ SQCVQLQSNWGFPETHYESPSSIMASVFTNLSCNPFSSKSSPCSIGNYVQYTVNATDA ADVQETINFAARNNIRLVIRNTGHDLLGKSTGAGGLAIWMHYMKDIAIVDYASSDYSG KAMKMGAGVQSFEANQAAYKAGLIIVGGNCPTVGLAGGYSQGGGHGQLVSHVGLAADQ VLEWEVVLANGSLVTASPTEYPDLYWALSGGGGGTYGVVVSMTSKAYPELPTVSGNLS FSDTGVSRDTFFAAVTMFISILPSIGDAGGASVWWLTNTTFSTTPTTIPGGTATLFNS LLSTLIAFLEQNDIQHTYYVNDFPTYSDAYQAMNPPSNITDQLAGGRLVPRSVVEQNL ANLTTVFRNIVEKNAGFLISGVMVNSSRVAYPENSVNRAWREALMDVVIGALFDYNDW GSDVAHQQLITDVLMPPLEELTPGSGAYLNEADPNQKDWQQVFYGDNYDNLLAIKRKY DPHNTFYAFKAVGSEAWTVAENGRLCKS PEX2_017730 MDVPHYVFGAQGFGVAWTANNVDHLMKSLMEAGLYQFDTAALYP ATNPGESEELLGKKKPADAIIDTKVLFIGDDSLSFKNIGASIKASLERLQVKKIHTLY AHAPDRKTPIPLQAAHFDHYYREGYFERLGLSNYSPSAIRAWMEIAVEENLIMPSVYQ GQYNVFCRGYEDELFPVLREFGIDFEATSPLAGGFLTGKLSYSPSPEQLEGTRFEVGE GNMLGAVYRMWYDQPLYHQAMRALDNIGKRLGTTGAQCALRWLLFHSNLMDPDRIVIG PSTLEQLQDYVDARKAGPLPADAAGEISALWPTLKEAAATIIEKGWWSL PEX2_017740 MASKSFAVVICHGSYHTPAPYQALVDALTAKGIESYCPQRPTCD LSQLNVGNPNNPDFDRKAPPGGYPLPSDDAAEIGLLLDKLIAQGKSVLLAGHSSGGWV AAEAAQSSRQAPVRAKEGKTGGVIGIFFIGAFIVPEGQSVHSFFQPPDGKVVVPPFMQ FHKHGVHGLGTMVDPEQYLFNDLDAATAKNWASTLTAAPVMNSPLTHNPYDVLPCAYL VLEKDRTLPKDYQEGMVASQSKPFTVYHAPCGHSPHLSWTNELVAKIEEFGKQVLAES KTD PEX2_017750 MPLFGDTYAENMQRDSTEAQRLDEQFDLLTENIGYLLHPSVRDI MHPHARVADIATGTAAFLRDLAQDWPDAILHGYDISTSLYPPARTLPKNVTTHLLDAR KDVPVSLYGQYDIVHVRLIAAGLTASEWGRVVRNLAKLLKPGGAMQWEECNFTDVRHL PGRPDSTTDAASTIGLMFLTAMKPSFEYGWNRLATDMRAAGFVDVHTEAVSAGRVYDT RPRLTVNGMRAMFAWARLHSARGSQGALTIRQIEDLEQKAYADVQSGCYVTFDIHVAW GFRPKEEE PEX2_017760 MSQCLSIAQSTLKALWYHLYTLWLFVFSDFKTIVAPSTIFGITN AWAASKYDPHVPGALSPPKTFSDSPKSIRRTLAAVSWLLINLIPFAINNQRGERAIAE DSLNKPWRPFPRGRISHQWGTRVMVTLYILAPIYSIAFAGGVRHSVALIWLGLWYNNW GGADKNPVIRNIINGLGYTCFASGAMEVALGGSLLSLHPLGWLGRWLLVIIGIIFSTV QLQDMSDQPGDAKRGRRTVPLVWGDTAARWTIALPMLCWGIWCPIFWGVSSLWFTISM ALASLVALRTLMSFAHQTVLITGANVGLGLEAARHITRLGAARVILGVRNVAAGTEAK EQIEKSTGRLGVCEVWEVDLASHASVLAFGERISKLPKLDAAILNAALATAEFSTVEG YERTVTVNVINTLLLGLLLLPTLKATRQKTPSHTPRLTFVVSEVHAWVDFTEWKEDEP MKVVSDQTKAKMGERYPLSKLLEVLLVQELAGRVRGSEVIINMLNPGLCHSQLGREGG WKFTLMKMVLARSTEVGSRTLVAGATAGLESHGAYMHDGYAENTSLSPFVRSDEGRQA REKLWAELSSILESVRPGIMQNV PEX2_017770 MAPVLLEPTLVASQPSTAPLKVAVNGTATNGVGFTLKARPWRLL HLADVLNPPPLTQEEHPDIRIQRLLQLAWVTTIRAFSCSTTLYIGQDYLKGQCYIGEA AHGYSKPTVQIHVDPHDTVGDLFRESIEALGPLSHVGVTDNVQISQTEHSGFNVAIVY QKQPAERILSGQDACVGHCQVCCGIPAKEPSEVDLIFTGLRLSIRHTSDDRLHARLDV GNTGIGLPLATSLLNSFNQALSSIDGASTQTIGSLELCSAQDRDQITQFTKDIGPAND ALLHDLCLQHVKTTPDAPAVRSWDGDLTYRQLEDLTSRLAHWLVGQGVGPNIYIACAF YKSTWAVVARLAVLMAGGAYICVDGSDPPPYLASVLERTQIKIMLTSAGYKEKFADRV ETIFEVSDASVSSLPLVTSIPCSTVKPTDPCVVLFTSGSTGKPKGIIQEHRSYASALT DYIRVMGMGPHSRMFQFDAYTFDISNNDFLAPLMAGGCCCVPTRSLTMDSLMNDMNDL EGNMMFITPSVAIDMEPDRVPTLEMMCIGGEPVSDAVLAKWLDRVQVVNQYGMGEIAS MCAYNRNLQMGRGSVVGRPGTGAIWIVNPDNPDQLMPVGGVGELLIEGPHLSKGYLDH VSGKSENFLATPPVWMAQLHTDRPNHRLYRSGDLGRYNHDGTVELMGRKDSMLKLDGA RVEAGQVEYVLRRNLSTGDAAVVDILGAIDGEADPILAVYLYLANNPMNMENGPDEEM EFRPISNKHAVHGLTLSLSEAVRQNLPKYYVPALYILIDRVPRTKSKKTDRRKLHMLG QAYYMEHRDELEDITVWLDWNEI PEX2_017780 MTEAQFPYEITSWPMEGLVDSHLGQHDELSPHPFIASDFPLPMQ EGLDPSWTTDQFNWVNEYPVKSTAFQPYPQMWYDAGLVREDCGGKKTDTTSFSLRQSI PLQTTENPPIHPRPDLQLATQRALFMTPIPNTLAFPFPGSPTSDPHASPDSSHASSSV WEGEAEHDDAQYMQFDFGPSDFGASALDAQYQAHGQTHTLSSPHRRQSRIDEECLTPL EMPDGSTRMTSNWLPVDPDAGFAIGSSMMMNEDVTFQDMKHAFFPSGPLLPLVPAVLH WEPSLRGGAAVDGLGAIRGVIMSVATTHIPRL PEX2_017790 MPPKRKATEPEAEQPNGSHASKKGRPDLHQPHPNAKQTEDFGIV LRQFYPPEMNNERCQAYTDGELERPIETLQKACRETADKRRSIDVGSAVVHWFKTDLR LHDSRALHTAYQFAKENQVPLIGLYIVSPEDWTAHLTSPARVDFTLRTLKRLQQDLGE LDIPLYMETQEKRKAIPERIVELCQQWGAKSLHANIEYEVDELRREAKLVRLCADSDI NFNPTHDTCVVTPGALASQQGKQYAVYTPWYRSWLAFLKENPDYLEISEEPGSNPGNA RRQLKDLFDCKVPAAPKTHILSDEDQKRFEGLYPAGEHEALQRLDTFLEEKGKKYEEL RSMLSGEHTSVLSPYLACGALSARTAVVTAKRTNKGHLDRYDPGYMTWISELAWRDFY RHVLVNWPFICMNKCFKPEHTNIEWEYDKDQFQAWCDGKTGFPIVDAAMRQLRHCAWM HNRTRMVVSSFLTKDLMLDWRRGERYFMENLIDGDFASNHGGWGFGSSTGVDPQPYFR IFNPLRQSERFDPEGDYIRHWVPELREVSGKAIHEPYAKGAAAIAQKNGYPKPIVDHA ESRDRALERFKSRIVINIDQMRMESQGDQPSPGPDSVEVELANGKRRWRRNRVACDSC HTRRVRCDRAFPCSRCLRGDISCQFTRERRKRGRIARSKLKNGEANAEDETAQDPTEG KQADGEYNRESQSQQVLSPVDNNSPASTFHQRSPATNEISAVSAHSVEDPRSVPDRPQ PQRAGPAANITEEWLSAAHLSPDSYDLLAGTGGSDAPLPRLMDIWNPVDFAGHRPVQR APPIPSVSGANVPRQRHPSTSRSPLKYPVLEPLMPFLESNLPRRLVCDLLELYFTSAF STHMHPVCHHIHCYVLRKTSFLSVDRPRPTSPALLASMLWVAAVDDRAFSLSISPLQR RKICQFLCALIIRLLRPLIHVSFKDQNPSPAENATTHEFPAGAHHPFEGVGDDKGLVG PAGSLDDVITYIHVASIISSSEQKAASMRWWHAAFTLARELKLNQEMEITPNIDSMSD GSSPSFGYGLGGWPGSPGGLGLEYSSTSRSSLNCVCDKNHDLHSGPITEEHREERRRT WWLLYIMDRHLALCYNRPLALLDAESEDLLLPLDESCWQAGIIHSNSPRPDGPQCPRS GDQNKRRVFPNFICHDHSILGFFLPLMTITGELIDLNQARNHPTLGVRLQGKEAWEVH VSEVLRQLEVYKASLTTFAAATAADPAASSTTAYTHNPEPVDPQLSQAYSWHTQTVIA YSSYLTHVLHILLVGKWDPVSLIEDKDFWTSSPAFASTISHALEAADSVQQILRFDPD VSFMPYFFGIQLLQGSFLLLLIVERLQKEAGEGILNACETMIRATESCVVTLNTEYQR SFRQVMRSAVAQARGRPVNPSEIRHRRKAVLALYRWTRKGTGLAL PEX2_017800 MATENANSFASSLIHSYGTSDLTRTGVFAAFAALAWYNAIELVI LCLFSFKRWHGIYFWSLLISSVCIVPYCLGFVLLFFPIGVTPWVCVTFIVLGWYGMIT GQSVVLWSRLHLVLQNRKLLRGVLSMICIDAVLLHIPTTVLLYGTVAHPATRWARGYD IMERVQLVCFCVQELIISSIYVWETVKLLRLRPEGRPQGILNQLLVINILILLLDISV VVIEYVGYYAVQVLFKPVAYSIKLKLEYAILGKLVAVARGASESQEMDSSTREIYAVS SFPSDRDRPRNLESPMRDRRQYSPPWFWEGRSSGHSSSTSSGVLRNP PEX2_017810 MATANATTTATANSTNVVSPQGGILEGGNPTHYDSKNPLVLFII QAVIIIVLCRLIHWPLSKLRQPRVIAEVIGGIVLGPSVMGRIPGFTDAIFPTASIPSL NVVANLGLVLFLFLVGLETDLRFLISNWRVALSVSAAGMVLPFGLGCAISYGLYHEFH DDPGTKPIAFGTYLLFIGIAMAITAFPVLCRILTELKLLSTRVGVIVLSAGVGNDVVG WILLALCVALVNAGSGITALYVLLVCVAYMLFLTFAFRPLFLRFLEKTGSLQKGPSQS VVALTLLIALASAFFTQVIGVHAIFGGFVIGLICPHEGGFAIKITEKVEDLVAVIFLP LYFTLSGLSTNLGLLDSGIVWGYVVGVIAIAFIAKVTGGAVASRLCGLLWRESFSIGV LMSCKGLVELIVLNIGLQAKILSTRTFTIFVVMALVTTFLTTPLTTWLYPRWYQVKVE RWRRGEIDWEGNPVQRDDRNDSVALAKGQLKTVPVRKLLVYLRLDGLSGVCTVAALLS PKRRASVSSRIHPAKMPKQTEQTVEDPITPEEEENTTLKVHGVRLMELTDRDSSVMKV AAAGEHALWDPVVNTFRAFGDWHDLSLMAGVSVVPEHSYADTVIGMAQQDTADMLLLP WSETGTLADHHNGLEIDDANRFSNGAYTSFVSDILERVTGHVGILIEYSPASASSKRP VISRTASGLSLQGSIFARQPTGSRSHHIVLPFFGGDDDRFALRLVMQLAHNDQVTATV IQIGGLGSDSAKTGASTAVSASTSSALPASSSQSDMVFFETLRDSVPEELQERIVFHQ PGANETITDAVQLAVVSVREELNHTSNKANNIVIVGRRSISSEIELGLSEDSIGHDTR RALGAVGTAMVQPESKIYGSVLVLQAGTDTGLADYYG PEX2_017820 MDEFCIPRTQIPERLYRVQHDKSFTNDIEAGLVASDAQTFTENT EEFALAVQYHINDYKTNSDTMFISTFASKAQAEDWMCRKWRLYGEGAQILEIETSRLG HGYVYRAGEVAHTLQVGVAQTTYQDLYNEYLILHSVPARAIIARRARMMTHGLENKDS VDPAGNDSSDATPSERASPVPTFQPYLSPLARNMARLLQTPRRSLIRGESQFSGTPRG STSTRGSSQGSLSPGSPFID PEX2_017830 MEKDYDEPEFAPITVRTATVLEEREQQTLTRIASSKLGQQLSKS ASKKDGLAPHLDPTNPLFDHRRWAQMVLDQANDSGIDIPHQGVVFSNLSISGSGSALQ YQETLTSSLKVPFRAATRALTGRISPPRQILRSFDGLLQGGELLLVLGRPGSGCTTFL KTICGHLGGLTVEPESILHYKGVAFDHMIKHHRGEVAYNKEVDLHFPHLTVGQTLTFA AHARAPQQRLEGVTRNEYVETITQVVLSVFGLSHTYNTKVGNDFVRGVSGGERKRVSI AEMFLARCRIGAWDNSTRGLDAASALKFVSSLRLAADMGQSCHAIAAYQASQSMYDLF DKVVLLYEGYQIYYGSRERAASYFEDMGWERPERQVSGDFLTAITNPAERTARPGMEN KVPRTAKEFSEHWKKSPEYQLLHKDISKFESEHPPNGDDAKRLMESHEERQARHTRSR SPFLLSIPMQVRLCMHRAYQRMLQDLPTAMAPVIVQIVLSLIIGSIFYNTPDSPSYFF QKGAVMYFAVLMNALLTINEILQLYAQRPVVEKQAGYAFVHPFTEALASIVVDLPIKI VRISIFTVVLYFMANLRREAGAFFTHYLFLLTAVLTMSGLFRSVGALTKSVGQAMAIA GVLVLCIVVYTGFTLPQPYMHPWLSWIRWINPIYYTFEALVANEFHGRTFDCSAFIPS YGTGTSFICSTVGAVAGQRTVSGDAFIEKNYEYKHSHLWRNYGILIAFLIALNALYLA ATEYLTDDKSKAEALVFRPGHAPKYLQEGHSIELGVEEVNKLELQKTSDTIRLPEQKD ILSWNSLNYDIPVKEGTRRLLDNVNGWVKPGSLTALMGVSGAGKTTLLDVLAQRVSIG VVSGDIFVNGKGLAANFPRRTGYVQQQDLHLDSTTVREALRFSAMLRQPQKVTKQEKY EYVEQVIQILGMEDFAEAVVGSLGEGLNVEQRKLLSIGVELAAKPTLLIFLDEPTSGL DSQSSWTICQFLRRLADHGQAVLATIHQPSAQLFQTFDRLLFLAKGGKTVYFGDIGSQ SSTLLKYFEHNGARPCEQLENPAEYILEMVAGDSCPIDWVEAWNKSPEYEEVLAEVER LHHARKPSVQQPCLDESDDVDDDSEFAMPLSSQLYYVLARVFQQYYRQPEYVYSKFIL GIVSGLFIGFSFWKADNSQQGFQNVLFSIFLLCTIFNTLVNQIMPKFVVQRSLYEVRE RPSRIYSWKVFILSQMLVEVPFQICLGICSWACFYWAVFGADQDSERRSLIMLFIVQF YIYAASMAQLVVCAIPEPALAALLATLMFGLSFIFNGVMQPPDDLPRFWIFMYRVSPF TYYIGGIGATALHGRPVECNKAEMSVFDPPAGQTCFQYMEKYLASAPGKLANYNATSG CEYCSLSSADQYLSARKIEWGDRWRNYGIFWCYFIFNVFAAITLYYLFRVRSSKAKTK KA PEX2_017840 MAAVMPSTAYTPHPTKMMKAAQWMGTREVEVGIVPKPKITDPGD AIVQITHCTISGSDIHLYEGELKDAMEKGDILGQEAIGIVEEVGPDVKTLNSGDRVII LPVISCGTCSYCQRQEYSLCDNTNPSKEMEAAYGHRLSGKLGYSRLCGGYPGDQAEYC RVPHADLSCVRAPEHIDARKLLGLTNVVTTAWHALELADMQQGDVVGVWGCGPIGLAT QRLAKLRGARKVYAMDKDPQRLRIAEDFGMTPVDVDAHPDVAEYILSIQDHGLDRSIE ASGFRSSPKTEYPAMRAIGLEKDSSDTLSAMIKATRKGGNLALVGDFFFMTHDFPIGP LMQKALTVRGGQTWPQKVNCFFFFFRFELIAYQVQYYPFLMDLVVQGKLDTAWMFTYV DEFENIADMYQKFSQHEVPGKLKPWPPAGISISTLLHQFFMFPPPPIALDWNNLGFKV RDGNGHVEIHFSNSGENKWSAPQFVASPFLPIHGMAPGLNYGQQVYEGLKAFRHPAND KITIFRPDRNAKRMQYSAEVVSIPPVPKDLFIECVRLAVGANAEYVPPHDSGAAMYVR PMLFGSSAQLGLSPPDGYTLAVFAMPTGVYHGATAVEALILEDFDRCAPHGTGAAKVG GNYAPVLRHSDRARREGFGITLHLDSATRSEVDEFSTSAFIGVKRDGDQITVIQPDSQ NAIDSVTAASVLEIARTLGYRVEKRRVAYEELREFDEVIAAGTAAALVPVGSITMQSR GDKFEYRCGAQKEGGEVCVKLLQTLRGIQSGTIEDTFGWNYEVQAPPKGWTQQEQEEI ELSGANVP PEX2_017850 MVFLRLSIKVFPREQLSSSSSSGWGRTFLGARKATNDDSSQGSA VSTTLKKPGVFLLVLEHPEEVSLGGLAGMIQEHWAKLHPELEPLSIKKILDDTKEDLD LYPDLTVADVWVDYGKARTDGLDQRGSVRVLQKPTAAAPERFPSVDQDWDAAIVAYER KRAMKDKKQAMETQFPAIQEEDEESSAQSPRSHSRSLSQSHVQWEHSPESPQHTNNDP HVSAQKSPVEHRHRDLPVSSVEIYNHNHVTASPPAKFTGPTIAGTPPLRRESEELGES PSPAERRASSARSQPTPASEAASSPEPQPQPQKLVKLPIASSTAHRTITQMPDDAESP PMSSAPNPLIPHQPTQSTEEATASSSDMEQESAPRRIVKIPFKPGMTNGVKGQGHLAA VAQIVSQPPQKKQAETVIGISSESSSEEESDSEDEEVEAEKKNAEQDQRETGDQTDSE SESESESESESDSDASEENAPKDEPITPRIDLEGDVQMAEPKVTRSSRSSEQSDLSMS KTNGATLETQSRKRKQASEEPTSVKEARQGQAHPSTRPPSTPPRSVPVVVVRSGQARQ SMSKSPGAQTSPMRRRIRAPSFSSPARQASVREENEAPPKPPQFGIGLGITQSPPSKQ PVRLDPSQESDVTSTQNSFGGPLFPSSNVTVAVGHTFTSVNKQTPAIERTKTLQSAIR AKDSPATERRSVSFAEGENLASSLDPAPRSTPRNTAISKSTTKGTPSSATPRKATSKA KVTQQTPTAVKSTLGTRSTSTSSKATPKTKVNNQTSISTSEDAQATPSSSQVVYPPGF DIDQLTQEVEVEKKAKAKAKEDEKARRDKETVERAEIEHKLRENYDPQLTLILTEMQK ILQRLSSSRLEYAKRKPLRVRLEALREDLKVCEQRVEAQKSTPREPVAKKLKPTLKEL LDSKKKEMDVKLRPEPKSAPAPRGDVYEVPSSGESESESESESESDSSSDDSDSESGD IMPDGQSVKLRKPWSQRPN PEX2_017860 MRWRLPGVRSTLPASVALLLLPALVAPLQQSDTHDPISRLSVPL AQESSKQHAAHLVAPPIVKPNDASALATLALAGSGRAVRAPPVQASSTAAGLASQLHA RSLQDWEVEDFVLLATVDGTIHARDRKTGTARWALEVPSSPVVESIYHRANRSSFDDT EPEDDFLWIVEPSQDGSLYIYSPAPDAGLQKLGLTVKQLVDETPYSGTEPAVTYTARK ETTLYTIDARTGSILRVFSSRGPITAAPECRKVNGEDPDSDECESTSGTLVLGRVEYA VAIQNTETGDPICTLKYSEWAPNNRDMDLQSQYYRTMDESHIYSMHDGVVLGFDHSRM DRPRYTQRFSSPVARVFDVVRPANKDAPDASTPLVLLSQPLQPPDPDYGSLDDDRDMR VFIDCTEAGGWYAFSEETYPLATGRAPMAQCYDKDFFRRGQALMSLSARQQRNALAGV HSLTGPRIIRPHIPRIAGSSTSELSNDKPRDILRSPSELALPPALRNSAIIRKSWDNA LDIVVTLILLFICTFIYFNSHHLRDLAKQKLDIKNIINSSDKPSLSTPSTPVVDSAPD INRSSTPTQQVPSVTVSLDLDDASPEGASTPRASRDYTPDSTPRVQIREPSRGPEDED ADEAGKPKKKPRARGSRGGKSHRRRKKPGSEGDSPEGADQAVEQANGLAPQPRHEHDV PMVRTVSNEIMEMDGVVRIGRLRVFTDVVLGHGSHGTVVYRGSFDGRDVAVKRMLMEF YDIASHEVGLLQESDDHHNVIRYFCREQATGFLYIGLELCPASLQDVIERPASYPELV QTGLDLPDVLRQITQGVRYLHSLKIVHRDLKPQNILVAMPRGRTVSRSLRLLISDFGL CKKLEDNQSSFRATTAHAAGTSGWRAPELLVDDDGPMSLASQHTESSEPAVVDPQTNR RATRAIDIFSLGCVFYYVLTRGSHPFDKNGKFMREANIVKGQFDLEELNRLGDYAFEA DDLIRSMLSLDPRQRPDASAVLMHPFFWPPSDRLTFLCDVSDHFEFEPRDPPSDSLLC LESVAERVMGPEMDFLRSLPRDFKDNLGKQRKYTGARMLDLLRALRNKRNHYNDMPDH LKENIGGLPEGYLNFWTYRFPSLLMSCHAVVVELGLTRNDRFRRYFVPPE PEX2_017870 MILSKWLATLLGGAFAVQGALAIELNIDDESTTLPLPSLSHSLA NSVFLSGSLKSAAKTVATTMMDYYNSRESKNIPGKFDGTWWEGGSMFMTLILYWFVSG DTQFNDAVQEGMYFQKGDDNFFPSNYSQYLGNDDQVFWGLAAITAAEFNYPERDGEPS WVSLAQGVFNTQYPRWDTSSCHGGMRWQIWPYQDGYLTKNAISNGGLFQLSARLALYT GNKTYADWAERIWDWSATTPLLKQKNWNIADTTTCGTQCTDHGDFQWTYNYATYISGA AYMHNYTNGTETKWKEGIEGLIKTSQQFYPTTGFDGAAGQILSDITCEGGGNCDRNQI TFKAYFSNWLGMLTTIVPGTYDLIFPQLKTSAQAAAKQCSGGDDGSHCGIRWYKQAAW DGTKGLEQQMAVLGVLSANLIPFKNKAPLTLSSGGTSKSNPTAGTNTSDNNVPVLNTI GTGDRAGAGVLTVIFVSGWAIAVTWMIRGG PEX2_017880 MASFGNPTQIFGDDVIEEKGENARLSAFVGAIAVGDLVKSTLGP KGMDKILQSASTGDILVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGTTSVTV LAAELLREAEQLVAKKIHPQTIIEGYRIASKAALAALEATAVDRSQDPEAFRKDLHAI ARTTLSSKVLSQDRDQFAKLACEAVLRLKGSTDLSHIQIIKKAGGKLSDSYLDEGFIM DKKVGVNQPKRLENAKILVANTAMDTDKVKIFGARVKVESTGKLAELEKAEREKMRQK VERIKAHGINCFVNRQLIYNWPEQLFTEAGIMSIEHADFDGIERLALVTGGEIASTFD HPDQVKLGGCDLIEEVIIGEDTLIKFSGVAAGQACTIVLRGATEQLLDEAERSLHDAL AVLSQTVKDPRVTLGGGCAEMVMSKAVEQAAQNTTGKKQLAVDSFSHALKQLPTILAD NAGLDSSDLVTRLRSAINNGMTSSGLDLLTPGGGIADMRELGVVEAYKLKKAVVSSAS EAAELLLRVDNIIRSAPRQRTRM PEX2_017890 MADSGELAQAPANKRPHSAVEAENSDDSSDDDFGPALPSEVAPK KKRRKLPFEKVYINALPVSARYSKSLMHKDQLSFVTVAPYTDFVITSSIDGFVKFWKK MAEGIEFVKEFLAHPGEIRGVTVSADGRSFATAGLDKTVKIFDVITFDLLSMYTLDFV PRCVCWVHPRGASLPLLAVTDESSNTIQVFDGRGENPQPLHTLKSIHRSPVVSLAFNN AFDCVVSADESGMIEYWRAGDGSFEKPDNVFEFKSSTNLFAFKKAKSVPTAITISPSG EHFATVSFPDRQIRVFDFATGKLYRTYDESITTITEMQQAGTAPYELDEVEFGRRLGV ERELENEATRNKVNVSFDESGHFLLYGSLYGVKCINTYTNRVVRVYGREEPFRAVNLA LYQGQPQRKGVVTVSMAASSNPLLQEAEERDPILLCTGFAKVRFYMFTNQTDISKSVR DVQNEKPTQAAAGTGTAVTKAAETGTAAILHTTFGDIHLRLYPSAAPRAVENFVTHAR NGYYNNTIFHRVIRKFMIQGGDPQGDGTGGESIWGGEFADEFSVLKHDKPYTLSMANA GPNTNASQFFITTEKTPWLDNKHTVFGRAVQGLDIVHKIENVRTHKEKPEVDIKVVSI SIS PEX2_017900 MSLTTRLPFLFLALIALTISALAADSILPTSASSTFPQCGLTCT ALTGAQTSCESAAASTWTSCFCQSSLLTGLKTSGSICSTCSTADQATLSTWYNNYCNS GGKDTSNTATTATTSAGTASTSVSSSSSSTNKSATTTEENKSWWSTHYRWVIMLIVLV IGFTIIAVLGVRFKRRYDAKRPNLYHGGGSSLLSTASPPAPREAAWGGAAPVPVQTPG LTADSLASSSRSTMAKASTPVPGSRTRLTKIEQGSGDVEVRQV PEX2_017910 MPTMPSRQLLSTLITSLSNTRWTLTRTLRSENPFDLNGELRGTA TFTAQPPTTTDRDWLYCEEGEIPSNVGTGALPPGLRWTKKYIWRLGSDNGRVSVWFVK VAPGPEEADYLFHDFDFDSGSGSDSDLLLESEPGSAQKDPGEFVAPPVPPAVSTSKET TVINARGNHLCINDMYRTAYAFRIEPDTGEVLSWASRHVVRGPKKGQDIVNRYEKEV PEX2_017920 MAFESEKRTESRSSDPERIIDSKDPLAAAGFEDPDAGLSDEERA AIDRKLLWQLDIRLVPWLSLLYLVSFLDRTNIGNAKLVGLQTDLNMTSAQYNATLTIF FVSYSVFEPATNVLLKRLRPSIFIPLIMMAWGICMTCMGFVKNYHGLMAVRWFLGLSE AGLFPGVGYFLSCWYKRSEFGVRMAIFFSAAALAGSFGGLLAAAIAKMDGVAGKPGWS WIFILEGLLTFVIGIASFWCVYDFPDQARFLSDIDRKRVLRRLAMDQQSSAEHEEFKM EYFWSSLKDWKTYTGAVIYMGADGSLYAFSLFVPTIISELLLSVPPYAAAAVLTVTVG FIADRTRQRGLCNIAVSFLGMIGFAMLLGCESAGARYAGTFLGAMGIYPAIANTISWT SNNTEGVYKRGVSLGFIIGWGNLNGIVSSNIYRDDDKPRYYPGHGVVLGYLVLFLFGG SVVQYLLLRRENGKRRRGERDHWIEGLDQNQIELLGDKRPDFIYTL PEX2_017930 MAKSLIDRHPLQWMPSPSRGLSALVHLAGLSSFLWSFKYIHENP NQANQAYGWHFQYLTVIGLSLSTLTFAVALLADITSSRRLFLIKNILSICSAPLEVVI SVLYWGLRLIDERLVVPEDIFIPLHADISFHAAPSVVMLIDLLLLSPPWTITVLPALG LSSTIAFGYWFWIEQCFSQNGWYPYPIFEALPTPGRIGLFTLSAVIMTLSTITLKWLH GRVNGFGNPMKPESRPGDIKRKNGL PEX2_017940 MQRRKVVFGGQTKSVGKHMAEIRNDESAGLCYNPILTGIPREAG ISRESRFIPHVT PEX2_017950 MPRPRRPGAPEPKRRSRKGCWPCKARKVKCGEEKPACLNCQRQG EPCDYSVRLNWGGRAKRMSVDSPNSQSSGYGGAVIGFSGSFAINNLSPTSFPTPTSNN PADGFLNIHPGDLTSPRAISPSTPAPVGFFESPRLGSGSEGVTSPGQLEPQFSSTWAE QSPPLTTSVSSAPLLQYQFGQESFDTPSYLDSVDPTSGLRSLSAFAFHTNPVSQPVSY MRHPVDAPNHCSGSSISHSRDEGHLDQGMHLGAGSGTGPNGSSNSPQDTGGLSSMFFD SHKVSIAKSDATSSPRIRETTSNMGSVHDYHHELTPDHQLSAESNHEIDQTSHESSMA RNKWQAYLNSVTDNYGLDSGRPDQDLTFNNDHAAIDINYALDSVSSRWRNEEASQSKA SQPDPGPQVQFCSGYYASPVPVNVPRYLSPLPSSLLENPINLMYFHHFLNHTARMLVP HNCDNNPFISVLPSMAIGDSNLLNLLLAYSASHRARYLGHPEPSNRIAHWVSQVFPTL RMALESSSEDITDSHLATAIMLLSLKIVSPRTFEVPITWKSHLKLARDLFLARSESMA QPGNRIGAFFARWLGYLDTMGSLSCREAGPPLMIYHSILTACSAPDGHDEFGVDCFTG FTPRTGVFLIRLAQLVQECDNQRFDEMGNFRHDWHASAEMVLEAQSVLGDIAGISGRV YTIPEHHHGVESSDMIAIEEAFRFAGLLHLHRRVLGSSPDSFPVKEVLCKLIDALGRM RPGAATEVCSLFPLFTAGCESRDPVQQDKLLDRFFVLESSGMKQIQNARQLMQHCWDE NLPWIALASGISNGLQPCTMHVKIEIHDSAAGSLIPQLPHAKPVQPSAAAFDGLAHLN KDSIFPKSIMIFDPSPIELPSSYERVETTGHVVVSHYPPGTPSVTPVVIVTLNRPAKH NAFTPQMVVDFEKLFPMFDVDERVKVVVLTGAGKTFCAGADLEIGFGGGKDRTADHRD GGGRVSLSIHRCRKPTIVAMQGSAVGVGMTMTLPAIIRIGYEKGKYGFVFARRGITIE SCASYFLPRLVGHSNANYLVSTGGVFPPTSKHFGDLFNEILPDPSQVLPRALELATEI AENVSPASSYLNRALMWRDTGSAEAAHLIESQVINHMFGSEDQKEGVTAFFEKRKPNF KANLDDNPPPSVPWWTEVDTGRNPKAKAKAHSKL PEX2_017960 MNVNPISMTIRTAISLGRLNPAAPSLAGALRPLQTTPQMASKQL TRLSSTTARPAAATLNLDSRPHAKAASPSSIVVRTNSTSAPANNEVKLDWDSFFKLRA SRRRYSLVSSVASSAISTSVGVQVLSGQDLEHLGATVMGLDPFVVLGLATATCGAIGW LLGPALGNGIWGIVYRKYKPSVNTKEKEFFDRIRRFRVDPSTNSIANPVPDYYGEKIG SVAGYRNWLKDQRAYNRKRRNFIA PEX2_017970 MAAEGQVNATPLPPPIAHNAPHQSTKLTHYTIGNITRQQTALSP KDFVTPTIKQEVYTTGRGGSGNMVHNDPERPEIARESQDVESPPLRAQQIPHHTGRGG AANAYIPTPEEEEHEKKIQEQEAELIRVRTQSKDRVREIEQERQELRRGSPAS PEX2_017980 MFLLTQLSQYKEQSSTCVHCLGRNMLSQYPPRPRLTRLDNKHGS FIPSTSTSPSSPTPTTGFSPSQSNPQPQEEHPPTCIEENLHLRDLELMMHWCTTTYKS MAADPASEIIWQTNIPQLSLRYPALRQGILALSALHVASTSTSSRRWEYLETARSYQT QALAGLQIEIDEDTPEAECQATFALCCIMIVFTFGFCQIDSENDADEELPDVLDEFFE VFQLTRWLVSILVTSMERIRMGELNPLFHPEEPLPTMPDMSRLVILSLQRQNSIEAMR DATHQGDLYDSAIEHLSHALEQLMKGGEPKVFAFWWSFRIPAEFLELLEAHQPFALVL LAHYAVILHHLRSSWWMGDWGTRILQDIGDILEPEWQDLINWPIDATGCFLPRTEARV RVISPLSF PEX2_017990 MRSVDYLGAAQLDYYRTTYPETVDMASLIFPVNSPPRVLILRPE HIKFLIDPVRKYTGSGVYTKRRASKPNLINLVVKAAVSLATYGALTDSRKSKATGKGF AHTICSQHLGTDPEGAHFLLQYGIALWFLV PEX2_018000 MYAVEDRHHPVPPPLSMDRISAPSVQYPSGPNSLRQPDHLAPVT NYQDGRSWSLQVVQQPIRARMCGFGDKDRRPITPPPCIRLIVRDAQTDKEIDINEIDT SFYVLTVDLWNADGTNEVNLVKHSATSPSISTAMSSSYPPPPQSVSPTYPGYNQTQYP VGYPPQMNNYYGGQQVAYQNQYGQAVTYPQYYSGGQMPPSMSPATQPVSGGPGGMFTR NLIGSLSASAFRLTDPDNKIGVWFILQDLSVRTEGTFRLKMSFVDVGTSSTETSNGAP VINHGTAPVLASVFSEPFQVFSAKKFPGVIESTQLSKCFALQGIKIPIRKDGVKGPRR GGDGDDDDEGDY PEX2_018010 MKFTITTLALPLLAAAAPVSEPAANPAFSVMAIRSASPIHYLQL NAAGQKFYLGGNTASYCPAQVSNCPPGNQTVLAPGGSSLDTMVPGGQQVYIDPNGALS FTQAHSANIPQGSVLGPFHYAADKPWAHYSFAGWGATGFMACPADDNRWQVFAAIQNA TVPHGNVDECLGFSAVALTYTGDVPAWQYI PEX2_018020 MATLYPPPSKRQKLIIADKAREQQEIESIPSDLGSVRVQFFDQA TGQPTGPAVSVPVADANVKNLETLLNTLQGNEDDGRVPYRFAYHSEKEEQTIDILRDV YHSLLQPGIKTTEDTVSLFYTPQAVFRVKAVSRCAASIAGHGEAILATSFSPISSSTM VSGSGDSTARVWDCDTGTPKHTLKGHTSWVLAVAYSPNGAMIATGSMDNTVRFWDAKQ GTALGAGLKGHAKWITNLAWEPYHVQESGRPRLASASKDSTVRVWDVVSRVTDHVLTG HKSSVTCVRWGGTGKIYTASHDKTIKIWNPKDGTLLQTLAAHAHRVNHLALSTDFALR TSYHDHTGKVPGTEAEKVAAARKKFEEAATVNNTIVERLVSASDDFTMYLWEPSTSSK PVARMLGHQKEVNHVTFSPDMAYIASAGFDNHVKLWNGRDGKFITTFRGHVGAVYQCC FSADSRMLVSSSKDTTLKIWDLRTGKLKMDLPGHKDEVFAVDWSPDGQKIASGGKDKA IKIWRN PEX2_018030 MKVGLHNVGLFIEFIEFSGFFFAIGFQSVAAQDIICAVSESRGV SSTVGLAFVNLATAEAVLCQICDSQTYVKTIIKIGVFEPSEILFMNTAKESKLRYIIQ ENLPDPIFTFLDRRWWSEKTGHEYVERLAFPEEVDSLKVTLGGNYFAACCFAAVLKYV EVELQRSFTAHSLRIRFEPSQGSMTIDLATIVSLELIQNLQNAKSKESLFGLLNETLT PMGARLLRASILQPSTERVKLTARYNAVEDLATKEDMFASVRQALKGFIDADKVLTAI ILVPTKRTIQYAEQSVNNVIMLKTYVSAIKKIFQALGAAQSDLLLTIRELCAPEGHRS IEELIDTTLNEHVAYQSKPLDLRNQRIYCVKAGVNSLLDVARKTYKEANADATELIEK LSGTSEIMTLHE PEX2_018040 MVLDLKYDTARQYYICIPATEPGPLPDVFINIYRKRNRIECQTL DLVKLNQKITDAHSEVINMSDQTIQDLLRDVCTEVSGLFRVSEAIAMLDMLAAFAQLS TNHEYIRPELTDTLAIKAGRHPIREQIHSSKFIPNDAYATPQTRFQIITGCNMSGKST YIRSLALVTVMAQIGCFIPAQYASFPISHQLFARVATSDDLDANVSTFAAEMREMAFI LRNIQPRSMVIIDELGRGTSTTDGLAIAVALAEALISSNALVWFVTHFHDLAQILAER SGVINLHLAAGIAPDASKMTMQYRIAEGPVPDRRYGLVLAKLADLPSAVLNKARSVSE AMDQLAKRRNSPSRAVAIAQKRKLLLSLREQLLLARDGTMDDASLRALLVKLQDDFVL RMTAIKREMELYPDDESATEAPTESVSNLSVHTPTQAVGSSKDNEGMDNTLVSKPILI ESDSDCVSEHVTDNDSVVLV PEX2_018050 MADNQAPTPEPSSQGRGGRRRGRGGAAPPGRGDSQKNTGDGEKR PKPRTRGGGRGGGGGDAGRQARNTDQSNNHDTQPSKATTPATKKPVEAATDDADDGEV CFICASAVEHTSVSPCNHRTCHICALRLRALYKNKACAHCRTESNFVIFTDDPVRRYE DFNKKDFARTDDNLGIEYESDEIFGDTVLLLRYNCPDEDCDVACLGWPDLHRHVKSKH SKVMCDLCTRNKKVFTHEHELFTMAELRKHEKSGDDKPGAIDQSGFRGHPECGFCRQR FYGDDELYTHCRDKHERCHICDRRSSHRQQQYYIDYNALENHFHKDHFACLDKECLEK KFVVFESQMDLKAHQIEAHPEGLSKDVRRDARMVDLAEFDNMRAPYQQQRQRRGAGRG RDPNAEPLPVSSAQPMTRAEVAYQRQMAIQSSQSVSTRNFGGQLTRDDVQPVRAPERS AAATPVQSGPTSRSQALPTAAFEGLNISANSAPATAQDQTRRMRHEAVVERASNLLRN DATKLAEFRSRVSNYRASSISATELIDAFFSLFDTSSTELGKLIKELAEIYEDESKRS DLLRAWNDWRAINEDYPALPAGGLLPGMSTGAVNTGGKRVLRLKSSTAKSSRSAVGRS GALPNAPSNPFPPLSAAAGPSSRRGKAAAATPWATAAPPSFPRPAMPSPVSRPSSAAP SAPSSSRTPAAPASRSADSFPALPAAAKPNVLMAGKTRGTVRWNDNRGPAPNAWGSGP SSGTASPAEPSNDDSGNGKKGKKNKGKQTLFHFG PEX2_018060 MSLTSDLRDKYIEIIDNILAKSDLTTISEKRIRKGLQDAVGYDL TPMKSTIKPLIMERFDLYAEQNGVGGSPDGITASNDQRDGDSAPAAVPSPPPSSSPVK RQASSDSTSEPASASPPAKKQKPDTDTDADAVFAAKLQAEENSRARPTRGGNTRRAAP VKKKSKAKTSKRVKAEDDSDIGSGDEPKKEVNRNTGFHKPMNLSEPLSALLGEPTLSR PQTVKKVWQYIRENELQDPSDRRQIRCDDAMRAVFKQDRVHMFTMTKILNQNLYNPDE PEX2_018070 MAPSFDTLSEQELHEEEEEEIDFSDLKEQYEVKVEEGLDTFIVI DGLPVVPEDSRQKLVKFLLRKLNSVGSTSEDAVFMPVNDKKMTEGFAFVEYETPEMAV AAVKQLHGVPLDKKHIMAVNKLMDIERYGREGRVDEEYKAPVPEQFKEKEHLRSWMGD ASARDQFALYRNDKVGVFWNNKTNPPENVVDRAHWTQLFVQWSPKGTFLASVHPQGVQ LWGGESFSKQKQFPHPFVQLIEFSPLEGYLTTWSARPIQVEEGQPILTYEEDGKNIIV WDIETGKPLRSFVSHDLAAPAEIEGDVAPKKKVQWPAFKWSADEKYVARMYQGQSLSI YELPNMNLLDRVSVKIEGAMDFEWSPATVAREGIKQYEQLLCFWTPEIGSNPARVGMM SVPSKEIVRTRNLFNVSDVKLHWQSQGAYVCVKVDRHSKSKKSMATNLEIFRVREKGV PVEVVDSLKDTVINFAWEPNGSRFVVIANGEAPTGAAVLPKTSVSFFAPEKKGYTAGN FKLVRTIEKKTSNGIYWSPKGRFVVVATVHSQTNFDLDFWDMDFEGEKVEAEKDLAAN LQLMKTVEHYGVTDVDWDSTGRYVVSSASVWTHSMENGWNMHTFSGTTLSENPTEKFK QFLWRPRPATLLSKEEQKQVRKNLREYSKEFDEEDKYAVDIANTAVVETRKRVLSEWL AWLRREKELLAEEKEAFSLPEDADLPTAARDARPVVEDQGDSVVEEIVEEIIEETEEV IG PEX2_018080 MDQNNHTKTARWLAILVLLTMQILSAKADVVTVWTTVKVPAPTQ TAPLSPSYTSLNEFKDDMLKVTNEYRANHDADPLVWNDTLADYSREWAEACIWKHSKS SYGENLAYGYQNVSAAVIAWGGEGAMYNFGKPTGFTEETGHFTQLVWKSTTQVGCAAV NCGYTKNGNGKRDDEIEMREDAFEDDLFAEDAFEGVLMAPRFNTDEAGRDGLEGLKVA RGEARAQGWYVVCEYTPPGNVVGQHDSYFKKNVLPKKAPAVDSSSSSTTTSEASSTST PEPSPNAATSTTIGSQSQPTGGAIRFALDSTLGMMLVALGTVGPSLMLIHIAHLVGRR TARSIDFWNVPILTGLAQGT PEX2_018090 MEDISRAALPAEIVSSILDYVGPVDLIRAARSCKLMHEMAYDDT RWVHRLKRIGCWDEADARKHAEVVFGTVANMEVVEQQEEAELGDGPVPAIAASDDPSN DLKAISDGFDKIELVNTALQPNVLQDLLDEPVLTVLKNVRSIRGEARQEYGKIHAALA PFYEDIARTGPSSENLVFTKYKDPQAQAQILAQLLMFSKSDMTEGWDERVDSLERTIS MFETAALKEFRQGYESDDIDGTMRKYAHILWTLNGGQAAVDLFIHHNHLMTRKSDLGS VASCIDGSTGQVRMDQTQAFFTRLSVAFNDEISIMNRVFPPELDVTSSFMTKVGQDVL YPFLTAIFDELHRVSIGSYLTAISATFVQCMNLSEALLPIKGSDAKFDEYLDNVVIKI YEPHLDLYLAEELDSFKKACEFTVSEWDRHLSEQAASTESFLMSNVNRQADKRDFLTS FKKVIMMPVNILPSFSNAKSSEESKPDTEPSSQNPSQLSKNTNRFSTITSPAPVAVEE VPTTELAAKAAIMKTKMEGIRSLFSIEIALDLVHSAKSSLERAAQFVRLGGEFGLAAK QQCEAIFVTLLRILGYQHVIVGFDKAVSHLSNYRPREQGERDQSGVEPLVTFLELVNV GDLILQMMDVFYEQELIGMRLTDRNDFVDPANKEKKKFEQMLDERVAAGLNKGIDVLM EEVDYILATRQLATDFNPGVSSDPHRQTMDVGVSEVAIAVVDVVSSHTQMLVGSTDKS TLDVFNQEVGLRLFTALCKHLKRQRVSVEGSLKLISDMNHYFKYIQTLRNNELLLYFT AFRELVQIYLIDPGDAKELATVIADADRFHGIWRVEEVYEFAERRADWYYVKRDVERA MYGIGCNVM PEX2_018100 MLGRSILRASNRAIARQSLGKSTRASSTAAGAEAANSPFYLTLT AALATAAAAGSTAWYYHLYGQEAFAMTPAEEGLHATQYPWVHERFNKTFDHAALRRGF QVYREVCASCHSLTRVPWRSMVGTMHTVDEMKAFAEENEYDTEPNNEGNIEKRPGKLS DYIPAPYPNEEAARAANGGALPPDLSLITKGRHGGCNYIFNLLTGYPDEPPAGAAVAE GMNFNPYFPGTGIAMGRVLFDGVVEYEDGTPATTSQMAKDVVEFLNWSAEPEMDERKK MGVKSVVLLTGLFAISVWVKRYKWAPLKTRKVVYSPPIAPRR PEX2_018110 MAQQAPQAKEEVPASRCWLNATLPLDRLRGLLPAPLVKPANVDL DTDPIPRTNVDRRRASTVAASLDQDETLQEKISTQQEHGIGVSETVVKDETVLYLAYG SNLASKTFRGVRGIKPLSQISVLVPELRLTFDLPGIPYAEPCFAGTQYRNIHPPIYDE TDLSDSEYLSEKAALMGQTRQVEVVSDCDKRRWHKPLVGVVYEVTLADYAKIIATEGG GRGYRDCIIDCHPFPQSYNSSDPVPDYPTTPSFKARTLLSPAADNDRIKSQSQKGDVT AALLPSGPMLSWWYTVCLHFRPDPNYAQPSARYLGLIKTGAAEHGLPVSYQEYLAQIK TYHITTTRQKIGKVIFLALWAPWLILILGLSRIFAGPDGRIPPWLVALANIAFSGVWN SYDCFFAPVFGDGERSLDDV PEX2_018120 MKPAQGPSAPINPDTGSKPTIKDPATSEYGLTGPVREKPKAPPP QTSESKIVRLKLTKPRPPKHKPQEVDWDEDLRPTPNEIVGNKGTHGGTSVANTDPKRP KTVDRNKDSKRAMPSKPQMTSAKRRKPNTRKSTTTKESSTGGPQLPLITLAASTLPPA APLGNTGPSDMGPLDGQSKDSATKRNGHVPEASQQPLLPTDGHSKHGNNSKGSVIVEI RSCTSVTTDSSSDFEDCGEGPSRTSKVSILEHRAQSNIQANRGRPVQVMPKVSSSSDI DLKEQSHTTTSKVTILESRALTKGQSSQDKTILKKNHPKHRGRAESVGNKLMLALHGA EPSQREPVNDQTAPLIISSDPVQPDESSEKQQSDQMPAETVLEPPNIESVLDRTIPVE MHHNQTEPKTVNPALIAKTLPPNEEQIEQADDASFWKLLGDDRLSTPARSSELETGRG LLGDGPSILDYHMEMEHIPGFATLQPMRSAPTTSSHATNICESQADSSSEPSNVGKFD DVTAGQIEGHRSGHTPESQKPLLTNKSLMEPTSASPEPPKSVPKTSIVDSNGSPRLMP QLAKSMFVPQLDLDGEKGDEETTSVTDTSPSEYDRDSCSISTESKYEGLMWTKFQRDM FLEYGIQMEKLERSHPWPPPKRHYQSCSPEDIADEAKSEKVSTVGPSSSQRTLDERAL GDAPSKDHGYLDQSFRTDLSASTQPAAKSHQFSTVGDKDDMEWILTLQVAQKDAHRLL QQTNSHLSTQLAAEKATINRVLEIYREGCSRILDDLFQAQEARMQLYRQQMQSVKEQH ADICQDLIRGLQELDRRVQQGPC PEX2_018130 MSFFIDNPNVGNHSHLEDSRILGYNPLTPPNLLQHEIALTEKSR QTVLDGRNEAVEIVHGTDTKRQRLMVVIGPCSIHDPEMALEYCDRLMKMKEKYVDELL IVMRSYLEKPRTTVGWKGLINDPDIDNSFKINKGLRISRQLFVDLTNKGMPIASEMLD TISPQFLADCLSIGAVGARTTESQVHRELSSGLSFPVGFKNGTDGSLDVAIDAIGSVK HPHHFLSVTKPGVVSIVGTVGNPDCFVILRGGKRGPNYDAASIADAKSKLLAKGMRPR LMVDCSHGNSEKNHKNQPKVAAVLAEQLAAGEDAIMGVMIESNINEGNQKVPAEGKAG LKYGVSITDACISWEDTESVLETLAQGVRARREKSETK PEX2_018140 MESTPLAHLPGDCWLQILEYLPQQDLNSLSRATHDTRGSVEPFL YRSIHWDWKQPPVRKILLLLRTISERPKLAQYIRHVSLVWWDVESQGTEISSPKGDLN WAKSVLQFRPTLRWARKVVRDAKFPTKFDTKWITRLFDGDAYAYATLLISQLHNLRSL RLDFSFVLEGGFPGEMLHHALFGNAPPGTLSRFSKLEMADYGSNFPLTRFQEGVSLGR TSQFIPWFHLPSLKTLELWLYSVEGICIFPAEMPKISLNLPNLRSLVIAKTRVLPGDI TRLLSQLPYLKSLHVGMAYQCRATAEFLKEPECLLRSLENLGQAIEHLSISMELLPCC AESFLQRAIDDGGRPFHGMLKKFPNLKTASLPLNFLIGWDITAYRLRDVLPSTLEALH IRADLWQITNLIEFEMEALEALESLMRHKQRGSLPSLRTFSYQGQHEYNDTELAALGF EADFKYSYLIKREALNLFCHRQGYKLFSQYGDCTPDFMVRGATLVDNIVHRLPWPFVR VDELPASVPRHLRTASIKSSDQQSNDANPAGPN PEX2_018150 MSTNASLMHTKRSSTRSISSILNKSVTRYLAQSRQLSKCSSTSK SSPPAANVVPTEILLEIFSMLTPRDFDNARRTCSQWMRVSLNQKLLENMLKRAGWWDA WLQDREAQRISRLDESEVWRMSRRFATECLLSGRKLNVEKQGFVATAVVDFSSLSDSA RRASRRTRLQPVMQMTEGKGSGVSTFSISSCSNYLLVTTGCMIYIYSLLGRKSRSTST TDFNGAHLALVSRISCPFDVISAAIDTSKPQFTIAALLCNRVGMICNLDMAWAKAPTT KGSHSNSKMATSSRHFFYNICTVENPPRTVALFPGRPIVAFGCAAGIEIHSVNEKTRN EQRKHFTLPQPSEILHFLPSSPETPSELRLISSLSGPGFHECKCPPSPSPSSSSSSIS SPKLDSKNNQFHFLADIQSFNRRRIPHAPSRSFVRATHCHHYRAVPINDGFQIMFIEP RTNLLCIGTDAPIGGPTSLTRAFVCIPPPFSNAQDGQIKTPPPSPSPSNTTPPPADLP VPTTFTAGSDLRWGLRVVAAYGDRLVLYSIPLDVFNVIRKERERQGDGIMGASDLALD WYVDSERSRKRRESLVQNQNGDWEFLLSVSYRPTAMMWPFKIYGKEIGSVKGTVELAL QSSEGGVRVWAFGEDGKGTVLDVDTGDTSTRSLGVGSDGGLEDLGMVRSAGLSRLQGS RKRKFEEVKTGFAGRYGAGRHSADGVDVKPCAAGVHGIHQDPSIRRSSFAACIIDFKI PLY PEX2_018160 MSLTASAASAVFTLLADKPDDGSKRRWEDQLKEQRDLYTQFVIS SALGLSAFLAFCILRPKWTELYAARRRQRNAASRLPELPDTLFGWIPVLHRITEEEVL QSAGLDAYVFLSFFKFAIRFLLAVFIFAVAIILPMHYKYTGQYGFPGWDNVPDNKTMS AIGEMEKEKPVTDPIYLWIYVLFAYVFSGLAIYMLLDETKVIIRTRQTYLGNQTSTTD RTIRLSGIPSDLGTEDKIKEFVEGLRVGNVDSITVCRQWRELDELIDERMKVIRQLER AWTKHMGYKRPKSDGNTLPLTEQQPRDPDDERSGLLSGHESEDVSGYSNERPKVRIWY GPFKLRFRMIDAIDYYEEKLRKIDEHIQNAREKEYLTTEIAFVTMESIAASQMLVQAI LDPHPMQMFARLAPAPADVIWKNTYLTRTRRMIQSWSITFAIAFLTVFWSVLLVPIAS LLELKTLETIVPQLAEFLQEHPIIKSLVQTGLPTLAFSLLTVAVPYLYEWLSNNQGMV SRGDVELSVISKNFFFSFFNLFLLFTVFGTASGFYGFWESLRDAFKDSTTIALALANS LEGLAPFYINLLVLQGLGLFPFRLLEFGSVALYPFHFLSARTPREYAELSTPPKFSYG FSIPQTILILVICVVYSVFPSSWLICLFGLIYFTIGKFIYKYQLLYAMDHQQHSTGRA WPMICNRVFVGLLVHQLAMIGVLALRRAITRSLLLVPLLGFTVWFSYWFGRTYEPLMK FIALKSINRDQPGGGDISPSPSSTLSPPSGLDRDSLPIRIGGQDLELRLKKYVNPSLI IPLHAAWLPGRHPARSNGNGASAFEAHQTPNV PEX2_018170 MASPRQVYLLPLKDDGSPDVPGGYIYLAPPPKSGYVLRFIIEGT SSICRQGSLWVNIPEEGKPFERYSFREFRLQPNFNENIQIDIPVTFAGAFAFYTTFSP LPEFSVEEVSAPNPTQTPVHYIDVSPKLTLQEKDLPLNALSIFSVISKFMGQYPTDWD RHLRGIGQRNYNMVHFTPLMQRGASNSPYSIFDQLAFDSEFFPNGEDDIASLVANMEK DYGLLTLTDVVWNHTAHNSKWLEEHPESGYSVETAPWLEAALELDSALLKFGDSLQDV GLPTEFKTEEDLVTVMNAARKEAIDGIRLWEFYVLDVKSNVKKILDEWINANIDPALV EREEMQQFKGWSLTQQANVLRSIGIPSVKQVLGRFGRAVDPKFGAVALNVLFGDYDEG HTNLAEVEASLTQLLDEANLPFYKEYDADVDAIMDQLFNRIKYLRVEDHGPKMGPVTK ENPLIETYFTRLPLNDVTKKHNPKALALVNNGWIWNADAMKDNAGPDSRAYLRREVIV WGDCVKLRYGSSPEDSPFLWDFMTQYTRLMAKYFGGFRIDNCHSTPLVVAEYLLDEAR KVRPDLTVFAELFTGSEEADYVFVKRLGINALIREAMQAWSTEELSRLVHRHGGQPIG SFEMDLPSAGSSHAIASARSGKTDENITHIRPCPVPALFMDCTHDNELPAQKRDARDT LPNAALVAMCASATGSVMGYDEVYPKLIDLVHETRQYVSHSSDSESLVVGSGEGGIGG VKKLLNELHTMMGVEGYDETHIHHDGEFITVHRVHPKTRKGIFLVAHTAFPGNETGAI LAPTHIAGTSAKHIGSWQLEVDASDEAKAKVLADEKYLKGLPSHTRDVEGTKIEQNDK EVKISVLKSLVPGSIALFETWIPGADHADGLENNLANGADDAFSELSLIDLNFVLYRC EAEERDSSDGQDGIYSIPKHGPMVYAGLQGWWSILEDIIKYNQLGHPLCDHLREGQWA LDYIIGRLEKAASKEGYVALKKPAAWLLEKFDAVRNLPNFLFPRYFAIILQVAYNAAW KRGIHLLGDNVRHGQEFIHQLAMVSVQQTGYVKSASLWPTKMVPSLAAGLPHFAVDWT RCWGRDIFISIRGLFLCTQRFDDAKEHIIAFASVLKHGMIPNLLSSGKLPRYNSRDSV WFFMQSIQDFIEMAPNGIDILQEKVPRRFLPYDDTWFPFDDSRAYSQSPTLLECVQEV FQRHASGMSFKEYNAGPDLDRQMKPEGFQIDVKVDWETGLIFGGNQDNCGTWQDKMGE STKAGNKGFPGTPRDGAAIEITGLAYSALAWAARLHESKAYPHEGVDIGDGKKITFAE WAGKIKDNFERCYFVPLDPSEDSQYDVDAKIVNRRGIYKDLYKSGKPYEDYQLRANFP IAMSVAPELFTPAKALVALSIADSAIVGPVGMATLDPSDLNYRPFYINSDDSDDFATA KGRNYHQGPEWVWQRGYFLRALLHFDLLRRKTPEERTECFQQVTRRLDGCKKALRESP WKGLAELTNKNGGYCDDSAPTQSWSAGCLLDLYYDASKHS PEX2_018180 MGSIAPYQRKHKITVVGSGNWGCAIAKIVAENAASNPDLFEEKV EMWVFEENVEVPKTSKNYNASNTGPQKLTEVINNVHENVKYLPGIQLPVNLHANPSLE DAVKDSTLLIFNLPHQFIIKTCEQIKGKILPYARGISCIKGVDVNGTGIHLFSETIGR ILGIYCGALSGANIANEVAQEKWSETSVAYDPPHMDSQVPTPQRSPSSSTTNLVEFQH KDTSGQISEVKLQALPSEYPPVDHAVLKTLFHRHYFHVRVVNDVAGVSISGALKNVVA LAAGWVVGMGWGDNAKAAIMRVGLMEMVKFGDTFFSATIDNRTFTDESAGVADLITSS SGGRNFRCAKYSVERNQPIEEIERTELNGQKLQGTLTAVEVNSFLKNQGMEHEFPLFT AVFRVLEGTMKVEEIPSYIER PEX2_018190 MAPIRKSTTKTASGRGVIAKPSVFGDEFRTSKRDKRQIKHAALV SKIEKNSQKTPKRRRASKKLVANLESLADALPEAEESNDAASQVNVIKQKTLRHKPGA LKRREKLEKLERDRFNKNLTEMSNITSAPVTNNGEASTESNPTAGRWSALRNFISQTM EQQPVFKANK PEX2_018200 MLWRHFQRRLPATLARRGILRCRLPQLYSGSARQLSSSSTTFPT WSQFNRSEFTSQPFTGSYETGGPTRGPLGSTPAFGAPRITPKVLKQYLDQYVVGQDRA KKVLSVAVYNHYQRVQELVRREEEAAEALAKRQRREALEIHPLDADDITGAQKSASIP QPPKSRSNTPLEQADFADTSPLHLEKSNILLLGPSGVGKTLMAKTLARVLSVPFSISD CTVFTQAGYIGEDAEVCVHRLLAAADYNVEQAERGIIVLDEVDKIAAAKVSHGKDVGG EGVQQALLKIIEGTTVQVQAKPEKNPRATSAPNSFPSNSPLGNGSFHPNNPNPAAKGE VYNVRTDNILFVFSGAFVGLHKAIMDRISRGSIGFGQPVRPASNSSDFPGSPNISANQ PLPILPGSEEEALYKKHLPFFSSASPAGSGAEPTYFNALDLLTPSDLQSYGFIPELIG RIPVTAALSALSQPLLMRILTEPRNSLLAQYTTLFSLSGIELRFTTPALHKIAGNAFT MGTGARALRTEMETILSDAMFEAPGSSVKFVLVTQAVAERKEQPIYLARGQGGRFHAM ISAEESQWEAKTRGEKKDQDQKTKTQDDQDGSNASNFEEYQNRATG PEX2_018210 MTSDSEISNAADRSGIGDADSLASTAASEAEAEYFVNDIHAERR FYVEPDSEDDEGNFVTQYLVEWAGYSMDRCSWEPKEMFTSEETLDGWEEKKKQIAEGR VTPFNLKSWEKRMAMLEKKTKKRKEARRRKREQRARQKCLPRAESSRLANLDITDARE PEADIAPNRAPSRLSVDSNASALFIPAETLPPSENVVPRQSLQQRAPPRSVTSSAQTN RNESIGSTTLIAQTKTTPNKLPNSRLSQLAKKPTAKQKPSARQLSPPPAAKPTLSSFG TGPGAQRAYRDHKLNERAPDLSQMELRKPSEFAPRMNIGITTSIVGSSVTSPKSPEAQ NQPASENSMSFTGPPKQHTGPINDEAANQPEKSVALAPSSVMAPSVPRSSNLGSTVLV SSSAVLSDPSAPSNPEEPVGHVNSTTFIISPATQLKPISQANLTRLADSVDTIVSTFS PPGEAPLTNPVGLSTSALTAPSAAPYPRPPSHANIDRPTSLSASTISGFTSLVLPGAR AEATSIQPARLPGYSLTTQSPAIPTRPRAMANFERRTENRDSQDSRGTVRGWDSYKPD STRQSNNTMVADTYRPSELSRRSSPTRRSSPNRRSPPYRRSPSRRPRSPPSRRSPPPG RLSRSSLRDMGDYYAPRSPSPTYSRIASRSSDSIGLNGNPIANAQSFAPTEPRAGASA PPSLSIAAQIARMPVRDSWAPGCIRISRYFCNKALGEILVHMFIGPGKRPLGAFRLCG IRSDVQSSLILAKNPRTGQVEVWFKHLCTVREYEKLCQSSETNSVLSTGWVEGFSDTN ENLFHLAEDLRKDDLIAIHYPMGSINLAWVAWSRGSQEFRFPRPKNEVPPGIPLLIAA RTMLAPIEVLSSSGQPHGFLPDKSLSLRVEPPSADDGLEADGPLRRSNFQHDMGIGGS LQSREAPTPVRAQIFPPASELKNNSNSANAHPQENIAVQAAQLGRAAEEIMRARKIEV EELATIEEGGKSSKAGIFYLHFPKDNDEIKQELQFLQLLLSHHEKIVFTGDSPKDWAK FVQNSRQGVAIFHESFAGYDTLRPPLNSVLPFSSFNFWIVRIQRPLELVDPRYCSPSD HHLRVFPYGGVILLTEDMLADLRGVAVTLQWIRSANKHKRKSWTLMFPPGILEWIERR LGDENYSQDHEILLLIYTLIIKNNVTDPRVDLFDKASLHPNSKSNVIAPSLDEYGTRT EHHALEIKDKVERDADHLIEFFAGWSLINIPRFRNFVAVTSLGPSTGPRWDEWGHMTV MRTGFGHFFKRFRIDSVGLMAYLSGVSKARPSGTSQVATPLAVATPQTPNWTSHNSNA AIQSTFTGSPNGNGTNKYPAPYK PEX2_018220 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYENVNR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFASENNLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDNGEGGQQVLDRRPVIDPSKQDSEQKPGCC PEX2_018230 MRIDQLATELLLHIFRSCNSVSDVLNLSVICRRLHRVLNSTSKL HILASVAETEFGPLDDIIQVVTQNESQPAHLIRHAPMSGPLLKQVIQLGLVARKWETI YPFKKWKIDFENRRSLTDEERLRLRRAVYRLWLYHSAFHSGVYDRHSRSLRHVVLERA QLLHNWSTQELAEIEDLRLVMGDIVQNHICPSNGTIQRKFRKRYPDSSQQLSFNIHLN YPSSSTVSLSSHDHSLLQKGPEASIQQYFHTAHPSNYAESPAKFRSRFRNDLSHDPGY EGWGDEIPHYYIMQDMLKLDPGQILWLRDHALLKEQVEEYVWSLGEWFRNNGETFGDT LEYVMNERGLEVGEFRSAVASREMGIVLD PEX2_018240 MASVYKSVSKKAARQPTEDMSDEDMEMEELLNAEDDTTDSEEEE SDDGEAAEVVKKQLASGFMPKTRVLILTSRGASYRHRHLMSDICGLLPHTYKETKLDT KKKAAGYNLLLNSLADLHSCNVIFFLEAKKNGQDLYLWLSRPPNGPTIKFHLNNLHTM GELGAGFAGNCLKGGRGLVVFDRSFDEQGPDMGAPGSEYRALVREMLRGVFCVPKRGV RGMKPFVDRIIGIFGVDGKIWIRVYEIRESEPGKKKEGEGEETIKPVPKGKDNALPEV SLVEIGPRFVLTPIVILEGSFGGPVIYENKEYVSPNQVRSDIRQGKAGRYSQRRDGQT DRVAKRSDLGLSENSVRKVDALDTRKLFA PEX2_018250 MSQATGSRTIVNYNELPEMTRTEFATAVEPLLSVTDRPWFHFEG RAPDVTLECIHYLREHFPGAQISVEVEKPGRPGLQELADAADVVLYSKSWAQNNGYIS AEDCLRDQSLKTSRASLLCCTWGHDGAAALEPKTGNFAHVPAHTEEVGICDTIGAGDT FNAGLLYGLIYRGHDWDFRKRLEFANLIAGLKVTQEGFANLQRALDFRHINSSFA PEX2_018260 MGQRNKVLETDTPTAKFLYTIIKQLDLKSVDWNRVASDVEVSNG HAARMRYSRFRQQMEGTTGANKPKRKSKKAKTIEPPVEMQGIFPMAPPLVMPTMEPID SSLPGNPFIKCEPGTEGNTHLQSLIHHSPQFISETSTEGQYYFPQDFASMQFQVASSI PSGIPSPSPTSSSFMNPYQFPSPPTGYPYSSPSTQSGFEMREFGQLQPFSNYAPIISW EPRPPSHQEGPTVKVEEGQQSEEGIQSTGNQEVQEDQHVVVKMEQI PEX2_018270 MPSYKDNPPDQEYLDSIKKHTDKDTNKVNLGLVAKDFGYTTAKS FYKKYAKLKKAHNLTNGHIYGDIKLESSPIKAAPTKASLTKASLTKAAPTKAAPTEEA PADETSTAAKEPTEITKSIMDIVSEHAKAYSKQQEAMLKGKSSEEDKKN PEX2_018280 MTSVNTPEEQAIAFLLICVEHSQMGKINWKDVSLDIGVNMSPEA ARKYYFSIAKNAKGFERSDKYPEIPADPSWETITPDDLGPIVIFEESYDTIA PEX2_018290 MDSTDRPSRGELGGPQMVIQQYARYPSTLESTLQSASSLGSARS QIDINKSPPLQRRETPRSLQSSPRGMRSAIPPSSANHSGTSMSPPVFDPRRHQPIKDP ADHADSRVLPSRDITDETIDDAYVTFILYCNPNVPSSVDTSDLRKTFRCLPRSDGKSF NIFTLFGLIRRRLQNEELKTWIQLAIELGVEPPCIEKKQSTQKVQQYTVRLKRWMRAM HIDAFFEYCMGLPHSYYTQLPPSGPFVSESRDGVLLEEDLALRALVPQWKPKRGRKRV EDRYTEEDKAIKRPQLDTSVGALQHGSFHSHSVTFPQSAIPFSAFPDDMEPNDPWIAA TSSFPNGSGPNQQSQDIRWRLPERDASPANYPQSAIIPRSHLPSDVLVSAEPRSAVTP STGEKSRSRRRHGPAVSSAWPNSNGLSAGKGRGRPPNRGSSSGSFSTFQVNPSRETSQ APNPHTQHPPLAPDRHPPQIFQTPSHNQPPTSISQGRPNKLQLQVPQHSGAPVRLATP PRLVVNGVSGAVIPGSEGPNGSRQYPTTDTPKNGITTQASSISGQNNAAPTPSPSIDD IIHALSAELLRARLTGRTATLAPNEAGALASAMVMNLSSLYSQFQLGTPLLLLVFHLG LGHHFGFAGTNPESLVIKVEHAIPSSDGNTGAPTSKESSSGFHYTVSHEYKTSNQFSM QITLANVNPSVGGMGASDHTSAISGPSHENNDKDKTVILDSDDDDPSQPVSETTWKQR YTRLRAQMQKKDQALSQYKRKIVESVMADI PEX2_018300 MPKSYREDSTDASFDAAVEDNESEALVDASIDAPNSDAESVPTR PSKTKRPRRAATTPKSKQTKRSKSSSQVGGVLHKRAKTDGDDPIFLGSGVIPPWQTLP YQILLDIFLRASHPLLDESRSARNDSVKWLVNIALLCRSFHEPALAALYHCPPLLPAY KSHVLLSLLARPQESLSMNYSSKIKELHVEVEPVLIYKSGPYGYFDLAQLIAQTPRLH TVRLYHKDDYTVGIPPWHIVQSKWTYPDSLFSAIENRGIALSSWDWNSRFLETDKLIE MTVNMHSERAFQGLKELKLLHFDNSNEETSAVKEAGLLEALDMLPELQRLDIIESSLV SGEILTNLPNTLRSLTLNNCDRLWSSDLTAYISLHGTNLRELSLSHNRHLNMSFIQTL AQCCENLEVFKMDLSMHDASSYHDVEPHFEDLLVQSEVPTWPVKLQEIELTQLRKWDD ATAEVFFTSLVNAAPKLRDLRRLVISAILKIGWRDRATFRERWIGLLEKVFLRRSMPP DPNLRSLQKRSLKPTIPITRNGPDELGAGVDNPRPSTADSGPSTSSKRQSTRLAHQKF NETDDAASGSSLVGTPQPEAVKIQGMCDIVNIRIDNQRPTELQFNENDFLDDELSGDE DWAGDDF PEX2_018310 MAPEAPADTAGPSSPPPQLPEGWLPQWEGVGRKWYYVQRTTGKS QWEIPTEPIVLTPSTTPTPMGAGLPQEPKLHPTSGSTREGESVDTMAGGTYSAADSAR ISVGNRAHYVCSNNPAYGSSVAPGWYSNQTGQHLPGGYEQQLAANAAGYGPNPLHQGP VGQMNGVQPAYYGSQTHQGYQITGPHHMGQSISSSAWGNNPSTYQGHPSGYNMTQHAQ SFQAFSADPAGLHGHQPPASWTITSNPQGQMARSMDGTSDPQPQWQLESQQGHLNASG EGVPMNLHPSTMPKPLFGSYSSNQNVEPSSGEFARRASNISIVREGQPYQTSADSFPN HSTHSMGDQGRFGQGQLDPRSHSQHALTDPALQGFSPLQHVQSQYQQQHMIRKQSGSH QVNLAQGHQQYHNPMAPVSFNQYGSQHQFGHSGGPTGFPETAHVHQVPYHQSSHYPEH IKHSPGETGRDPESHFVSGPWTSTPPSAGQL PEX2_018320 MASAWDHERDKQLLVAILAVHAPLDFAAIAQAMGQGDSTAAVRQ HVYTLKARHEGISAKSPTKDKTDKPTGRGRKAAKSTTKRKSSSFTKSEKDSTVEGQGG NRDDSNPSPTKQRKI PEX2_018330 MISLPVTAFQMLMSFVIARIMTKATMKITWNEQADAKLLAGILA TTPTPIDFRALAEYMGDGVTVSAVRHRVTRLRAKAEANDGSGTSAPASPVANKRQRAT PKKSAKATASKSKEAVTESGEGPVPKDEETDDEDVKGKKPKIKQEDTDLSILSSDSFK EEEGPSDL PEX2_018340 MGKVKWDSNADQTLLAKILETHDLSVDAARVAEAWPAQDDDHKP TPRAIKERLTRIKENVRQGNPAGAGPSSPVTPKKRTPRKKANETPTSATPSRKRKRVT KDTVVNEDQVDVNEEEDLPTEHTEIIAESAINKETGLDEIDPLLHPQVEQEYPVVDHG KNDPEWSGYNSDADWDSDELSK PEX2_018350 MPPMDLASARGTKLSDIVIDHRPSTGRGKKLTLAQEGVLRRLYE QELPSVSNGKVPAKGFWENLASLFHDHTGREYSWLSVKRRAAGWRQKSVEIDGRLDAS RASELGVEDWEPGPSNQDIARQSSRQEGSCESEQPNMQQNKPPPHPQDSKPMGLSQLE ESPGVGDWLQRSWFSGDPDPSQDTSRNLRSPRMSEPRPRSRSPQRVLQPRYRRRSPSP TRRTRIISKRLHHQLASPTDEIVASGPNPWSGSSLAHEDVQPSPQGKRNPLGFNHIRE SMKKDESENHARDSSRFKRVENKVSNGLLASPRLSEQDDLPLAPTRILRRRVAK PEX2_018360 MSSDTTDQWQMPIGTLPFPPVVQDMNGMNFGSPNIFDDRRSSVG EIPSGGGHQAVRDVTEMVTSSSFSVTAAVKATSITSVFLDECLHMFFVRFIPTFPILH RATFVFRECTHALLLNAIAIGSLYLGPKDSVAKGEALWRLAHTAISTSWQSLITHNGP YDACKGVQLMITALLGQIYGALSKNRAIRTTSQVFHPLGFLWARHCGMYDSEPYSMDN LPLIDAPAAEKEHQWRIWSAREIQQRTLLAYYVLDGLVAQTSSDGASSRHVANPLTLP SSEEAFDASTADEWLAHMHPQKPDQSSFRTIFRSLFPPVGSFRPLEYQFSAFALRVVI EGLQSLISDFDDSELAVGVPSQSDVRRALAQVHETISMSIHFTAAERLEILMRWHTVC LDTMTNSTVLSRHVCSRYNIIQHVSGGCGTIRPGFDLVKWANSEDARRAVLHAVAIQD IVEQLPRGRAHVVHMPSSLFAAATIYVVLSLAGVATVNLPRNIVWQDALLSHSDLNLS HEDIRPLSGSETKRFVENGNEASSLPLPIGGAVRNLLYELNTMQKLFRCLSSQWGIAH DMEDIIAQWIQLCH PEX2_018370 MASFELHPSSSRQDEVEEMPGTKADIDLIESAGHGRAATYAESI TARLPKAHRDYLIERHGTLELDPIPGMSPADPYNWPEWKKMINLILVAFHACMGTFTA AGIIPAYSDISTGLGVSMQDASYLTSLQIAILGGAPLFWKPLSNRYGRRPIFLVSLIC SLVCNIGCAKSTTYASLAACRALVAFFISPASAIGSAVVMETTFKKDRARYMGVWTLM ITLGVPVGPFIFGFVTYRVGYHWIYWILAMINGGQFILYLFFGPETRYLGSGIDSKES TKKIEYMSLRRIDPTPFSWFEFVRPLTMARHPSILIPACAYAMVFLFGNILATVEIPQ LLQEKFELNAEQLGMQFLGAIIGSVIGEQMGGVLSDFWMSRRSRRINRKAEPEFRLWL SYFGFALTIIGMIVFLVCTQESPSGHWNVTPIIGTAIGAVGNQLVTTVMITYAIDCFP QEAGNIGVFITFVRQIWGFLGPFWFAPMFETVGVAPSAGIGSALVVVVSVIPTIFLHW RGKVWRIEE PEX2_018380 MSKIASTFSRLVRFVPKSNPAKVLIGEPVDPKLDVGLALYQGKE VAVRPFSGTSVLSPGQVTDATETIARILSPLAQSEVGTIRCIGLNYASHAKEMALPIP EVPTLFMKPASSLADPWPAPTVLPKVTQQDNTGDYESEMVIVIGRDAKDVSESEALDY VLGYTAANDVSSRTSQMNQSQWSFSKGFDGACPIGPVLASAALIPDVGKLQIRGLKNG NVMQDCPLTDLVFNVPQLVSFLSQGTTLPAGTIILTGTPPGVGAAKNPKEFIKAGDEF AVELLPHVGTLINKIEHQ PEX2_018390 MSAPKGPFHLVSVNTAPERAKRLIGRLVEALSDRYTIIHVDNCE RIDEVEAKVKQHNPEVLFSASMWSPEEAQQIHEIARSVRPDIKLHAIPQGLQVERGPD AVVEYLLENVPVLLDS PEX2_018400 MLLRYPFLTILALLAIPERVLSRKGGDGSSGDSDSSSSSGSSGS SDSSDSGGSDDTSSSTSSSSGETHCFDTHRLDFEDLQPPHYYKYNKAPRHGHASVKTD WDGVFFKGEANMKYTIITPPNNLTEGDIVSSSLIECPVGRQSMRMLGVAWVGPKTPTP AGPINPFTLGFKAWKSNVRVSDIDYSYSVCEDPDLMLLTTTVDLYNETSVVKAMDAVA FNITQAADNSNKILFDGVYDLKDWADSERSYLQPARYDNTGLWDQIISLPDSLCSERR NLGKILFVWPTGTHFNGSMTNETLELNFSGSTLAGFERSYPSWPDTDTKVNVTFEFTF TGSFDAANSTQVVLTGASSNNASLVDFEIVTGSATMTSLSLYYVLLSLLITLGIMVV PEX2_018410 MADQLKPYKISVPDENLQDLQQRLALSKFATQLESSEQDPWDFG TPVEEVQRLIKYWKNGFDWRMAEAKLNELPQYQTQIEVDGFGSLDIHYVHQINTNKNA IPLLFSHGWPGSFIEVTKLLPMLKGGADSPAFHVVAPSLPNFGFSSGVTRRGFGLVQY AEVLHKLMIKLGYDQYVTQGGDWGFWITRTIGLLYPEHCQASHINMVLANPPRFTDNP WAALQHALLPYNDREKAGRERSEWFEREGYGYNQLQSTRPQTISAALEDSPVALLAWI YEKLHDWTDWYPWTDDEILTWISIYWFSAAGPAASVRIYYEAFHVETVKGKSYKDLIA HVPRVKLGIAHLPREISVIPCSWAAGLGPVVQQNEHPRGGHFAAWEVPDSILQDLRAM FNKDGPCYGVISGKNGY PEX2_018420 MFSLRTVARGATGLRAFSTARMLQNELAYQVFGPENGQAVRDPI LILHGLFGSKQNNRSIGKALARDLKCQIFALDLRNHGHSFHAPEHNYGAMAEDVQEFI KQQKLDKCVLIGHSMGAKAAMAVALRAPERVSALIPVDNAPVNAELKSDFPKYVRGMQ KIEAAKVSKQSDANKILEDYEESLPIRQFLLTNLIRSEEDNTLKFRVPLSVIGGSLDH MADFPFEESDNLHYDGPTLFVRGTKSRYVSDDTVPAIKKFFPNAQIVDVEAGHWLISE NPEAFRQGKFPLLDNT PEX2_018430 MASRVNVDSPNLPKQRLSGPFPGPLPSMPTHNATKAQDPRLAGT ARQSVDPQSLNPQQGHLNLPANSAFIQKPPAQSSPQVPPLSIPNIAPGPKSTESQSVP NRQGALFISDLVNSLIKVNKSEEEKERLQKEIVSISKNLQRAKQSQQFPSVIAVFQQQ LDAAKDELANHVKSIIQHRSLSNQAEDNFNSTLSQLNSQPQLEKIPERVQNLESTIKG MGQGPAQTISGDNPMTGNAEIERVQADMQARDRDIAELKGKLDELQHALKNPNGLEEA LGYMNKIANSVALQSKWKSQFTNKISSLEGEVKAADKDLDHKISNVKKMVDTVEEELK VSNQHLETNISVLGGELRTTNERLEGKLSSIESDIRSLSATRHNLNDSASTQISQVET DLEAQRRQATERITAQEGLLASLRTQLQQNLDNGGGRVSSETTPTPHSGVLTRVVSLE KRVQTQADLLNNIKNLHHDVDVIRVTELDTFRRNQESSQNSLRIQHDDTLKKVEDLTR KSEEMTKHQTSLGTDLHQLKGSLPGSFEQLRTDLQSGLDGFETRLAPVSDLAQAVTKC ESRIDSLNRAIRSLETRYTNITTGDLVNSMAHAMQQMYPSVDKLSQQLTAHRTEIEAR LSALKTDADAFKADTESFKADTNQFKADTQQAQADARNAQASTEGSQAAQVSPEQLQN LTELPILLQQVKDLSDKLAPIERLISEHSDELQKNLELRSDLQNRMTAQDDTIEGIAQ KADEHDEEFGTIAESTSRIDPLINKVDDHISQLQEVRQAIGELTRAAAERNTTAPENL DVISTRLEALEGRTKTEDEILDELRTELKNLQHQHTTGGLYLDEVREKLKDLADRNKA EDKNLDKLRTELKDLQHQHTTEDQYLDEVREKLRDLADRNTTEDNDISKLRDQVKVLA GRKPPVSLEKFTEQGDEVKMYIKRLRNAEDIFKALAGGAKISDVLDKLEESINAQKTL ESLANGRSFTSTPTATPRTVPTGATLGAYQPVQFSVKGQADSSTPSVSTSTYSAMQAR QPSQTPSGPSISHPSPYSGKSAEPRQVQNLKGKRRVSSVVDSDDERNTTESSSVVESS PAPSSSSPGSFTPGSSKKEKKKAKKRTEQAEENPKPPSRPGKKRKRNKQNE PEX2_018440 MAVPISTVSESKELRGLNLIAAHSHIRGLGVDADTLQPRTSSQG LVGQEKARKAAAVILQMVREGKIAGRAVLIAGPPSTGKTAIAMGMAQSLGPDVPFTML AASEIFSMEMSKTEALTQAFRKSIGVRIKEESEIIEGEVVEIQIDRSVTGGNKQGKLT IKTTDMETIYDMGTKMIDSMTKERVMAGDVISIDKSSGKITKLGRSYARSRDYDAMGA DTKFVQCPEGELQVRKEIVHTVSLHEIDVINSRSQGFLALFSGDTGEIRSEVRDQINT KVAEWKEEGKAEIIPGVLFIDEVHMLDIECYSYINRALEAELAPIVIMASNRGHSRIR GTTYNSPHGLPLDFLDRVVIVSTQMYSGDEIRQILAIRAQEEEIDLSPDALALLTKIG QESNLRYASNIITTSHLLSQKRKAKEVSVDDVQRSFRLFYDPARSVKFVNQYEQRFIG DQGAVSFTATTNGDAMELS PEX2_018450 MSSSLPRPKRTGEDFASTNYNDDGEDSSEHKKPRFDLRNPSALA ADAPEEDAVLDADEIGRRGQRVRRKAVNLEGYDSDSDNEGFSARTEEKSKRKQAKDDA EDDDMFAELQEDFGEEEVDGDEIINKNKKSVRFLRDDEIEGQVASSKGGGALHVDLSK GAAQVDEEEDESGSEVGEEERARVDEVVDEELGAGGKKKHAPLLDAFNMRTEQEEGRF DDQGNYVRKAIDPDAAYDSWLEGVSKKDIKKAKEAAEQRDAERKEKDRLDDSILTADV LKTIITHLERGETILEALARLGKGLRRKPKWQSKKNKKNAAEDIEMTDEDPDEVARKK AIDSITGAADILMGRGQVDIYDTEREMLTRQYRNDTGEDWIDPPTNGVTEQGPAMWEY RWSDARDGGDAHGPYDSAMMDSWRNAGYFGEGVEFRRVGDSGPWSGTVEFL PEX2_018460 MYRPGYWSDDPMDGVLGAGMARPGATSRRFDEYYRCYPVAMLPG PERENVNHGGKVIMPPSALDKLTRLHITYPMLFELHNGAKERMTHAGVLEFIAEEGKI YLPFWLMQTLLLEPGDLLQIKSTDLPPGQFIKLQAQSTSFLDISDPKAVLENAFRNFS CLSKGDVFTFSYNDQVYEMAVLETKPSGTKNAVSVLETDLEVDFAAPVGFEEPQRASG TSTPGSVISGGKLPAGGLLHPHGTMAQSINYPAIAPEATDAATGARAASSNFLSGGQR LNAKKGSKAPTPNPSTPTPGASNPSHPPPSRRINGPQPLRLPPNQLFFGYAIKPVKPR DENGLVVPDDQPKFQGIGQTLRGKRKDLSDSATPSGSEAETQKSKENTKKSDGGGRTL GKR PEX2_018470 MATMAENPLRAFSKLAPSVYLQDPPNPSEKADRPVIFIAFWMNA PPRALAKYVVEYRRLVPSARIVFVRSSSGDFIWRLGAQARKARVAPAVEAMRGLVTPE NPVFVHFFSNGGMSSTTHLLQAWKDATGTPLPMSAMILDSAPGSPSLRADFKAFSFAL PRMWILWLLGKFLLFALLVLFKLIHSSSVFPDPTSLARKLINDNSLVQAANLDGTLSR CYIYSDTDDLVNWRDVESHAVDAEAEGWVVRREVFKNSPHVGHMRAEPDRYWGIVREY LGALVLV PEX2_018480 MTGTSSSPGHTPICESQSAERPGAKFRSSRLEGQPLRYTLESKD DDTPASLLFPLF PEX2_018490 MFQHQSHEASFLESKIGKDYRFALPGFNRPLDFAPMEKNVYGVE SRSMFPSALDDRDIQAGFVDLPVLTLREMHMVEFMEDLTDIPEWWKKVFDPATQEKWK KTAMNSGKDITLNMAEWIIDELQFKAMIYETTDVVALYNGDVTKSDTNIPKSLVGDMV SKFSILEYEEKAMQYFYPGSLHRERDLISMALYPLVYGKTRILTDRILGLDDALRNSG RGEVIPVPKETGITREDIAWRVLSRADIKVRPYNRNYQILPSDWELGDDGKWHIATYI NNLHPVKHRNLYKLIEEVFNCIVPQWNATLTPLKDMLHSRARIEYHKAEYYPVPKEVA AQAPQIYPREAQSEFDERTEKWRMENYRSIQPDAGKFIPWAVPPWLMDKLPEDLPSAV RIERGVDLNRDYKERGLQVITRLLGIDLTPEDPSFETDWHVEGTMNEHICAAAFVPYD HTNIIDPFMEFRNIVESDTLGEIEHDPSDFIWLQQVFGLENGEPAIQYPGSICAKVGR VIMYPSTIQHKFTRFELKDKTKPGYARTVVFYLVDPNIRIISTANIPPQRVDWTKELS PGEGVKEGLQRLSLENMKSKGDMPMSLEEALEARVKLLQEMDEFTRYQHVAFESNVLM L PEX2_018500 MPPRKRPQPSAASRARRPSEAGNQSATDPTKSKRPSQSNPVPQP HQNSVPGKAEPASNFKARDPFDALLEPFYYNKGLTDPIDTARDKWNLLPAFLKVKGLV KQHIDSYNYFVEVQMKKIVESSSTIRSDVDHNFYIKFTDIYVASPRRADEQGDGGIDF ESTITPQECRLRDTTYAAPILVDFEYVRGRQRVIRRAVPIGRIPIMLRSSKCVLSNKT PAQMSVLNECPLDPGGYFVVNGTEKVILVQEQLSKNRIIVETDPKKDIVQASVTSSSN ERKSKSYIVSKKGRLYVKHNVLNEDIPIVILLKAMGIHTDKEMMQMVTGDDGLYQDDF AINFEEAINVDVYTQQQALEWIGCRIKINRKQAAYRKTHVQEAVEAIASVIISHIEVK DMNFRPKAIYVAHMARRVLMAKSDPALVDDRDYLGNKRLELAGQMLALLFEDLFKKFC FDIKMNIDKVLNKRNRAEQFDAWTIVTMHGNHITQGMNRAISTGNWSLKRFRMERAGV THVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQFGMLCPADTPEGEACGLVK NLALMTHITTNDEEGPVRNLIFMLGVEDISSVGGQQLYARGAYTISINGTPTGLTRRP KSFLNAFRRLRRMGRVSEFVSIYINHHQRAVHVATDDGRICRPLIVVENGKSLVGVQH LEKLRDGKMEFDDFLAQGLVEYLDVNEENDSYIAIYEKDISNTHTHLEIEPFTVLGAV AGLIPYPHHNQSPRNTYQCAMGKQAIGAIASNQFQRIDSILYLMVYPQKPMVKSRTIE LTKYDQLPAGQNATVAVMSYSGYDIEDALVLNKGSVDRGFGRCQVFRKYVTTLKSYPN GAKDFLKGPTYENGVPIRKHALLESDGLAAVGEMVNNGESYINKVTPRVQNSMGFTAP DMGGGDVIEAPMNYKLPDPSYIDKVLVSATEGETQLIKVLTRQTRRPEVGDKFSSRHG QKGVVGIIADQADMPFSDQGINPDIIMNPHGFPSRMTVGKMLELVAGKAGVLSGQHGY GTCFGGSPVEEMSQILIDKGFSYGGKDYLTSGITGEALPFYVFTGPIYYQKLKHMVQD KMHSRAKGPTATLTRQPTEGRSRNGGLRLGEMERDCLIAYGTSQLLLERLMISSDRHE VDVCEQCGFMGYLNWCPGCKSSRSVVKMVIPYAAKLLIQELMSMNVTARLKLDDEFPE MKGR PEX2_018510 MAFKHGLSERLDELRFPSPRSPPSESAFPGYTSLSPGHSNFGSG FSSRPAGDVRANLQRRFTTDSSKFSSWNYLNPSSGSGSSSGSGSGQMQDPVDLLSSFE KKRQHIEYMREQKRRFEEDMKILDLQHAREKLEMDQLARDLAQAGISGPVSEPTTPPE YRDTTVSSAFGRPSRFSTSSVTSSPGFFNAFAPSTLTSPQPASQPAQPSIDRFAGHSV PGSRRNSEKDDFLADPTSPFRSGPSAAHRYSLPSGNPNGHSHRSSISGLNGSRLDTFN VPKYLFPSDEDKPHNHLRELERLSTPDIKSYIKLTEPDDKFPTLSRRGDSNMLSANPD AHDLANPRAPGSDTYSNHSRHRSSHQSMPQNIHNFGRLDQLGSQNNEDHSSSAHTSRH GARRSMGSQITFHDDRHDETVTPVSSSRPNALQSSYSTNDLPTIKGNGAGNAITPPKT HNEHMHHHNTSMGRIPQSGVNSQVKAEFDETEFYGNQSTLHASAPPFGPQLTSAASTN HLPSSVAQMGLPFQVPAVPAFNYGIQPYVGQATPINGHMQNFGGASAYNNAYSGYGPG YRFNEPAARGNMAQRRQENDATQLTRFGNYPLEHYKGELYSLCKDQHGCRYLQRKLEE RNPEHVQLIFSETYMHVIELMTDPFGNYLCQKLLEYSNDEQRTALIDNAAPELVKIAL NQHGTRALQKMIEFISTSQQTQTVINALQYHVVDLVQDLNGNHVIQKCLNRLTPEDAE FIYEAVGGNCVVVGTHRHGCCVLQRCIDHASGHQKARLISQITSHSFALVQDPFGNYV VQYILDLAEPSFTNPLCATFGGNIPQLSKQKFSSNVIEKCLRTADNYMKREMIDEFLM GNELEKMLRDSFANYVVQTAMDFCDLDTRNRIVEAVRPILPSIRQTPHGRRIAGKIMA ADTNSRSNGSSATTSGQVTPNEMSSTAQIPKNLLQKPFIYHQPGTQSTQSSSSSGGYS TQTYVPQSSQSSVSNTPSGQSDTSSVYAAPTPQLSVKLGPQQIQNYF PEX2_018520 MPQLALHTNLAYKVRSKPTGPLMLPKEVASSLSIRWPCRDVQMR QLASLLSPHVPSPPTLVVHGISATCKSTILRAVLATLGVPHAIVRSSECITGRHLLTK ILWNTLEALGQKEEWETSGKGRCEHVSGLAVLLEECLAARPVENHGKFVLVLDEIDRQ REAPHTLLSALARLGEIIPSLCVVLVLSSTPRPLFLQSAAVPHVSFPPYTRKEAIHII LSSDAPVVDGLPDETSSRVYPYFVSTVYDSLVGPTAGSIPDFRSICDRLWPRFVAPVV NGETPPGGNDEWDFSRLLVKNRVIFRHQGESALVHRIVPDETPASAKRAPLAKAAMPL ALPSLPYFSTLILTSAYLASHTPQRLDTIFFSKFSSSSLSARNKRAHHRRRLKVLSQA QAEERQAANDDPSTPKKGKRTKTRITKSTLSSAFATSSATTSAIGGGAGIAGPSTILT ARSFPLERLLAIYHAIDPNPPATPLRVAAVADRIYAELATLRRLRLVVPAASHNAMAT SSGNTTADAGDKWCVNVSGDWVGELAKGIGVEVGEWLAGGLD PEX2_018530 MTREIPGFYYDPEKKKYFKIQANHAAIPNAQYSQQSVKRKRSEL TTRENKTRFRERESKETIRKAASLRHPLVNLQREIGAVESSSRARQEQQARIQASQLH RGELHRFEPWPNAYSIRHVLRNPRSGTLIASSARGYESSVSVCFPDIDESQWSYDHTM ERVLFREPYWLGSMSLSHTGYLLATMNEGPQGDCFLSAHLLPEPDEGGNYRWPTCTLP YLSLSRDTSLTKAVPVSHPIRIKPHYPMSFWCSASQPTGSSAHFAIGTSEGLHTLEGA SSHWSLSKKPFKGNTVSTNNRRRTERSQSQNSVHAVEWLSQDVIAAGQKNSKIFLHDL RSGGSVTRLQHNDAVMEMKQMDEHRLVAAGPSSLRLYDLRFAPKALKHHGSSKPYLTF PEFSSLPLPTFDLSTELGLLASPSQDCKIQLFSLQTGLQVSSPLTGHQYLSPPSCVRF EYGNDTPDWRGPHGPSLLVGTDDVVEQWTW PEX2_018540 MARPKSDLMDERNAPLRSHPPEKPPTQDLTDEDGSRIAIVPPHG SLLDSEQRGRSQERDQQRNRSLSPFKRRDRSELPPIRTSGLGGFIPKSSTGPLNNSDS DLNRERPFLSKLLDKSPFHSSKATTSGEEDHDDNQTQHDAAQEAKDEEDYHNFCVSLN NSLNNSLEDDSPIDEEREFEHCDSMVSEQKDQVRLFRSSLLAGAKAQEDRLNRSKRTP TPPDHLQIGFNGVSELEIHDSIVSESKDCVVGVQENDEFSLPDTLKPTISFQEESEES LDCLIEELEAEDGKEPDVDAITIRAGEPPQYPPSLLDTDVNKGLDDAEVAARRKKYGW NRMKEEKRNHFLKFLSFFNGPVQWVMEVAIVLAGGLQDWIDFGIISALLLLNSVVGFV QEFQAGNIVDSLKKTLALRALVVRNSCVVEINAEEVVIGDIIHVEDGTIIAADGRLAC DDAYLQVDQSGITGESLAVDKHKDDPIFASSVVKRGAGLMVVTATGDRTFVGNAAVLV NKAGNTTGHFTRVLREMARVLLILVLFTLLVVWISSYYRSNPIVQILEFTLAITVIGV PVGLPVVVTTTMAVGASYLAKHQAIVQKLSAIESLAGVEILCSDKTGTLTRNRLTLGD PYIAPGMSAEELMLTACLAASRKKGGIDAIDKVFIKALRYYPWAKSRIASYKTLDFSP FDPVSKKVTAYVQAVNGERMICVKGAPMTILRTVEKETSLCEPFFKEYEAKVTEFASR GFRALGVARKRQGQPWEILGIMPCMDPPRHDTAKTVSEAQDLGLSIKMLTGDAVAIAR ETARRLGLGTNIYNAERLGVTGAGSMSGSEVNDVVEAADGFAEVYPQHKYNVVEILQR RGYLVAMTGDGVNDAASLKKADTGIAVEGASDAARSAADIVFLAPGLSAIIAAIKIAR RIFHRMYSYVVFRIALSIHLELFFGLWMVIKNETLDLRLVVLLAIFADIATLAIAYDN ATYSQSPVKWNQPRLWGESIVLGFILAVGTWVTLGTILLQGEDGGVIEGWGSRDEVLF LEISLTQSWLILITRINGSGSGSFWANCPSFYLLAAVASVDLTATMMAAYGAFGQATS WLTVLRVWILSFGVTCVNALAYILMHNSQRFNNLMHGKGPRKKDRERSWEDFGLDMQR MAKQHEKSS PEX2_018550 MELLPRHSRPIALTTKELGPISDLAPFSALIVSIVLVICFFVRY YILEGFLIRRVYGSKYTEMSELNRRGFVNHHIAGLTKVIILIVAAYPFVSIAFCRGPS FNTPYAPGSSVTLGDIMIIVSQMLIGIYIFELIYRMKLSPVAVMHHVGTIFIGQAAIA ISLRPLREPDAYIEFVLCTVWGAFDAVFELFPHVAIVLYRVFPERHRLLSRVFLFSCF TTALGTATETIVTMWLFGSTWDRWRLAFKIVTPLLHIAFSAAQIHGSLVFWRMYRRQQ RFQREVDAEDKHSFVGGGTSLDYCLSQTQH PEX2_018560 MIMSDGNSAKTAHLQRRLDLVEQELRLEIENLRAEVAGASHNSD AGFSQRLLSQRRPTTLSVAETDIPSSNIESSIITTESEWDELYAFFCAKCATVISVID DQLYSPSETIKNHPLMSAVICAIASRAVRPAKYQEYVATVDKLIMHTFQGPVPDLLGL QAMIVFATWTGRTRLIGYIASVATELKLHEAAILIGDEETEYTPDLVGRARTWFTLCC LDLQTNISRPFVINNMRDYLPYAKYIAMSPHHRPVDDRIRAYIKGFTITGNLKGQLKS SQLTAKPLLQEVVDLFTSADEKVDQWFHEINNNIHPLYQTFPERQDRNRLLMPFAFMK LYINGLALQGVGSVDELTSDPARVEFIQRALDSASLIIQTQYESDEFKRAFQYTMDYF CTPTYYAISFIFKALPLAYNFVDSQRLLARVRQAAHMFQQAGAYDAANELRQGVDRIG ALTQTILSPESLDPEIEIPLNALFDIPSFIDEMAWDDDFPTLGMFPSV PEX2_018570 MTAITFPHSHGDRDAVSDSQDVEDIQNILRIIDSKSQQLWEINQ KIHSNPELGYKEFMAHDNITSMLETLGFKVTRHAYGLDTSFVAEYGHGGRVVAFNAEY DALPGIGHACGHNLIAMMSIGAFLGVAGALEQQNIPGRVRLVGTPAEEGLGGKIPIIN AGAYKDVDACMMVHPGPFSECPGFTGDAYMPTLASLKVTIRFTGKTAHAAMAPWEGTN ALDAAVLSYSGIGVLRQQMHPCNRVHCVISDGGLRPNIIPGSSALDCYIRSPTVAMAE ELFPLATAYAQAMSQIGTEVRCDLNSSGVPGSTDQGNVTYECPGIQAYVGIPAGPGAN NHTPGFTAVAGSKESHELCIHAAKGMAITGLSILKNDKFAEKVKSDFEEDKIRRSLGS EPRMLAQNAGFC PEX2_018580 MPGKEASQESIMISDVSSFDWASDTRNPYNWSSAKKAAVFLTTM LVVLNSSMGSSLTGNAIQSITKEFAVESQLQKALPMSIFLVGYVFVVFMKVQLYGRHS VKSSDVV PEX2_018590 MSAPGITHTETSFKLYEGEQQKVITGHPVVYKYIAGDNDPSKPL VTFVPGMAHNGRISYGGHEGYRSEDFLAHWFHDNGYGFLGISYPLDSEPPLMPATSPA FTIPNWGVQAAETIKAVIEEHHLPQSVVILAWSMAGKVLQPVTVEAGRLGIDVKLFVS LAATPALPGSIPAEWTKHLDQTDSGYLNLPFLKKVFLQQIDEQEKINHLEGRRLIEPV IYEKDYFGATPIGITTFGFRFDNETKQFVREENKWQLLEDGQAQNFGSLPAMAAIYPT SGLDFRHAITDKATWSYLMIQRAMAMFNEDNRVKQLSQVKDAVSAHKLAAERSSFQDL QKVVLGIPELMTADTLGNHFFFCGESGARRTVETVIGFLSSSIVVQQNLEDMLDACSR EATAPYSNTS PEX2_018600 MLPTESLGWNGLQTLKSRLSDRLARFTRNKMLDSRPHKLQNDAA FFEADLRHIFTKTPMAFNVSEHDYYDMDQMAQNLLASLNDMVNRDLIESLEGSNSQES KSPESGQFKRSRTAPHDIMVLGDKYPRLTALFEFLDISPDQFNLTTGPGLLLFSLPQG EAGNIAMGRVTKWKAFLEQYAVGTQKSQGFQLTSLQQEEFSAQEPSQDQPDLRQKRAS AVVDTIFKEFRYHNCGKVHEIKLRVSDDWQTGPYQTALEMFLSSCPDGNVWQEAKCGS FHVTIDVAEKDSICAAIQRARGRGRKLYAFVDERGLFDISDKMPPKLSSLDKFTAESL GELLDQQAFRRITPRDYLEGATKEKFSSREKATLALALARCLMDFFDEDLELASYSWK PESIFFIRSSGAHASNRVPYISLRPKPSGYQAPDLLKTVGPGNPVLLSFARLLLEIDN GEHIPMDIHPESKANISKWGEMCAFVNVAEREGSGNYLKAVEGCLYLHMALPKSHDQT TASASEVLRKVIYDQVVRNLELMVNPQSSKRKRRDSVSRLPLAKKLTISSPPDMDSYE VLPGRAINLSAKRTGRPASRDEFEIAIVCALPLEYDAVSLLMDEFWDEDCDYYGRANG DPNTYTTGRIGKFDIVLVLLQTMGKVSAAGTSASLRSSYPGVRLILVTGICGGVPHPE GDEELLLGDVVLSKCVVQYDLGRQYPDEFVMRDMVEDSLGRAPKNVRNLLAIFETNLG RDRLEQKTAIHLQKIQNSPSRRRRRAKYQYPGAAQDKLFQSNYRHKHHISPQCLCAKS REKHVLVCKESKDLFCDELGCDNKYLVRRERLESKLQLEQEGRDQEAQAPSIFVGRIG SGDTVVKSGEDRDRIAKRHRLIAFEMEGAGVWDEVPCIVVKAVCDYADSHKNKIWQHF AAATAASATKALLERYIQTDKTSRS PEX2_018610 MEPIEHDEPIFELAVECERLYAEQISRLNDDDEPNGATMLSELN QRFAAWAAFLGVFAESKMCLDRRLRHHVEIQDQVLLLLDIMQRNLAYLFEPDSPERME IEPSDVRQPLRVRMSSLEAISGAIERLNHLGIAIRQSSVTSQTTKIRKFAETHDFTSF EEIAYLSLKALYADASDSLLEQLTQYMTETNAQFLRRKSRQEQLQAPRSQPRTSRPLY PIAEQPAADTDNGSPIDLEMEEPPPSTSLTAKALRSPQRQAVRMLPHSEPTSVDTQEV KNKFKKMLSPSLRDKTMSILANQVDYPRSAKGSLTCEWCFSPLQTDSLKGVKWRQHVN EDHKPYVCISEKCSESFPGFATSTQWFQHMLTTHGPNWHREVHAPSSWACPLCTEEDV NFSKPSDLTAHLENFHEGTFTESQIQAIVQQSRFRLPRPRDMCPLCCLFIEDQHDPRS KEAGNGSGESSSKKPHYNANSEGSHKRNKTETGHTRSDQNSEDRLETRIEQGESKTTV DPCSSNPVSVEVIASHIAAHLQGVMLLTLRLISIDVVMDVSADTWSASGATDHQSSYV GSGKRDIDQEMDNIENFSLYGDGNIDLGNILSSEDIVPDSEHIDWHGVPRHYEARLED NPPLEGIPSGTSNAYKDLGNEIYEHVFSRLERRDDAKLCFLAKGTAKEMLNRDNLLRF FRSIILPGHTAMDQFHFTEEDFVKRIQERQLHDWLVILMFADCGIKAARTLATKLAAR NIMPVLGKWGKITYTFYPLPANHEELMKLFGDKVTATRFFMNQAFFCPVVIRMGEEVR VQTPEEQRLPYLEEQELGQGDYGTTFKVRIAKGHFYNPQARTTNVEPVEMARKDYIIS DNFNAQERSEIAKFFTKPTLRCENILEIYGSLDLGRTYSLFMPLATCDLWTYMMESTN TPSTIMEKAEVIFSAVGLADGLSFLHNELIISDMGDMVCYHMDLNPRNILIFREIQNG KPRYIWKLCDIDLARLVTLRLGQDGETAKGSNSFDEDEAVIGQRREGTYLAPETVSST QSMTAKSDVWSLGCVISVIFACLEGGRAAIEHYGLARLEHRAANHSDCFFVRGSAVTP IKVNPAVKSCHTDLIDKARQRNPHEGDAVEFMLRYLENRVFEVTPSKRDSARKVQENL LATSRKYETLGKASDESPGGTKAKPFWRNLQSRIRTTRR PEX2_018620 MISTSGPAAGDSSSLCQFQGFALQMFPVADVLWTFAMALDTYLV VFYHFDTQSLRKLELKYIGIITALSFIPAFVFLFVRDHKGPIYGDETIWCSVSRNWML LRIIFYYAPVWLVIVIVLILYCLIGIEITRVRDEFKLSNDDHIALTSGNSASSVTTTE EGNSRRKPAFTPESTITSNTTEQNTFERPPTSTNDATTYQTPKQRRVSLRQYVIMPSL FFLAMLATWIAPTINRISEFVNHKHGKYSLLLSDGSDVLRKGEWLLDRLEMIVGDIDL MMPSFYMFKMIP PEX2_018630 MRFSSIAILSLASVAVADLKTWNDVVGDMPQCIKTCLSNFYNTA GLKDKCGSADKATVDCLCGVKGSFADLQDDASELSTCIQDGCDTNEIADAATKLGDFQ DRFESLQDQCSAKGSSNGASSVVPGFNAMLASGALLLVGVAL PEX2_018640 MTSQPITYHYLDIGRLGRGEVVNLFLKDAGLDYKDVRYPYDDTW PATSKKLRQSGLTRTGLLPALEHGGSVLTQHIPILRYLSRELGAYDGNTNWEKYLVDA VADIYIDWRAQWVAILKGVTESYKNEIVPAYYDLVAQYYSDVDGPYLLGDKITYADFA VYQSIDNDTRTGTIPDTLPPALTKFVEAFEARPNISAFIKETRHTKA PEX2_018650 MRNAAELTDHNLLAQRHPNLHLTPEEKRVFFQLFQAADTTNLGV ITGEIAVPFFEKTHLSPDTLGLIWQIADKENRGLLTPSGFGIVLRLIGHAQAGRAPSD ELALQSGPLPRFDGIVVDPTASIPESGTKSPPPGPAGPIRVPPLQPDDANKFVSLFEK SDVKNGMISGEIAKQIFERARLPNEVLGRIWFLSDTKQRGALDATEFTIAMHLLTSYK SGALRGIPATLPPGLYDAAARRGSAARASFGARPDVPPIPAIPQQFTGPQRTTSPMNQ INRSPFVGSLSAQATGGDWLITPQEKAQFDGIFETVDTAKLGLITGDQAVSFFMKAQL PEETLAQIWDLADIDADGQLSREEFAVAMYLVRLQRSGKEPLPQVVPPALIPPNMRRQ VPAPMVAPAPPPAPAPVVRTAADDLFGLDSPALPFAQPQMPQSTGGSSHAFQTPGSPS SRASPQTASHTFKPFVPTSTFGQSLQPQVTGASAGTPTTLRSPPPPSDDLLGDNDPEE SNKLTNETTELANLSNQIGSLAKEMHTVQEKRTTAEQNITQTSQQKRDFEARLAQARS MYEQEVTNFKALEERLKSSKAETQKLQQQYALLDGSRQDLQNQYTQISTALASDEQEN ASLKEKIRQANAEVAQLKPALEKARSSARQQKGLVAINKKQLATVEGERDKLQGEFDG LARETPQYSEESATPAGSVSEVVSPATSMASQNTNPFFRRTDSSSEQARSPDVSNDQQ RAFDSLFGQSFAAAPAAGPPPTSFRSESPQVAVTSPITSAVPTPSVSPPPGSLPGAFP AGPFSEPPPASQSRQLTPNFLQFNDNQSVTSSTMVSPPASRFGGPEPSGFDTLSQAAT SDYGPQSVAESTDYSHAPTSTVGGTPVRSPFDEEGPNTSARFPEVPVATAQLPESATS PIANESQAEGSKDLSFDELFGSKAHKRSESQQGNDFEEAFASMKPVTGTEQTNGAASA RPSEFPPIQELHHDDEEDESSDDEGAFGFDDNFTPPAPNVKKETQQDSIDAAQLAAFP APGNLAKPPSPGAIPSPPEYEGPKEDNVHLPPQFGGLLPERGDPTVAPDAPHSVDHAT GAPVVNNEIQRDSISEATIPPGGAKVGGPDFEAAFAGMNLAPATEADDDDDDDDEPQS HEAKNTTDFDFSFDSPSQKQQAVSGADVDQSSSSQFFAFDNNVHASAAPPVGSPTGGE SKPAAHEWDALFAPLNNSKPDTGEDSNEAKSKQPGWALNNDTGEDDLILQRLTGMGFT RDESLDALEKYDYNLDKASFYFSFRVSFRTILTKD PEX2_018660 MREIISLNVGQAGCQIANSCWELYCLEHGIQPDGFLTEERKKAD PDHGFSTFFSETGQGKYVPRTIYADLEPNVVDEVRTGTYRSLFHPEQMITGKEDASNN YARGHYTVGKEMIDEVLDRVRRVADACAGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQNATAVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG IERPSYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYSPII SSDKAAHEAHSVTEITSNCFEPHNQMVKCDPRNGKYMATCLLYRGDVVPKEAHGAVAT LKTKRTIQFVDWCPTGFKLGICYQPPQQVPNGDLANLSRAVCMLSNTTAIAEAWSALD HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVASDSIEGDEELEPEY PEX2_018670 MHIKFLPTLGLMLPLFTAVAQANVEKTIFLAPALATVPSDEPDL DDLGLERLSPQRPVVRTHLNASFPTTAAPDGTDSWFFLENLNPGQRYEVRQPTTFTLT TYPLSKTISDTNLLSSLSLYTANRLATLDPNLQGNIIPRRANPRSSKDPLDPAPISDS VLFLHVHAAADYFSTDQALMQNVPPVAVDLILDPFLFNVFPRSLVPTAGWIVLVAIFA VVLGRWVVKEVGRAVGDARRQSVLEEMKKK PEX2_018680 MSIKRALSKAIRGHLGGEEDSSGSNIRTALPSKARTSQSRSGSP TASPRRSILGNFLRDKNEYVSSSDDVSDDSSSGALSKNQQKRLVREQRRSERSRLSEE MFSETDRRHKEEEIAKSAAEETPDMKARYGELPLMQSATRTGELRTRIDDITLAMEGQ QVFFSARLHVIRRMSAKLVFLVFRQQLGTFQGVLHERAGVSSLAMIQWVEHLRVGSFV TVRGTIQKPEVPVLGCSIHDVELAVDSVHLQVRREEPVPFSVYEAEIRTNEEEKAEGR RNHIPDRTRLANRILDLRTSTSQSIFRIQAGTCNLFRVALDDREFIEIHTPKLQGAAT ESGASVFQVNYFGRPAFLAQSPQLAKQMAIASDFGRVYEIGAVFRAENSNTHRHLTEY TGLDLEMAIDEHYHEMLETLDAVIKNILKGIYTKYRREVELVKYQFPSEDVVWLEKTP IIRFTDGIKMLNDSGWLNEDGEQLPLDEDLGTRDEIRLGELVKEQYGTDYYVLDKFPR GARPFYTMPDAEDDKYTNSFDMFIRGQEIVSGGQRIHDAHMLEENMRRVGINPDDMEE YLEGFRWGAPPHAGAGVGLERIVMLILKLGNIRLTSLFPRDPKSLPAKPVSEQLRHPE SSTIEPPWHHEHKVRVASDPSELQPLEHLVANYGDATSTSWFDDRFKIWRDMTTGAAV AYVPSSSNFAIIPGNPACDPKQYTRTITQFLQWMRRETKYKPVWILCSPEVETILGER LGWRSLSCIAEERVDPSRNQAASDGEIARKLRRAETEGIKLVSMNQGEMVPEDIRQKI DERVSEWLSNRKGTQVHLSQIRPWCDSEHRWYFYALDKNGVVCAFVALAMLSPAHGMQ VKYSLDFPGAPNGVIESIVTHAIQTAAKSGVKGLTFGAGATSQLIPGHNMHGTKVKML EHTYEALAKQFHLVRKSEFRAKLGATEDPLYISYPSHGLGSKGIRAILNFFED PEX2_018690 MASSRWWLYVQAIFWRFLMRIGMFIHEIAPRPPRPLFTRTITSD TSHPVVLHFYCPPNYHDQRKLGHRFPVAVNFHGGGFTLGAATDDSRWAKSVLDNVGAV MVSVAYRRAPEHPFPAAVDDGVEALLYLATHAGELGLDVSRVALSGFSAGGNLAVTVP LRLRGRISTEVKENMGRAESTQNLLNQVSDLNIVALFSWYPILDFEESRDHRRAMSLM PDKTLPAFFTTLFDEAYLPDLNERVSPFASPVHASDRMLLEALPRDVFFFICEWDMLM NEGQSFVRRLETLGKRPRAMLIEKARHAWDKSPNPWRDQNSVDILYRDACADMKAIFD R PEX2_018700 MYSVSKLVDYPDKTPQFDSIFKAFAAINNNTQSEDCLTLNVWSK PDLNQLKPVYVHFYGGRWTSGTTDTPFYYGGRFADAEDVIVVTVNSRMNIFGFPGLPG HPPNLGLLDQRSAVEWVKQNIHGFGGDPDRITISGQSCGSASVDYWAYAYQSEPLVSG LISHSGTALSFPTNNRTTAEKHWYNVSAQLGCGSSGDVLPCMRKQNASAILAAASAVK VPTTNPARKSPAFQPTVDGVTVFENYTLLSQQGKFARIPYLVGHNANEAGFYKIASYA QGSTLTDKQWDDFNMQTFFCPSSLEAAHRSRRHTPVWRFQYNADWDNTRLYPSSGAYH GVEFNMIFGESAEITGIAESEAQRQLQDQMRSAWGSFITDPQHGLKALGWPSYNPEAS TLAEIGLDNHPSVSFVGTKDSAQRCADLLAQI PEX2_018710 MTIILTAIDAVEAPEHEQPGGTEEEGSKNDAMGNNPSYSLAGSG AQNPNNNRGYTDEEYEQYNSQADKGDDGPTWSLAQPLPHIVRPGMRHGALPEDRKEDQ GAMTENEEDLAQTDEIRKQQSAENRMKKVNNPREDGFFNTWSKIRHYLREPMAEWLGT TMAMTIGLCATLSNFTSSGQAGSYPAQSVAWGFGFMAAIYTTGGMSGGHLNPAISISL SVFRGFPARRCVIYIAAQLLGAITAGGISYAIYHDAIVEAATLAKVPQNASVAAQALI TLPKSFVHPATAFFTEFLGSAILVGSILALGDDTNAPPGAGMQAFIIGIIITIVILAL GYNTGGCFNCARDFGPRLVAVMAGWGGHLFREYHAWWIWGPWIADITGALFGALIYDM AIFTGGESPVNYPPRRRKRAYRVRALNLRKKLHIGKRKVPDLEHSVADTEH PEX2_018720 MLAQGPTFTKFSTGSIFILTCLFFVLYYHPMRLATPEGPHEYDT SRKGFQLASPRIPEKIWYKVGPKGLSDQSHEWLDDCLHKNPAHSAKIMTDLTGDQYVQ RTYHHRPDIVHAYLTLAVPILKADFLRYLLLFAEGGIWADLDVSCGDVPIREWIPETL RAKAGLVVGWEFDVGWGDSFIRQFESWTIMAAPGSPHLLMVIDDIMDGIRQKTEEYGV PVSELTLEMTGDVIDFTGPRRLTRGILKSLEAARNETIDMASISNLLEPVLVEDVLIL PGYSFAASSNTYSVENVTGPSLVQHHYAGSWKNHNGGEM PEX2_018730 MVSLATTSRKPALPATPTISRSKMLPTSSPVPILKTSKAPPVVA GSKRKMSEMDIPLSPSSSQADDATEALPPTKKRPRVQFDQDVTIHGDISSEKSLAVIR EEVRGAIHRHVTMSESEGYDRIKGMFSADPTKEGDDSLLAYESPTHASLRNHLLGLIS HVASLDRSCSGLVHAIINSEWLGRDDSYMKLYTRFLGNLAAAQGTFLGPVLKMLATNL GSIPRGRGRLPGYAVVSPSEMHTRVHMAMRHVLRLIPAGSGSLSPILSSQFPFDSDSA SANIAYTRNLIQIISYAPELQSDILALITEKLVKVDVEIQVDMEDFEEEVGDEILDEV DDEEEDDDDASVVSDESEDDDSKGAKKIKDNILKVDGMIDLLFEYFSPPFTSGTLDDQ ENALDLLLSHFQSIILPTYRSRHSQFLLFHFSQASPVLVDRFASTFVQLIFNKLQPGI MRQSAAAYLASFVARGAHISGEVVRDVFDLLLTHLNSLRMDYEDSCRGPDLRRYGPFY STAQALLYIFCFRWRDLTTAAVDGDTPDQVDELEPGQIAFPPNMKEFLHKCIYSRLNP LKVCSPAIVAEFARIAHHFQFLYVYPLLETNKRIRVTAFRNISNLSDPRFSHVGREIR AGDNIGYQLDAYFPFDPYQLPRSRRWLEGDYVEWRGIPGLDDANESDSGADESDDESG DDATETDEE PEX2_018740 MSTLTRAFTRRNKRPEVSAPMPYRGEGHVRLNSTSIKRSNISGP VQLLSTTNMLAYNAPDINPISGSSSASSQGSRDDSDAAFSPQSYASSMSSPDVSPYDS SPIDANPLTSYFPKRTATVTSHPRSSTSSSTTDAPLVPKRALSHTKRSHQELAHKRSV SRMSPPPLNAARSTPTIRASQEFFQAEPHPFGKELEQVNEVVEEFGGLNMLDDEERIL YAKGLKKFTVEDYLVEVEELYGSIFEDTRGPMTTSWF PEX2_018750 MRRGNIEMHLPRECLPLGYLNQLEERLAETESALYGALMTVRSM GQPTAVQTLAKTDTSPKNKAARMEEWSQLPLREWPDMERWLAVMSDRFTIEQPSDVVS NTSGRGYAVNPMTPTHNQSQSLGDQPHSVLVSHAWQHRDNGVSSGSAYDAHQPHPGVM ASPAYFRHQAAVEPDPVPSPGSSRDGITGIGDAVGVSDARAGVETGRSSKAEELSHNN PSLYF PEX2_018760 MASNNKVGYVRLGNSGLKISQIVLGCMTYGDTTWQPWLTEEAEA LPLIKHAYDRGINTWDVADTYSNGVSEEILGKALKKYNIPRSRVVIMSKCFHHVDETR GPLDAASHGVNDGDRVNLVGLSRKHIFDAVDRSVERLGTYIDVLQIHRLDRETPMEEI MKALNDVIESGKVRYIGASSMAAWEFQMLQNVAEKNGWHKFISMQGFYNLLYREEERE MFPYCHATGVGLLPWSPLAAGVLAHAWTDRSDKREEQDVFLKLLFRSGEDQAAKLIVS RVQEVAAKKGVSMAQVATAWVLSKRGAAPILGLDSLERIDQAVDAVKLSLTEAEVQYL EEPYVPKQAMTF PEX2_018770 MKADFWGQQCLDHPEMRIGASVETSSGLVEGHAAPRRPAVSEYL GIPYASPPIGGLRFAAPVAYNFNGTINATAYSPDCPANTGSVPDYPGFTPQAPKIVNS FTQALGTSQSEDCLFLNVWTRPTVSKLKPVLIWFHGGRFTGGGANNPYYDGQALADEH EVVVVTINYRLNIFGFSGAPNLPQNVGLLDQRMAVEWAHRNIAGFGGDPKRISIFGQS AGGSAVDYYSQAWEDNPLVAGLISHSGTSLSFVPNTAEESASYFYTASKLLDCGDQTN DPKEVVKCVRQKPYTDVVKASGRVPPAPSPAIPQAVFHPTVDGVTVFGDYAERSAAGR FARVPYLINSNHYEPGYYRISAFNANISLSDSAWQSFNDAAFTCPSGASARYRVDNSV AVWQSHYFGDWDNLRLYPNSGSYHGADVPMVFGTGEKVSGIPNSDRENEFARYMASAW VAFASDPQEGLTKFGWPRYNPSEKTLVGLAHKDCSNSMLLRPEDVEQGCAALKGDSTP GKGAF PEX2_018780 MASASPASMRFEENESEWPYSSRPTKRHKRTSGAGTSGPSPREI GFMRESQNEGSATFLGSSSGIHFIRHVYNAFARRSADLDQTRARDRTSVPGEDDRLQQ NSGSIQSSDELWEKEELNYAPNISIAFNDLIKWTRSYFENWHPIFPCLHAPTALKTIE TVSQKGTESVNQLELMIIRSIVSISLGDYRQRTSSGSKLNPVPSVLVFRSIQHVMQDV QSLLEEPTSLPLLQAAFTAQLALASLLRLNAASRVGGVITRTAFHLGLHRCPRRFSCF SSEEADIRCRLFWSIYSLERYLSQALGIPLSIRDDDIDVCYPDAERHSSAVKFPDDPR LRLLCHLAKFARIRGLIVELRNKSILHSHASQVEAAHVTGELAQWWNEVYDDVNPIDE PTESGVDQEPILQPYHRLLLIILRHEAIISLNRPLLASEKPSVDYKNALQTCIGSSRS ILAALRKHMSSEPKSPLSWPCFTWSTWMACLILMYAAWEEEFPTPTALKYARMGIAIL ENLSLRGSSWPETCIEAIKGMESAFETQTSSGQQSRAAAIFKGAGRPAQPHAQATPST DKRISRMLPVQNGNNELGDTGLASIQPQALAPNGYQGRSVSTGIHPFQSPIRSPVRGL GPAENYDSAVFSPSDNMGNFAEGLDPAENYFGGQSSAGLIFGNMADANPAFNPSVAGQ DSLPFSSSMLMNDLWSVADGPWMIHNNFL PEX2_018790 MSIPQEAVHNVLNHVLPHIQRHIQCQSPTSTNPRPFILGLTGLQ GSGKSTWTDALVKELRQTHGFNTTNLSLDDLYLDHDDLVQLRTANPSNKLVQARGQPG THDMALARSFFESLSTGSEILIPSFDKSKFNGEGGRTPKETWYRVPAGTAIDVVVFEG WCVGFQPLDETALRQQWEEGLKKQTASEYPTETLKGHAVEHLLDANMQLRGYCDLFMG PQHLDFLVHLDTNDLVNVYRWRMQQEHALRARTNESMTDEQVIQFVKGYMPAYELYLD QLRRGFFDLSAPNSDNKGQLRVVLDQDRTVVDIDLY PEX2_018800 MSAPIASHKTYSIASIPADGIGPEVISAGITALNALTDTLKTFK LDFKNYDWSSDTYKKTGKYIPDGGLEELKKHDAIFFGAVGAPDVPDHISLWGLRLAIC QPFQQYANVRPTRVFRGTESPLRNCGPNDLDWVIIRENSEGEYAGQGGRSHTGKPWEV ATEVSIFSRHGVERIMRFAFETAQKRPRKLLTVVTKSNAQRNGMVLWDEVAKLVAVDF PDVTVDKMLVDAMTTRMVLKPESLDTIVATNLHADILSDLAASLAGSIGIAPTSNLDP TREYPSMFEPIHGSAFDITGMGISNPVATFWTAAEMLVWLGEEEAAKKLLVCVENVCE QGVLTRDLGGDATTKQVTDAVVAEIKKLA PEX2_018810 MERPSKRAKILLDPSDGSATTPLTSLSRAISPPLPRGCPGVTDG KLTSGAGGTARPTQFVNSPVQLTHIRDLPDGNNVDAVRLRDILGDPMIRECWQFNYLF DVDFLMSQFDEDVRDLVQVKVVHGSWRSEDSNRIRVEETCARYPNVEPIVAYMPEPFG THHSKMMILLRHDDLAQIIIHTANMIYMDWTNMTQAAWLSPLLPLQKESPAGSQTDAK VGSGARFKRDLLAYLKAYGPKKTGPLVQQLDSYDFNSIRAALIASVPSKKHASDSSAE EETLWGWPALKDLMSQIPIQQKNKTKKPHIVIQSKISSVATLGQTNKWLKEVFFKALT PTPTQQATTYSIIFPTPDEIRSSLNGYNSGGSIHMKTQSAAQQKQLQYMHPYLCQWAG DTITPGQCIDLSEDNPPKREAGRARAAPHIKTYIRFADSDMKTIDWAMVSSANLSTQA WGAATNASGEVRICSWEIGVVVWPDLFRDERCGDAASACESESESRAEGKSPRPDALM VPCFKRDRPEASEGTETASVVVGFRMPYDLPLTPYGAGDEPWCATASHTLPDWQGQSW II PEX2_018820 MSDITPFLTVLEAAQKKEKFTPEVQEAATGIDIAALKDIYEKVA EQGEFEKLDDATEAETLRKAFEFAAKAVMMLKTSPGLLEKKDLYIYFKVGKGDVMEKP GMFDIQKKQLYGAWEKVKDYSPAKAHQLYISHVNTFITKYGTRDE PEX2_018830 MAQELKAKGNELFKSGDYSSAEEFYSQAIQKNPKDATFFTNRAI TRIKLAKWADVEHDARAAIEIYGLKNPVALKSHYYLAQALLGLQRPQEAHDVASNAYE QSLAVKNAQTENLSRIVLRAKQQIWAARETSRIRELNETLGSVEALIEADVTRALAEL QGRLERGEIGEIGFGEDQKALREDAETKVRNLREAFRVASKGEIEERVVPDYLIDGIT FEIMHDPVMTPSGVSFDRLGITKYVEKSGVDPLTRAPVSVHDLRTNYALKAACEEFLT NNGWAVDW PEX2_018840 MATPFRIVMACDEAGVPYKDAIKATLEKNPLVAEIIDVGVNSSS DKTAYPHPAVEGAKLIMDGKADRGLFICGTGLGVAIAANKVPGIRAVTAHDPFSVERS ILSNDAQVLCMGQRVIGVELAKKLATDWLNYRFDPKSASAAKVQAITDYEVQFRTAA PEX2_018850 MASTYPILPEKLLLISLKMYFTPDRTLSYLRDLLNPTNEIVHPA NRDKLLLALIPDFLTIWPCAQILKEYETNLTNTGTPQSQPPFLLGAQDCFWESQGAYT GEVSPASIRALGCSIVELGHAERRAIFNETDSQTARKAAATCAQHMVPLVCIGEVTAP GPIASQAVGQAVTECAVLVRAVLDAIPDDAPVVFAYEPVWAIGQPKPAGVDHVAAVVQ GIRAVIGVRPGTVRVLYGGSAGPGLWGAGGLGRTVDGMFLGRFAHEIDGVREVVREVE ETLALV PEX2_018860 MAWRSSVRSRALPTSRLTQRPSALLPKRAHRYPGASRYASDSAA SAPAEAAKETVKDATKEAAKEIPRKAGRGSKKVLYGTSLALTLLVGYIYGTDTRASIH RYGVVPLIRLLWPDAEDAHHSGVENLKVLYQYGLHPRERGDPDRDGALATEVFGYTIS NPIGISAGLDKHAEVPDALFDIGPSIVEVGGTTPLPQEGNPKPRVFRLPSQHAMINRY GLNSKGADHMAKVLKQRVADFAYAAGFGLHEQSAQRVLDGEANVPPGSLIPGKLLAVQ VAKNKLTPDGDIEAIKRDYVYCVDRVAPYADILVVNVSSPNTPGLRDLQAAAPLTAIL TAVVASAKNVQRKTKPYVMVKVSPDEDSNEQISGICEAVWNSGVDGVIVGNTTNRRPD PVPRGFVLPAEEQKTLKETGGYSGPQLFDRSVALVARYRALLDQGPPSATDSDKSVVS NLPRKVIFASGGITTGKEAREALKAGASVAMMYTGVVYGGVGTVTRVKQELREEVQKK PEX2_018870 MRTQGRTTNSSAVGFDHVYFDDENDSPVQENAPSQRVSTNSIPE ARPQTNRAKEPYRSFNVFKDVALGTNAFSFCLQVHPSGGLAQSPSPVYHTLRIPWYRQ GLYLPASFDLYSGGNVVRNRKDPSPEPQDHRAQIHYHGFEGLLGLHVTVDIFRQVGAD SLQSELPSSGESSLDLVKHCSVDIVRKGIGRTYRVEITGGGAVKTFYWKGSKAALSLL EAERKGAGPSDGNGNLKFFSADQPDDILAVWQNRTDRQIMGSLNVIAEFDADLDGILE GLMVSCLAVVVAERVSGRGWIGGLGKSRNS PEX2_018880 MSVPPIPPIQIAAVIASTSTPVSARVGIKSNRPVPTPGKGEILV KLEFSGVCHSDLHSIRGDTPMLTDVAGHEGVGKVVKVGPEVDEKQWMGTRCEICEINH TVCPYQKNAGANVPGTFQQYIVSPALHVTRIPEQLSPDSAAPLLCAGIAMYSSIMKTK TRPGDYLAILGAGGGLGHMGIQIAAKKGLKVIAIDSGDKKKQLCLSLGATEFLDYREV DIVQAVKAKTTFGVHAVICTANGERAYEQSMQMLRPFGTLVCVGIPNKPFKLPATPFD MIVKGLTIVGNSAGTADEMDEMLAMAVAGDVKAHIDVFELDDINDVLDRLERSEIDGR VVLRIPQ PEX2_018890 MGGSLSRIWSLLWSKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYKNLNLNVWDLGGQTSIRPYWRCYYANTAAVVFVIDSTDIERLGT AADELAAMLNEEELHDAALLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACSA IDGKGINEGMDWLVQTIQSENA PEX2_018900 MVGKKSGKALRDEGLERTDNNMELSSWPQIPAINQKNYYTDYLK RDDQNLAFRLQNEEARTKMTKKAKDHDRALAMTKTETVEGEGEADGDAHMEDAEETPA EMAGSKVIVIHMGSQNLRIGLASDALPKTLPMVIARKSATNESEDHDEPKPKRFKHDD GSEMEPEKAFGSEWSSHFNQMSADLKVHMRQNKRRMLPNSKEMVVNYNRRTVPEIISE HNDPMRAEWTELSNPPPEYIVGQPALRVPDSSKPRYKLYWPIRNGWCNEKDYTSKRSL FLDISLIVEDAIKNQLRLTSKKDWTQYSCVFVIPDLYDKSYVTQVLDMLMREFAFARV CFIQESLAATFGAGFTSACVVDIGAQKTSICCVEEGMCIENSRVNLKYGGQDMTETFI KMMLHDHFPYADINLRRRHDFLLAEELKKNICTMNEASVSPQVFDFHLRVAGQDTRKY TFKAFDEVHLAPMGVFEPAIFDNSDKITGRRTLIDRSVDIYDGSPNDPTSAAQSEILT AMAPPLPPHSKANGESLSTAPDVQATPSRPQHPHALSKVQDLEGTPRSSVAGSPGPEN TGTPQAGGTSTPAATAAAAQAPRPPAVEDRDDIMPVFGLDNAILTSIAHAARSDEKKM RDFIGGIMVVGGGSLTSGFHLFLEERLQALRPGFSKEIMIGTPPRDLDPQVVAWKGAS IFGKLSGTNDSWIGRLEYDRLGPRLMAYKCMWAY PEX2_018910 MSSKSKEGQSSGGFHQEYIASLRYRNDLPPPDMPPKFLDIPHEG LSRFLTPGFASNLARREEPNIEVDAEGGMPIDLVGIPGLHLGDESAIMAPENPQPMDP ADLPLLMTIEQLRNPAPRNTNVSFLRRTQHIGVDRSAGDGSRPAPLKTQSRTAKKGKP PVDDPKHVKKFIQKGFDIAYPQSKHTGEDTDSQIRGLPPTKAELDAWANPVNPENPKL KPVGFFPVMPDLEGFPDPGGYLQFKFDKAPLTAVAGKRDKRMDVGILTPVAPEQRVCQ EHESKLNLHQTNPALYPHPGPVPYDYQLFLPEKPQSVKNIDASMNLNNANRDSEDLYT NESAEGHKFHRYDRIRTYATSAQVFNTEQKDIALTLFKPKNGDTRQLAAYYYPISGKA RLKPERARTIAHAGLAPTSTQQEEIEERIDQMQVVMRDPNEAEIYKRALTRLRIDPKF ASNMPPEPVAQEEDQLLEHEGTEKPADEDTRMSDDE PEX2_018920 MVGVPGRSKGCRTCRRRKKGCDLQQPSCGQCRRSCIPCEGYAEG LVIYRYYGHSQEGEISSFRGPRDTQSRLPIGASPSHASLSADLATSATLSQTARESCL EGNFWASYMPNSQSFSLFNDTQRDLGGSISVLRDILPGKSILRTALGAMALSAAANND CSQQWMKRQGANLHMSALQQMRKSLSSRRKPGLELLSVARIFSFYEVTCALQERKKLV FSEPVWMTDPWIHHPKTRKDLLVDILLEIPSLYEGIDNMNLQPDSPAKFRQRFNLQAM VFTVIKRLDNWSSRFAVTLACIGPKWQFPSNMATDEIADAHIMTLYWASSIIAYGVCR AISNGECDAVGVNPDNCCRSIIRCIPSFLHPSTGVFRQHLVPFPLIVAARHLKSIQPP KLQEEGNYVRSLCENSEFTPMHQFMSSLQPQILSGLKKAC PEX2_018930 MKFNLILSFALYSLLGYSGALAGSSCCGPDITSCAYHERLLENY IAVWGGNLSLIDTVFHPDVVLFTDRFPSASGKGSSATNIKNRDEFAAFVKRSRTGWKE YYFEPIRSVAADHSIAVRWVMHGVLGSNFTLFPTPLKAGAAVTYNGTDFLVQDDCTGQ IREAYIAGDSISLFHAMGLEAVTV PEX2_018940 MDWMHLYIVGAAVLVFALVGLVLLVSSQHIKVANTGAGPYLKFI WANFIKPHDKKAGGQQDALESFYKTQAAIYDATRRRLLCGREDMLGLVAAQLKYKINS KEVKAGKAVWVDVGGGTGYNIEAMATFLPVDKFFKHIYLVDLSPSLCEVARKRFERLG WKNVTVLCQDARSFNLPEKDFDPRATNAASDGVDLITMSYSLSMIPDYYSVVDSLTSR LKSTGMLGVCDFYVQSIVDVSCRNYTGGAFNRHVNWLGRAFWRAWFDFDRVSLEAARR DYLEYKFGTVISASERNYFLGGIPYYIFVGCPKEMASSPSSQSIEKLNASFTESPYLL PANHKQEMDNAVVRSTQDIRSKAYESAVINLSANLPLPSSFYQNHHYRIHYNDMLPKH TQFQNEYIYAFTWEDPRVDHRLLNIGEDDVILAITSAGDNILDYLQKNPRRVHAVDLN PNQNHLLELKVACYSALGHRDMWKIFGDGKHAQFRELLISKLSPHLSSQAFQYWLEHT QTFTSTSGHGLYETGGSRHAIRMVRWLFNIFGLQGEVRKLCEAQSIAEQREIWPRIRR VLMSRPLHWAIVSTEWFAWKAAGVPRNQRNMIVDDFFRRNGLTEDMKKGKDVSGQSIW EYVVNTLDPVINDTLISNDNYFYFLCVQGKFSRRCHPTYLSPQAHVRLSTPGAFDGLR IHTDEINEVINRITPGTLTIAVVMDSMDWFDPAEDSAPAQARTFNKALKMGGRILLRS ASIDPWYIKHFEENGFSARRVGARFPGTCIDRVNMYASTWICTKSKDLSRPSTDRKMS TLSLGDSAIPGGKMPSSVDNLLI PEX2_018950 MDVPEPDQSPFTAMSAHTSRLTRHYQAYLDASTPYTTYRWVGSG VLLLLFFLRIFLAQGWYIVAYTLGIYLLNLFLAFLTPKFDPSLTQDEGLEDGDAGSPS LPTKKDEEFRPFIRRLPEFKFWHSATRAIAIGFVCSWLAVFDIPVFWPVLVVYWIILF VLTMRRQIQHMIKYRYVPFSFGKTRATMDMDMNMPSVFSASTEITILFTGWTTSTTTQ YVFTLSFLFLLAIFNRFLGALKFQLEKSWSQHNSSPSALLLAPVNPRQSIRKAKLSPL PDYMHVHGDEDPEEVTLPVSNNENETRSTSLRYLKGDGVRNRSSLKRLLPSWKASGTW SLRKDGTRGYICYFLHRMLSVMTFNVGVFVTVLFGVLVGELFLGRFSQGTSWQEGTCH GG PEX2_018960 MFARQCTRLATSRTSVPLTSYLARVRGYSSATGSYEHILTSTPK PGVGLITLNRPKALNALCTPLFKELNEALTNYDNDKSIGAIIITGSEKAFAAGADIKE MAPLSFSAAYTENFIAPWSHLATSIRTPVIAAVSGYALGGGCELALMCDILYCTETAT FGQPEIKLGTIPGAGGSQRLTRAVGKSKAMELILTGKNFSGKEAGEWGVAAKVVPGGK KELLEQAYKTAETIASYSRVAVIAGKEVVNKSQELSLKEGVEYERRLFHALFGSKDQK IGMTAFAEKKKAEWSHE PEX2_018970 MAEPKASDPITPVDGLPEYGYSSTAGPASDSSMTKVIQNAKAAT EKEQSMTLMQGIRLYPKAVLWSVLISTCIAMEGYDISLVNNFYAFPQFKRKYGERLAN GTYEVSAAWQAGLSNGAYCGEIIGLFINGWASERFGYRYTIMACLILVSAWTSIFFTA QNVHALLAAEILCGVPWGVFQTLTITYASEVCPVALRGYLTTYVNFCWGLGQLIGIGV IKGMLSRTDEWAYRIPYGLQWMWPLPLFIGIWLAPESPWWLVRKGRTEDAKRALVRLT SKSQGDFDPEETVSMMVHTTALEEKITKGASYLDCFRGTDLRRTEIVCMVWAIQNLSG NSFSNYSTYFLEQAGLSSSNSYSFAMGQYGINMVGVFGSWFLMTLGIGRRTLYLYGLC GLCTMLLVMGFLGLVPKEHKNQGSLATGAMMLIWALFYQLTVGTVCFSLVAELSTRRL QIKTVVLGRNLYNIVAIVCGVLTPYMLNPGAWNWGNYAGFFWGGICFLCIIYTYFRVP EPRGRSFAELDLLFERGVSARKFATTQVDVFDETVEGRVIDDYRAEKNTRIDESQLEK NPSSI PEX2_018980 MPRSLRSGNSYASPPPPPPRPARIPPDNVIRNRDYELLPGGMNI PMGLARKKVAQCHLEFIDMGPVDPEYPPDEYRNNHCILRLTFLHQLQDDTPYAPIGTR GVILDLEGVDQYETTFSGELMMSTFRYEGRHQRWLHVAPLRMGGQRTVGDCVRIIRDS RLVPCRFDASNENLAGCRDFMFNYKYNEAENPPDNRVLNPVSYAVFERTYQHTTINGI NYTGTRRFLEE PEX2_018990 MGQDNEPKTYRYNETPVYTASNGCPVMDPQASQRIGPNGPLLMQ DFHLIDLLAHFDRERIPERVVHAKGAGAYGEFEVTDDISDLTIIDMLKGVGKKTKMLT RFSTVGGEKGSPDSARDPRGFAMKFYTEEGNWDWVFNNTPVFFLRDPAKFPIFIHTQK RNPQTNLKDATMFWDYLSTHHEAVHQVMHLFSDRGTPYSYRHMNGYSGHTYKWIKPDG TFNYVQIHCKTDQGNKTFTNDEAAKLSAENPDWHTQDLFEAIQRGENPSWTCYVQVLS PEQAEKFRWNVFDLTKVWPQNEVPLRRFGRFTLNKNPENYFAEIEQAAFSPSHMVPGA EPSADPVLQSRLFSYPDTHRHRLGGNYEQIPVNCPLKAFNPSHRDGYMNVNGNYGANP NYPSTFRPLAHKPVKASQEHEKWSGAVIAEQYPVTSEDYVQANGLWQVLGRTPGQQEN FVGNISGHLCGADERVRKATYEMFRRVNADLGARIQSATEAHITGAPPARL PEX2_019000 MPRKRSWIEFSIHSLLLSAALLSSAASASGYYPPSQQLPIIPPQ VPLNGAEATPDTHEFSLRHIFHRGTYEQPELHARLDVKSDTRLRTVSEDGCGEQYIAS ESSLVALSSPLTIQRLVDRRLSVIQGHLAAARSSGFAAALSPLEWVMDTLPGPNITDK QTVLTFAQMTANDYIEEPGTGQWHTINGKFNYSGSFGWQKDGLRGHIYSDKTNSTVVI SLKGTSPALFDGAGTTTNDKVNDNLFFSCCCGQGGSYLWRQSCDCQSATFTANLTCIV ESMNDENRYYRAAIDLYSNVTEIYPDANIWMTGHSLGGAMTSLVGLTFGLPVVTFEAV PEALPAARLGLPSPPGYDPRFPQSRQFTGSYHFGHTADPIYMGTCNGINSICTWGGYA MESACHTGQVCTYDTVADKGWRVGLGTHKIENVISDVILKYDSVPSCVAEEECFDCEL WKFFRSNGSEVTTTTTTSTTTSLTRTSTCETPGWWGCLDDSTTATTTTTTTTSPTSTA TTTTCLTPGWFGCNDPTTTATPAPTLTTTLPTVTATTSCHDPGWFGCRDETSTVATTT SNSVSPTSVTCNSPGRVWGCWDDSTSTPAITSAPTPTS PEX2_019010 MGSSDAKSPTLEQDSKTVPLSSSVNKEGQQNGPDVFERRGSADS GTPADAQADDGFVLSRSVQDPSEELPIELISLADRFVNSLSAKVHNSPPTIEKISELF QVFYSRAESHIATHISALITRINRDLSPQVPAKSPRGSALSKLSSKRSQDDVRLGASG RQMLTASEVAEKRQARKALENKRSALEEAAERRVCELVYEKLWRHKSTLDDVRDEKLR SKTAALLLVGINLKDLGIDIDLESIDEQKQDEANEFLCQAREYLAKMNDYKYPLGKLQ QLAAAHKAIVDALTKLLPSSSSADEILPTLIYSLVTCPPEGINIVSNLVFIQRFRSSN RIDGETAYCLTNLEAAISFLENVDLSTLRADELQDGPLKPPSEATTPSVEHIDPFRPT KETTTSAVTTVSASPELSKPEIKEPAASTLPRPRPSVTPQQRRLSNLFQPPAKVLGAA NDAVRTTADQSLKNIGATLDSSFNFLFGRLKEMQNIQGPGPEGSEPILPKTLAEARRL VSLPLASTTNQTLTQGELAAINSVSVDESTPLRSSSRLTDLSSAPTPRDRSVDSTRTQ GSGKKLITTSLREDFASSSPITTPLESMRNFGNTLNPLNHIPGMMKNFGRNAPETPSG RSVSPSGLDRFKSSPASTDVTSGPVSPLPTSSSKIDPPIQRFLETQDARDLRIGDVAV LLEDYKRLAAALYKPGAESR PEX2_019020 MGVGDYVHSKEGGQARGAPDQAIQSRQQRAEQARVEVPVTQLVN PGLPGANGRGGPLEFHGTTQFPNQPRNLPTHGTQRDRDVFDTDVEAIDDSTVAGTSVY GFDDNKSQAASSTNAAYTNPDPQSIYQPRPSRNTYGSNWYDGLGDQAMKKAGFDSDDF DDFGSQMTSSLGGDGGDNEKSDEAHDWPVLHKPRTSEEPLSKRLENFWSASRKRTSSR QPVTIDSDPEPQTQPQPKSKPRKLGQMLPPAGPRKIVLPHSSSATPRTRFSPPKPSLL EQLDQQLDASPTRHNSQPPPDVGRTLSITSFQEHTDSDGGSDDDMEDHTIRANGRRES GGHSINAFDMTSLTDLDVDHAMQDPFFVRESHELHELHEPSRRGRSNTVIHSKKRPLE ADYPPEVLYQKSFSELQAEPFDKSPTPPPPVIKSPSLPPNPPVIPDSQEPKNAVFHLL ALTDQERQACLSHMTMDEWEDCGDQMIDRFTHLLSEMKNLRRARRRTAAVFEGEVKRR HDQVEAQSLELTNKLTEMRTGGAEVLRGRHS PEX2_019030 MAAPSSSVFSLPIPPWLQPPASRVSQYDRRKRKKSVGWSESDLD GDETTDIASATESEPAGPPLVLTPNESHQYRIAGFPSNQELPKGHFPHEADKDEQLRR ETTSRHLKDLAALSPPIYPPQSAQQGNIRFQHLGALTAILHRCMLQGDYVRAGRAWGL ILREDYRGFQMDVRNEARWGIGAEILLRRDEQETSASPGTTKAPNAMESTPINFTAKG FAAAKEYYERLILNHPFMKSSPQSISSLHFYPAMFGLWVYNTQEESKATRKHIALRQE EEPDEFSEDDNSESEFAHRRRSQNKADAAVTATRAVELEQAQQIAARMDQLLGSPPFS DSPELLELRGMICLWIGDLLLSALSLPANFEEDDDPDRMLMDETPGSLEARREHRLAT EKKAAEVQRSIELFEKAKKRGRSVAYNLESLHIDDDSAD PEX2_019040 MGQSSSTQRDPSNPGYPAHLAPFPIQRGPNTRDENMNHRQAAEH NGEGDLSPRADMNTHNRSDQDMASDRPGRFSAGGSQPMRSIEEEDGPEYELPPREYRT AAFARMAARRQSTMSRLGSRLLPNSVIRGLLNSQEETPAEGHAHRHGLVHMPIPRSET THTSGRFSAFNSLSSRGITRRRSARAPYFIPPRAESVGIPDAPANPTYIDSSSQIPEP TRASWRRSVRLQRMRHSISSPISHMFGQPHSDSQPHESPGYQMSDPLFDDRAMDTRMD FGEPPHELDSVEPAIQSGRPETGSSPAQPNPALMRRLPGMIRARSSRVLRREEQTPLS RVLQLAASAIAAQLSGTAGPALPNIQALGNDGLDGSLENFIQSLQHATSAQAQPPATG EGPTGNEAPSHPVNFLRVFRFANSESPTGPGASGQSANGIGDRGTQTDGMDVDGPAEG EGRTVTLVVVGVRSVPAGNGGNTEQQQSASPGPGLDALLGLPFLPPNTIPRPQDATGE PTQRGDMRSRLHLPRQPGHPTLHPSAGATEFPRPSVPNHFRRMSDTGPRAAFPSYHPS GFSESPPGPHPPPSTPAEQGVSALSSATSTPSRRPSSSSAIAPDILPHLHEGQAMQPM AETLEEPMAFNTARQRRRSDSEYARHRALGSGAVRRNGMVEPDQPPPSGGRSWLIYVV GTNLSENHPALTTPSLFTDARIPYSPLSGTWWLILLKQNPTYEDMILLSSLLGPAKPP VASQTDVNSAGGLFRLVEYAGSLVAEAVEGAGAIQIQDGERCLICLSDYEVAEEVREL GKCKHVFHKDCIDQWLTTGRNSCPLCRGQGVNETPSNDPNTDNLAPNPAPAPTPAPDG TAV PEX2_019050 MASPIRVTVLISGNGSNLQAVIDKVAAGQINATIVRVISNRKTA FGLERASKANIPTEYHNLVKYKKQHPATPEGVQLAREEYDAELARLILADAPELVVCL GFMHILSPQFLEPLEAAKTRIINLHPALPGAFNGVNAIGRAHAAWLDGHIDKTGVMMH DVISEVDMGTPILVREIPFRKGQDENLETFETRVHETEWGVVVEGVDKVVNELIAQKQ KASSA PEX2_019060 MSGFLDSMKQDSSSSSYSLFGQTQYPESVAFWHDPSAAQTILVP PSYTTPQKQALFQPLSDQKKHKRTRSGCFTCRSRRIKCDENRPLCERCRKGSRDCVYP SPTSSSSASSKASSRNTAKSRAGRPQSRGSDSSAKVDSETLSPLQPIIDEEEPDSAVS KSRPSPASATTTSQPDLRKSQSAQSLQNHNARATSDASPYIKEQSSSPSTESPRFESM SVRSGSLGHSTTELLNNARLPDDLQFYLNFHQDFMTPPHFFLRQGSSNFIHHGLIELA LQYEPLLYSLVAFSAYHHCLHSPGGKLYTFLKYYNKALVLLRKSLGSGEEHSEATLCT VLVLTTFEVCSLPPPGDYCADLFLTLVHSQEYIGDWMNLIDHHQAAHAFMLELITPES ANVNELHTNIFLWYSRFDVIVGILAGTETVLGRDWYLAKEQYDAEQAAIYPDDPSKQL AHVASINRRFGLDMASLYAKLSRGMISMEQFAIQNEHLGQWLEQAKMILRTFDNYEYK VQHYPQKQPLTEDDVVDPYVPGILYRGPLWDINYAWIDILATETMYKFQTMQVLQQPL LQDLHELSKEQCRLIETMIRWPYKETGYCLNFKNSIGMIGMFLPREHKYQMWARRRLA LLEQHGYIIVPSFRTGLATIWQVPEVNHWWLPNDEGYTSIVREIRSLTEERSSQTRDE KREAVRDMKSMFGKLNLDETDDDKSPL PEX2_019070 MTGSLSIPLQASSLKRKRGHECDRGFEPNVPPPLNASPQDAADQ TPSTTADPSPNEPNVQIGGSTKPTAAKKKGKGKKTTSKPSKPAKRTPSAPLPDAFKRL SQTHRALNLVYTFCCTRKHFATTFDNIKKAVQAQLGQGRELTVEDVARVKVIVPGAVR FEYVDEAKLEVMTAGDEEITQYGLHKRSSLAFAADMDTAEDARSDDLDDTKQVLLFEF MDGDLKREVMNPKTGEPMKPIRRMKDDDLKMPVYSQKQMLGLIEKRNAKFSDALNAFL VQCEDKNTDPVNVLEQEKNAFLPTPPSSGTNTPLAAKPPATIPKERKSIEEIIVEIRE MDWYHAQIVPEGHRVFEAQSPVYGDLTFQLSQDLVNALYNTKGITQMYAHQTEAINHL YDGHNVIVSTSTSSGKSLIYQVPMLHELEKDPESRGMYIFPTKALAQDQRRSMMDLLQ YMNGLQHTMVETFDGDTSMGSRNLIRDEARIIFTNPDMLHITILPQESSWRTFLKNLK FVVVDELHVYNGLFGSHVAFIMRRLRRICAAVGNSHVKFISCSATVANPEDHMKSVFG VQDVKLVDFDGSPSGRKEFVCWNTPFKDPKDPSSGRADTVTETARLFCQLILRGVRVI AFCRIRKLCEILLQGVRAEFDRLERTEIGNLVMGYRGGYSPQDRRQIEKEMFEGKLMG IVATNALELGVDIGSLDAVITMGFPYSISNLRQQSGRAGRRNKDSLSVLVGDRYPTDQ HYMQNPDEIFTRPNCELQIDLSNELILEGHVQCAAFEMPIRPEEDSIYFGQQLLALAP TRLTKDPLGFYHCHPRFRPQPSRSVSIRDTEDQHFAVIDTTNNRNIVLEEVEASRAFF TIYEGGIFLHQGTTYLVKELNTESFLARVVRVQVDWNTMQRDFTDIDPVETEAMRLVS NDPSASRAFFGAIQIHAVVYGFFKIDKRGRVLDAVAVDNPPIDRLTKGMWLDVPTRAI DILQSHRLNIAAAIHAAEHAVLSLLPSFVVSSPGDVRTECKVAKKELGRPLRRANVPI EFEEKLKPPSRQRPARLTFYDAKGGSCGSGIASKAFEFIGLLLRRAVARIEACSCLSP QGCVECVCDERCKEMNVVMSKAGAGVILRCLLGWEVDVDALPWGEDVEGDELGVGGAG ELAGGLETVVRAEEVPWKAGCRVDC PEX2_019080 MASPSVSAILDGIANSRVALDNNEAASREILIDQSRALISALEI PSEFVQRTFWAEPAQSAIIRLAVDVKLFQYLKEAGDAGLTPSVFSQKTQIDSTLLQRL TRHLVAMNLVSFHDGALYATKLSNSLTAENYQHSISFCYDVARPSFNGFPEYFKKSEY NSPTLGGTDGPFQEAHKTNLPFFEWLVATPPHLHHFGSFMSAYRVGKPNWHEFYPVTE RLTTGFDASISDVLLFDVGGGNGHDVATFAAQNSPCSGRIVLQDREPVIAGVVANGME RAFEAQAHDFFTPQPIKDARAYSLHSILHDWSDEDGVKILENLVPALKKGYSRVLFNE IVISEAKPTLAATNMDMMMLAHFAVRERTEADWRDILAQVGLKVVNIYTYPGVAESLI EAELA PEX2_019090 MADTSTVAYCGQQLIGVSIAIASIQIIVVGARFYTRHMQRVANG IDDYLMIPALIAGLAQAALYIYLAKRGGVGHHLEYVARTPSKLVILQKGLYANQILDF PFTIAPAKISILLFYVRIFPVRKFRIFAYILGAVVLGHGIGVLLAAIFQCSPIAYAWD KTIVGGSCFNQQAFFRFVSPPNIITDVLILVMPLPYVWRLHTHIGQKVALTGVFLLGS LGTVASILRMTIFFQESAFADPTWTSVKLGIWTILESGIIIIAACLPSVWPLISKILR RQHTTDSTSKQLPRPRYGNTPHHVKVGSGFSQLGDSTEGGKWPLSLKVSQAVDSDRNT DEISLVRVSRGRETS PEX2_019100 MSLSSQIAALTGSVQTQGLDEQARAEALNAARGLLAALESPIER VIQDVVMHSPALMSIRMGVQLGIFTQISQNPECGASSPEIAEKSGASLILVDQITRLL AATGYIKQDGVQHFKPSPLTMVMADPIMEATTRACFEIGNLCTSKAPEFFRKNNNQFP SSAKDTPFQLGFNTNMNYFEWLGHNPDLAKDFQQWMTLKQKTTLNWSDWFDIQRLIID GSNGNPEDVLIVDVGGGEGHYLRQFREKFPETPGRLILQDLPQVVSTIENLPEGIELT PHDFFTPQPVKGARTYFMHWILHDWSDEHCRAILANIVDAMEPGYSRLIIHESILPDL NCDLPSACLSIMMMVQVAAFERSEKQWRDLLSSVGLTNIKFHQPPGSGEGIVEAIK PEX2_019110 MFGQSITAAVLTIALLANGGKAKQLTRRPSTHFTHYTRSSEPID TSNYRFLTNKTKSHVVESLPDVHFELGEMYSGFMPVREDASLFYIFQPKIGEPSNDLT IWLNGGPGCSSMQGFLQENGRFTWQPGTYEPVINGYSWVNLTNMLWVDQPVGVGFSNG TPTATGEEEVAADFVNFFQEFQEEYDIKNFRIFLTGESYAGRYVPYISAAMLDKNDTE HFNVSGALMYDACIGQWDYIQAVLPAYPFVQQHAELFNFNQSFMGELQDTYEQCGYKE YFDEYFTYPASGVQPPKEMDYSECDIYNMIYNEAFTPNPCWSPYKISQTCPLLWDVLG FPTDLAYQPGPATYFNRTDVKKALHVPENIDWELCSIDSVFVSANPGPEQQYDESANP TEHVLPRLIEATNRVLISNGDWDYLIITNGTLLAIQNMTWNGELGFHTRPTTPIHIGM PDLQYAAVFDAQEGYEDLDGPQGMMGVQHYERGLMFAETFQAGHRQSQDQGRVSYRHV QWLLGDDDHL PEX2_019120 MVSASRELNYSAGVLETDQLKMDHTVTAQSPPSDNDSGERPVRQ QLKETNLDSVGEKDGSARANRKRSLDNTDGATDTPPTKRSRECTPANTTEDNSNHAGI PKDATTQDVSDDVLLAAPANTSVYNTLFHNTIMSHIPEVTKVQLPLDLEIKVSIGEYE QISHLFIPVEIGLKVTAGAVSLASQTSRSAEGESSKIHITIPSAKPIPLSGHPSPGNP SPGHSSPGHSSLGHPSTTHPSTARPSTGQPSLGQPSSGQPSSGQPSAGHRSLESHIQD DPEFHIYEEPESIGDSSSEDSLPDYCSPDYPSSPPPVPYSPTNPDYPRPKVVEVFDSN HSQSPQNLETAAGGNEDDSSQTLNKKRSREQLEDDASKNSHTMTDPAPGLTETTSDEK SIAEGQPEKKRPRDNSEERKAKVDQTFTTSAFGMAASANAPSPFAMPATKSTADASPF ATSGASTSGFGALGSGFSAFGSAFPAPSGKLTSFASPNAPSAFSGTAGKLTSFASVNL PTSFSGTTGKLTSFASPNAPVSFGESSDKTLGAKQSDNEDSDNEPVGEPDDTFVAEKT DERFHAQTGMDPFLLPFPRIPNMASGSFGRENPVETGEENESTEFAAKGKLYYFDDKK WKERGTGTFKVNLKTESNGKKSGRIIMRADGALRVMLNSAVWHTMPVGDSKGSRPATR DIYLASKEDEKVVSLLLRLGNEKQSGELFDVLKDIMEQI PEX2_019130 MATIHRGHPHDEPHDDDSLLGDDLIEADDAVDVDDPLRDTSDTA PLRGNIESSSANRGNASGYGNYLASSMGGEDRRATQNTIDESVWDTLSRDLIAVWEKM RQVLWPKYLLGGMMQRGGSGTADSEQGGVSGFGGNIRGLVGRWPDADVVLQGGMSEGL RDWDLWGPLVFCLVLSLFLSVAKGDQSSVVFSGVFCLVWIGEAAVTLQIKLLGGKISF FQSICIIGYTLFPLVIAAMLSALGLPTIARIPVYLVLVAWSLAAGVSILGGSGVVRNR VGIAVYPLFVFYIAIGCLCFIS PEX2_019140 MGDTAATSHNGSAGTGKKQILLNAFDMSTVGHLSPGQWKVGIAI AVEGPKTLTAAQNPKDKSATKRTLGYWIELAKLLERGGINALFLADTTGGHDTYEGKL DECIRRAAQWPVTDPTIPISAMAAVTKNLAFGITASTSFEQPFLLAKRFSTLDHLTNG RLGWNIVTSYKKAAFKAIGVDTPIEHDERYRQADEYLKVLYKLWEGSWAPDALSPNPE ADTYIDPEKVRQINHKGKYFSLSTRHIVDPSPQRTPFLFQAGTSPAGSEFASTHAEAI FVSSHSPAVLQPKIANIRKLAAERGRDPQSIKFFSTFTPIVGKTDEEAWAKYEELKKY ASVIGGLVLFSGWTGIDISKIPLDQEITALDSLEANKVTSLLDSLLTTSKEIPRWTPR VVAERAAIGGLGPVAIGSPATVADEMERWIREADLDGFNLAYVTTPGTFEEVVDLLIP ELRRRGLYPELPDPSEPPLTAREKIFGKGQKELRADHIGSKYKYDVYQEEEPYVDGTK EN PEX2_019150 MTFRFPGSLVNRALRSRLLTAQQYRATTASQRLPQTWIASSDYR RYKSSYSSSPKRSFDRDSDARRIGHVGDKHFKNRHRNRQEVQDVRSRDEHDILMAIAS GSFTGRYNSELETSDSKALDPEAAESEDLENDPYDYEDGESEIQENGVPEDQASKEQN LEADVEADSADGEAAENESYRGPAREMPKLTPKIINEELKWLNDPRKMADRVARILHS GNPALAAALVRAGTKQGLRCDVAWNHLLQYCMDQNHPQAAFKFYNDMKKRGRRPTPRT YTIMLKGFSSAPRSLGVAKTAASVYRSIGSPNSGVKLDIIHTNAMLTVCHRHWDMDRL WKIAGELPEEGPGAPDATTYTIILNAVQFAARRDIEKMSPDEIDKILERKAQVITEGK RIWADVIWRWKNQTLKIDNELVNGMASLLLEGGSDIDCYNVMELYKQTMGVPILQKRP TENEKTTRRRITPEQTQAIEVSEATRQSKMEDVPFVDENNRPIQSFEPAVELEQDLGE EVEELEMEKAEEEVEEEEEEDFTELFKPVVSQAEELSFLEPNSKELTLILNACFTMTQ GSEGGQAYWKHMTLEDYDYRIDPDTFTYIQYFRLLRISRSSKISVKTMREQMVPSGQA TGTAFHVALSVCRRDRRNHSVLQHANELIALMDKALILPDIRVLDGYLEMIQILSTNP SVLLHLRGLDTEDSKTSKSPRLHEMGRKLQAKLRLAAIAALRPYILQLHEAMENGKPE SKTRWSAIQNTLSDSVAGSAAVKIMSRVRLVVDDTLKLDYKSYVSKAERKALDADSKM LKKYSDKDVIATFKRKKVYPTIQQKEEARERIKKFQWEARNSRNQEGSDQHEGLSS PEX2_019160 MDNRPTSEYAQPGSHHPNTVTDASSEQKPADQNSAAAAHYTPQP EVRPTPQYTPQPEVRPNIPSANTPQSDYSLNPPPTARSPAYQDYLHRPPQSYAPNSQP GGAAGMAQATSPFINTLPTGPRSPHSKSDAPVPIDPSLPANSPTYPPPYSPYQPQGHE MAQYQGHPPPPQHMYARPDWPHSYGQQHHGLPGPYASPATTVGSTSPLSAPAGSRSPK VYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNAHVTMQSHGAKRT PEEFKEIRKEWKARKKEEEAQRKAIEERERAAAQAAQANQVEPPNPSDQSHGQPPAYA GGVRPQLPPIGYQPADSQVPSQYGGPPGGGMVYQNNAQMAYPPNYPHSPYQSGPVYPQ RE PEX2_019170 MLFFTTCVLAIASGTTFARAGPLSRPTTKFDWSSTKALIAFGDS YTYVQGTLGHQNFSFIGDQFNLAYDAKTLLSNKIVQNQTATAEGGPNWVEYLTDCGVH KGLTLPQNCKKQLWDFAFAGADISTEYTPLHHNFTVSLVDQINQFKQYGHPALLHKLP GFKQDKTLLALWIGINDINDSAKYAVDFPTFYKSLMDILFASVETLYSLGYRNYLFMN LPPLDRTPGNQAKSDPSPNATQVGWYNAALADHAATFGEQHEETRVMVFDAHARLADM LDEPHKYGIVNTTDFCAGYDQPGIAVEYEKYGCPTSLERYFWFNSGHMTSHVHEVLAG EVERWLQEA PEX2_019180 MSYGGGYSRGGGGGGDSYRSRGGEGGYSNGGSGGGGYGGGRGGG SGGGYSGGGGYGGGGGGGYGGGAAGGDRMNNLGAGLKTQDWDINTMPKFEKSFYKEHP DVTARSEEDVQAFRKSKEMAIQGTDVPRPVETFDEAGFPAYVLSEVKAQGFDAPTAIQ SQGWPMALSGRDVVGIAETGSGKTLSYCLPAIVHINAQPLLAPGDGPIVLVLAPTREL AVQIQAEITKFGKSSRIRNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLEAGRT NLRRVTYLVLDEADRMLDMGFEPQIRKIIGQIRPDRQTCMWSATWPKDVRQLASDFLN DYIQVNVGSTDLSANHRITQIVEVVSDFEKRDKMIKHLEKIMEDRSNKCIIFTGTKRV ADEITRFLRQDGWPALSIHGDKQQNERDWVLNEFKQGKSPIMVATDVASRGIDVRDIT HVLNYDYPNNSEDYVHRIGRTARAGAKGTAITFFTTDNSKQARDLITILTEAKQQVDP RLAEMVRYGGGGGGGRWGGRGRGGGRGGWGGGRGGGGGGFTASNAAPVGGNRRW PEX2_019190 MSVKRVLVIAGSDSSGGAGLEADQRVLAAHGCYALTATTGLTAQ NTLGVQDIFVVPSEFVRKQINAGLEDVGADVVKLGMLSSAETIDVIAETLQAHKVPVI VLDPVMVSTSGSQLLPEKAVKELRTKLLPLTTILTPNIPEALLLLKDAGAETPEPKDL EGMVELAKKICSLGPKAVLLKGGHLPLTKDHKTSLDPKEATLVVDILFDGTTTTLFET EFLVSKNTHGTGCSLASAIAANLASGKDMIRAVRSAVRFVEVGIKTSIDLGKGSGPIN HFHSTYTMPFAPGRFFEYILDRQDVQQAWQEFTHHEFVEGMGQGTLPIKRFKEYLVQD YLYLVQFARSNALASYKAKSIDSIAASAKIVLHIQREMALHIDYCASFGLSKQEMENT PETIACTAYSRYILDVGQSEDWLALQMALAPCLIGYGAIAKRLHAGEDTLREGNTYWK WIENYVADDYTEAVRLGSALLEDHMQHVSPSRMEELIKIFVRATELEIRFWDMGLGSS GQ PEX2_019200 MPTARERLAELRALRASGKKRISTYEVEDQGDIYDEVDDDGYKK VIRDRLDQDDFVVDDNGAGYADDGREVWNEQTAEYDSDESDELPIRGKAAKRKREEEQ QRKEKMNNGISKYFNKGQAAASAPKPKPAATAEDDAFMADLLGEVDTNVVSNHAPTRN IVKSEARRKVRVLSPPLSEKRRHEKLQPRDENDMPSSPMKDQALHSDGLDDGPLPMPD DDDVPMSDILPSSPISKAVERRNNISVKAEEPEDDDVDLMDVAEVTGDSTLKSKTINM TGSRPPPKIKHDIASTPASSSPVKSMPEEMDASWNDVRSKLNVLSSPAPEMRSFGKLR AQDVVEDDGSLRIFWLDYTEVNGSLCLFGKVKNKQNGSFTSAFVKVDNILRKLYFLPR EYRHKHGRATEEEVDMQDVYSEVDEMMSKLKVGMHKMKPCERKYAFEMPGVPKEAEYL KLLYPYDKAALPMETKGETFSNVFGTNTSLFEQFVLWKNIMGPCWLRIDQADFAAVTN ASWCKFECRAAKPALISLVPDSENLDAPPLTLMSLSFRTQLNVKENKQEILIASARVY EDVSLTDTTPPEKLPCKTFTVMRPAGASYPLHFEAEAQNQRGTVILERTEQFLLSKFL AMFEKMDPDVIMGHQLQDVDLGILLSRMREKKTPGWHRIGRLRRGDWPKNFNKGGNFF AERQLIAGRLMCDVANDMGKSLMMKCQSWSLTEMCQLYLGQGNVRQELDAEAALKTWA TTKDGLMNFVNHCDTDTYFIAALVLRLQMLPLTKVLTNTAGNSWARTLSGTRAERNEY ILLHEFHRNKYICPDKYSSRLQKAEEKMQDGDDDEAVDKKKKDKYKGGLVFEPEKGLY DRFILVMDFNSLYPSIIQEYNICFTTVDRTATAENENEEKVPDVPSSDQEQGVLPRLI ATLVNRRREVKRLMKDKRATPEQLAIWDTKQLALKLTANSMYGCLGYTQSRFYARPLA MLTTFKGREILRNTKELAESQQLRVIYGDTDSVMINTNMDNMSDAMKVGEEFKKSVNE RYRLLEIDIDNVFRRLLLHAKKKYAAVNLTEVDGKYVEKLEVKGLDMKRREYCALSKE VSSKLLNEILSGEDQEVVLNKIHEYLRELAERMKEYTIPVQKYVIYTKLSKRPQEYPN KESMPPAQVALREISRGKTVRPNDVISYIVTNGNSETSSLPPAKRSYSPQDVLKSDSG LKPDIEFYILKQIFPPIERLCAPIPGTDAVRLAECLGLDTRKYQINTSSSNNQQNAEV TPLESQIPDSVRFANSTRLSLRCRYCKEQSVYEGLVASSHMCTAHGIVCPNQSCQKPF SVMTIVAQLEHQIREQTSRYYEGWLVCDDSSCGNRTRQISVYGHRCLGPRGRAEGCLG RMSYEYSEKEIYNQLLYFAGLWDVEKAKVAAEKETGEKKDSVAALAEFNRTRFGTIKG VVDSYLKKCGRQWVEMDGLFRFMLQ PEX2_019210 MASVPSVQCFGKKKTATAVAHCKQGKGLVKVNGQPLSLVQPEIL RFKVYEPLLIVGVDKFAGVDIRVRVTGGGHTSQVYAIRQAIAKSIVAYYQKYVDEHSK NQLKQALAQYDRTLLVADNRRAEPKKFGGRGARSRYQKSYR PEX2_019220 MSQLVGKTRLAYSRTWHYVDAGADERSLGRLASSIALVLMGKNK PIYDPSTDCGDYVVVVGCHDLKTTGKKRFQKKYYTHTTRPGSLKSMTMDQMFAKWGGG EVLRRAVRGMLPKNRLKDARLARLKTFEGVAHPYKENIVKFGNQSVIGNLPEVQEAFK EAADKPSA PEX2_019230 MSALAAARYGKDNVRVCKVHRDEKTGIQTVVEMTICVLLEGDIE TSYTKADNSVVVATDSIKNTIFIKAKQNPVTPPELFGSILGTHFIEKYSHIHAAHVNI VTHRWVRLDIDGKPHPHSFIKPGSETRNVQVDVVEGKGIDINSSINGLTVLKSTGSQF WGFVRDEYTTLKETWDRLLSTDVAANWQWRRFTGLAEVKTHSEKFNAAWEAARAITLK TFAEDNSASVQATMYKMGEQILAAVPLLETVEYALPNIHFFEVDLSWHKGIKNTGKDA EVYAPQSNPNGLIKCTVGRAGQKAKL PEX2_001170 MPICIECSYPVSHLYSAYSRADDRSQGKGVRLTQCPRCQRFADK YVEYDFVVLFIDLVLIKPQVYRHLLFNRLGRDDNQFDRSIIRLGVLLLLFDVYLTWAR IEKDPSLATTFLSRAPIIVQYLFFLSLNAAATLAHHLTVRLLASILVPKSRRYSGPDS SSNANTNPITKPTGDATPFPSGPPTPTTHTSPFGVPINPHTQNPVNPPASLLSPTPTP SSYSEVTSPTDLSPPPQGPLMGPPPRPAPPLRRTSTAPLQNIQPLPPPTAASPAAIST ALLVSSCAKLFPILLVIWGADGSGGLSDVPAHSHAAAQIQSPTQAATMASAVHQSLLA TATKTSSLLSAKAAIASPPLASARSSSFLESWLAGTASTLRASTPTSYLTGLFDLLAS LLSLGVVDTHLVLLSNIEALYILLGCGYLRAVAVAVAGQIARWAVQRVILGAVGVG PEX2_001180 MANGWSLVIGLIIIIAFSTAAWFLSPKGDNQTLFRSTLILTFVS CYLMWAIVFLSQWHPLIAPKRSDIRPGHVPQ PEX2_001190 MDRVQAFGKNFSANFTPFAQRTQQMIKEQLGQADDKTQLPDEYI ELEKRVDALKLVHQKLLQVTSQYSNEAYDYPPNIRESFNDLGRTINEKVQLLSQAGSP AEAQAALTAPPSAKPQPKTFNHAIARASLAGSQTLAQSTEGEDPLATALEKYALAEEQ VGEARLAQDAQIQSRFLAGWNTTLNTNLMFAAKARKNVENARLMLDSIKASKKAGAHG DLDNLSEDARAEIEQAEDEFVGQTEEAVSVMKNVLDTPEPLRNLADLIAAQLEFHKKS YEILSELAPTVDALQVEQEASYRKIREGA PEX2_001200 MSRYDDYRSSTGTLDSRDRYDRYSRGPSVAERPRHAEERFEARL REEDRYGPPARAPGRFYEDDHLDHPSPAMSLVAPDRRRRRDDSPSFRRPQLIRRQSSL DTFDRIPRRKMEHLEARDRGPRAPRVPVVAPPHHHPSPGRYREREVYEDIRIAEPDYY GDEEFRDIRDRDMVDRRRRSSSTIRRHHEEKPYPRKGKTRVPRHLAHIHAIMDLGYPF KEEEDVIVIQKALSKEQIDEVLSLSREFRRPSPVETEYIPLSPSPPLRERPRERVTTE LLRVDATPRTSQALIVEASPSRHRSRSRHHVDQYEEIVERPRVRGVSRRRAVSVHNHG PPVSSAVQYIGERESDSVRTGPMVLVRPRESDHDVSNYIRELEEETRLLRLERQGGIE ITRQRETDIIDDRGNQAEVTEIRRQERSEPNSRIMRAMMATLT PEX2_001210 MADDPKDQLDNPADLAVDGSAEASTARSGPRRLPKKRTKTGCLT CRKRRIKCGEEKPICTNCVKSKRICEGYAQRVVFKNPIGIFGSFNTGHGQDPQIEQQH MRVPIHNDYGAQLPPQQAAAAAQHPMLAPRPVDPMAMGYHSFPSQIPSSTQIQPTNAP PFYYPAAQMQPQTHIWHTQSIAQADSTLVPSKVTDFSQVQQSGQLGPTSALHRPHAIV QNVPQIASPIGQTAEEYALNLSPVPALNPVLGSRESELNPVPQITQPLPTIYHYQAPP GQLPLQLRPHEQFIPSQIPHQPQVIYMEDESEDYYDVDSDDEMVDQSQAEGFNQLSLI MASANRDERQLRSFTTYLNEPNVLASYRPTLGSSPLNNPKTARIFAHFIHSTGPSLSI FERHPTDSSIVLGAPVPSAQQGLWVYTLALKALEHPALLQAILAISSLHIAYLQQVPT TVSLKHYHYALKRVGSAVGLPLRRKQVGTLAATLLLGYYEVISADHSKWNNHLGGSTH LIREIEFAKTTRELRAHRRRAYEQRRQSGWSDSWWGMPDDVSEDDPFSEKEDNVDENL IGAIMGQAVNYDDFGSINEGGTMPSKKHFTRKDIEIFRIQCDLYWWYVKQDALQSLIG GNKLLMPYSQWGQCPPRAGIGRLDAIYGSADHLWLLLARVTDFAFRDRKRKLRTLKAG GVDWRPCPEMFKFMGRFAGGPPGQRPGPPGPPGPPGGFGPGGPPGPPGPPSGMPNMQP RGDTRAPSMSEPTRVSPSSSPGPASADSPPMYGMIPPQPPARLPSGFEDRPRDPRLSP DEDDPAHDVGYKEAEQDWEEILVAVEIFSQALGRDFQPLPADVAPPISTPFGPALQYR THTIAVIWGFYYAIRLLLNRIHPSMPPAIMMAAGVSAPTTAGFAQIIGKILAGVYYPQ RFNLEAGSLSPNLGSSLTDMSVPLFFAAVQYNDPTQRTWTISKLRDISRLTGWKSADA IAGGCEKSWIVAAKQGRGPPYQRSFETDREREWKKERETEAVLQEVKDRRFVTVKSDR AHWAMGILEENIANLEV PEX2_001220 MFAVPGWSVESSALKSQTQAAQPKNESQPEKDASNADAKNNKRK RANDRVTAGNVDEMYRRHIEGTTKGGKRDAEASVKSEKKQKKEKKEKQTPETTTTQEP TSETVTTADADADQAEEKVPKKKQRKNKKNKDNASEDKIETDGATTAPAKEAPAPAPA APALPPTANLTPLQQAMRQKLVSSRFRHLNETLYTTPSEKALEMFSTNPELFDEYHAG FARQVKESWPSNPVDDYIKTIRTRGAIPLPRRGKPLNPAKGYPLPRRPTGVCTFADLG CGDAQLARSLTPSAKKLNIKLNSYDLAAPDPLITKADISNLPLEDGAADVAIFCLSLM GTNWVSFVEEAWRILRNDGKGECWVSEVKSRFGKVQRKKSQIGAQKPGSKADKKKLKK KGGDDSEDEADIFAEDVRPSDNTDETDISAFVEVFQSRGFLLRRESVDKSNKMFVRMV FVKQGGAPTKGKHASALSAPAPGNKKRFVDRKPDSESGLSAEQEAKVLKPCVYKIR PEX2_001230 MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSE GVILGVEKRVTSTLLEASSVEKIVEIDQHIGCAMSGLQADARNLVEHARVECQNHAFH YAEPLRVESTTQAICDLALRFGESGDDEESVMSRPFGVALLIAGYDEDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHKSLTLAEAETLVLKTLKQVMEEKLDSKNVQL ASVTKEKGFRIYNDEEMGVAVTQLGGNQ PEX2_001240 MSANDRAAYMSAPRPRAVSNRVDSETRGPSPLQVENKDPLRHST SSQKHRTSRDQKSMPDKRTERMVITSREKAVRRNPVNPVKESISAANRGDWDKTRPRK PVQLDEANPSPQAGEKDLADTPWNPQASLIPHSTAPLACRVSVPPLASTAPQALHPRP LRELSPDAQEAAILEDLLFVFMGFEGQYVHYASSYDPSIEKDRLTGPAFQIAPGLDPT LRDLIQSMLKMATHYSAMEAFVEIQSRAECGAVSHSLCASIRKLLKDYLILIAQLEGQ LLNNPTFTLHLLRLHTMPTSQCLAQLYSMGQELLLKNGLLDEELEESIDDFDEDVDNI LEQLKEGGDLVPGSMARKKMCKGGNILGLLTERLASFSGDPITKTLLQALLRDASRPY MAMLNEWLHHGGIRDPHAEFLVKEQKWIKREKLEEDYTDEYWEKRYTIREHEVPPQLK SVQDKVLLAGKYLNVVRECGGVDVSKEVKDVPKTLDDPRFLDNVNSAYTYANASLLNL LVTKNSLTTRFRSLKHYFFLDRSDFFSYFLELGASELRKPARAVNEGKLQSLLDIVLR QPGSVAALDPFKEDVKVRMNKIGLTKWLMQVVNVSGVNQDGAEAEKQQVSAVHSADED KDILGFDALELDYSVPFPLSLVISRKTVLRYQLIFRHLLSLRHLETLLVTSWADQNKT TSWRHKSSDRRLEIWKRRAWNLRAKMLVFVQQLLYFCTAEVIEPNWVGLMDRVNSVHA DASEMEENDMKQVNRTVDELMQDHVDFLDTCLKECMLTQAKLLKIHSKLVTCCTMFAS WTAASLSRALASADPDLAGGKVPGADARGYDPTRIGKLEDTLKRYEDHFSRHLRILMD SLNYFAATESVVLLKLAHSLTSISREEKMRLGVLAMGTRSKALQTYDVCCHLKLGEAE TCDIDTNTTNMPITTLTSILRIPFPHPNIPQNRLTLALSATALLVSTLILPAAYRDYK IFKSYGPGGPPHNALGWIVVRALFQPFGREMFSTDEYVKRIAAAEGHGKGDDGFLFLP EEQLGSRCGEERPVVGPHVAPQRQMTQLPDEAVMEKFRSVFNAFGHRNHHLVKFQRSN LERHADGLFVADHIPVFGIAKTMQREIAHVHSGGDHSVHVVLAPADCIKVIEAGWGQR HAFSGTPAMSILSLGMRPDIPAEYVLIYAPRTEAEIETVMKIVSAGVEFMTGREDVR PEX2_001250 MVTMANPDSQTTELDREYLDTVTVQGREYQKYSIDHHTYFGPVD EEEAQRLEDEQQLFQRIFDNRLIFPPIRRLKRVLDCGHGSASWAVDVAEQNPDCEVIG VDISPHMSPDDMPDNLWLQVDDLNRRFTFPANHFDLVHSRLLATGINRSRWPSYLRDI VRCESPRAG PEX2_001260 MPSTHNKEKAWDTDDIDKWKIEEFKPEHNAAGSFAEESSFMTLF PKYREQYLKEAWPVITRALEKQGIACTLDLVEGSMTVKTTRKTYDPAAIIKSRDLIKL LARSVPVTQAMKILEDGVACDVIKIRNQVRNKERFVKRRQRILGPNGSTLKALELLTS TYILVQGNTVAVMGPFKGLKEVRRIIDDCMANIHPIYHIKELMIKRELAKDPTLAEES WDRFLPNFKKRTLSKRHVPFKVTDKEKKTYTPFPPAPEKSKVDLQIESGEYFLSKEAK ERVHKEEVVERQRLKRDEKMREREKDFVAPEEVTPADLDEKKKKKEKKEKKDKKEKSK RKREAEEDVDADEAAERKEKKKKKKSKSKEVSSDGE PEX2_001270 MDQDQHKDDVLSTVEEDGLPPNKIDQPIAPDQFDERYRTTRMEI WAYYAYYIGNNGLSLFSGSIRVLQQDLHSQVEAEADPVLDFAPTAFQNLLAQAAPEDG LLDFIGRPRTANSIVLLSNGISFAIQVVVFLVIGSFADFGYWRPNILIGLSIIAYAIG FGWLGVHTADKWHVAVGLYMVGLIAYQTTLTFWTAAFPGLARNSLELKGKADEYVAGI ITRDEYDYADTMMRSKLANVAFYVQSVAEIFILAIIVGIMFGLHVNDSESNNNWGLSV LIAFVSGVWLLVSIPWFVLEKRRPGQDPGKRSILVAGMWQLWHAMKQIWHLKQSLIYL IGYFLLGDSLNTTVTVIGTLQNSIVAYNTLELTYLLIVGIAAQAVGIYAFWTIQQRFK LGTKTMFNAIAVGIILLDGWGMIGIWTNKFGFHNAWEVWVYQAFYGLFICPWYSYSQI MISEVTPRGHEFLFFSLFSIIGKTSSFIGPIVSSAIIDASPSGNVSTPFYFLFGLSVV SFLILFWGVDLKKSQVEQERFLLDKLRQLDASTSSSDGETSAAV PEX2_001280 MSQKQDQVILITGASGFIATHIVEDFLRAGYQVRGTVRSSATAE LVRQSFSQFASQLSFAIVEDMGKPGAFDEAVKGVHGVIHTATPFQIFNIEDNERDLLR QAIDGTTNVLNSVLKHAPEVKRVVITSSIAAMMDYSKGTWPGHVYSEADWNMTPYEVA AAKGAPGGLGYSTAKALAERAAWDFVKTNKPNFDLATIMPPMVYGPNINATASLTKLN TSSSDILRLISPRSKSSDDVPQNMFWSFVDVRDVSKAHLRAFEVPEAGGERFFICTGN FTYQQFVDALREKIPEIRDRVPVGKPGTGVVPSTVYTVDTSKSQKILGIKYHALEDTV VDAARSLLKLEGKST PEX2_001290 MRSAQFLALAMAVATSEAVSQGFNYGALKVDGTVKTQSDFETEF ATAKNLVGTDNAFTSARLYTMIQGGTTNGPIEAIPAAIKEKTTLLLGLWASGGDMANE IAALTTAISTYGSAFTDLVVGISVGSEDLYRNSVTGVQSNAGVGVNPADLVDYITQVR SAISGTSLSGASIGHVDTWNSWTNGSNSAVVEAVDWLGFDGYPYYENTDPNSIDDAKA LFNKGVEKTKAIAGGKEVWITETGWPTTGATENLAVANVANAKQYWDEVACPLLGVTN TWWYILEDAGTTSPSFGVTGSSTDTTPLYDLSCKASTSSSSAIASGTSTASLGEKSGV ISGSSASATGSASSGSGASGSGSSSGSGSGSSGSGSSSGSGVSGSGSGSSPVGAYSAY VTPGVRPSTKPKSKSASVSASASGFASSTASGSSATATGSSNGSGSSTSGSGSGSSSG SSSSDSASTSSSVPSSSATRVAGSAAGALIAALALAFTF PEX2_001300 MTIAKEKVQEILNKAALRYRGPGGAIAVVQDGEIIGQRVWGFAD LDQRIPMTSQTQLPICSITKQFVCALLIDLERNPTPTLAEKGDVRKQLSDHLMEMLSP ELTRNGELTLDRLCDMQSGMRDYWAMTTLWGSKPDDEFLIARDCGPVLARTKSFHFQP GTEYSYCNVNFHVLARVIERATGESLGKLLEERILRPAGMSTAFLCPNTAHHPPPCVG YEGTEQLGFTAAVNKMEWSGDAGLVASLTDMIAYEKYLDRCYADPQSWYHTAIVAPKF KDGTPAKYRYGLGHTDIEGVNTIGHGGALRGYRLHRRHAPRERLSVVVLFNSDADASG PNIDIFRDLLELPKPVTEPVQAAAEWVGAFLDQDTQLSIVITKGARDGEVAITYDGSA DTIKLSTPNHGKSGMAVATIDGDSLSIHRIGDNRILSARRIVPKESIFKDNSFQGVYH CAEIESTFHCIGEDGMLYGAFDGYLGQGNATAMKYLGDDVWVLTCPRGLDAPAPGDWT IVFSRDEHDVIQGFTIGCWLARKLVFVKKA PEX2_001310 MSSPQQRLSSVANQLAAPGSARQKVLAKNPDDIVITYLARTPLT KARKGGLKDTSVDDLLVSLLTTVREKSNLDPNLVEDVCVGNVLCPGSAYVARSAVLAA GYPVTAAASVANRFCSSGLLAIQNIANQIIAGSIDVGIAVGAESMSKNADGGAPEMSE RITKHPIASQNSQPMGQTSENVANQFNITREQHDRFAANSFQKAERAQKAGWLEDEIV PVKTQIKDPKTGEVKDIVVDRDDGIRYGTTPESLGKVRAAFPQWAPSATTGGNASQIT DGAAALVLMKRSRAQELGQSIVAKFCGATVSGLEPRIMGIGPSLAIPKILNKFNLSKD DIDIFEINEAFSSMGVYCVNKLGLDESKVNPRGGAIAFGHPLGATGARQAVTALSELR RQDKRIAVTSMCVGTGMGMAGIFVSEH PEX2_001320 MDVFSELNAPAVAMASALTVAAGAYLNAKLAIATDLRTIYSDKA AVKRLNERIAQLDGSTTIYKILERAVDVGGRGATDALWFEQKTWSYSQLKDLADRMAA LLKSRDISPGDTVGVFTTNSPEMVVTVYALSKLGAVAAMINTNLRVTDDTFIHCLNVS GSKLIISSADLSQHVCTDLPHLTLHIDSFQGAETGATELITSETLQQFSPSGLAAAKR NPKDLCILIYTSGTTGKPKACAIRNMLTLITSTPLSSDANNPSKYHPFRVYSPLPLFH GTAFFIGLCTAIGNGGTLCLGRKFSASRFWKEVHDSGATRILYIGELCRYLLATPPSP YDQDHKCIVASGNGLRGEIWEKFRKRFNVPEIREFYRSTEGIAKFDNHGVGAWGVGKV GFSGPIRRFLEDDTFIVKYDTDTEMPYRDPVTGFCVMAALGQEGEAIGRVRDRGTLIE YLGNKGATEEKLIHDVFQTGDLFQRTGDLVVQDESGWVKFQDRVGDTFRWKGENVSAG EIRDHICRIEGVHDAVVYGVKLDGYDGQAGAAGITLESPAAEAELISTLYKELKKKGV PSYALPRLVRLTEKVATGVTFKQAKGDLAKKGWNPRQDGGGDILYWLDGTKYQKLEEK SWAEIESANMMHKPPANADPHTEDEPPPPPANWPTTVERLVERWGWVHTIALLAVVLV FSLVWRLSHGQINLWQYRQQRAADSEAGIELQDAEPARPPGARDRPRGRGRGRRPLAN PAVVSGPASNTRGKARARARAAAAAAAAALAAQT PEX2_001330 MKFLSAASILVLTAPLANAAAFSIFDPTQASLKTNDNEKYPVKG ENPLQYCAKPDNYKLEIESVDLAPNPPQPGQKLTISAKGTLLERIEKGATVNLEVKWG LITLIKQTVDLCDELKNVDLECPLEKGEMILTKEVELPKQIPPGKYSVLADVYNKEQK QVTCLKADDIVFHF PEX2_001340 MAASAATYKERQFLAVIGDEDSVTGLLLAGIGHVTEPPASQRNF LVVDSKTETSEIERAFQNFTQERKDIAVLLINQHIAERIRHIVDAFADPFPAVLEIPS KDHPYDPEKDSVLKRVRRLFGE PEX2_001350 MPRLVRRRPLSERILSRLNPYDFLLWLSEEFEAGDWDQLEKNWA LPIGIALNVIFLIARANSRSGGSKAFDDVFGDDDGTSLRGWLASFIVHLMAVASVANA IYTFQKSRHYRLFENSIDNNPATPSAHRVRVDSSPMMPSPLRYIAKALSTESAHSRAH PDAQRDVWELAIWDPSALCLRLFCLFSPGHVLVYWLFLPTQLSDPRPSVTIVTTIVLS ALLSVQMSFISSSYTQQAKDQKVIHQEVFKEYDTKYVQPRTHPLMRDVGTQFSDPYGG PPKTEDECNRVETYSPAHIINRGFKTSPNPNYLKHVDPEGFTPVRQPTASTPISSIFQ GPPTNTPSMSRDASPMARGTSRTTMRQPQFRSTATTTGDGGSLGVYSHANSPLRKSAT TSFDRRLQNNGDFVYKERGTTPLMRSSSPLKRSSVPGGMNPGGPATVNRSDNLNNRRE TGRF PEX2_001360 MDEAHVELPDGHLVNLSPRPVRPSPYRAYRAEYKPSPKLSATPP PQYQQEGRSTEYAIAGGAPGNISQLPKATKLPVPRSLAESRKDDTNQTRSPTALPKLT PSSHSSGRLGDLSKSLEKGHDQYWRKIRDKLEESPLLTRRTKSKGSDDGQSPNSRISY HRSRLLASTSQDQASYRADNAPSSIPSPSNVNTSPAQRSRKNAPSTLPVDSQNKWRKE DDQWVVMDVTETTPQKSVRTNTTTDSSPRSHPSVSPVSAEDSSITDWEDRFVVNMPTA KDPNPPTMTAQQIAEYQRSIERVHRDGGQMVDPATVPSRNVSPEIRFNPSEQRTRSPR GITTYDGAYERRQVPSLSQDEHRPLPQPQQNAHPEQHSQPQPQQPQPATASQQRVASH YYCPDEIGYNRISTIWEESPTKPKEKRHPQNADGSFLGCREISGEKNPDEILRFASSA PDDASLHPPPLALGSKNRHRVSKEVKEVTDRKSIHRVEKITVLQEERPRTSQNSRPAR CSKPSTIYRDRSCSPNPPPISRARSQDSSKENYHPTSNTPERTGSLEENREDDDVFII TPTITRTMIPTPDKKASSPKPQGLRRPGGTNHTVTAEATKAVRAKAQMISTPSGLRAG APNMNPQPTDRTLTTSQTLPLPSITTTLKDQDDEGPNQDRVRDHTPERAAGATTNTIR GFIRTTGLANPSGLARPPTDSITTMLRNRTESLRTRAESLRNGSGSLQRANQKRSPSP QPILPSRDNSESSRSERSFQSAKETPPNKSASSPKMVTIEKKPEESKQPPPEPPVPSK PITKKVTISEERPSSAKPDRPPTSTRKISALAKPPKLNSTPKLDEASQSTKPAASEKS PSPKAKKPYTSPKKEEQMKALPRVRTSGNVLEIAELDGLQVASPKESLQSNITNVSTD LGDMHSGDKDDFDGQGLSPLALSLLFNILVVAVTQVNRAFRMGADSPYAKFVVKNTLN MTSHCWRVSCCIYAVASHYQATGAWPKPRNDQAVSRFMVELLQAIVYLLILGFSAMLI TRTVSYIVLVSSWVVWFARPFAWVFQCVGRALIM PEX2_001370 MHIREKLAKNEAEGKTGISFEFFPPKTAQGVQNLYDRMDRMHGL GPSFIDITWGAGGRLSDLTCEMVSVAQSVYGLETCMHLTCTDMPLEKVDQALQAAYKA GCTNILALRGDPPRDKETWEAAENGFCYAKDLVKYIREKYGNHFDIGVGGYPEGADDN SDVDQLIDHLKEKVDAGSSFVVTQMFYDADNFIEWVNKCRAKGITVPIIPGIMPIQTY ASFIRRSNWTKVKVPSQWMETLEPVKNDDSAVREIGKTLIANMCRQLIAAGIKHLHFY TMNLAQATQLVLKELDLSPSDESPIQRALPWRPSLGLGRRTEDVRPVFWRNRNSSYVA RTQTWDEYPNGRWTDSRSPAFGELDAYGVGLKGTNEQNIKLWGEPKSIKDISDIFVNF LTGKLDRLPWSDSPITTEAQDIQEDLLKLNQRGFLTINSQPAVNGVKSSHPVYGWGPK NGFVYQKAYLELLVPSNLIDELITRIEKNNDLTYHATNKKGELKTNTRDSPNALTWGI FAGREIIQPTIVETVSFLAWKDEAYLLGEHWSKCHDAASPSRKLIQHVMDDWYLVNIV DNDFHRSNAVFELFNDLEIKDLNVEVAGKPLTNSHAEQNGVAAN PEX2_001380 MSTVPPPSADQDYKSTLLPLLINNNVLSFGTYVLKSGRESPYFF TSSLLHTAPLLRASSAAYASVLSAEPFVTTNADGTAQPNFDIIFGPAYKGIPICASVT NELAVRDSLSGKATWDNVSYSFNRKEAKAHGEGGNIVGAPLKGKRVVIVDDVITAGTA LREAVGIIEKEGGIVAGVVVLLDREERVSDTESKSAIGVAQRDLGGKIPIRSVIGLHD LIEKLGDSIGAGEIQRLKDYRERYGAQ PEX2_001390 MRHGGDVAGLLDTLDYLQGMGIKGLYLAGLVLMNQPWGSDGYSA LDTTLLDQHFGTIQTWRAAITEIHNRGMYVIFDNTVSTMGDLIGFEGYMNTTTPFSIK EHKASWKSDRQYVDFRYGNAYNETCGYPRFWNETGYPVDEYVDYELRGCYDSDFDQYG DIEAFGVYPDWQRELAKFASVQDRLREWVPSVRERLIRHSCMIIASFDIDGFRYDKAT QATVDALGDISGAYRDCARRVGKENFFLPGEITSGNTLGSIFHGRGRQPDMQSQSLEE AFMMTNESDPDYFIRGQNKQATDAAAFHYSVYRSLTRFLGMDGNLAAGYDVPVNWTEA WYQMVLTNDMVNANTGKFDPRHMYGATNQDVFRWPTVEYGIERQLLGLFITTLHMPGI PMLLWGEEQAFYVLDASAANYIYGRQAMSPATAWKNHGCFQLNSTQYFQWPIKSGRLG CHDETVTYDHRDPAHPVRNIIKHMYQMRQDFGALNDGWWIQLLSNQTRNIYLPGSDGV PTETGMWSVLRSPYYQLQDLGEMGNQTVWFVYQNENRAVNYEFDCSDPTAGLIAPFDI NTTVKNLFYPHDELTLQESPVKLALNGSDKYSGCLGSITLQPYEFRAYIPKEKFVSPR PMITKFFPGHDAPLLSAVAPDESESVDISFFFSTEMNCSMVTESISLESTTETGQWPS VDLDSVSCESVEPESTSWTGQIPSTWSWRATMTGVYNGVHKLTVNNATSTDGRATNAI DHFLIRVGQSDNPIIFTSANYSSSLLHGSKDGTLFISQHAAGADKYRYSTNWGSTFTE WLPYEGGNHSIKELPWSGTKKQRWDGKHVRVEYWSRLTGSSDYVQEGDGPDWSPGIRR RFPHFFFNGPFNRYGYDAGLDNIVRQDTDNIWKFRFMAEWPAQGQLNVWGINPDNQPD QSYIYGDSDGDSILDRLPPSSLSVTTINITQHPPNPHLAWKIQLDDSNLQFKLVPTGS KYVQIALFFLLCIVPVITASTCAYMFMKTFYRIKFNQVGVSEKKTLISMDFVNKFKIG HVEDGQSRNPFRRLTSKSNFLQSTSAFGNRTTHRRKVLIATMEYEIDDWAIKIKIGGL GVMAQLMGKQLGHEDLVWVIPCVGGVKYPIDKQADSMFVMILGNSYEIKIQYHQLRNI TYVLLDAPVFRQQSITEPYPARMDDLDSAIYYSAWNQCIAQAMKRFPIDMYHVNDYHG SVAPLYLLPETIPICLSLHNAEFQGLWPMRTQKEKTEVCSVFNLDLDVVTRYVQFGEI FNLLHAGASYLRLHQQGFGAVGVSKKYGKRSYARYPIFWGLRKVGNLPNPDPSDTGEW DRALPKESDINIDNDFEIRRAELKRQAQEWAGLEQRPDADLLVFVGRWSMQKGIDLIA DVLPGVLESQEHVQLICIGPLIDLYGKFAALKLDRMMKLYPGRVCSKPQFTVLPHFIF SGADFALIPSRDEPFGLVAVEFGRKGALGIGARVGGLGQMPGWWYTVESTTTSHLLKQ FKLAIDSALNSKPEVRAMMRARSAKQRFPVAQWVEDLEILQSTSIRVHQKERTKSQSQ STAAGAYNAIYGMMTPQAASTKSGASTPPLQPSSRPGTTGSLQRSSIIYSRDASPGFD GRPRSGLSRQMSHGVRPLSGTIEPRGRSDLGKGGVIEGDDSAGRAYPEAEENSEDEMM ATCYGDDDYSISPEGIDPGRAQTTQPGVALTKEALSAQRASQGSLLARCSNTPSSSTA PSTVGTDDTLVPPSRPWAEPGNRLSNASALSVDSVVGEKKDFKLQKVDPFFTDSNGEY YRVFERRLEDLNGTNSDTQFCIEQYLEKSEKKWFNKFRDARLGLNQESPAGSVHRGKA GASPTGSVTNDDATSHESGERERKEAPPDEFLLGDDYVPPTGLRKWMQMRIGEWPVYS LFLGLGQIIAANSYQITLLTGEVGQTATKLYGIATVYLITSILWWFFFRYCKSVIVLS VPWFLYGTAFLIIGMAHFEPNSHNRGWVQNVGSSIYAAASSSGSIFFALNFGDESGAP VKQWVFRACLIQGTQQAYVIALWYWGSTLTKATNAGIMDVQGSVTNTWRMTAICTPIT IFLWAIGLIVYFGLPNYYRQAPGKVPSFYKSVFRRKIVLWNWVVVILQNFFLSAPYGR NWNFLWVTQHASSWQILLLCIAFFGFVWIAVLFLLARLSKSHSWILPVLACGLGAPRW AQIWWGTSGSGLYLPWAGSYTSGALISRSLWLWLGVLDALQGLGFGMILLQTLTRMHI CFTLLVSQVLGSLATICARAFAPNNIGPGPISPDITAGVGALGNAWFWVALLLQLSIC GGFLLFFRREQLSKP PEX2_001400 MATKGVFDGSTDEDVALGNMGYEQEFKRSFGLLDMVGFSFSIVT CWTALSGVFIIGIQAGGPPVMIFGWIGVCVITMFIALAMAEMCSRWPVAGGQYSWVAM LAPPRISRQLSYITGWFMLAGLLAMGAVNNFVGSNYILGQVNLVFPEFVIERWHTVLV AWAVGLFALAVNVFAPHALNHLSRFILVWNIASFLIVIITLLATNHQKQDTDFVFLEF RNMTGLSASMATIVGILQSFFGMCCYDAPSHMTEEMTHASRDAPKAIILSVIMGAVTG LAFLLTLCFCMGDIEATANSSTGVPVIQIFYDSTRSKAGACILASMITVIVVVASISL VAEGSRSVYAFARDHGLPFSGLFSRVEPRKKVPLYAIFLTVLVQLALNAIYFGTVTGF NTVVSIATTGFYVSYGLVLFARLLGYFFGNKCSFDGPHSLPPPLSLGFSAIGLLFLLF ASITFNFPSDAPVTSESMNYTSAAIGLLTLLSLVTWFTTATNQFAGPSDVRHLVVDGV ESSPTLQSADDVGLGTNKGE PEX2_001410 MSTTTTCLQLEELQKTPTTFHQYLFSESVSDYSNESRSESEPPS PRSEESTQRVLLLKGAREQYALVDDHAIPSVLHEGEILVKVLAIGLNPVDWKGPAYNF GIPSLPWINGRDLAGLVLQVPKGSSRLRVGDVVLVPSTDYRDIRKAAFQEYAIATDFN AARIPSSTSIHASASVGVAFVAAVLALGVSFGIDFSLITKTPGPNLPNIIKKLDRNDV PADIHEECFASLLDSEKPQRGDWVAIWGASTTTGLITLQLAKLAGLRVICVADAARHG AKLVQSGADLLVDRHDIDRAVEIIRGVTGGKLRYAIDIVGRDTATQLEKALNPDGHAH LLGFSGLPKEKNPGVQYHTVPIKLFHTAPTVGEAMVCWLEDLLQSTNLTLPEIVHAEG GLEGINAALETLRSGSVSGKRIVVDLGSSPHEVPQRFPIPTWVMPQHPDRSTSVESKN GGRSEAEPRKSQPISAFELHWSGAVYGYPPETELSRVPLFGSSSADRYRPPPVLPPRP IKFVPFAADRPRPALPLEKLSSDIADASEQKNISVIHIPVPLVSDHIVNEQEDGTCLI YIDRHLVHEVTSPQAFEGLKNASRQVRRPDCTLATVDHNIPTTSRKNFKNAADFIKEN DSRLQCTTLEENVKDFGLTYFGMGDKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHG AFGALAFGIGTSEVEHVLATQTLITRRSKNMRIQVDGELPAGVTSKDVVLHIIGVIGT AGGNGAVIEFCGSVIRGLSMEARMSMCNMSIEGGARAGMIAPDEITFEYLKGRPLAPK YGSAEWNKATSYWSSLKSDVGAKYDSEVFLNGKDIIPTISWGTSPQDVIPITGVVPSP DDFEDENRKASCKRALEYMGLVSGTPMKEVVVDKVFIGSCTNARIEDLRAAAKVVKGR KVASNIKRAMIVPGSGLVKEQAESEGLDKIFTDSGFEWREAGCSMCLGMNPDILSPKE RCASTSNRNFEGRQGAQGRTHLMSPAMAATAAIVGKLADVREHIVASPVLGKASPKID VEPVFESPETEDELDRVLDFPADNEPHANSSAPSSGGGKSTGLPPFTTLKGIAAPLDR ANVDTDAIIPKQFLKTIKRTGLGTALFYELRYTDDKENPDFVLNQGIYRDSKILVVTG PNFGCGSSREHAPWALLDFGIKCIIAPSFADIFFNNTFKNGMLPVVVSDEVALQKIAD EARAGREVEVDLVNQEIKDAQGNKITAFEVEAFRKHCLVNGLDDIGLTLQMESKIRTF ESKRTLDTPWLDGSAYLRRDRRGATMVEAAPVPKTNRGDVKNEPLEW PEX2_001420 MPLLPPSTMSTTTTCLQLEELQKTPTTFHQYLFSESVSDYSNES RSESEPPSPRSEESTQRVLLLKGAREQYALVDDHAIPSVLHEGEILVKVLAIGLNPVD WKGPAYNFGIPSLPWINGRDLAGLVLQVPKGSSRLRVGDVVLVPSTDYRDIRKAAFQE YAIATDFNAARIPSSTSIHASASVGVAFVAAVLALGVSFGIDFSLITKTPGPNLPNII KKLDRNDVPADIHEECFASLLDSEKPQRGDWVAIWGASTTTGLITLQLAKLAGLRVIC VADAARHGAKLVQSGADLLVDRHDIDRAVEIIRGVTGGKLRYAIDIVGRDTATQLEKA LNPDGHAHLLGFSGLPKEKNPGVQYHTVPIKLFHTAPTVGEAMVCWLEDLLQSTNLTL PEIVHAEGGLEGINAALETLRSGSVSGKRIVVDLGSSPQ PEX2_001430 MTNSEKDVAPSDEVNVAGSLNGPHKVKWYRSTFYNAFILGLCNF LAPGIWGAMNSLGGGGEEKPYLVNAANALTFGLMVVSCFFGSVVVRFIGIKWTLIVGT MGYAPYAAGLYTNNRFGEEWLVILGAALCGISAGIFWMAEAAIALSYPEPYNQGRFLG FWLSFRVGGQILGGIINLGINAHRSTSGSISYTVYLIFIALQALGPFAGLLLNNPSQV QRKDGVPVQLQVTNSIGYELKSMSKLWISRKFLFIVPFICQAVYTEAVMFSYEGLWFT VRARALGSFLSGVIALIIGNVLGAFLDTKRISLKKRSRYAFFLVVGWQGMCWVWASVV TTEFNKTHPVYDWTDPGFGRAFTLFLFWVASFQLNYMYLFFLVGNLADDHEEVVRIAG LLRGTESAAQCVSYGLSSVSIMAAVGSVYLNFGLWAIAILPAWLIVKQVGVDFGDKKI ARETPGLREAVDHE PEX2_001440 MHTSSTLSRKETWRLLALVGAGLAILNTTFQGDGAPLVASIALC GIVFAVAFSLIRWLGPVFLKAGLKGKDMAKPSRPEIPETMGAVCAVVYLMSLIMFIPF AFYKDIVAATSGGGNRDVVIESQHIENGRFLHRFPHGKLASYLSGLLSLQSVVILGIG DDLFDIRWRHKVLIPAFSAIPMLIVYFVDFGVTQVVVPVPLQPYLGDVVDLGWLYYMY MAAVAIFCPNAINMLAGINGIEVAQSLVIAIQLLFNDAMYLAPMTPYPHPATDSHLLS IYFLLPFIGVSAALLCHNWYPSKVFVGDTYCYFAGMVFAVVGILGHFSKTLLLLFIPQ IFNFLYSTPQLFKLIPCPRHRLPKFSPSTGLLDASVTEWTSPPSPLIDTALRLLHTLK LVRITQDENGQITESSNLTILNLWLVWMGPMREDQLAWHMVAVQTFCGMLGLFVRHRL ALLVFPHDNREFGFIA PEX2_001450 MGAVERPEITAGFADFDAGHRDLVTVTRFNFYGNRIVTASSDHR MKVWDLKDGQWQLIDTWRAHDAEIRDATWNGPFTGQHIGSVGEDMKLKIWQEDVTQPP NSGRRFKSVFRMTAPQRHPFVSLDFRNIDLESWLAVITRDGYLMVMEPSSPDSLADWQ PVDQFRVCTAPERGEETSFKVQFHHDPADITHTISPDSDRKSLSLIVAAMDTVKIYRT DANRRFYHAIELTGHSGLVRDVSWANGSVRGYDLIASGGKDGFVRIFEVYTMPAGQAP QSSNARKAELRIQSQSQSQSQSQSQSLSPRATSQSGIGSALASRVPASATDRQPSGDS QFRHSFKQVACIDSKHLDVWQVQFSFSGDSLISSGDDGTVRFWKRSLSGEWLEYAETD MASQ PEX2_001460 MAVGPTIGTGLFIGAGQALAVGGPASLLLSYIILSILTLAMTTG MAEVSTHMPSQHGTLVTNGYLYMSSSLAFASAYLRWYTLALFVPYEITSAMVNLGLWK PGATIAIRLVIITTIVVGFNLLPDKLFKSSERVFTAMKIATMATLFVLSLALGLGGVG AQPAWGFKYWKHPGAMNEYLVRGVWGRFLAFCQCLLDGSIAFTFAPELILHQVEMPAS LVPASLGETALLSASIPGRVTSNVAQTALPYILSSLAMGVMAPFNETRLTNNGTGSGF SPYLIGLKDANIQIVPTIAMVAILLSAVASGRSFLYLSSRTLCAMSELGHAPSIFSTR NRWDVPYLAVAASAVFSSLAFVSVKVPSTVMNTYLLRLVTSAGFISSLVSFAIYRHFN ERLRVNGITRRYTSSFQPFGTYFGIVISTILLLSGGLWAVPKGNLVGPRGARLITPYV NIFVFGLLFFLHRFQDFLPVVEIERQVDMSGRGHGDREGSREPRTPKTYPHRGKLDVI PEGSGAFELRLIHSMSTEPS PEX2_001470 MTDTSSLAITHATTDSYPVHAHRGSPAAVDGAASDSGAPDEEPY TIKCICSFDEDDGNTVFCEGCETWQHILCYYPDKRVPDVHNCVDCEPRPLDNRRAHER QRLRRIREKSEDGDRKSRRSGPKSQKRKSNDADVNAFGHRRHDSSSREQPPSKKAKVS RRPSTSMSGISVPPGLSAEPRNRRSSTSAAMSPTSIPGPSIPYYSDEFLSLYDRDDQF VESKSILNYGVDFVNEIVAWLKDPQILARVSDGLSVEDFAKRSEEAMDRSRWPSLTTE TITDSTIEIGGKHPVHKILKTQDPIKKDDIVGEIFGKLGHVKDYKKLDSNRWEELGHA MPFVFFNRQLDLYIDSRQEGNELRYVRRACEANVNLKIYITNGRQYHFCFVAKKPIPA NSEITTMWYFDDSFFMADATVKEEFKDRVVDPKDAAICISTTLANFGGCACGNPQACV LNKLDRRAKPPANKHARSKLKSKGKGKKPKTLGLPVDIGRAGSENTKHLDEHDPAADA RSTSGSARGRHTGSRDLSPSASHQLTLPELSSRERRKIADAEKQFQQLEQLRTGNPKR KKRSSGPLTQSTPATVSSSTQTGYDFKPYHQPGASRSSEMAGQSSRPEVAYVDAQTEL YAGDVERSFLHAERLRRGYRLDMIHPTLMLKIRWCAGHHIAEDWAQRNAWEAGDLSVV PPGVLPWWYGWFPLPIDDRSHIELLKEDSDRMGIPADHGPLAMPPRWSFHQSKYPQPE YNYHGIAIRGMDYDPPARLVQGDVDTRGALSHKLESSAPASSSDLFKLSLPPYWPSTA AHIFRVPGAGNRGNLHVSMPPPRASNLPSALSPGSMSTGSLASPSSHGTASPISLVPS SGSALAATPAKKKLSLGDYLIRRGTMATTPTSEPAPPPAPGPPSGDPRLRTQHFLAIT TVFGDNHQPSRKTEAGGDKNEPSDSLDISMEDAPDATPTKNPSISS PEX2_001480 MNNSNQETLVLNEIDPRRSTDVSEIEQPTLPPADGGKAAWLMLA SCCFIQIPVWGFSTVFGVFQEYYSTHDVLQGSKNDLATVGTTSTGLLYLLSPITFTLL TRYPHLQYYSAPAGLVITVIGSLLSSFSEQVWHLIATQGVMCAIGNGLLFSPSSLYLD QWFLRRKGLALGTMWAAKSIVGVALPFIASACLNRFGSSTTLRAWTVVTLLTTLMALP FLKPRIPISSSSSARRLDLSFLKQATFWMLQTGNIIQSFGYFLPTTFLPSYSTRTVGL SQNTGTMLVSLFNATSIVGGIALGALCDRFSVTNIMLLSSVGSALSVFLFWGMASSSS ADSSQTAIALLTVFSITYGFFAGGFSSTWSGVITQIKRDSSPSLDTGLVFGLLAGGRG IGNVISGPLSTVLLQSGSLGDSSGLSGNTGYESQYGTLILFTGITAFFGAWSWMWRSI SPALRCLR PEX2_001490 MASEAALQDPLLSLRRAIASGNLPTPTTSSELSDQPATDDLAKA THLYFAQPTPQTIALSTLTRFISASTKSPVDLRSILFAWQNKDVAIPEYIAKAQEFNE ALKQQTKEGEKEESVQILIFLERLDLFTWLEGASDDSEHIKPLEGAAAAAEAAATAAG VAQADNAAGIAAGAVGGVTTVPSGTTGAAQAGTQLGRPQKQIDPRLQEIYNGERKTGD RNTVLRGIKPTDFSHVRKSAELFLDRNRSRPGQPSAKPGSKGTIPAPSAGLSMPSSRK SSSSHSRPDPIILISPSASSLIRMSNVKSFLEEGIFVPPDHPTLSSATDANLVKLERP LRLNGDPSNPSASSSSRAGGKPPRPTKFIVVDGTTNFKPEYWNRLVAVFTTGQTWQFK SYKWSSPPELFKHATGVYVGWRGEDPPTQVKGWGRGVETYAVERWDEKNGVHGGGRWR DREVVEGIWTAIEEGMRLRGWGTK PEX2_001500 MPTQTGSHTREDSLPSVPDSDFGGTISRNRRSFAALAQITSNAL ATLSGSTLRSSTSYGSLSRSHKLSATPVTPPFDSDAYVQLTGTSRPSSPALGDNPPNS LPKRRLTIQRLPTPPHETRPSPPPAPKMHQTSSRLLRMTEDERPFTKDFMDLFSTLMV SLKLDSHRVRFTRYDHTFTSEEAINNLGSLKFSQSNRMPDPKDPSRIVTTTTTTTFSM AKEMARSVCQRFVDARFIESVDGKALLIFPLKGALFQLTPKGINILQRFCQRNGITAR HVMDVLESPRNTMQLVNLERDTDTDKLSHDRATIEVIFRRFAGQDGPNIKSSISTSDS DSLSDYTNGLVGVKVARERKLLDGKIYSNTFTGKASVDWLMDCSTTIERRETCLISEL FLKYGLITMIQDDKQYPDVGTNAHFQPSKYAIYGITERGQRVCGWIAREKTREVTTTY DSRGMPRDSNNARLTHILQDPALRLLFREFLRYSLCEENLSFYLDVSEFTTNYHKAEK MGTFNKVDSVRETLAAAYGLYNAFLAPGSPCELNIDHALRNSLASRMTKAVGDDESMF KSLQEVVHLFELAQTSVFKLMSSDSVPKFLRDPKYSVVLQEHDVDILGGPRSYSPTPA GPPALPERSMSRSARA PEX2_001510 MLGEYGTLSAKSTYNYTNTDGWVYHDRFSKYHVTLVIDIILAEN SLRSTVQREDALINKIRAAKKLTGVDDNDVKLGPLEEELKELKKTRCHRVRDLYEEES ILLGISGKSSSKIVLAEEVAVAGTVDAAPDVDYPLKMGEASGIVPLNTLRSHNPAFVL RIANAYFSKPLVWKLDTKNK PEX2_001520 MAPASKRRRVSKDVDGDIEMGNDNQDVFSVPSSPDNHRTSPTPV KSASRRRSTRGDDADPETTGQDGEDKKEEAQTPARVGTRSSGRQRKTPKRYEEEAVTP SSRRKPAATPRTARATRSAQKPQRSPVEDEDEPEEPEFEEEEDDDDDDDDMDLDEPSP EPARRTITRTRSKRSVAKPRTKKAVAAEPKEKSPSPEYQDGLDDIVTMQLQQDLYHDQ PEAHETIDISEPLPEYAGNLQALCQTGLGDEMRILSTIVLEKLSGKRQIPVRGLEPEY QKVHQLIEQTVSIGEGNSMLLLGSRGCGKTAIVESIISSLRKEHSNDFHVVRLNGFLH TDDRLALREMWRQLGREMHTEDDAAKVNSYADTMATLLALLSHPEELFGASGDPGSKT AAKSIVILLDEFDLFVTHPRQTLLYNLFDIAQARKAPIAVIGLTTKVDVTEMLEKRVK SRFSHRYTYVPLPRSFEAFSDICLGSLDLSDDEMVDIADELGSERTLVESDKWNTLLG GWKEYLKHMWNDKDFEFHLKRIYNQTKSAKEFFNSALLPISDLLQSVSAPDTPIPEVP TPKSFASQSLDCPDPAPLPFSTSITSSSPSSLPLALLVAATRLAALFDPGNDGSQSQS MSPLALSFPAAYAEYVRLLTSAKISASVSGAAATPGRVWGRDVARESWEKLLSWGLVT PVGSGNGTADGQMFRVEISFEEVIEMAGSGGALGQWWRQ PEX2_001530 MSLIGRGNTALNTNPVTGVDEALSVHGSDWLWAVTAIYVLSFIV LLVLSFAAKESERVFHYLFTFALLVGGITYYAQASNLGWSAVDQIDNLGNGVVRQMFY AKYINWVVAFPSLVLGLGLISGVSWTTIVCNIAIAWYWVISYLVSAYTTTGYKWGFFA FGTFGWVILAMSTINESREAVALLGVERDYLVLAVWLNLLWVLYPIAFGLTDGGNVIG VTGSFIFFGVLDVLMVPVLSFAVLFFARNWDYRKLNIAFSDSRPSRESVDVCKEAPTA SADVSMAS PEX2_001540 MPFNTDTEAMSLSMTRGRQRQRPGPACDECRRRKLRCDGQQPQC GVCQETETVCEVTPRGARGPKKGHLKALKSRIEQLEAMLESGLSAQQEQEDLQIQNNA QSSSEGTIAASPVDAIGPATFNPTEPWQPTAAPSVPEPAAFGFLSDSASLSHGLPSSS ALSIKVSIPLTDVLRAELDQLYLDRVHQSIPILHQRRYLSWSKSTTKSASRTCLQYAM WALASLMSTQFRDMIEPLYEKTKEMLEHLSLEANEKNNLTTELAQAWVLVVIFESMRT YHQQAWMSVGRAFRLVQAMRYHEIDSPHGRKGSFSSQMSDFTEIEERRRVFWMAYFLD HVISIRDDWPITLNEHVRNPLDHFQICTRLPAPDAEFQAGQHQLGPFLSEAMTDPDLK VRSPFNECLILVTICGRSLLQNQRYHISKAYGGMAIDYMEQRLWLENLLTTRLQVLSQ CYPTPTDVYEPLLLFASVLGQATVIYFCKTIDSTIIPDGELQGNIDLFGPQNRALEAS TNIIRLASTLRDLPFAKVGLLL PEX2_001550 MVAFNPERDITDLSGKVILVTGGNNGLGKETIIQLAKHNPSKIY MASRSEERANTAIAEITKTVPRANIIFLPLDLASLASVRKAADIFLSSNNQLDILVNN AGVMTTEPGLTKDGYEVNFGTNHMGPALLTKLLLPVLQSTRAGGSDVRIVNLSSALYQ AAPKPGILFAQNKTPLADLSTLARYGQSKLANAYFTTSFAKRYPKIKSVAIHPGVVRT SLTDVTKGSSFFLSLLVRVSNLMSVTIEEGAWNQLWASTASSVVNGAFYFPVGKETHA KVLDDKKQAEALWEWTEAELKAHGY PEX2_001560 MSVNPFRPFEGPPIYQEEYRGNYAPKVIEKEITGLQVVAPDTPY VAATGTHSLYFIDTRFDPKTAQHIKEQIENATMADLVERIDIDEILITAARRNPTTGK TTFVFDPVYVRVLFAKGINRCNPEIKLPEYPFAGDWVVNYDLGDGVKVPKGVVIRNGV VIRS PEX2_001570 MSTKAITLPSRKLDEFEELVYDYTARVGVGSEGRVPALTLLSIK HWDIDARNCNLRFESATRGPPATVSFLFKVTPALSNFMGNLHGGCAATLIDVLSTTIL LGVSEPGKFAFGGVSRNLKVTYLRPVPTGTEARLVCEVIHVGKRLALLRAEIQRAENG DICVIGEHEKANTDPEVTQRI PEX2_001580 MDEIAPEYDVVVLGTGLTECVLSGVLSVKGNKVLHIDRNDHYGG EAASVNIEALFKRYGNVSPGEEPWKKYGRVNDWNIDLVPKLLMSNGELTNILVSTDVT RYLEFKQIAGSYVQQGKGPKATVAKVPSDAGEALRSSLMGLFEKRRAKKFLEWVGDFK EDDPASHQGLNVHACTMKEVYDKFGLEDNTRDFVGHSMALYPSDEYITQNGAAVETIN RIRLYVNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTDVDEVLYENGKV SGIKATMKDRDDQSEAMKFETKTKKIIADPSYFPNKSKVTGYLLKAICILNHPIDKTD SSDSVQLIIPQSQVGRKHDIYIAMVSSAHNVCPKGYYVAIVSTIAENDANPHLELEAG FERLGKVEEKFMGPPIPLYEPIDNGEESNIFISKSYDATSHFETTTDDVRDVYRRATG EELKVEGLREDQQLATE PEX2_001590 MQQVPRIASRLRGLAMAELPPTYLAPSLHPSVTLSAIQSSSFSS TASVGANPRRDKSKNRGVSAINRTGPRTPFAVSRWPLPKPVSPKDMQPRETNPNHGLW AFFPPNREALPTPSYDNTHGRQWGIQELRERSWEDLHGLWHVCVRERNRIATSDFERE RIQAGYGGFESQERDKVIRSTMKNIKHVLRERWYAWEDASRMYKRGYRPENFYGLDDV EVEQQANEAAQGKEQ PEX2_001600 MGTMGSFAQSLGGSQSAAPLDLSEFPSLSGASQQSQSQTPGQLM WANASQRAAQQTPVQRQQLPQPSQTPSRPSQPQGLPTPQQSQPSHDDMFPSGSQFANR LDDFRNGGQGISSQLGAGGQPQPGNIEEFPPLGRNAAAELPLGRTGSLMQGAGFGGYG TGLGAPRSPVSQVPNGILGQEKEDLNNGVLPGQRNFSDPQQLQQRQPENNDGQDVSNA PQSTEQPPLAQMSELDRFGLAGLLRMIHSESPDVASLAVGQDLMTLGLDLNQAEPLHT SFASPFVSSMSAVPLEQDFSLPGCYNVANIQPLQSRIPGFSDETLFFIFYSMPRDIMQ ELVAEELMGRKWRYHKLERCWLTRDETYPGPVDVERGVTERGVYLLWDSSAWKKVRRE FILRYEDLDNRLDPSRGAARPPGVVHHAS PEX2_001610 MSDKLELEALFKSESYASSFKRGEMVTRPFAEMLVDQSKVAAES KANPDRPLVILDNACGTGVISSSLNDKLDDTVKKTWKLTCGDISSAMIEYTTHRIEEE GWQNAETKIVDAQKPDLASAQFSHIFTAFAYMALPESITALDETVRMLQPGGTIAFST WIEPGWIGVARRAIERMPGNLPFPEAQQLMAVMTEGEWNSKLWIESQLEGRGFQDIDV RPTTIKLTLTCPVFLEMTMLVLPMMMKSFWTEEQREEHKDKVGPALEKYLEDTYENGN IHTDWVAILSTARKSC PEX2_001620 MRITEIVIDGFKSYAVRTVISGWDEAFNSITGLNGSGKSNILDA ICFVLGITNMTTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDTAKSPIGFEEYANIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKSVE ILSMIEEAAGTRMFEDRREKANRTMGKKELKLREIEELLKEEIEPKLEKLRSEKRAFL DFQQTQNDLERLTRLVVAHDYVRGGERLRIAGEECENKRNKAQALGDNANKLKNEIAH LEEDVKRVRAARDKELRKGGKFQGLEDEVKNYSHELVRLTTVFDLKNASMDEEKEKRQ TIQNTVTDLEKVLKEKRKIYEKLQAQYDTAKAELDAQNVEVEQKEELLQTLQTGVASK EGQESGYQGQLQDARNRASNAATEQEQGKLKINHLEKRIKEEEPRAKKAKEQNLGLLR DLEGLKSQANKLESELTRLGFEPGKEEQIYQEQTELQRDIRDLRQRADGLKRQAANID FNYVDPHPNFDRSKVKGLVAQLFTLNKDQVPAATALEICAGGRLYNVVVDSAETGTQL LQKGKLRKRVTIIPLNKISAFKASVEKIGAAQNLAPGKVDLALSLVGYDEEVLAAMNY VFGNTLICQDADTAKKVTFDPSVRMKSVTLEGDVYDPSGTLSGGSSPNSSGVLVTLQK LNDITKELRSKERQLATLEDHMKREKKKLDSVRSIKQNLDLKNHEIKLTEEQISSNSS SSIIQAVEEMRANIEQLKKDIIDAKSRQAEASKDIKRIEKDMSEFSNNKDSKLEELQT TLDKLKKSFTKISSSAKELQKELQTSRLDSEQVGSDLSAAEEQLVESDNTLSAQLEEI ESQKREQARLKDAHDIAQAHLDDERAKLTGFDEELRELEQAMKNKSSQITEDGLEAQK LGHQLEKLQKDQHTASQAVAHMEQEHEWIADEKENFGRANTPYNFQNQNIAECKSTLR NLTERSQGMKKKINPKVMNMIDSVEKKEAALKNMMKTVTRDKRKIEETIMNLNEYKKE ALHKTWVKVNGDFGQIFNELLPGSFAKLDPPEGKDITDGLEVKVSLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFRTRFSEELDVLSFSVPLRSDTVTGDPERLTQLGLRQHKMTDEEPS LNIPSLLTLAVVSYFVLRWFFNRDESSAGGSRGRGRGNVVDPAQVEQIAQMFPQLSTR DIMWDLQRNGGSVAATTERVLTGRGLETPPPSFQPQVAVPPTNIPPTQTATAAASVPK VNAQDLISRYNLSAKAESPAADSQPETPSKSSWSQNKEERQRILQKRRDDMILAARRK MMQKNQGDVQ PEX2_001630 MAFPLPRGVTASEIAFLAEMETVTIVPRQRLEGLELLGGPIEPL VPPRRASLPLWLALLLKRQRRANIIPPTWLHPEPLALILEVESQHQDYKNAFSPPPPL PGQPSILDRDTLPSARPQYTPDGNRYYAAPPFLPQNTAQTTTSSRDPPSLPFHWVEVG NLLLDAASDDLVDPDQIRRLLKDLREVRMAKMRSGVDVLDAAATGGGGVALTGVGSME LGEERGFVTGVVDGLRRIGSSKEQARREQMAEQRANGGYDGTQDDEEEDYMEF PEX2_001640 MSSFKSRRDDGFETFKRFGTFASVELRNLRLGPVDDYLDSMANP PESFRSRSARWLVPFFLILIPLWVSLVNKAVPEPYLDEVFHVPQAQAYWAHKWTQWDP KLTTPPGLYLCSYIVFALVLLLRGSPTKLTPEVLRMTNVGATTVVFPWRLQKLLDTLQ KTTNTRPLGANVSHTVLNICLFPPLFFFSGLYYTDVLALLVVVEAYNWDLKRDAERDQ KSGSGKDTKKAPRNEILETLGFLAFALAALAFRQTNIFWVSVFLGGLQVVRKIRKSAS PCMSSKVTTIVKQGLQNEVYDPLISEASLEDYLKTAISLATVGLKQPFSLLVSLIPHI LVLAAFGAFVLWNNGVVLGHKEFHTAGIHLAQMLYIWPYFTFFSWPLFVIPLINILAF KPLPKYLNLGFQAKQRKYPKLKAALIVIPLMLAVVHFNTIVHPFTLADNRHYVFYVFR ILLLHPAVKYAAVPIYFLCGWVVISAFGFTTIQRPPKTLRVQKSTPAAPPAPAPVPAP APTPAVAAQEPKPTSSYVRKPLEAHQQPPKGTKKPIRKPKKADPPAETKKTGSLAETK KTESLAETKKTSQTEPPAEVTDPNDPAVLARVQEHISARQRDILEAPRVSFVLIWLAA TSLSLITAPLVEPRYFIIPWVMWRVHLPPLPCLLRDRETRPRTEEAKRRADLVINLPK FVETAWFLIINLITGYVFLYKGFEWPQEPGKIQRFMW PEX2_001650 MEASFQSAIDAGKINGAVICATDAEGHFVYNKALGERTLLSGEK KPQQLDDVLYLASATKLIATIAALQCVEDGLLTLDGDLSSIAPELAAKHVLTGFAEDG SPKLDPPARPITLKMLLTHSSGTCYFFLNPMIAKWRTQFANPENEDPRSVEELFNYPL SFQPGAGWMYGPGLDWAGRVVERVTGGTLLEFMQKRIFDPLGISDAQFYPVTREDLRA RLIDLNPSDPGALGSAVLGGGGDMNKRGRGDFGGHGLFIPGLDFVKVLRSLLANDGKL LNAATVDNMFQQHLGPEAAASHKAALGGPIGPSFRVGTDPESKVGHGLGGLLTLEDVD GWYGERTLTWGGGLTLAWFIDRKNNLAGVGAIQAALPVDGDLVAELKQTFRHDIYHKY TSWKGEQ PEX2_001660 MAQQMSPGQHGSECIHDNAQSHLPPYVDCDSAGFRNNRSNLTLK FSSDFEKAQKAMEFCSDVCAVYFPADLDVVFNSEIENELAVDICEWRFFPCFSESLKR LAISMSRLSSLQIDLSYERRTLLHHHLVWIFLMDEVCERLPLLGLHDTMERKYLENLK NITMDLPIEDLNQYKGICPDDLLQVALDVQRILAEDLMPLKRALLEESHVQKCSETLC LFFDNQYEEGKIFFKRPTTHQIMSTRGYTIGTNMVFLLFLQTPMVDLYNADDPGLVQL SILVALFHDFIGLQKDLDSLKQDCDGSVGLNLVRVSMQESGHDEKEALQTVVRRLNSY CHGLEFFMSAYTPLCKQLYQEILKFVFALYDYHLLGATESSNSRYGWHRVSDYKA PEX2_001670 MSSMITTTTWVKRGVAAQFPTKYEIDEAEMGRISKLARMQLEDA KDDMNAAQEGSGDEADDAMEDDTEEKPSANGTDAKSDDDVLKEFDMDNYDKEEIDEDG EKVTMFGNVQSLAYHQPNEEDPYLVIPEDEDDEEREELQIMPHDNLLLAGKVEDEVAH LEVYVYEDKADNLYVHHDIMLPGIPLCTEWLDIPVGNNPEGRTQGNFVAIGTMEPDIE IWDLDVVDCMYPNAILGQGGQDADKPKKKQKKKAKANDEYHIDAVLALAANRQHRNLL ASASADKTVKLWDLNTATCAKSYANHKDKVCSLDWHPTESTILLSGSYDRTVVAADMR APDAQARWGVDADVECVRWDSHDTNFFYVTTDGGMVYRYDMRNVPATPAESKPVWSLQ AHDSSVSSFDINRSIPGFLVTGSTDKTVKLWSVENDKPTLVVTRKLEVGKVFSTTFAP DQEVSFRLAVAGSKGNVQIWDASTNGAVRRAFVSRLPDLAGEVQERTVGINADDDESD DDEEEEGDEGEPRGGDGWESMDED PEX2_001680 MHSHLHTSYNVNCEEIMTALDECHAKGFLHKVIGSCNDIKVEVN KCLSAERFERAKRNRDEARSNRRRVEEVWAKERELEQGPVAAAAAAANVAAANAAKQ PEX2_001690 MRAKRSKKYRKLMHQYELTFGFREAYQVLVDSNFLRATDSFKME LIPALERTVQGKVKPLLTKCSLAAIMAAQPINPKTEKPYRPLFLPPPTELPLRHCSHN ADSTPIDEIECLLSLLSPNADSKKNKEHYILACADPILRKTNNSENQPRRRKTEEDRK EEEAMRRSHALRSAARSIPGVPIIYVKRSVMVLEPMSGPSEMVRDGHERGKFRAGLDV DPMLGKRKRDGEADGESDAEAEPKKKRGPKVKAPNPLSVKKAKKKVDAPAPKKDKAVR KEVDVADATEQHDGDEAAAAKPKRKRRHAKSGPREDFEEAAEPNEAMEVDA PEX2_001700 MVTKAASVSHSLSSSWTSISKWIANISINQAPIQGARLRQPSTA RPYHAAVWPSYGITTPVPDQLFASPKSPFRFETGYALCAKRPPRPFPPPFLSPPSSSF SDPLTTHGLSQDKRLSVKGELVRGLNNGDDAIIVAENFIGVDDGVGAWATKPRGHAAL LLHFWALQVERNVDHRKSTLDPVGYLQYAYEETLRATTSPTEWLGTTTSATAILHWTK EQDGTQKPLLYVTNLGDCKILVIRPSEKKVLFRTAEQWHWFDCPMQLGTNSIDTPQKD AVLSKIAVQEDDVVLALSDGVMDNLWEHEVLKVVMDSVDNWEEARAAPKDIAPHSPLS DERNVYVARELLNAALSIARDPFAESPFMEKAVDEGLAIEGGKMDDISVVVASCKKSD G PEX2_001710 MINVRDADNCMIFIFSEILRSVRYSRRRRPVKSSRPSRPLSVSR IFLFSVHSTGLSQQLFSTIFSYSVSSFFSLFMP PEX2_001720 MAPKTGLWGAALSKLRKTQLQPARQTTRETETPQELPQDKLVYP RFQRPVSDETPIYSELMFPPTPLPTVPMASDSNLNPLAMASTISQARLNALHSGKDLE FYFQRGKNCIDAYAQATKALMEDACARVKDGEDPPYEEIEQLKERFFRYCQSIVEEAL SLISGGATNHPRQVSNSTMPSMVSSISTKTGSEPKTPLEFGLFDDRSGFAEALLDASG QGRLRLYTVSSDDWVMVPSMPSPTSPAQVVAPEHMDPVEESIHLGVIVRIFGKLYAIS KMVETITLYYGAEPDNSKTGLTYWIQRSEENVRTQKMTVLIDTVENLYYALYARIMIE LAAIELCGSYRIDTSAFRIEIPYLMPEADHLYRIVLAFKTVLNSPDICAIRRTDVIAH FQRGFINQLPKEKKGQDELPDFDPLGYRKFALKTVSYRHGSYCEKWKGFIPFFDSIPA KTMATLSEKYLKLTPVVIPPDNIPFVDLPWIHLGHVGKAVWGKEVHNDFNLFRATQEL GTTIGEERTKGIDPDLYTLARKRQCICSSICGCSWECTQDVQRPCPCAERHVRILLTK RALAKRWEGPGFAITASTIARMFFDGLAQLKRDVEATDFANELSHAFELFSLLISRER GVVFREDFPESF PEX2_001730 MTITGNLTTATHLHCIPSIALPVMLLLDYQNALIQSLLTERFSG APPASIDQVVSDFDGVTFHLSTPESKTKILISINVKCYKELVQYGAQEVLEREYGPYI VSPEPGYDFSVQIDLENLPAEEEARNDLIMKLALLKRNAMAAPFEKAFDEFNKLAEEA SRYTSESAPQGIQEGGEVMAIHYREEEAIYIKANWDRVTVIFSTVFREETDRIFGKVF LQEFVDARRRVLTLQNAPQVLFRNDPPLELANVPGLNATGGEVSYVTFVLFPRHLTPQ RRYENISHIQTFRDYFHYHIKASKAYIHTRMRKRTADFLQVLNRARPENEERERKTAS GRTFRVQG PEX2_001740 MVKSYFKFEHSNTFGLVASASSNAVWAKDDQIGIARQTGAGRAI VGASEEVLCWDVKKGELLGRWRDSACRAQVSVVTQSMTDEDIFAVGYEDGSIRLWDSR TATVIISFNGHKSAVTKLAFDNAGVRLASGSKDTDIILWDLITETGLFRLRGHTDQIT SLHFLFPSPELLTASGLNEHPGFLLTTGKDALIKVWDLASQHCIETHVAQSNGECWSL GLSPDQGGCITAGNDGELRVWSIDEAAMMEISKEKVGADGRRILTERGTFYRHGKDRT LGIRFHPRSDYVGFHGSDKSVEVWRIRSQSEVQKSMARKKKRRKEKEARTEENGAEED NDKPEDVSAAPVSEVFVQHVIVRTGGKVRSFDWMTNKSSGLNLLAATTNNQLEAYNIV PANKKNTDSEDPDYTRSLAVDIPGHRTDIRSIALSSDDRMLASASNGTLKIWNVRTES CLRTLECGYSLCSAFLPGDKIVVVGNKNGELEVFDIASSTLLDTIKAHDGPVWSLHVH PDGKSMVSGSADKTAKFWNFQVVQEEIPGTKRTTPRLKLAHTRTLKVNDDILNLRFSP DARLLAVSLLDNTVKVFFVDSLKLFLNLYGHKLPVLSMDISHDSKLIVTCSADKTVRL WGLDFGDCHKAFLAHEDSIMAVAFVPHNKDGNGHNFFSASKDRIIKYWDGDKFEQIQR LVGHHGEIWALAMSHTGDFIVSASHDKSIRIWQQTDEPLFLEEEREKEMEEAYDSTLT ASLEQEEDGEDGEKAEAVDAGKQTTGTLMAGEKIMEALELGLEDLEVVRDWHKVKAAN PNAAAPDRNPVYLALNNVSAEQHVLNTVQKIPAAALQDALLVLPFSKLPALFTFLNIW ADREWNVPLTCRVLFFILKTHHRQIVASKMMRPMLDSIRVSLRRVLARQKDEMGFNLS ALQFIGNQIREHSTTDYVDEDTWEEQQTSKGTGKKRQFVSVA PEX2_001750 MRELDPLISEYRHEKKRPREAEALLILRKVASLVKPIMRQRAWR VGALCEFYPQQRNLLGLNVNSGQKICLRLRYPSDQRQFLPIEEVLDTMLHELAHNVIG PHNQQFHALWNQLRDEHEELARKGYTGEGFLSQGKRLGGQRIPLDEARRQARAAAEQR RVLAKNSGKKLGGTRVLRGTDIRKLRADAAQRRIEVTQGCASGTDRSTELAEEASHGF RTQAEEDDANERAIMEAFIELIQEEEREKYESSYVPPSQENPAGPRTKSSPPPTASKI PPAIPETLPALPKAAPQSNRNETVDLTADNSSYDTPWICPMCTLENPSTFLCCDVCAA ERPPPSNTLSTSTSSRNPTQPLRSESRNSKKRPLTLDQKEEKKANPGDAFLFKNRTRA LDTIKSLDRGADKKPLGWVCISCSSFMETQWWTCSCCGTMKPSS PEX2_001760 MTSDDQFFFDYLASIPHDVRRYSLEVADSIDRSVDYAAHAIKDT LAQQSWLPPTVRPARATPRMRSSQGLTDRIQSWMTRNRAWTAAMLAFIGTGVVLYYGN KKLHGKRRKARRASNGARKEIVVVAGSPHEPMTRAIAMDLERRGYIVYVTVSSADEEQ IVKSENRVDIKALWLDLTTTSSSPSEIHPSLQELRSLITQPQSPVAGVPPHTCQLSGL IVVPSPNYIAGPVATIPPSSWADMVNTRILSPILTVQAFLPLLTLRSNTSTIVFANPS ISSSLSAPFAGPEVATTRAISGFAASLRQELRLLEQSNVDVVELQLGNIDLGPTYRSA QSQIAGTEVLAWSTQQRALYASQYLSSVEQRPVASVGPSIVRGSPARNLHYAILDALE PTSRDIFGRKVSKKPVMYVGRGARSYSLIGAWVPSGLVALMMGYRRGNGMNSETPSGS SSETSWERV PEX2_001770 MPRLSLTSLFGADPSTDDKHHFETSWILPPAILAGLRGLISLYI FTTIFVFWGWFGTHDERALIGQSFSYFTWLTYWGIGFYMLFAAIHTACYARTGHSVLF DRLPRAFRVLHSLLYVTITTYPLIVTVIFWALIFTPPWFTETFSAWQNISQHGLNSAY ALLEILLTTTAPHPFIALPFLILMLLFYLCVAYITHAAQGWYPYTFLDVGDHGQKSGR VTGYCFAVLAAALVFFFVSWALIHLRRRLTHGKIKRARRDPLCAQDAFVGACVDEQSD EVKDVPV PEX2_001780 MNRLPPELLHQICLSLHADGLDSRTTLSIFSRLNHTCYGIAAPL VYQHLSVIFWDRQTLRETVSELTEEGLGRQFVKYARKLSIICLDPYTGNSPRARSGQL EGYKLGLSRDVEGDLAAKNTFLESHLTDPSLCRAAAFDLLTSWSSYRKSQRDWDPLAT LIARLYHLGQIDFLVQDNFPISLQEAISQHHPNCLLNLWSSQNVSTSVPGLKKLANPQ LWEQIADDNPVIDVNLLRLQGLHTFAVQIPGKSDGNSGRIELDEMLPFLFLAPNLKHL LLEDPTRHRLPMDMLKEEWHKFAVTMQPVPISSLQSISIGRPGPYEDILLKLANIVDL SQLRSLQINATHDTAVLTRVPALFPNLERLFISTNGYGWGRPSLSTDDDIGIAAIRAF NPLKYLYLDGFRSVSSLNQILQRHGLSLKGLIVIPCIQPMNRPDEAHFRYNYPELDAF DISQLAKSCPQLEELRIPIKRSMGSQEECEMYKALGNFSSLRSLVLDLHFDPRSRPVY RIEQVEASVLQEIFVNATMDEKLALQIWHLISSNQASRRLQHLRVAPFGHESLPRDEI RLLDWCSPTKEVKGEIDKVIIYLGI PEX2_001790 MDMRGKLSECRSVSHDTLRSGSPRVAHFDGEVPPALSPLDAFAA QSRYLARQLDESRRGDRRMSRLPPASVARSLSQGRPNFYRSKSSTEPRTELTRQPTQK GHPEIEDPKYRPISEHPRLSSISHASTEASYYEEDDDDDEATPRTTMLNTGLEEYGMT RAESPEEFPLHANEVDDEPVGVAVAEPQGSSIDSASRLDIPRTLAPPVSPRSRPSSSA KTTHPESSDDDYSSSNGGSTFSKPRKLSTGSAVSLPYSPMSTFPARPHPRSPSMSSET SGTGTNYLPRPSFNFSRPLSRSSTTLSAPGASTPTEPILASGSNQMYRRSKPTPLLLQ QISTEDVAAMAAIDGEPSSAVSSYTYAKYALPRGRFLSRDSVVFAGLQTPHFEWEEPL FEKTPPRHSEEPPRRTRTPSPTPHRQYEHSPKPHSMHEVPAPKPQSPTPIPTPKFQPQ SPPQSPPQPQPQPQSQPQLQPQTPKSQPFPQPPPIPDSPVEPELRPSVESTRQATNDK DETVSSADSASTMRPQTATAPVTASGLTADDHVAKGIECHERGSLSESTYHLRVAAKQ DHPTGMLLYALACRHGWGMRSNEKEGVRWLRKAVDSVGLEMLADPDAPGASKAKELQK AYRAQFALSIYELGVSYLNGWGIEQDKSLALRCFEIAGQWGDVDAMAEAGFCYAQGVG CKKDLKKAARFYRMAEAKGMSMVGNSWIYKDKYNETESTGRSRGRNASGEKDKDKDKH KKPRSKSRSRSIFQRKKSNVSEA PEX2_001800 MSTAKPSFVEEESHINAAPTKRSFGGRLAAHFKKWWWVHLIIFI ACFLIILLPVVYVAYPKIAQSAVNDSTLDITEMILSNPTPESFHLEQRQVLGSKSSYH PQIYAFDSEVSLAGEAPFAHVTVPAVKSKDGAVIHFEQNVALTNATAFADYTTAVMLN EEVSLNIYGRPGLKQGGLPKTTVTYNKTVVMKGLNKLNGFTVAKFFIMFPPVNGYGMN GTVIIPNASVLTIPLGNITLNLELNGTSVGTTYLNNLTLKPGNNTVPMIGKVDQAAII TLLTSKSNPYKDGIIPFDITGNATSTYDGKNLPYFSKALAANKLSIKLDVLSALKEAG VNLTL PEX2_001810 MFPRCFRDYIVQSFGHRIISVSQLSLLIDRNRFLHRQLWNIPTI WTDCLDRIYNHHHDIQVESP PEX2_001820 MTVIQISDAVFEAMKDQVVFITGGSSGIGKATAELCLKHGANVI IGDMNPLPSDLEISEKLKFIKLDVSSWESQRDAFIQIEERFGRLDHVFANAGVGPTID FLDETLDKNDHLTPPDLRTINVNLLGVLYTVRLATYYIQKNSAHRLSGELGSIVVTAS GASFQNFSAGDYTIAKHGVLGLIRGIGHQLEGKVRLNAVAPSWTATAMIPVAFIEELG VTVQGPEVVARNAALLFCDQQRHRDVIYSWDGNYLEVNNAEGGFLAAASGILGNSANE EWVMRKMVEENALG PEX2_001830 MARQLEYANEPIAVVGSACRFPGDASSPSKLWELLRQPHDVISD IPPSRFNTDGFYHEDALHHGATNVRQSYILKEDHRHFDAQFFGVKPVEANSIDPQQRL LMETAYEALEAAGIPMEKIQGSNTGVYVGLMTGDYADLLGRDIDNFPTYFASGTARSI ISNRISYFFDLHGPSMTIDTACSSSLYAIHQAVQSLRSGESGSAIVAGSNLLLGPEQY VAESKLKMLSPNSRSRMWDKDADGYARGDGVGVLVLKTLSQALADHDNIECIVRETGV NQDGRTKGITMPNPVAQQELINATYQKAGLDLSKPADRPQYFEAHGTGTPAGDPMEAE AISTAFFGPNIGFKRAATDAPLYVGSIKTVVGHTEGTAGIAGVMKASLALQNSTIPPN LLFNELSPRVKPFYTDLRIAQEAQQWPEVQTGDLRRASVNSFGFGGANAHAILENFVP DPAQALLKNVEESTGPFMAPFNFSAGTEKSLANLLSTYSSFIKENPTISLRDISWTLN TRRSTLPFRVSISALTVEDLAKKLEDVSQNTMDFMTVSSSQATSEGKPRLLGVFTGQG AQWATMGADLLRSSPLFSECIDQLQSALNTLPAEHVPEWTIREELLKDKDSSRIGQAA LSQPLCTAVQVALVTLLRAAKIDLAAVVGHSSGEIAAAHAAGYLSAEDSIRIAYYRGF FLKLAGSEDGAQGGMMAIGTSHEDAEELCELPSLEGRICIAARNSPNSLTLSGDLDAI EEVKDILEDEQKFARLLKVDKAYHSHHMKPCFEPYVAALKTCDIKILTRSDDANLPVW ISSVVGENIESIDLSELQGKYWGDNMCQAVLFSQAVEYAIGAEGPFDMGIEVGPHPAL KGPATSTIKEISGQDIPYIGTLARGVNAIEAIADSFGSLWKSLGPAVVNFTAYDEAVY GSKVGPQLLKGLPTYPWDHDRIYWHESRYSKAFRGRSQAPHELLGTMCPDGINNEVRF KNYLTPREIPWLVHHQIQGQIVFPAAGYISAVVEAAVKLYPAESIQMLDFIDFIIGQA ITLEENGGVDTILSLKVVEESPGVDQLVFAYYSDSGKDSGAMVKNASGKLRVVRGAAS KETLPSPYVREGMYLDLEWERFYMATSELGFGYTGPFQALSNTSRRLGEALGTLGVPE AEGKNAPSLIIHPGTLDCAIQSIMLAFSYPGDGRLRTIYLPTKVDRLRINLAYCREST LQYGAQLPFYSSMADNGGADLSGDVEVYSVDSSHTIIQLQGLHATPLDKSNSENDANI FSELTWGPEIPTGTNVTWEGDEYADDLDLSFLMEKVAYFYLRQIDAEFPKGKREGFEW HHDRLFDYVDHCLEYVASGTHPYAKKEWINDKREEILKIIDSHPDSIDLRIMKAVGEN MPTAIRGDMNILEAMMHGNMLNDFYAYALGMNTYLEDMARIVGQFSHRFPHMNILEIG SGTGGATDMVLKRLNGAFSSYTYTDISSGFFEKAEEKFAEHRSRMIFKVCDIENSPAE QGYFEGSYDLVIASLVLHATRNIEETMHNARKLLKPGGHLIMVELTDNDPMRFGFIFG GLPGWWLGHNDGRKLSPCVEANVWEDMMLKTGFSGITSHTPHNSNFPLPLAVIACQAV DDKVSFLREPLLAENEPLDVNSLTIIGGKGDISGDELDEIVSRHYKEIHRINSLNEAA DAELPFSGTVVCLADLFDESTFEDISLTKLKALQNIFNQSKNILWVTCGAQADSPYKN MFIGLQRSVILELTHVRCQVLDFATPSEINFEIIAKKLLQLDAYSVWDDKSQLKEILW YNEPEILIENQQVMIPRFRLSPARNNRYNSSRRLLTRDVNIKDTTLSITPQDSKFVVR EKQGANKSRIGGIKLSHSLLKAIRVTGKSKAFISLGEDSQNGSRVIVLSSSLDSHVYA PTGWTIAMPQSDEQALKALMSLYMQLLAHSILENAVQGKLIAVFNPGYALGGALANLA AQRGIQLVLLTTAAKNCTRPWAYVHPRATTSSLHKLLPRNLSMYVDMDTQSETSQLVQ GCLPPDCPKLDHNGLVSDYARLDLSPLGVRQVSDHVQMAWLNCVDSLSTPDIGSIPTI SLDEITENSSASFQEQAIVSWEGQPTVRIQMQPANKEVSFASDKTFWLVGLTGGLGLS LCQWMVERGARYIALSSRNPKIQDAWLQMMSAHGCTVRVFANDVTNRQSVRGVYKQIN DTMPTIGGVAQGAMVLHDTLFPDLDMERLENVVKPKVLGSIYLNELFSEANLDFFIYF SSMAYVTGNPGQSAYSAANAFMASQAAQRRKRGLAGSVINIGAIMGNGYVSRELTLGQ QSFLHKVGHSWMSEQDFQEIFAEGVLAGRPGFSDTYEMATGLRLDDDAERDWASNPMF QHLVRKASHLVTGQSKNKAGAAIKPQLLEATSEEEVFEILKGKFSSTEQYANLFTDFS IVGFLLKLQIALQADPDMPMLEVSPDELGVDSLVAVDIQSWFRKEVGVDMPVLKVLNA LSVRDLLYAAQEMLSPEMVPNLGGEPKPKSVDPIKEAPPAPIPTATPQKRTPSLTDIS STTVPTIDHSFSSERQLTPTSDLEPQTPPTNASTPPPISPDMEEKLLGSYIEEGFERV VPMSFAQSRFWFLNFFVENKTAFNVTSVVHLRGKLDIEKFGKALTEVGQRHEAIRTVF YTDENTKQHMQGVLPKSTLTLQHANVNDEGYIQKAVREMQDHVFDLAKGDSLRLQLIS LSEERHCIILAYHHIAVDGIGFPIFFADLEKAYNGTLDLSGAGMLQYPDFSLRQLHEY EQGSWSKELSYWRSQFPDLPAPLPLLPLSQRSWRPNSSSFGSHLVDFRMDQALKTQIE QCCRRFKVTPFHFYLAVFRILLFRFTNGIDDMCIGVADGNRKDADVLQSLGLFLNLLP LRFRQDPKQTFADALKDVKSTSDGAFANSRVPFDLLLNELQVPRSPSYSPLFQSFLNY RQNIVEARSFCGCSSDGELIAGGQNAYDISVDVVDVSSGDNLVVFAVNKDLYSKDDAD VLKKSYLSLLQGFARNPAARIVWPALHLEEDVKSAVELGRGDEQTTQWPSTIVDRIDD MSKMYSERAALTDGVGKSLTYKQMASRVSELAALLLDRGVGSGSCVGVFQSSGPEWVC SVLAILRTGAAYVPLDSRVGLDRLLLVVEDCKPQVLFVDSITEGETKFLSDTGADIIN ISTVPVSEEDKFVPSQANPSDTAVIAYTSGTTGVPKGVVLKHSGYKNFLEFAVPRWGI KEGEEIVLQQSSYAFDMSMGQIIVGLGFGGTLVIPDTALRRDPAAVCDILVSQGVTFT LGTPTEYMAWIQHGGQELLQTSQWRGAMSGGEAMTESLVRAFRSLQKPDLKLINSYGP AETTCACADSEVSIADADDLSFAVVPSPNYSIYIVDENMNPVPAGVPGEVVIGGAGVA GGYLNQEKATSAAFLPGKQATPFFKEQGWNTVHASGDRGQFTADGRLVLQGRIEGSTQ IKIGGIRMDLEDIENTIVNAAAPHINQAVVSVRQIQNSDNKYLVAFIELSDNQTDQSA FLAELPQKLPLPQYMRPSTIVALDAIPKTSSNKVDRSAINSMELPESTQPRTEDDTAD LNEFEDTLRGLWEQVLPQEVLRSHILNKASDFFHVGGNSLSLVSLQTQIRERLDITVP LSQLFQAITLGEMAVMLQDQGSGKQTIYVDWEKEVEVPSDLIDAPKSPNPVEPSTTPS IVVLTGSTGFLGKEILRQLTNNKNITKIYCLAVRKPREQLSKIFDHHKVIVYGGDLGA KQLGLSKTEAKAVFDEADAVIHVGADVSFMKTYQSLKLINVASTKELVRLSVPRRVPF HFVSSASVARLSGLDAFGPVSVEEYPPNGENDDGYTSAKWVSEVYLERINKQLGLPVA IHRPSSITGDDTPESDLMSNMMKYSREIKAIPDSSAWSGHFDFISVQSVARTIISDAI AGAENMTDSPRYLYESGEIEIGMEEVQDLMEMGTGESFGVLSVDEWIDSAAKAGMNPL LAMYLRRAAGGQVLFPKLLQQ PEX2_001840 MHQFYKGEFFNFEVIRILGTTRTGGADVAEVLDAVGQIKENDPV TWHKAWSMQAEKVEQLADEALSNGHRNSARMAYLRAANYQRASAYMMCSAVPTKSDPR VVPILRKTVELFRKAIPLANNPIHILEIPYEKGVKFPAYLYLPQASCRIAGKIPLVVN PVGADGMQEEVYHMYPAEGPELGYAVLTFEGPGQGLTLHEHNIPMRPDYEVVDKIVLD YVQKYAEQKNLELDFDRVAIAGTSLSGYFALRAAVDPRYKACVAVDPLFDFWDFATKQ VSATFLNTWLKGWIPDSFVDNMIWFGNKFDFQMRWNIAMSARFFGVSTPTQILRSMKL YTLRQGKGTYLDKVTCPVFVTGAGKSLWLEVDDHTSMVFNALTNQKESDKELWVATKP GEGGLQAKMGAIALCNQKVFQWLDKRFDIKREKL PEX2_001850 MAPQASPRWLYASLIGILTPTATALYTRTPGLEQLNFTLEGRLE KSLPLAAPCFEDTNSAACASVREGLNSSWTRTSQYPGFRFIQDEACASNERNQCLLDP ITLDPLGETCEQGILSPHYVEIESPSDAQAIFDYARQSGGRLSIKNSGVDYNTRSSLQ DSLAIWTRGLRHSSFEESFIPEGCPADTEPFPVLTFGAGISCDEAYTIAHGYGVTHFC GTVNAIGASGGWLLNGGHGVLSGSHGLGADRVVQFTIVTPDGKIRIVNKCSNPELFWA LRGAGGGAFGVIIDSTHPSEPEKSLTGASLQFQGTTESQRAFTTIMTENMHSWALQGW GGPSSPSFSMLVNDKVSVDDAKKALAPALDFVRAQNGSIDVRTYKNFYQYYTENMNGS LAAPMTISGAIVTTTRIVPEDHFLDAEARERMVNAIMDTQAAGLPTSFLTTMPLLYGR NNPEPNTSLHPAWYKSVWIVASSVQWTAASSIKERKQLVSMLKNTTSTWKKVIPDGCT YANEADPWDDNWAYEFWGDNYKSLLQIKASVDPDNLLNCRHCVGWEESLPGYECMSGL DV PEX2_001860 MSSTAKNQSRPGANQLKHLYRQARNPPKDPQTSYKGKTVLVTGA NTGIGYQAAVKFAALGASPLVIAVRTQEKGEATKAAIIRETGNENIIVIPVDLSTFDA VKEFAAKLNEQVPKLDVALLNAGLAMPSFTKGPGGYEIALQVNVLSTALMALLILPKL RETAAANGTPSHLTFTTSKGYQDVEDSWLDSNKTLIDQLNTEEGYSDQRHYMMTKLAT MFVLQGVADQHSDNQVIINAACPGFCKTDLGRNFSLVAKVFMGPIQYFVARTAEHGSR SLVSATTLGPESIGKLWHDDEVLEPSKLQASERGAELYRETWGQILTILNKHIPSKDV PEX2_001870 MPPSQRQSLGSTVSDTSNGQGFVNPMHLIWPTVSPQQRSLQPAV DKHNDVVNITHCDMRGTELMGLEEADQDKVDTTDFMMVDFGCSDNSSGATTSPDQQEN IAMAGSTGRTDVFNQSSQSHILDDMQSPLKDSMNFCAALIEHYHSFKHAHRNPPHDSS PKLIEKSIQNALDHTSRLLKILEKLTAAETPAHRRQMSRQSTDDGGSSPGSAFDSGIS SNLPTRPQQNQGPLMQGSMPTNHTAYAGSGCNDSTTSSTSSKHESYDVLLMTTLVTSY VYLIRMWRSVFSLLHHHLLLPTTPGHIRNLLMLPSLELGGFRILNSPSIQIRVLFELR TDLFQQIEGMLGIGNAGAYGRYGEDREQKGQVLCGNPFAGLIRETLLHQEQMRAATED GTGDLSLKDITTKVKQLLDSQG PEX2_001880 MRVPLLDQLAMAQEQFGVMRGAMASLRLSRTDLALLTLRRIWHD EVVLTIRSLLETYENVAEQFGVLRGAMSSLRLTRWQLFMLTLRQLFHNMPSFVKYSLF AVYPNTPFIIAYSGFEYVVYPSSSFDEVKRVSVRKASMLEFFTHVFFHGWRFLGSDTS TLLKTIGVDLTRAVPVRVQARQEDTQLAFERAIGPCPEWKSVSLYWTIQELVAATNAS GLVGPELGNDPRWVRAVQHFPMVTALAVYISNAVPRLVRPIVTTFIFLPAWGYYIYLK MLLKPMAKADWEEYENANEKQKKEILRATPDKKFPITAWLMSRYRPEELSLSQITHDL IVATFESTPSTAGTMFFILAELVSRPELVNELRDEVDEVLSNGLLPQTTLTELRKMDS VMRESSRVNPFSLLVLFRRLLTNVKLSIGPELPAGSLLCVDAHHIHNDEDLWEDPDTF DPMRFLKLRERDGVDSRHQFTSLGKDSPGWGDGTQACPGRVFAGNTIKIILTHLLDKY EIQLPPGAEKPKRHSMPNGSMAPDLFARIMIRERRR PEX2_001890 MYTAILWDVEPDDSLYNFEHSDPIDPTFAIQGIPWLIRKVLAYA SVTLTLTHSTEPAKDGSGDITKVRMKQTISPGSFSNEDDYILDSETREATVPIFGTIA MYAKYTPLAELEDKELRTRLEEGKTGAAAIQEIATNKGAGWDAVGTWGFEIIDGQRYF TRTTVTKKGDQEVSVRLVYDYRED PEX2_001900 MTLNIPSSQTIIRQHDDGILKVTPGSPIPEIEGHHVLVRTHAVG LCPCDFKMPLRFPTSGLWNGCDFSGTVAAVGSDVTRFQVGDTVFGAVHASNQADPQSG SYAEYLKAEADYIFKTPPGIDHMKATAMYGTGIATIAMALYSLKIAGDLSAPAEGKAS ETVLVFGGSSTVGMMAIQLLKLLGHRVISTSSEKNMELVKSYGAEEVFDYRSPTCGAD IKKYTKNSLRNVIDPFGEVKTMSICYEAIGRAGGKYCALEQYQEALATRRTVKPFLIM GSSIAGRGLVLPDPYGSPPDPELGAWSLNFYKDLQVLVDEGKLKECPQEILPGKFDAI LPGLDRLKNKQVSGKKLIVPLV PEX2_001910 MRSHSLIAALALFGATTCTAAKLKVPRGWTKDWKNEPLVQVSDE CTVSHASRYDMARGNTPVFFLSTPEYDFEQPAIEPMNATAGEQWEFDGISDDGLEAFV FGFYRDPNYSFLGTGNLRLSAEWSFADGSRYAVVEYAEESTIESCPGRGTRGTWKADD WVYTFEITADLSRTRITMDNPEAKGTIAWTSVSPPRFADNSIYPGEAKGASLLTVPHF YWVEPVPVSDLILDVKIEGREVSWTGMGGHERLWGAFNWYTCLSGMIAVRVRPGPFAL SFTEFGSNRIDDLYVPSVLLAEKGEKIFSSRRYEPSETEDYVTMRKLYGGEGATSVQL LDKVTGVELELVSPSRDQRWKFVVTHKNLGFEYNLGEGVGGTGYSGLVSGGLVGSKKH EGPAFTEFMKFPQKSMVLVKNFVV PEX2_001920 MPSAEPSWPERQTAIIANATGDLVVSQDVQLPELEPDMLIVKTV AVAVNPCDMKMTGSMGIKGAISGGDCAGIVIAIGSEVPEGRFAVGDRVCAAVHSMNPL LPRVGAFAQYVGATADFTLKIPDDMTFEEASTLGISTATIGYALFKSLNVPGHPDKPA MKPAYVLVYGGSTATGTIAIQLIRRYERPFKFHSISCVQISDNTCLNSSGLIPITTCS PKNFPLVERSGAEKAFDYHDPNIVKEIKAYTKNALQYSLDCFCDSASMRFCYAVLGRA GGRYTTLEYYNPELHTRKTVKPDWILGMALFGKRIGWKEPYNLEGDPELRTFGKDWFM TVQRMLDAGEIKPHPTKLGGTTFEHVIEGVDLLRKKAVSGHKLVYHIADP PEX2_001930 MGTVLILAVAVLTAWLIKVKLASSPKLNMPYLSFDGDNSPMRYL SDTTSLLDKGYSQYLKKGIPFSMRDPANPKQPQVLLPMKYLAEVRNAPQSQLSFPLFS TQAFLLKAIHGPEQTDEAAHMARLDLNRALNNLLEPMNEECIAGMKKVVPPCADWTPT APYHFIVYMVARITARVLVGAELSGNDEWVGQSVETTMNVMNASQSVRAKYHPWTRWL AQYFEEPTKLVIKNRKRAVELLRPVLNARKAALDSQFKGEKSKYNDGVQWLLEEYRGL GKDLTAEKLAQDELFLTIASTHSSSATLLSTLYDLMDSPESLNDIREEISQMQLLNPT VNRQALNGLHVLDSFLKESQRIHSLSLVTMQRSAVSGFTFRDGLHLPANTRIAFPNQH LNWDNDVNENAKKFDAKRWVRKREEIDPNKFHFGSVSDDSINFGNGFHACPGRFLAQQ VLKLVFINLLQNYEFKWQEEDGKRPPNFTNEFALTPNPTVPILIRERKH PEX2_001940 MTKRIRLGILTPSSNTSLEPLSQAIIAQLPNVSVHFSRFTVLKI SLEQDALQQFQNETILTAARLLADANVDIIGWSGTSSGWLGFTADEELCRLITSATGI PATTSVLALNKALKVLAATELGLVTPYMDNVQEAIIKIYQTIGVDCSKERHLQLSKNT SFADVDEPTLDEMVADLAGRQVQVISTFCTNLRAAQRVEYWEKTHGVTVLDTVNTVIW DMLLMCEVDPTAVKGWGRLFELKV PEX2_001950 MSTVRQRFTVIKQAFSSPRALLESARIENNRETQDEAGLFGSWS NKDLDPSPPSQRVWTPWSFFAFQFSIAFSPTTYNVGASLYATGLNWWTILIASFIVSF LVGGLLWLNSRGAALYHLGYPTYVRLSAGIYGSLVFIFLRGVVAILYMGIQSLYASYF VNVMLRCVFGHNWTELPNYLPESAGITSGKMISFLIIWLLQFPFAFVHPSKMSTVFMV KSVIAPIGLIATMIWTVVSSHGVDFQGLGPSNVSGAVLGWSFMKAINSIVSNVIPPLV NSPDLTRYAKCPRDTLPLPIGIILSKPLVVFLGMVITAAGYKQFGEAYWNMWDLYSSI LDHYWGPGTRTVVFLGAGIQAFATIATNLTSNSIPVGCDLAGLFPRYITIVRGQVLCF LLAWVVVPWKLTYSASSFLTFLNSYLCFMCPIVAMMIVDYWIARKGNIHVPSLYNAKP GTPYFYTGGFNLRAFAAWFGAIALVIPGVSGALNPGSVADAAVKIYNMGFLISTAFAA LVYYICCRIWPVQIYPTELRAKDASWEAMRYTEGFFPEDEIVPDYLQETVIEGITVKP SKGSLEEETMDMQKEAMF PEX2_001960 MASKFDLVVLNGKVVTASDISDYCIGIKNGKINTLVESFSEDEL AGAEVIDAKGAYVMPGGIDAHVHLCQDLKTGPHGLGGECADNFETGSRSAVAGGTTTI ITFATQTRAEEDRSLLKVVESYNARAEETGSYVDYGFHIIIVRNDADVLETELPVLIN DWGISSCKLFLTYETQRLTDSQLLDVMWAARKNSITTMIHAENGDMVGWLTKKLEEKG MNAPYYHALSRPPLVEGEATNRAISLAQLIQNPILFVHVGSALGAANVRRAQDIGLPV YAETCPQYFHLTWNDLKRFHSPTCFENSKMICSPPPPPDASDQEDLFVGLHNGTFTIY SSDHCPFRYDCPHGKPSGVLEHAESMEGESPDACEDLHGLLTRKEGSFKLIPNGIPGV ETRLPLLYTGALATGRISPQRFVELTSTNPAKLYGLYPKKGTLMPGSDADLVIWHPHK TFEPFHLTNAQLHHNVDYTPYEGIKMTNWPRYTILRGKVMWANGKILGKVRDGQYVKR GPSQLSQGLPRADRDPRRVAAWLYK PEX2_001970 MTVSDNTVVLVTGSRIGRTLVETILLRPNHVVIASVRDKSADYV KELEALPKADGSQLQLVQIESSNPADPAAAIKGLTNINYIDVVVANAGGAGEKGVIPL DVVSSEVATDVFAVNALGPLALYQAVKPLLEKSQTPKWVSVSSAAGSIGRLEVHKAHI APAYGIAKAGLNWITTAIHSANKDFIAFAVHPGLVQSESGNRGARAMGLPQAPNSLRQ SVDAILLLIDTATRESTSGKFINVIDGTEIPW PEX2_001980 MVLQVRQDQIASEVWKGKDRVNFLVRLGPSNVLKGREARIIDAI ASNIGAPQALYHVASRLCTANGTVELALVNEYSVQDTQHPPPLVDLHNYVWNHSTRYQ HESPASSVSVI PEX2_001990 MPDTTFQLSPDTQLRHEAFNQDRGYPHNDPTQVWPGQRTQNEFR SELMSRDPSTESVEYYPTGTGGELLQQTGAITSPASQPVQRIRSLDLIEGSITQFYGM SSESDPWLLRHCRYDEYGMHGLHRTRIRNVGGVPIEGLVPVHFLETEKELMLPKGSEM DNRPQKDQMQDQNQLDVMVSPAHGKRLLCLFLRFVFPAIPIISRSQLGISSSMSTAAL EKIPVHLLAAIYASAIPFAVHDPVLCVSAAYGELLSGKLWQMVHELILQETHTPKLAV LQASLLYLQQIPAGSLKALPDGPFIWSFLGSTVALAVSLGLHVEPRPWGIPPWEKRLR RRLWWAVYVEDKWRSLLAGRPSFIHPDENDIFELSASDFMQDENAEDHEHACQPLFYY TTGLACIAENMCRTFYTIKASQRLSDDFRSSIDAARPIRAQLQQCHLPSNRVEGAGDL HFSYLVLEMFLYRAILRPLARSPPPPPISDDNDPTPMGSLWPVEDLTSDRHVFDQLPA MNSMQLGEAEEATLKAAEKCAAIILNFVGALVPHEFGSFWHPFYLPGNRTCFAAVSNF VTLLLVQAPTRQHALRSRDLLDLWSHTLRCQHRSHEGLMQLGLVRLNQMQIEGLDNIF NLPAHVSEILQNTAE PEX2_002000 MAPKKRIAIIGAGAAGMSCASTLAKHPEFAVTLIDTAGYTGGQA TSIDIDESTHGASWLNDGVQGGSQIFRHTFQFFRRYGYEPQPVKLQVAFGKGKDFWTN VFPSPLVDQHSSEIKKLSRVLSCIKYFMPILGIMPVKIILRLFRFSSDFSNKMVLPLL ALFLGTGNQTPNVSSVLLERLFNDPQMKLWEYDPDTLLPNLPTMYTFPNLSNFYRDWT SDLRAKGVQIRLNCHPGIIERGKRGVMLQLQDYDDGQAKGDPSIENFDDLVMCCPADE AKRILDHHATWREKYVLGGVKFYNDITITHSDSTYFQKIFEMQYDPELSAKPSSETRK KQIAFAEQEPLSQKDGWLGFRPMYFTRSYASDPGKIEMGFNCSHYQHQFRDNLGENKP PLPQDRHVFQTIFLNDQEKDLWTWNDIDPSKIISRKWWHQFGHRWQHYLRVVLGMMFI NGTNRTLYAGSWTMVNMHEIACISGIAAAYQLGAIYEPFDDFAEDFFAKYLSETISNQ RVIYATYLSAPTETKDHFISKFHNTSDPYFDAARILTYQLLHAPETRTRLNIPFVVFV HQNVNKEKRDRLQSDSAQVIEWSDFRVDWVRSTESRWADALTKLRLWEMVQYDLILRH NHSSHPSRVPEDFWDWDTLNTGFMILQPSLKMFHYFEALLAVRGSFDTSIADQSVLNF ALSRRGPTPWTAVDFSWNIQWPWPEDIETGHAVLHEKWWDPTHWESRDYLLSWYWQMI GIKTFTQSDLLKQPFLRELRDVINISYYDTGPTSFKKSGARLMSDTQLVDELQESGVI AIAFAEGAIIGTASFKTWSSESQGTPWKLPGHFEQFSEDEIFSASHTVLDSLHDESQN TPCDGDFELVAVAIKPDPQYRRKGIVETLTKACEEELNRRMSPERHTGLSQSRIMLKC VREVRGDYWLKRGFHVVGEQYCLPLTWGYNKGFVLWAMERKLSV PEX2_002010 MNTKPTITIIGGGIGGLTLAAGLHLRKIPVQIYEAAPSFKEIGL GISLGPAAYRAMPLIHPSIQQIYNSLITTHADSPGYEEYLQTWFELVWATGKEEGDVL MNLKALPSGQTALRRADFLNALVELIPAEIVHFGKRLSTLVDRDNGVVLGFEDGEVVN ADVVVGCDGIRSMVKESMFPVESLTTKPVYSGMYGYRAVLEMGDMIEAVGEKRARVAT IYVGRGAYGISYPIMRAKLVNVGIYLLSDEDWEYESWVRPASREGMERDTRDMGRYVK ALVERMPDPSQWAIFEHPHIATYARSKVAILGDAAHASTPHQGAGAGQAIEDAHVLAE LLGDSRVGSVDDAVAVFKAYDDIRRPRSQRVVTSSKENADIFCLCFDGVRDDPVKLKE TLNQRLKWLWDLDVQDQVERAREKMVEYLETPTGNGQGLIIAQ PEX2_002020 MPRHPTRSITSAGSESIRSIPQRSSPRGERSGSNSTSYMGDNDD TALPSMEFHSHSQQAIAHRRTGSTLKTVMRKIFNRKRQSQADELEENPYESTFAMPPM RKPGAAKGRSLLAIPPPLNLNSHRGSPLSAHNLQLAETHMSPLLSPLSPLSSATLRDS MPGSMQPRRRRATLPSVVFSDDESRFAVASIAISDPQEDRNHIPERRHSLLSHRLSRS TDALREMTDNMPEIMTSWPQRTSSAPLPASVLGSHSPPLDESSNSGQSGRPSSGTTVT SVTRMSATPSLMEVERHTEQPSLPSNVASLINSMQQDDSATLEQRLTTLEVKLIDLEF AIARMQTNCPENPTEKPSRPRHPPSADSFPPHMRKKPTAFISCDRDDFPSPLPNIPTR PSSTSTIRADTMTPRTLRPAPSASSLSDYHGVSIEQYSTLVTLLRREQTARRNLETQV GGLRDDIRDLQRAALESMQSGVGIGMMQPVHPTESRQFQRFRRALDDSDTSPVVRPDD KRTGIEDTDSDWDRSDIYSRDDPFGPSKWERQRVVTAPMI PEX2_002030 MPPPTPIPIPLFATTQLTLLHEEHAAEVSSSKLASTAASVSPAT RRTLQATGYALTGLILSQCRTGLGGRVVGEFAPDPAVASEESRSVDGTPRLGSHGIRV GDVVRVSDIAGAGKKVGGKDKDKKKDKDAEKGVEGVVTRTGDRAVWIAFGRQGGGGMS KEDDEAVEELWGKKLWAIKLANDVTYRRMRQTMEKMVKMPETEYSHFMRVAFGHTTPL QLDTEACGPVEFTDPTLNDSQKDAIRFALASRDIALIHGPPGTGKTHTLIELILQFVQ RKKRVLVCGPSNVSVDNIVERLAPKKVPVVRIGHPARLLPSVLEHSLEVLTQTSDAGG IVKDIRKEIDEKQASIRKTRSGRERRGIYDDLKLLRKEFRQRESKCVDNLVRESSVVL ATLHGAGGHQLKNQKFDVVIIDEASQALEAQCWISLLGADKVVLAGDHLQLPPTVKST GQKDKASKGTDEKTDTNTDIETLKGVSLERTLFDRLLALHGPGIKRMLTTQYRMHEKI MRFPSDELYDGKLIASDAVKARLLIDLPYEVEGTDDTQEPLVFWDTQGGDFPEKAEDE ISKKGALLGDSKSNEMEAMVVARHVDNLIDAGVRPESIAVITPYNGQLALLSRMLREK YPGIELGSVDGFQGREKEAVVVSLVRSNAEHEVGFLGEKRRLNVAMTRPKRHLCICGD SETISQ PEX2_101310 MNRRGSTQVERSRDVFAVGSIIIKSSHLHKQKSAEYTETGYTYA DANEVEAIAIAKNVLKYIKVPDIYFNGEVLFLVSLLSILLIAYIPLDQWPSGICPGKA SRCGLDRCMAVSFAKPKEVFQTASTSGTSTATIHQATDRGQLRSHVVPDPGILSSGHI QPLEADILFSGINTDPDMSFMHNDFTKSNCIVDNDQIVGLIDWEMASFFWLEHS PEX2_101320 MSVTEINQTPPEEPITIPSDRDGVAYLYGHPLLNSLSPPLHQTV YNALGLNWTQIPLSSVSGPSETYPPPYTRSPPIEKYLASIKSNPKFVGSSVTMPHKVA IMPYLDDLTEHARQAGACNTIFMRDDPTTGQRQYVGTNTDCDGIREALTQNAPDPSRF RGRPALIIGGGGTARTAIYVMRRWLGSSRIYIVNRDAAEVAAILEEDRRRNPNYNAQA PLIPVTDPAEAARLESPAAIVSGIPNYPPKSPEELRSRAIIQAFLGTAADADKQEGVI LEMCYHPTPWTEIAHLASAGGWKVILGSEALIWQGLEQARLWTGKDIIGTPGLVQEVK DLVAKTIAERASAKSSL PEX2_101330 MAVGPFSQDELDEIYAFAIDLGRKAGKLLMERVDQRISGANGSS NTFEEKENAVDIVTQTDEDVEVFIRSALEARYPSHKFLGEEAYAKGQSRDYLIDEQPT WCIDPLDGTVNYTHIFPMFCVSIGFIVEHKPIIGVVYAPFTDQLWSSCSGRGAWLNET RRLPLIHNPTPPMPANAPSQCIFSCEWGKDRRDIPDGNMQRKIESFVNMAAEVGSRNG KGGMVHGMRSLGSATLDLVYVAMGSFDIWWEGGCWEWDIAAGAAILLEAGGLMTTANP PEDVDTGPIEDVRLGSRLYLAIRPAGPSATETGRETQERTVREVWRRVRTLEYSRPGA PEX2_101340 MSMLVRPPKRKLHEVEALDDGPRKYSSGAVASSTVHISSRPSSR RSSEHRETSGDSRPPSLRELQSNRGSPVLFEHGHLQTPRTFSPKASIVLIGIRGTGKS SLAVILAATSGRRLIDADRYFQQSVGCSRAVFKKENDGSVYRQQEARVFESMLADNQE GCVIACGAGSMERNGQRLLREFAQTHPVIHVIRDPESIQSYLKAWDTQKVRHFLELSG PIYRGCSNLEFFNLSEARSEDLSKDDNISSPPHRTEPRSHTPIPFLTLKRVQRDFLRF IAFITGDIAELNSQHASFPLSLLPVESRMYTTAVSVPFSRVCDKGMDIEQLEFTADAF ELAVDVTDSSDQPGLSSSLADSISQAVAIIRRNIIVPLIYHVESYTTCTGSLSPSQVP VRCTDENYLNLVRHGLRLSTGFLTVDLTRDDNIISQIISASGRTRIIGHFEAFHPLPG GWDGDEYMKVYERAKSLGCDIVRLCQPAETPEDNLAVQRFRHRIQSLPVASLSLIAYN TGPLGRMSRCFNPVLSPVTHQSLITELPTHLRSYITAREVQKALYSSFALDPMQFFVF GANTTYSMSPAMHNTAFKICGLPHKYSIHQSPTLRGLNDLVENQYFGGSSVSLPYKTE CIPLLHSMSAHARAIGAVNTLIPIRNLDDLDDTRLQESSVFLQKSRAGPIKGLHGDNT DWIGICNCIRRGLSPANAVRSSSTGLVIGSGGMARAAIYSMIHLGVQNIFVYNRTLAN AERLAHHYNRQDLHSKEAGGSGRLTVRIIASLSDPWPAGFKQPTIVVSGIPAHSIGGE LAPNFRMPTQWLESPTGGVVVDLAYKPLNTLLMKQTRALSHRGWVALDGLDVLPEQGF AQFELFTGRRAPRRVMRSIVLQEYKDDEGNNDHETIQDRLQQMDGQPT PEX2_101350 MGGEQRQAAGVSSKPKRRWTDPEDDGKVTGEPKRQRVSRACDSC RTKKDKCDGIQPICSTCVSLCRPCTYKTNPKKRGLPTGYIRSLELLWGLVFQKIQGSE DVMRALMRSINLPGHLATMGKEAEGSDALLASFKNSTVLRDIERILIVLEQPEEERER NLQAYSEGETPLDIEGILSSAEAQEWQIPEGIEARETPLPGASPTRTAVAIAPMKVTG PRPTTDCGIQTSPPDDRPLSSFPFPPNPGYPRSLSTKPPLQLPYNAWPLFDVYFSYTQ CWFPILEKHDILRTAFQYTEGDVYASGLTPGSGEHAALWAVLTLASLQYASISASSEL EEQSSGQMTPSQMYVTARQLIPPESGPHEIGHVQALLILGLVKFGQQEWTGSWMLVGQ AVRIAHVLGLDQPSYPSSSRTPDQEKHIGRAKHVFLGCFVLETLIAESISQFPSLRKA DLARIGSINEDGLEEWHPWEDQTGLRPAQSSRASMQRGPLHSLSTFNRLVSLVSILND LCCCKHDPTISRSQLEQLELQLQRWVTELPKSYRVDLQSRPVRLASPHVFVLEMTYES VVIALSSQIAIREYDQNIPEPPHKFRATESSKRLVRLLQAYMETYSFSATAPTFGLML RFGLPRSISKDLPSVLDMGLRNNIHNFSSQLSALWTMSDQKAAGRNVPRRPQLLGTSP AVSQHIDASTGGDMAIPPSRSIATPSHVLHTTHATHAAQNNTHAAAVPETPFLSTPWL RTTQHIEDVSLLRTPSSLTSVGGASEVPPQQGHLDSSLNNGLPHPTSVASETHNSTGI LAELSPSYHQTAQYHTTAYHDPSVNMGTFIDMDGYAPPRRQRIAPDLDALFDELASLD GAEKADNQPEFMQNLGFVSDVGIPELYSFAGQIEPFLLAQPQQLPNNGTSSGMRRESQ PLNMSGTPKR PEX2_101360 MGKSILLINGPNLNLLGTREPHIYGHTTLSDVELNSREVAASHG AVLESFQSNHEGAIVDRIQAARGKVDGIIINPGAYTHTSVAIRDALLGVAIPFIELHV SNVHAREPWRHHSYFSDKAAGIIVGLGVYGYKVAVEHVCVNFEEKEKEAKAAL PEX2_101370 MTQIRVQLIQAVTSTTDSKNSRRTLCPSIWNSTNKSPRPGSRIE RTLRGISLPILSKEGVEISQGRTISKFYFYLDIPMNIPATTKTPLGTITYAIEAIVAT LNNGIITHRQPLKLNRQMIQADPKQTQHRLYFPTSNAIQGMSLLQNSTPRSGPRISFT ATIHTHWETAPANREAELRHLVVRELRWHAEEIVKMMSKPSSLDEKYSICEQQTVRKL CEGSTKGYWGFSLNPYVKQPHEQNVGGKGGEKPAICIPFDFTIPKRAMVMDDIDLAAY DIRADRDGHNPECCLPEECYFSSPGKMTKGITVHHQLKIELIMGEDVFHKCTGKLVER RLLRTIICPAIPLSVCEVSI PEX2_101380 MSAGSTEQLAVKDCPTCQRRRIKCDRGLPACRKCGKRNLECPGY GLQLKWVQGVASRGSLRGRAFPSLDAPITVSDSPPSDILTDTRTTGNGINALDRYNRS MTRFSRGPLPSPASELCLSQARPLHVSRLLSWFNDRVAHRLAWVPQQNAWRHMILPMA ESSETVLSSILAIAAHDLASEYPPNDLGHGTFQQMSKNYQNKSLALLAQELNSLSTST SALQASTTSAYTLASVILLCNNEFMKPQGAGWRVHLSAAREIILAAGNRPCRHHQLAC IEQFLMLEFYEASVWADLTTFDNYNMAIKSPPASAENAVFTDFIQVIDHITRLERLRF CSGTIEQASSYGPMQDIQSQIESARNNMMRLSKSIHFSSKADQHGFELVVWMYYHATI IYSHQALSEDATGGNYVRKSRDEILRYVQFLSGTRDGMFAQDLVWPLFMAGTELQGHP TGQRIIQECFGNVMRISRTLDRARVLSFVETWWNDPGTHTSWIDMARKQSQSSQCDIL IV PEX2_019240 MPHLTANQITVDDSKVHGDWRDALFQNGYVVVKNVISPARCEYY IDKMFEWLESFPLGFDRNNRSTWTKEHLPTHIKGGMYHGYRIQHEKFIWEARTEDGVI EAFAKLRGTDKLLVSFDGMNLTLPSGTTLPQTQPWPHIDQSPLREGMQCVQGILNFAP NGPQDGGLLVMKGSTKLMPEFFKTHSGTIGRETWGPSDWFGFDESEVKWFEERGCEIH KVTAEAGDLILWDSRTMHFNCVPSTQNLRAVVYACYTPASFATPDILQQKGEFFDQRI GTANTLAP PEX2_019250 MTAPVQDFATQRFDKWWKDLGLRKLLAWQATILLSQMTTGYDES VVGSFQSMKPWVKAMGNPDSSKLGLITAIVFVGGFVGALVASPTADYFGRRVGMFVGS SLTFVGTIIQTAAQDAGMFIGGRFLIGFGISFTCVAGPSLLFELAHPAMRGTISSLFN VLWYVGSIIAAWTTFGTGYMTTSWSWRIPSLIQGVPALLVMMSVLCGLPESPRWLCAN QREEEAQQLLAKYHSNGDLHSALVIHEMEEIHMVLGAEATAHKSGQNSWGILYQLPAN RKRIALVVTIALLTLWNGQGVIAYYFSPILSSIGITSTPQQTGINGGLQIWNLLFSLA GALLADRIGRRTLWLISFIGMILANVPLTISSAMYAQHGSKGASFAVVVFLFIYDAAF NLANNPLLYCYPTEILPFPIRAKGLSIQVAVSQAALTINQYVNPIALDSIGFYYYIFY LGMLILGTLIIFFTFPETKGKTEVELAALFEDPKLDRADPIMLEGLEAAFDHDPKTCE ESTVVIKNEASDSL PEX2_019260 MNRPPQGGQQRLGATWYPGGQDDFYMPEVISPSPQRVMPEVPEN MQDNIAEMEYHADPRRGQQQQQQQQQQQPQYQRAHTYPERTSSVQGQPQHSQVHDPSS YAQSATYDSMDHPNFSPFPVLRNPPPNVPPTDEQREANLERARMAVLSTTDPEMQLAW ALDALAFVEVAAQNDVRLCLTQPPRPQTPQVERQLRVDAMNIVGFLAEQRHPKAEFIK GMWLEFGKFGCPVDRKEAFRSYSRAAEKGYARAEYRIGMQFESSGEPEKAIKHYQRGV ARADSASYYRLGMMILLGQHGQHQDFNTGLEYIRLAAQSCDQNAPQGAYVYGMLLARE LPQVSVPESFLPLDLNLARVNIEKAAYHGFAKAQVKMGAAYELCQLSCDFNPALSLHY NALAARQGEPEAEMAISKWFLCGHEGVFEKNDELAFTYAQRAAQSGLPTAEFALGYFY EVGIFVPVDIKEARSWYAKAAASGNKDASGRIESISRSKTLSRKDHEKIAISRIKSTR YTAHQRGNSLESTPENIQMPDPSRMTLSDGPPSGAPYPDRPHSTRPRPQQGYQLSDTR PSSAFGVNPNLRSNAPSGYGGPPPQGPRSRTPSYGPGGPGGPGGPMNYRQPGPGTPLS APAGPTSPQAGNMIGPGGAPRLDIGYSAPMPPPGGRRPPPRLDSVPDRKPMRPPVSGH SGTSSPNVVSPRPPGSPSFPPRMESRQQSHGSGASTPQPAPSVASPVAPSVASSTSSA PQQKPAKPPPSKGPKTFEEMGVPSANKDSDCIVM PEX2_019270 MAPRKPKSDAAAGDDDASMIREYLRQQNRPYSAIDVSANLHNKV TKTQAAKLLRDLHEKKQIEGRASGKHTVYHALQDPSDVTTPEVAAAVKLNIESLEREI STLKAKEKKARAELAALHAKPRISDLRQDISRLESELSMIQARLASRHKGDPVQISPE EREKLEREWKYWQWHANVRRRICCDLWGQCSEVLPENMTAAELWVSSENDE PEX2_019280 MRGRAPLPVSSGAQNPQNGHSRNPSALDVARSPPNQSNKNTKHV PCKFFRQGACQAGPACPFLHSTDAGIDYAPCKYFAKGNCKFGAKCALAHILPDGRRVN RPTGNMGIGSGHLNLGGRVNPQAYVNQDSALTNSVLSQQRMNGHEQPRYAPQLAPQEE YGSLHGQQQPSYDGIPTIDTGLASDAGSKYGSPAEDVRYPMSPNNNHLSALDAGLPAS FDSQGISHAARYGPVAASMPSQFGLESPPPQRPGQADIFRNLRDIGYATSLRKPSSNI GSSPPAPEDTVGTRFMHSSRPVKPRMLSASVPRPMALEDWDENFPMEEDYLPVNLHDD VLTPQEKLRRLSRTDNDLSSSHRDISGLGMTSTSFSKTGSPLASSPSRFGALFAKQRQ RKEEESHGSSSFHIGSPLRESSLNPITSPSLGPIGSSRASHEGASFISSPGRQSSMSM ISEQLGGMGLHPGSARQSSAVPSARFDRIISSPVSTSKIEEEEQSDLVFDMEEEVGNK RTSASWSENKESSS PEX2_019290 MESEKELSQPPREQPVNSIENDGAITRETWKLMMDVVMAIYDYR EEDGHDPSRLFHRSVNKRYVPDYYDIIKEPMALSILKARINKREYKHFSEFVRDCALI PHNAQTYNRPRSQAYEDALVVKEVFASEFRKLAAQGLIPADEAELPDLGEIPEADPLP DDDEEEEEEEEDEDEDSEDEGRRRKKPGPKPGFKRGLTQKHEPDLRKKRGRPPRVDTP MEIRIKTILKGIRKFKGAGGHLKITHFERLPDKAAYPDYYMETKEPIAIDIIKRKSKR KKYNSVDHFMRDLDLMFENAKAYNQSDSQIFKDAVDLQTESRKLAEQEKKKPDSEFLM EDGRFPLPDGILHRGELWKVGDWVHIQNQNDVSKPIVAQIYRTWQDSDGEKWINACWY YRPEQTVHHFEKHFYPNEVVKTGQYRDHRIDEIVDRCFVMFFTRYSRGRPRDLAPDKE IYVCEARYNEEKHKLNKIKTWASCLPDEVREKDYEMDLFDVPRKIKKIPSPIKHLLKE DSKETDDLPRPTWGADNAPPVAGAVHRRPRDENESPPPEPTPSPPPPSLPQSVAPRQS LSQSLPRTAIDPGVRTPAAPPIIMHTTPAPIRPPGFHQMTPSQAFTTSLQRRASQLAA TPQTPAGAYQPSPPAQAYSAAQQTPYAGYPQNRMQAPPAVYNPNAPRPVEVFHLSDAA NAAIPEDIRDQFHCDDHGRVLFFSAPPVDFIPPSKQKLGHSLKYLATKEEHHKKVEER KRKLADEQAEREEAAKRRRAEVNTELIGRAEALTGKAVETLVQRVVTGTDQLYEYLHR ARDYDVMDLDGTQERGDVADRHAMEQTQQIQAQTTKDGLVNLKGTALYLDEA PEX2_019300 MRIRVRGPSGQSTITLDDTATIKDLQTQIAEKTGLATFDVKYGY PLKPLELDSFQQDQRILEVGINLNGESLIVAQKEGATRGVSDDAPTARTVPSQPAQPS QPSRSQIPPENTTEDPPEIASPEHAGTFVLRVMPDDNSCLFRAVGAAIMGDMDTMVEL RSIIAGAIQSNPSEYTAAILGKKPDEYCTWIQNEDSWGGAIELKILSEYFNIEICSID VQTLHIFQFNEGAPTRCIVVYSGIHYDVLALSPSRPPYTRANPLPHGDTKIFEAVDPV VLQKAKELCRVLQGKHYYTDTSGFTVRCNVCGGTFTGERGATKHAAETGHYDFGEAS PEX2_019310 MSGLRAAPGVFELKRSNSFQEHKYSLGHDDETVSQSDAHQESDY EEEVDESVREDMRKLEDSFPGISAQFRLVNRIGEGTFSTVYKAEDLHYDVHQNDWDVS TPAQDNYAEPPTKRRRFESEQTGRKKTKFVALKKIYVTSSPLRIQNELELLHDLRGCG SVCPLITAFRYQDQVVAVLPYFPHTDFRIQYRTFMVADMRHYLRSLMTALNSVHEHDI LHRDIKPTNFLYNPDLKEGVLVDFGLAERQGSEYTSPCLCTHQSYVRRSRILSSYYSK NPPSTGFSAGYPKNDSRPSRRANRAGTRGFRAPEVLLKCTSQTTKIDMWSVGVILLTL LGRRFPFFNSADDIDAMIEMSSIFGTKRMKTAAAMHGQIFETNIPTIGEKGYSWEKLV KWSSCVEDLTESEQQATRLLSGLMELDPSKRLSAADALQHEFFTDPVHHDVEWGGNPE ESAESGEEEEEDDADEVAML PEX2_019320 MEDRIEFNINESLKYYLSDPASIPTPDADPELLDCESDPDQLSP ALIDSILNPIVDAVAENPEGLTRASLFDSLQFLLKCAPVPSQLAHGGWRPPGLESDDP FHSCRYATLLPAKYLSKLLDLVVSGLSVEADIIHGDLESDEQDAIQHHKQLLEMYGFL LQWALSAVEVKAAEKPTEAAPVRRGGPKSRKSANSGQWDWTPQIQISMETMCKVMKLK LGRIFLTTSDRDTFITLFTRTIYLVLESEQRVKSMAIRMHAFKVLCIAVKHHGQAFGA QTSIVQSLTYFEHLSEPMAEFLHILAEQYDYPQLSDEILKELGNKEFNSNDTRGPKSV STFIIKLSELAPRLIIKQMTLLAKQLDSDAYTLRCAVIEVCGNLISDLSRQEERSDNY KTQINAFFDVLEERFLDINPYCRCRAIQVFMRICDLEQKFPKRRQAAAELAARSLEDK SSNVRRNAIKLLSKLVSTHPFSVMHGGLLSYKDWTERLDAVDAELNSLRPPETPGFEG GEMTQVDPELLDDATQMPDDSPSKAPRMSDEEKAVAVQKAAEQAATSELMTRLQLTRK YYNEAIRFIEVLHSGSTIVTQLLSSRNKSEVIEAMDFFVVLDAYKIETARSGIRRMLR LIWTKGNSDEGKGVQTHLIDCYKGLFFDAPGSFTPNDAANYIARNMISLTFGATPAEL TCLEQLLSTMMKAGNISEAVIAKLWQVYSIQKKEISRTQRRGSIIVLGMLALADPEVV VKEIEAMLRIGLGGLGRADLVLAKYTCIALRRMIPGRQAKSKEVVGIPKLASDHSVLV KLMAMLEIETASKEWYGVAEHALNAIYTLSKHPDVLCSGILRRKTRFVFAPHLQQRPP SSHASANGEDEQQQPGTASTDGQESKPKPASAALSQLLYVVGHVAIKQIVHLELCELD FKRRKVEQEKNKAATAPQKEDNAEEDELDLIGGTTEDDFQDAMAHIRERELLYGENSL LAKFGPLVVEILANNNSYPDRDLQASATLCLAKLMCVSAEYCEKNLPLLITIMERSED PIVRSNAVIALGDMAVCFNHLIDENTDFLYRRLNDDDDSVKRTCLMTLTFLILAGQVK VKGQLGEMAKCLEDDDKKIADLARMFFTELATKDNAVYNHFVDMFSLLSAERNLDEAS LRRIVKFLIGFVEKEKHARQLADKLAARLPRCETERQWNDVAYALSLLPHKNEEITKT VSTGFKVVSAAA PEX2_019330 MVSRVKRRRLTDPEDLNDICQPASRLEKDSWNGFCEIESEPALF NVMLREFGVKGVKIQEVVSLDEEMMAFLNNPVYGLIFLFRWREDNDGKQEATCPDGLW FANQTANNACASVALLNIVNNIPDIDLGENLQSFKEFTMPFTPALRGDAINNFEFVKR IHNSYARKMDILNSDLQLKTEATTRKKGPKDQAVEESDATFHFIAFMPVMGQLWKFDG LERQPRALGECSDYDWLELVKPNLLDRMAAYEEEEIEFSILGLVRDPLPDLINDLAVN VRKLEILNQRSTSLCPSSETLALDETVLGPDPSLSLTREEIDTAVIPQETLDDYQTCS DEKVQEYQQRISKSQREIRTRIREEQQSHRSDDEYAAGRRFDYGPAVRTWLRRLAQKQ QLQELSALVAY PEX2_019340 MNGTPLLPSAFPQTPKTIPGTGRRKLFETPSRSQPREPRAVRTP LRSASKSTSKASRLPPPNANTDVPLIPTNVIDAPSQRLYVVAIYFALNAWRIYESLTA SDDLDSTWLLLKWATIDGIFLVGLQALRIPWLEWAFPTTLTLFLLHAVGNVFLMFRIP IPWAAWITGLVKVAYDRELSVSERRVKPGDIIHNASLILGRQIVHILPEGSAVLNPDR NSLCLDSLQSTIDLPIRVNQTDPVLIEVLRLDLNTGENETITIPAKQLKTLKKQAEKK HAPGQIHRDLLFPVRKTGVYRLQRVVDESQLDVHTRTFDALVVSCPRALIKNSHIDKC KGELSDFKLEVEGTPPLKIKYSRQVNNLDRGFSVLNIQPDHLRSPLLNGRTTAALYSI NQPDVSWAQSQRIEVPLNESLNDGGEWFYTIEEVHDAIGNVANYSTIFDEGDRPSTKS QSQWYQFSVHERPQLSLQNCNSQNPLQVAKGDSTRFPLSFHPDGHAYARDGPFSVGYS YTGNTKEAAHEQTAELKHMNQTLDIKEPGLYALTSVSTKFCSGEILEPSSCYLHNPPE PELTVKAEKIFDRCANNAIGLLVDLDLTGSPPFSLRYSIESSKGVVSKVQAIDGMRTQ LDFTPSEAGSYTYRFIDIEDAVYSPRSLKIPVLKQDVKPPASAHFLSRKLRTACFGEA VSVEVSFLGEAPWVLQYEIVHNGKRLRKTLESDTDVATITTDKLISGGDYTVSLTSVK DKSGCKRALKDSINIEARSQPPHVSFGQIDKKRSVLALQGSKIEIPLRLSGERPWTVK YKNVDVDSAPVQKNFWDENSVLTVDKQGLYEISEVFDAGCPGTVDQSANEFEVSWIPR PQITALDGSPIGAAKEFAKSEVCQGDDDSLEIRLSGNPPYSVQYEKRRKADRGGFSAA KPRALKSALHVTSMEMDTSEAGEYSYKFTQVGDSLYDHDPKSKALVVTQKVNPLPSAK FDQPGHVFGFCKEDINGEESIPITLEGVPPFSLEINIKHHSNAKPEIVTISKINSNRH KLLIPRRHLDLGQHQVSIHKVRDSRGCQKTADLDASSVRVAISDVPTIIPLESKVDYC VGERLSFSLSGHAPFDVFYTFDGAKRKATSQTANFRRIAELPGQFTINAVSDGASGKC KAHKDITKIIHAMPSVRISKGKESVVDIHEGGEAELHFEFWGTPPFEFTYIRSSNVRK GKKSEVLDIKHDISYENVKTIKTSDEGTYEVIAIKDKYCSFSSQTPSGKSDR PEX2_019350 MGFGTIISLGLVALVAFLAGPINHFVQVTGFFRTLNPTVLGEGQ GPIHIEDTIHCEDLHHYRPANLLFTACEDDKSTRFSWFPPLGHMLPRTTQGSIHVVNP KTMKSTRLAFENFQDPFSTHGIDVIADPKQSNAVYIYAVNHKPNAAYFEAGEPEDVPK AGSQIELFHHILSSNSIRHVRSINHPLIRTPNDLYAINPVSFYVTNDHFYREGPMRLI EDSWRDAKWSNIIHVQIADLASKDAPIEASVALTGLRNNNGLGHGRTDNEIIICSAMS GEMFLATQHESNYSISIDTSFSFGSVADNPSYYHDPYRTDSHDASGFLVAGVSQAIYL MANSKDPNALDAIQVWYTTFNSRTGLWEKKVLFEDDGSRIRTASAAVLVPIEPKVDGK KLAWLFVTGFMSEGMVAVQVEL PEX2_019360 MTTPSTTSHSGPENLVTVKVLYNDSNRRFKLPLRELKAQVFPQM LRKLLGVPADVNVILERYSDSAGSYVRLDCDNIAVYKQLYRAAKAKSKLRIKVTTLTS QNTSAPSPVPSPVPSEPTVPMESTNQTRHSYLETVLSPLAPPNTLPLNDSVQVPHSTG EKELPLGQPRYRTFEMDQEKHQFPVISHSSSNGMFCIDCNNCGRSIANAHYHCSICEN GDYDLCLQCVGAGSSCRGEGHWLIKRTVKDGVVTNSTTETIAPRDQSALEFNPVLPTQ IQREVITKPVVHVPESVPELAPESIQESIQESIPESIQESVPEPVAPSACLDAAIQGD DKPMCNGCCREADESNLVRCNECEDYDLCLRCLLRNKHGHHPGHTFHLGSDRNFCLKN LITSRCLPGRQFRHAAVCDGCDKRIVGVRHKCLACPDWDFCPDCILTAPQSHPSHRFV QVYDAIGEPAREQEIHYGIFCDGPLCKSKPAQSYINGVRYKCAVCDDTDFCASCEALP TGHHNRTHPLVKFNTPVRNVTVSTMGDDGLSGAVALGDQLHAPTRPIDQAELEEQEPV EADASSKPVEKVEPQPFMSKPIPETDSSAMSDYKAFFIRDTITDGTKMAPSTMFRQTW TLYNPGPSAWPVGCDVRFVGGDKMFDVDVCHPSSVESIRSAMESNKLLAPVESGESAD FTVSLRTPHHEGPAISYWRLKLPNGVAIGHRLWCDVEVQTPSLEEADTRETYPAVSEI AGSGMIFPKLEKESPESSTHQAEAPAPQAPTLSNASEGDVLEDVESLTLDDASTDADT GFLTDEEYDVLDASDEEYLEAKQSAN PEX2_019370 MAPLRRHIGASRRKRQEEDGEEEGSMAGDMGDDSLSEGSVDSHQ EDEDADGEVSEESEDEASTLDKAGKVNGRGVDKSTRNSSASPEKPGLKTTVSDTEAML NGLKISDGAGDPAEVYFDDLKEQREPHTGRTPSAPPTEPNRNNLASRKRRENDKYVKE REQNPAFVPTRGSFFLHDKRSTDNGHRLKSKSRPYGLIVDGSSRRSSKPDASGGQWAH DLHDTVAEDRPTAKRPTPSVMPNTGISVPTAPRSSPPNRSFSSTTLVGNVPVVVSLPG MANPIHFSSVPKRLHTRLPQHRPPLRRDKPVRISLPSQPPRYIFPSVERSFIFIPRAL RPNQVSRGRGGRGGGWYSGRRPSYYPNSSYTPSVVSRRSSFGMPPSQDGWPSPAGSVY SRPIIPTDPKPVVRLPPPPRPPVGIPPFGPAMTMSLPHPAYRESRPAPIPMHQPRPQK TVSVADIESPATFPFAFNPPQPQHEQPFHHQVPLPAHGPPSQPSATPLSQIPERAIHA PPFQPYNYQQPGFYPPSYPSGMYYPPSGPDYTGYNGPVGPGASVPNFPGQQAIPYLAA EQPPPPGTVAHESGGTVYFYDANHMYGGPAPGPGPGSGPGGVVGMGGMMTPPGTTFYY PQQSGPYYGQ PEX2_019380 MSELTEVSQKKRKLQDGPELEIDVSAPEPVSKKALRKAKKNKGG DAGDDEKPKSSKSSKPESKEAETEGKRSQYGIWIGNLSFNVTREELRMFFTVNSDISE SSITRIHLPKGPERFGRSQNRGFAYVDFTDKKSLQEAIGLSEQLMTGRRVLIKDANNF EGRPEKSETQENSAGAAKSGHPPSKRIFVGNLSFDVTKENLEENFGKCGTVNNVHMAT FQDTGKCKGYAWVEFEELAAAEAAVRGFMLIKEDDEEEDSSDSDSDSASEKKKKSKKL KPRMQRVWVNQLMGRRMRMEFAEDASTRYKKRFGKDAEKKDEPAITEVDGESRERPRH KKRRESIDESRYSKETVQKLSGAIVEGQGQKTTFD PEX2_019390 MAEPNDNRVTESLLSAPTSAPAPAKQSVRSFKKKYAKLKVRFEL GTRENESLIREELRIEDLSKRIQEQNDQLLEVLMEFNESLHVSPDVRFDLSMPNDPPL LPTPEQEIIPLINDATLAKQAWKEAKAGLAAGSIDTGAYRAIEDNIKRNKAFAPAQQY SSLSQTHHISPDTVEKKPENDCERKLGYFTPEHETEYYLALDAKLGDEAAATQLARIP DRPTFAERERDLSMRNPASVYNWLRRNQPQTLQDNEIASEKSASRPSNQRSSKRAPAQ RKDEDMYDEDGAEAHPTPKNKRKREEDTGYRPKGGSSRSKKKKEEPTSNPSKVAKKP PEX2_019400 MAITILPPVVEDARPYQADSDDDMSIGSEEDVEMTGISRPHKRP RLQGNSNIGTGIVTPGEVVTDDPQWMRGHGTYMNPLSTSIIATVAGTVQKTNKLLSVH PLRARYTPEIGDLVVGRIVEVQSRRWKVDVAAPLLAQLPLSAINLPGGILRRRTSADE LQIRTFFSEGDLVVAEVQSVHQDGSASLHTRSLKYGKLRNGVFLAVTGTGGSAASSSS VKGGVGAGNSASGSSLAAPGGSGTGGVVRSRRQVWTVPTANGGGEVNIILGVNGYIFI SKHSDGADAASSTTENVSITRMEEMVSSSIYSSQNDDIPPQTRREIARLAQCIRVLVQ NGVRVDEETVMGAYNASLQVDLEIGDDEDEEDEWRQEGREYLEGAKAQQILELVKQQL PEX2_019410 MPDLRRQIFESGKTVSRKAASREASRTNSPASSKQTSRQGSRNA SRAPSDEEDSGFLSDETSMSIGSLDDENPEQDNPDWEHELGERIQEILDRKRSSVQGR EEALQAFCRLTKYHYTVEEIHGAVPDLLAAFERSVRTEVSVREATLGLRAIELLVISA FDNTVYENTEPLLTRTIRDSTSPRVKTAAIHCLGACTIFGGAGEDGMLEQMGFLLDII ASDGQSIDAADDPTIVTAALQQWGFLVTEVDDFEEESEEAVQIFMDQLDSSDSNVQIA AGENIALLYEKSYSPQEDDEDEESADSNDDSDEREHDNDPTSGPKLVKRYNAYHNTPE LESQLQNLATIHGKQISKRDKKSLHSNFASILTTVENPRRGPRYNTAIDQNTNRHYGS TLTVKIGRHGVMTIDRWWKWVRLTALRRILQGGFSEHYFQGNRSVLNSLPVMMRMANQ GHGSVDRQSARKAAKMRNSRRWTTHESDDEDE PEX2_019420 MAAMEELEIHSKSYFVRWINVSANHTISWSIQPHKKSVNFGIFK HPGQSSSLSSHLPASDSQSTDSTENLPATATTAGARQQQSAVIEKLTGIGLKTIKWTG KCEADKITQGTYDVPFSEGGNYALVFDNTFSKQISKTLTLVLLTYPTGLQPHSSASIT TRSQPGASTESLPQPKTRRRGNSRATLNSQHVDVSNLSVHTGLLQKRRRKRHQGWARR YFSLDFTSSTLSYYHDRNSSTLRGAIPLSLAAVATNEKSREISIDSGTEIWHLRASNE HEFGAWKSALEKASSKEASKDKQNAGLAAPPALRLYAPSQPDPAEENEWTRVESLVSH ISGSRDVIRRLAKDTDPKYLASPLPTPVDRPRSRGRSPSPQRSTHETTPSEAEAKRSF WKRKASGASNANSKRPPAMTTNSSSSQLVVPPDGKGKPASVISHIDSMDEVHDHLMAV LRDLDNAVSEFSTLISESKERRHPPQPSMVPRRSMESDMSQEFFDARDGDGDRSPLLT IHDSDEEGPEEDRPASAVEEVEDDAPSDSDGESDAAATRQESSSASGLFPVKPKSLIP LPLDPIKRRANILAPTVLPPSLIGFLRKNVGKDLSTISMPVSANEPMSLLQRAAEVLE YSNLLDQATQSRDPVERLMYVTAFAISSLSSNRVRERSIRKPFNPMLGETYELVREDR GFRFIAEKVSHRPVQLAYQADSKEWSISQSPMPSQKFWGKSAEITTEGRVRITLHSTG DRYSWTPATSFLRNIIAGEKYVEPVGELAVTNETTGHRSISTFKAGGMFSGRSEEVST RTVDANNNPVPLGLTGSWPTSLSLTRDGNPTGNTIWTAGSLVPSAPKHYGLTSFAAAL NEITSIEDKHLPATDSRLRPDQRALENGDLDHAEEVKVQLEEGQRARRRDMENAGESW IPRWFTRVSDESDGEVAWRLKGGKDGYWEERAKGTWSNVVPVFED PEX2_019430 MSLRDLIEGEAIMDDDDEEVADDYDAEGREGAGTANHYNDSSEE EDEEEDDEEAARAVREGFIVDEDEEDERAARKHRKRPRAAREEEHLDEEDLELIGHGP SQHRGPAAESKFKRLKRGKDRESHQPSQGVDDIFNSDEEEEAQQYARPGQRRGLRDEM DDFIEEDTFSDDEAQRERDDLGVAPASRVNKTGLIPTDTSGLDENALEDMRAAFGDGT EYDFALQMEDQEDADKQTEERHLDLKDVFEPSELAERMLTEDDNEIRLLDEPERHQVA RKPYKHVTLTEDQFREEAVWISNLMLLKKRMDPELRDPFQRSVAKMLEFLITDDWEVP FIFQHRKDYMIHAVKDMANGADPDDDSAQYSIRAEKLLNMTDLWDIFDHDLKFRALVD KRGTIKKTYDNLQSLFNVNDSNVEDQLVAAATMEELQDVQDYIHFQYSSQLRDLNQVN GEATGETQRRKATGRSFFERVRNGKAYGFVRAFGITADAFAQNASKEGRRQYTEDPTH RPEELADQFVDNDFSNSSHVLKAAKSMFAEELAVSPKMRKVIRQAYYMNGVVDCFRTE KGLRRIDEQHPYAEFKYLRNQQLSDIARRPEMFLRMLKAEEEGLVDVRVRFENFEQFR KRLYADIESDNYSELADAWNRVRREVLDLALGKLEKLINRSVKENIRQECENHVAKEC REAFSQRLDQAPYKPKGMVLGTVPRVLTLSTGSGQVGRDPIHWAYTEEDGRVLENGKF IDLSVGDGSRDIADGDNLAAFVDLVDRRRPDVIGVSGMTPETRRLYKLLSEIVDQKDL RGASYTNEHDEEISDPLEVVIVNDEVARLYHNSPRARSDNPGFGPLTHYCVALGRYLQ SPLKEYASLGRDVVSIQFKPGQQLVSQDLLLKQLETALVDMVNLVGVDLNEAVADTAT ANLLPYVCGLGPRKAAHLLKIVNMNGGVVNNRVELLGVNATYPAMGVKVWNNCASFVY IDFENADPDADPLDNTRVHPEDYDIARKMAADALELDEEDIKAETDENGTGAIMRKLF REEAQDRVNDLILEEYAEQLEKNLNQRKRATLETIRAELQQPYEELRKHYVFLGTDDI FTMLTGETPDSLTPGMVVPIAIKRVFEDHIEAKLDCGVDVLVAETELGVPYDIPVRNA YQVHQTVPAKILFLNRKGFSCNVSLREDQVSHPSRRNQDHGFGDWDEQQEREDKESLQ EKTQRGGQAMRVIKHPLFRPFNSTQAEEFLGSQSPGDVVIRPSSRGHDHLAVTWKVAQ GVYQHIDVLELDKENEFSVGRVLKVGGRYTYSDLDDLIVNHVKAMAKKVSEMILHEKF QEGNKTETDQWLETYTKANPRRSAYAFCINAKYPGYFYLCFKAGEHARLQNWPVKVIP QGYELQKNPYPDMHALCNGFKLMFSNMSKGGRR PEX2_019440 MADQFQARTLKRKNVKGLALNAAPKPTSNPTDGDSQAPGPVGNS EVNHTDTLEIGLEFRLDLRSEDLVTLKELGAGNGGTVSKVMHASTKVVMARKIIRVEA KENVRKQILRELRVGHDCNCPNIVTFYGAFQNEARDIVLCMEYMDLGSLDRVSKDFGP VRVDVLGKITESVLAGLVYLYETHRIMHRDIKPSNILLNSRGNIKLCDFGVATETVNS IADTFVGTSTYMAPERIQGGAYTVRSDVWSVGLTVMELAVGKFPFDHSDSAAGNRAST GPMGILDLLQQIVHETAPKLPKSDAFPPILHDFVGKCLLKKSEERPTPRELYDKDAFL QAAKRTPVNLEGWATSMMDQEKRKSYLGPPIPTSLSREASTPTSNSTSPAAAHTSATI GKPPLISKPTRTPQQSPFPSHTVSGDIPLKIGNEVPSSHRYNPQSQPQPQPQPQYYSS SSSRNARSPPPPSLEHLSLEANDEDSRSGRRAMRQHLNEPASAIDAPSRPFMSPRASS NNSNPRTNLHSTTMPIRTAPPNSLPPAPVSTGGNWRIQPGTTPR PEX2_019450 MSDPPNMGIPKEDGPIDTDVKPGTGARRASGTARRSRRKKDDEP DKKKEKEPKEAKEKPARAPRRPREKSNNTASRKKPKLEPAPEETRVAPVDARSPPHAP ATPQPAQPAQPTQPTQSTRIAQPPQPAHPPQPPHPAPTSYSNPPPPTAPAPSYQLSQP SRQQSQSQPPVPQPPPQRTSGQNFDPIRSAFDNPSPAPSYSPPARTISPRNAYRASAS PAISSIIDPPAHNSQPIYNTLQRSSSGHVSGLSSPAPPAMVSTPSHPSLAPSPLPISS ASRGAMHIPQAMSQSTTYTTPYPPTEQRPTPSQTPKLEPSPPAVRQPQPPAQPEPAVQ PTPPRQQPSESMEVDPAEQAPGAKKEKSTSTAPASKASSPKPARPAKEAPHLPQGSGL ITNALFGGADDSAKSPDSRSTPNIIVHVPLRKGNQIVNFARLAEEQYGFAALHPRLAA HKARMARVAAAGAALERNDKNAKGTSAGESADEDLSLDAERDSDMDGDVPMGGTGAGT NGAPSEASDGKKKRRRKVEEYDRDDPFVDDTEMVWQEQAAASKDGFFVYSGPLVPEGE KVQVERADGTIKRGRGRGRGTGRGRTVTSHPHVPIAAAVPVSQDTGLPLRGPGSRGGT SRRPRGNKKADSGSDRASITASAAHESRGGRGGGTGTPSRGGTNSTRGGKSSISIPMP DLAPAPSTPSVAHGPPAPSPLAGPELMMK PEX2_019460 MAFRGERFLLDLDEESGAPEIPSPFSLVGEIQERAPSTAIPPAP KLPTLSASSTGFPAPRQRKPSSFKQRRSNQPAPPAPAPTEPTVQDDKKSIDAENRRQL AAMSDAQIQKEREELMEQMDPGLLERFLRRANIEEDEKTGFEPPAPAADETPKPKKSV SFDIPASVPVPAPSAQSHSLPKPPSKPHPRNEDLAPSSLPQDLHPASERPSLDPATIE TFHFPQPTTPMPVLDPSSPSFLSDLQSHYFPEIAQDPSTLSWLQPLSADEEDPDSTSP YHPASNAISMAPSAIRFSLRGTILAPETSLSLPTTMGLHHHGEDPHAAGYTIPELAIL ARSTFPAQRCIAWQVIGRILFRLGRAEFGERGGQLSDGLWFVIEKEGIVAGMLAEADG AAGQTQGRDKGKNTKDAEEGSNLPLASGVGRHASAAAWAVEGIWLWQKGGGGDRGLLK EGQHRSL PEX2_019470 MPSFPTTPLVRPREDGLQISYPLSHRIHTAKGYPLRAPNGSSVI IYGYDTGLKIVWRGGKRFAELKPTGPQVKPKPAGNNADPDSVMIIDSDDEEEPELAQE EPVVEFSLEESEVDPAAPYEEILRQVDIPLGSRVLDVAVPRVLPEEARSPLDPFPPIL RNTIVVSVVCSDLSTKVVTLPLVPPHPTQTDSKSWRIQTLTVNGATTHQDVPRGVSIT FTCHEFEDDQDQRKPRGRFGNFGKWDLLVATHSAEGCGALLLHRIPIREESSFRLVEE DISSQRRLLPAPAQTIAFNPSTWPSVRHANLLVAFHSGCVKIYSCFVKKASKSSRRAS MPQEDYETIDTEGRWLISLYPGFEQGPTGIPQRKRIIDADWVLGGRAVMVLLEDGEWG VWDIEGAGPGAVKGPLHRQTSVQGVTGGSLTAYAVCGRIMTPLSNTNSETEQRPRFAP MTPSTRRVREDGLLKGSMSTASPSHRGQISVLQTNPSSDVLPDESILLRHGRQSAVIT SLLSLWRNAVKTTGTFDSSNRCRVSPFQDVNLMGQNFQAIGHLPAPARRSRQAERQAF DVLVAAEHQIMILAPKLTESVEESLPVVKQEETEDTDQLMLRRGELDVEGMGRLLNGM AGGNASMRMGSPVKRTRVFA PEX2_019480 MASVRSLGVHEPSAVSYLVAEGIIPSEPSEDHYNWTLCVDESGL TGPVDDELVWTKHCVVWSRAGMVKRVFRMDPEKEEIRHALFTRFATEDTKRPKTAPPS RPEATTGIQKQKRTETFSTLDLHGDARVAVVAPQHSNEALHRALVVVLKSQAHIFFVA GNSHTIPLPFEVESVFATPRGLIFQRKVQDENGSSQKPTAPPNSFMSGSLFDPSASQS FSLSKNKRPSTKASSTPGPSWVPNTSSSTELPRVFSLINPHSEMGLVVTSQTSRWLQS SVNSTRRRPSGLEVLDPVDEIVYISPKDELSGTSRPNSGPLILVVTINTTTGIYTIWT ARYKDDESGGSMKDKTRRETGGTRSVRRSSHFGMATGATTPAARSGATRESFGPRTDN WPSTQLSEGKTDAEDDLASRVAQDFGDVGVPLKTSRRVSSLLARTDLATSQDRLTFSD LATGSQSSTMPHGAGFRQSIGGASARASFGFNPRGSLPPGTGSVYSTAGSFLDTPVDK LLEELNSDSLSSGFENIALRESASGLPEELILTKVESFSSQFSGSFQKEPASRRLKVS TLASTEFGSAHKCNTASLAVYIVDQEAQKLTIVNLRADREPTPKSRKKKSKTAEQRPL LVRAVRIQNVSNVLDACRISDGDLSRILSLSETESGHRELSLQTLWGSPVKVEVPVPL QLYEPDGISANKLESRPRESGVNRVMADPDLVFNKFDHGCSRGKIDLVDTDKQRHRLQ IRMEPRNELVKKILKICKFALRESEKAGDGIMVAWWEVMKWLRGREENNIEWTAMTVV LLSLAAPFVTPPHIQTPKRVRRKKGLLRSSSGGHLDLESWETMLDLESGSSGVVAPWM MDSSWGWIVEQDAIESLASGDAPKGTNASRSTYRQNSYLIRCIALSREFLQTPQGLRA AGRDGYLPISEAFSENTRCTALCSVLVALHLLREEQKLSTCDSEESQRPLGLLAPVIA QLGGWLGWESWKWTEDAYYGTEMASMERWQFEDNTHIAQLKVPAEPFPPPSIFEFLMG AAAHRSPTPFVSLLDIVNASERTPRKGRMWRECFSITPRTCALNGFFAEVHSVSTPLE KIKLLQRWGFTKSVIDSFPEGVSTPLYEAVMQCQIEASTSWNATLLEMIDREDLYMSM NPTQPNSLPAPQQPNHNAIRDYHYISSSALDIDAINAFEASAEADRYSVTRLIFRDDK RVMEASKLLNQSKAPVAECIAEPGWSDSDLLEAQKEIVQLVTVRTLSTPAGRAMLTFS GRLPLLTEKLPIPSFSLQCVMKPDNVTVSADKSAFSEEKVCWAFFHNGVSTGLAISKA SKGIDTSWILFNKPQDLTNRHAGFLLALGLNGHLKSLAKWVAFKYLTPKHTMTSIGLL LGLSASYMGTMDTLITRLLSVHVTRMLPLGAAELNLSPLTQTAGILGIGLLYCGSQHR RMSEVMLSEIENSEQDEQAAATGEELRDEGYRLAAGFALGFINLGKGDDLRGMRDMHI VERLLSIAVGTKTVEIAHVLDRATAGATIALMIIFMKTNDSVLAKKIDIPDTTVRFDY VRPDLFLLRTLARHLIMWDSIKPTAEWISQSLPEVYRGRSRLTDVRRLRSEDMPFFNI IAGLCFAVGLRHAGSGQAQARDLLLFYLDQLIRISRLPVRSYDARLARNSVRNCQDVV ALSAAAVMAGTGDLALFRRLRSLHGRIDADTPYGSHMAAHMAIGVLFLGGGSYTLGTS NRAVTALICAFYPIFPTTVLDNKCHLQAFRHLWVLAAEPRCLVPRDLDTRRPISIPIT ITSHDETTRTVSAPCLLPDPNHIARIEIRGPDHWPLVLDFSQNDALREKFLRGDPSVY LRRKATYSPSSSTSIFASTLTGLSEAQDILPTTAGPLSNPAKGLPPSAWPNCTALLTG KPSAAMTPSQNPWDWVFHLPSLQDLDIRERSLVLPSSFPMRSTRITTDLVSVPPWLRT SAVDARLALSHTVRNIVQSARGRGADPDQIRDRIWQLRLLFDWLDRTQPGDERDHLSS RGLLSPAQGQPSGLWLRRDFVEEARWQVWGVQVGDLEGKTKI PEX2_019490 MTNPPQKQSPPKRIWASLITNMSYLPGLLTLHHSLNHPSPDPRI TNQSATQGTKYPFVAFYTSTFPAEGLKILQSRNISSQWVPSVTPASTRSYAKDPRFAE TWNKLVVFSLEQYERVVLLDGDILVRRNMDSLMELPLDDETDAEGSRVFAAAHACACN PMKKAHYPANWIPSNCAYTKQHSTPADAQSIAPPPGSGVGMLNSGVLVVRPSARVYSE ITTALQETARIERYDFPDQELLSDFFAGRWVALPYVYNALKTLRIEGVHDSIWRDSEV RAVHYIFAKKPWHEEVVEGGDLSGLDETGVWWWRANWERMMAERGVGVLDEFSGSE PEX2_019500 MAVPTQLAFRTLKGISIMDAAPVYEPLSGFAKPEGNLRCSGYSP CGRYFAWASPEKVTIIDPSVGQVVGTIPADNVFELGFSPLGTYVITWQRTSKDENGDA VKNLKVWRAIEDGDDHSVVGSFVQKSQTGWNLQYTADERLCARTVTNEVQFYQSDNLS TVWNKLRVEGVADFALSPGQTQSIAVFIPERKGQPAQVKVFIVPQFTAPVSQKSFFKG DKVQLKWNASGTTLIVLAQTEVDRTGKSYYGETTLYLLSASGAFDSRIDLDKEGPIHD VSWSPNSKEFGVVYGYMPAKTTIFNFRGVPKHNFPLAPRNTIQFSPHGRFVLVAGFGN LAGQMDLYDMDKNYHKITTVEASNASVCAWSPDGQYILTATTSPRLRVDNGVRIWHVS GGLTYNEEMTELYDVTWRPQSIEQHPLGEPLTKVPVPHPSATAYMGTRKAPVKPAGAY RPPGARGQLTPLAFKREDEGGAAFVRDGVPGASMNTFGKPRRREIPGAEPSEEYLPPG AAPGGGVALPAENLSKSAAKNKKKREAAKKAKEDGTDAPPANAPTGPSPDRNRNRGKN SGPTNGNGAAKSAPAPAAAPAPAPVPVAAPAPVEDPSAQDKKIRGLLKKIRAIDELKM RLAGGEKLEDTQMKKIQTEDAVRKELQAVGYDG PEX2_019510 MTTPTLINLPPPPSDPVTPSDMGPGTPNSGTTSLSALSTTAIKD GHQGQPFPHSHHAHQSSTSSTTTLEAERADRISRLAGLERVATARAGGQTSGQVLPPY ASGYFESQALKERSTVGSASATGSIAGRTTWASSSDTYDVDKMSEDHEDDGTSSVGNA SDEGNASLVGFGEGANSTYSGPISRPPGMNRISSGGIGARPTSMGSSNVNRSNPLASY LQQQQHHAGESSMMSPSPAGSMTPEPMNEDARMVDGMTFDSDVVDTTARTPRLVSTPG HSNSGFGSPTQD PEX2_019520 MSRSNPFRPRNPGNPADPLPTNSGTFASVLPSKPLSTPPIPPNV YPAKSSISLEREVDDSSSSDEETNPFNLVVSATDSEDEINRYKSSAPHEDDRPRESFG SAPHPAPSTDGSPISPDHNMRSATGAESSYAGRPAARSSLELDASSPSGRAKDKKPPP PPRSHHGRRIGSTATAESSQTARSRSTNRLSIHGSSGNITPGASHPSSVSLSSAADYF SVPGATGSTDSLQRSQSQNKRPPTPPLSRRHSQMRRSKSTQSKTSSRLNISYDSESND SSLPPSPGPSSRALQNKRISMPPPSSGDFQATVPLGDISLNLSPPTNSRPSSLKAGRR ASSYGSVPGGSSGPPPPPPPRRTRDSIARSSDVMGLKENRTPAPQPSNALDILADLTR LQKEVDDLRGHYENRKVD PEX2_019530 MKTYGRPTWRVYDDERVAKKRRVDESDEAEANLQYAIRESSAAV LSSPSRRNSLLSEGTLDDIDDLTTPPSSPPPRLTPPPANTRKPTFAFLKRKHKEVTTG SPLTEVNSNSVRASVDPPKQKGQKQPVMRQMQIDLGNDTRKTCATCGMEYIPSNTEDA ALHKKFHEMNATGIDLGKAFMRANASRWVYEATRFDEGYVVIVDRKASPSAKSQAKKV LEVVNKELSSPEIDDETLWSQTEPPKHLQDGPTEKVDRYRVFLHMKDSRCVGLCLTER IWESRPVVKEPNGDAHNGSSVSTRDEVRPAIVGVSRIWTSGSSRRKGIALDLLDCVVI NFIYGMEITKAQIAFSQPTESGNCLAHKFFEGEETWHVYNER PEX2_019540 MSDQEQAAGKRKRARTQSCPPPELPQLVAEQHVPIPSHDKDTQR LIVVLSNASLETYKAVSSGRSGTKDEKFSLLNSDEHIGIMRKMNRDISEARPDITHQC LLTLLDSPVNKAGRLQIFIHTAKGVLIEVNPSVRIPRTFKRFAGLMVQLLHRLSIRST NSQEKLLKVIKNPITDHLPPNCRKVTMSFEAPVVRTKDYLESLNPNESVAIFVGAMAK GHDDFADSFKDETISISNYSLSASVACSKFCHAAEEVWDIL PEX2_019550 MAGGVQMGPCQVIAGSQCGFLLADGASALDALSEVQEPIDVKWV FCLLVPFLWTWVDVLTATGLSHTTGRGTLRILRRYFFMGDF PEX2_019560 MPVSHITLTVSHLPTSTSFFLSCLQPLGYQFIGRHDDYIGFGQK QGEPADFWMTETKPGSPPGAVHVAFPAPSKDAVGSFFISALKAGAKIHGEPKMRDSQS GYFSAAVIDFDGNSIEAVYRPSNASLISEASGPTMALLEGSSRSVVSKASSKASTIKT ESIAPPRSEAMSETRSVARSEARSEARSEARSEARSEARSEARSEARSEARSEAKSRA ISKAPTTYERSAPTVVSRQFQAPPPPSYTMQAPAPPQSDDGSKAAKTIVGTLLGAAAG AAIAYAMVKGDSQSTIQEPEQPMQFASSFPQLKAAAQSFFGNDDQQSQYRAIEAPPPP RSAYTTASNPRSTLTRSVTSKNPRASTIYDGTEYMHDNPRRASEGSIYSIPEDIPLRA IEYPPASNASQQRYACAPSTFISSFHEEKTRGPSSVHSSSTIKASQSTHRRNSHDDHD GYESTVSQRSHKSQQSTHSYRAASVRSSSHVGKDNGSVASSSRSARNIPLPAGSSATY YSSSSIHSKDGGNSYLSARNVPLPESVADLDVDSVVSPDDSISQVGSHTHRHRSHRSK SSRADDHGDGASQASKASQRTVKAGEGSRAGSRRGSQVV PEX2_019570 MALKRINKELTDLGRDPPSSCSAGPVGDDLFHWQATIMGPGDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRPRYEATAREWTRKYAI PEX2_019580 MMNRSLLRAAVRSIQAGPTSVAGRRYASSAVFNWEDPLAAAELY TEEELAIQDTARQYCQDKLAPRILEAYRNEDYDRRILEEMGELGLLGASIEGYGCAGV STVASGLITKEVERVDSGYRSGMSVQSSLAMTGIYEFGTEEQKQRFLPNLAKGKISGC FGLTEPNHGSDPGSMETVAREHPTQKGMYLLSGSKTWITNSPIADIALVWAKLDGKIR GFIVERERATPGSYETPAIKNKSALRASITGMIHMDNCPVPAENMLPDVEGLKGPFTC LNSARLGIAFGAMGALEDCLARAREYSLERKQFKGNPLAKYQLIQMKLANAATDAAYG TLAAVQVARLKDAGKATPEMISMIKRQNCDRALANSRTLQEIFGGNAASDEYHIARHV ANLFVVQTYEGQSDIHALILGRAITGKQAFV PEX2_019590 MRQEDESSLHTNMKRNRIQFEANGDASSVSPPQGGFTPEDRPHH VPKISRRIRACTECKRHKVRCDMKATDSVCSRCQRMGLECVVNKSLQTLLEDEAEWKS MIESAMTDLLRKSQLPELSYYQAGGGTIETPSNKSGRKDSTVSTDDIGFAQHKRIEPS GSTVGNSTAARSNYGFPQQPQPRYSLDREETGATSLVTAPMGSLYEVTQLSENRESSP GQKLAPDQALVTDLISRGVVDIQEAEELFYQFDQVLNRYLWDGALLAHKDLTSVRRSS SMLSAAILAVTALHMPAKERMFDTCYTEFARLASESMLGHHHTLDDIRALCIGAFWLA DVSWKLSGYAVRIATERNLHQFFRKATQGSPEHMEQARLWYLLYTLEHHFSIAYGRPP MIHEDASITQHNVFIQNPSVSQGDLRLHSQVDLFIILTRIYFAFGPDVDLEVPESDFP KIDQYDVDIGDWKSAWLPRLAGSRYVGAYPYKAVYMHYHFSRLQLNSVALRTYHSSTS SGQMSFERRKRANIAVESAIATLQVCLDERDIQLALVGVPLYLHSMITFAAVFLLKIA AKVCSHGAIPGSQGKRTSIASAGLHVDVSYVRVLVGRVVELMVACSQRASERHLSHHI ARGLRKMLTGLEEWEKRTAGTQQHMGSSAQDSHSLFKPVVIPGAQMLGERDTILNHPP PLLGVAPLSAERSNGFEPPLTLGKQEPGLSVGSVDPMMADLWGFDEDYFPTGVFDFLQ SQMPA PEX2_019600 MGIKHLYQVISENAPDAIKTGEIKNHFGRKVAIDASMSIYSFLI AVRSEGQQLMSESGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRVA RKAEATEAHEEAKETGTAEDIEKFSRRTVRVTREHNAECKKLLGLMGIPFINAPTEAE AQCAVLARAGKVYAAASEDMDTLCFESPILLRHLTFSEQRKEPIQEIHLDRALEGLDM DRPQFIDLCILLGCDYLEPIPKVGATTALSLIKEHKSLEKVLEFMKNDPKKKFVVPED WPYEDARELFVNPDVRPADDPECDFKWEAPNVDGLIEYLVGDKGFNEDRVRNGAARLS KHLKTAQQSRLEGFFKPVARTEDEKASLKRKHDEKLQQQKKKKKDDAKAKKEAKSKPR GAA PEX2_019610 MPPKKVVTSASKKAAPAPHTSYRGMFPLILNAHHIARQAIKKYV QANNKINVTSQSVFDSQFNKAIKAGVEKNEFTQPKGPSGPLKLAKKDAPVKAAPKPAP KPAAKPAAKPAAKTAPKASTKVTAKTPAKTAPKKTATKAAASKKAAPKKPTATKPKAN SGKARKTSTAAPAVVEQPKILGKTKSGRITKTTAPQPTTTRAAPKKRTTKK PEX2_019620 MTTHKSPSDNARKVWNHEFTWTDKHFTPEELLPLRQETDDLAVD AVSRLQAIAVKNKHSMGHGFGRFDMYSVLKEHHGEDSVLQGLWEEVNAVPEWVDWAQI ERGQEFFYRYLIPNITGLALQGFLGGTATIAGGTEVLVRTGGFSLRVMPRRFLETFLW LLQVTMDPKSIQPGGEGHTSTVRVRLLHATVRNRILKLMDQDPTYFDEVKYGAAVNMR DAIHATAIFCCMPLFRQLPKIGIQPRPQEMADFLALFRYIAYVMATPDSFFDGTEQSK ATMESIMMCEPEPTESSKSIGANFVAAVQDYPGINVSKSMIEVGCRVLSGDELGDKMG FSRPGVFYKASFRGWCQLLVVITALQRLFPGFDRILMKKSKEFVLVNVFGASILKEGN KFEFTHQPQLNKFTKRETKTDINLSRFRPVEAIGFAVFLIECLVYALALGMLSYVLSA NGMVSQTLLNVLGKCA PEX2_019630 MPAFSNDVSYQSATATATHPRSFESSRKSHDKTPVGNTFLWTNW ADGNDANHDSQNDRHLLTDLKNGSAYSLNGPRSSVGSYTREVPLSKDGSMHSLGNGST RDPRENGRPSAMLDRKTSDTGPGTISTTAPPSTQQPNGTANGRPVQKLMVNGDVHPPN ADETSISPSASLLQIPQQDETGRYSPDPDRLTPNSKPGQPRHSSPPASSTLDAASMPE SQNSSMRQRHSLQVPRTPSVRRDSREYTDDAAYSTGRMSPTTGFRRASVGLIRRATKN STQQDITLDEAPPDEDANRWAEAIKQRRASRRRREEEDDERVIVGTKVDQNHVNYVTA YNMLTGIRFTVSRINAKMDRELTPADFDAKHKFSFDITGNELIPSAKYDFKFKDYAPW VFRHLRAKFRLDPADYLMSLTSKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHSEHK LLRKILPEYYRHVENNPNTLISQFYGLHRVKMAYGRKIHFVVMNNLFPPHRDIHQTFD LKGSTIGRDLQESDLERNPRATMKDLNWVRRNRHLECGPPKREFFIEQLKRDVVLLQR LKIMDYSLLVGIHDAGRGNEEKLRDKTLQVFQPGGNREEDTTPNNLMRTPSKLENERK ARELRMLIKRERPVPLDKAAAKMPDEILDERKYHVFYADDGGFRATHENGQPGDEIYY LGIIDCLTHYGTTKKLENFFKGLSHDRTQISPIPPETYGERFINFIKGITMSREEAER RRDSRVSASGAPQQSTENPRSRSSSVERTMQAAEKEASKDVSVTHPRTLATVWDPADA GGPGPTSTLPIVDEAGEASSVGGRSSHSRNGPPASDKELPPIPRDAPPPTPSKGKEVD RRNFMTSSPIRR PEX2_019640 MDGSQGQVGQPMGAPQPQHSNLIRTDQVQKLPHLSDQQKAQHTQ LVRSLWDLLNTRDPQTHEYQQAHTKLSQLSQNLMKGMRVFQQNRQQAMQQHQHQQAAV QGQPQQGQQTQPVQQAPQGTQGPQGQAVQRTQSNNPQTINQLIPQIQARVSALNFFLP PNVTPEQVQTWIPEARLRYGIALQKQEVGRARMADLRSSYAQRQAQGNMSQEEMQEFK NRQLAAEKLFREGSEFLNKFKEQQESFKIQSQQNPQNQAMNQTAQANTPVNMNQAPVA TPVSAQNIPNTQAGTGANPMQSGQPQPPAPHTINSAVNAARQTAMSPSVSQPGQPPAQ SAGNTPVPISAPLPQVQRPQQPSQQGTPGGQVTFSQNPHPDGSTPTPPGPPQQVNQGP PRPLSHQAAISQAAQTYTNPTPQQQTMNQQAQVNQQAHPQGYLANRPGETPNRNTNMA IPKNLHVSTPEPVSMPGSRPSLSGGPSHGAMGMMGQPAIQKHPGYVLEGEGQRVLSKK MLDILVRQVTGGGEGEMLTPDAEEFILQMADDFVDEVITQACRLAKLRPSSTLELRDI QLVLERNYNMRISGFSTDDLRTVKKPQPTQGWTQKMSAIQAAKVTQGKNE PEX2_019650 MSLLNSHLEQITLSSNAIANLPFPPPRIFTTALLGSHDITALIR DTEAHERALFQVDPSTKAHGSQRRATRRGTMFPAETERESMASRIYSARDNRNQSAVA RVLGHDMMEEIKRSAGTSTRGPRGEVNIEVLLRGAEILCNVYPVAGAQEKIANLRYRH EMISESIAQLEGRVATNTAELDQMRHSYGDNEDDFPPAPVAQPEIPEVTDEDIERELA EIRVLEQRKRRLEERVTGMDRDLGGLIG PEX2_019660 MPAERRSLRSNNKSDTSSSANGEKATSNAQSSGAKDKAPTTRAA ANKAKSAPTKKDAKGASNGSMGENDQSHTNGSKSTEKGVNGSEDVEMGEDTAGAPTSS SNTSKDRKSNEKMTVVVPPTKGSRSSGKDKEEDVTMEGAEDGDVENTEPEVDPTTKAI QDIKFNFTLLERAVAHFDPRFTLRVLRSISSMRKHLTPEVLAEVIVDTYSASSSTASF LLEALGQTNAFENAPASSQMEVDSDKKPIPKETLAEVDAYLSILVQIYLFDQREIQKG VQFSTSLIERLRALNRRTLDSLAARVYFYYSLFFEQVAPLPPSPAAAVTTIRQPLLAA LRTAVLRKDVDTQATVMTLLLRNYLSTSHISQADLLISHNPFPVAASNNQIARYMFYL GRIRAIQLQYTEAHSHLIGATRKSPASPVARGFYQASHKLLVVVELLMGDIPDRAVFR QPALERAMHPYLLLSQAVSVADLDGFLNIVNTHSETFRKDGTYTLILRLRQNVIKTGI RMMSLSYSRISLRDICLRLGLDSEESAEYIVAKAIRDGVIEATLDHEHGFMKSKEVGD IYATREPGEAFHERIRACLALHDESVKAMRFPMNQHRLELKSAQEARERERELAKEIQ EGDMDDEDAGGDFDAI PEX2_019670 MGARTASATGLILSSRTTVCSQCLRDDLRFAHIALQSRKYHPTR RRDASPFGAAVSAAQAIFKGMPKAPPGISVDPLRMVGKELKFLTKNIRQLLGSGHPAL DKVAKYYTHSEGKHMRPMLVLLMSQATALDPRKHHTYTDKPSPVDSSFSAPSILEDAN PEMNPLVAPNAESKYDFSGDDNILPSQRRLAEITELIHTASLLHDDVIDNAVTRRANS SANLAFGNKMAVLAGDFLLGRASVALARLRDPEVTELMATVIANLVEGEFMQLKNTAQ DESRPVYTDETLAYYLQKTYLKTASLISKSCRSAAVLGRSAPEVIEASYAYGRNLGLA FQLVDDMLDYTVTEAEMGKPVGADLELGLATAPLLFAWKSNPELGPLVGRKFRKEGDV QLARELVYRSDGVEQTRALAQEYADKAIAAISDFPDSDAKTGLIEMCEKTMNRRK PEX2_019680 MGSLASFLFVYALGGITFIPLVLSSIILYAYLTLPSAPQPLQSC EKALDPVRQPTDDDFSLKSGTDQLAEKFHRTHESDVAAGYFAVCREYVPGGVNGKPPE RTTPAGEVVAAESPSVYQTMYRSLFDRKQAPSIDPAKANGKTTKRARNVFYIVLRHGH LMLYDDVNQVEVRYVISLAHHDVTISAGEGDIPEGELWLKRNAICLSRRLASLGDLGG PTPPFYLFSENLSEKEDFYIAMLQNQNRLWDSPDRPPKHQEFDTKHIVTLVQRLHSSE EQLQTRWINAFLGRWFLAMYRTPELEEIVRSKIVKKISRANKPNFISKIGLQRIDMGE GAPFITNPRLKDLTVDGNCCVEADIQYTGNFRVEISATVRIDLGPRFKAREVDIVLAV VLKKLEGHLLVRFKPPPSNRIWMSFETTPKMEMDIQPIVSSKQITYSLILRTIESKIR EAVAESIVLPFWDDVPFHNSLGQAFRGGIWQQDTPESSAQVEIPEIPDESGEAPPTPK SIASDSIEVLKSKDDRTMSMPVLSESGTAKKKSRKGLKSSLSDHQSNSSTATSTGVER SEITPLPRAIRSQTFSHAADPIVTADNAKVDKPVSENKGEERSHATNAMIEISSRSPP ASPQRTPGTSPPTGGLMMPENAVHSRESLVADSIDSASFSHESVIQRPSSTRMESGTF SSLRNSRGSSATSVVSDTAPRRSTIETLTKSFTSSDDKSSGPMTLGQATAAAKKWSWS VFGKGDPNNSPESPRAPSGTLNQPIGRGHPHPPLGTPLPRPDKYALKRSSGTLPKRKP VAAHTVPERPKTGEQQLPQSHLPRRKPMGPEMQNDQGTDQLLVVEAPQESEPNSPAPG DPESDSSLIAPTPVESETSSKAEQLEDDSEEQEELSGVESLRSVDQAPSSTPGSHEAL PSTGRVEIPSL PEX2_019690 MSRTEADLAINIRKATSIEETAPKRKHVRSCIVYTWDHKSSAAF WAGMKVQPVLADEVQTFKALITIHKVLQEGHPTVVREAQQHTNWIDSLMRGVGGDGVR GYAPLIREYVFFLEAKLNFHRNHPEFNGLFEYEEYISLKSINDPNEGYETISDLMGLQ DQIDSFQKLIFSNFQSGTNNECRISALVPLVQESYGIYKFITSMLRAMHTTTGEDEAL EPLRGRYDAQHHRLVRFYYECSNLRYLTGLITIPKLPQDPPSLLAEDDDRPALPRRPA REMETQPSPPPKASAEPEPINDFWTNEAKRQQEEYEAEQQRLQQQWEDQQRQQVLAQQ QAQNDFEEQQRLQAEQQRLAQEQLLRDQYQTQTQGRLAELEQENLNARAQYERDQLML QQYDRRVKEVEEQMNHLNSNLNLQNASKDDQIRSLQEQVNTWRSKYEALAKLYSQLRQ EHLDLLQTTKSLKLKAASAQEAIERREKLERELKTKNLELADMIRERDRALHDRDRLT GTNKDDLEKIKRELRMALERAENAERSKGTEISSLLSKYNREMADLEESLRIKTRALD EHSSRNNDRQEDLDLALREKDEEIEVYKAGMDQALEELEELRLSQGDVDHALDSQIDT VLHGTVAKINDIIDSVLQTGVQRVDDALYELDSSMQAGNQNASPPYVLSQIEKASASA TEFSTAFNNFVADGPNSPHAEIIRTVSIFSGSVSDVLSNTKGLTRFATDDKSSDHLVN AARKSAQATVRFFRGLQSFRLEGLEPLQKTDVVINNNLEVQRDLQALSKLVDSFAPKN SKISTSGDLGDLVDQELLKAADAIDAAAQRLAKLKNKPRDGFSTYELRINDVILAAAI AVTNAISELIKAATETQQEIVREGRGSSSRTAFYKKNNRWTEGLISAAKAVASSTNTL IETADGVISGRNSPEQLIVASNDVAASTAQLVAASRVKATFMSKTQDRLETASKAVGA ACRALVRQVQDIIKEKNRDGDEGEDYGKLSSHEFKVREMEQQVEILQLENGLARARQR LGEMRKISYQED PEX2_019700 MSTLAISVPSGHTPTHLEMLLFRYVETLSFRHALRVLERWPENS PVGEYASDVLRELPANYFQQPRLLPTGPRFVYTNGVLELKRIDEALPMQQIHPDSTTG CVGTAMQDVLSPPLEQRRLRPLNSFMIFRSFCAPMFPGVPQKVKSMAISEMWQDDTLK SHWAILAKAYTIIRDHFNVDTPSLSTFVDLCLPLMGFLSRQQYLASSGWIIQPDGNSL SLRKIGSSTLNTIVTPIISVDQVVQHCTDNNFAQERDEEWDKHISEDGAVFAVEPLFS ATIPEPQNWVLGDVPQWPIEEFEVDEMYSTLDTERDHGIPAIYDPDNISHSTTMATLD RIFGTN PEX2_019710 MGFRITTWNVNGIRNPFSYEPWRGTRTFESMFDILEADVVILQE TKIQRKDLRDDMVLVPGWDWYSGVVVYTRNATCSPIRAEEGITGVLCPPKSSTSFRNL PEEQQIGGYPTSDQLFRPTMTPERPDSEEEQEDVSSVPDITIDAQTLDSEGRCVILEF PAFVLIGVYCPAYRDESRDTFRMDFLNALDSRIRNLIAMGKNVVVAGDINISKQVIDA AHGIEAIRKSTMTEEEFISAPSRRLFNHLISDGVVIGERDKGRENPVLFDVCRSFHPD RTGMYTCWDQKLNARPGNYGSRIDYVLCSLDMQDWFSDSNIQEGLMGSDHCPVYAVIK ESVNQPAGEVNIRDILNPPGMFKCGKRQQEYSNECALPASGRLLPEFDIDKRRSIKDM FARNPVSIPAGPANMPTTQVTESTAMHTAFGSTGSAKMGSALIPERDQAPQTVSRKRS QPLPITFAKRSKPAISTSSGGSTSGQKTLTGFFKPKAIDVYETTRSQMVSPRPSTPSP NCKPSEIPREKGKALRINLQPSESQEDTIRKRADTSTELPTASKLVDDTIIDPIVSKE DWSKLFTKKPIPPCDGHQEPCISLTTKKPGMNRGRSFWICPRPLGPSGEKERGTQWRC PTFIWASDWNSPVATQEQ PEX2_019720 MFPQRNLGRLSQRASQQMRSAPVRSTIQRRFNSAEAKPSWIVDN EFNRERAAVKHHAASTSDLWRKLSIFAVIPCLIGGGINAYNLWSEHWEHWEHMAPLEE RTEYPYQNIRTKNFPWGDGDKTIFWNSDVNYHNKDKAT PEX2_019730 MTMLRLHRSPNLFGDSIMLPPRNAIGRILSHTRPTHLPRISGPS VYLRPFTHRTRLLLLSPAPGRPQLPFLSPLAPTRPLPPLSIHLRQHFGRLISTESREH YKRRVSRGVKIGLSVSAILVLFSAIQLGIYQEDIEHSWPTPPEWSWKSRWCLRSAQAL QNPEQIGKLMTNWPMVAGYLRELLERLENLEGEGKGLIEQDEGGFLVEGVGRTGFDVS AKSEPWRRGYFQALMGAAKAAENLDGWLTDRKQKISAPAEYVVGPSNPRPKPMPAGQK KVPREEDCEQASPSPERFYMKILTTKGFDTRQKLDAALAYADWLDFKGLGLTAGDMYK WALDIAASGLEGDSSKIVDLKNGILRNNGGDLPSENILRVFTALAVHNARQADLPRAL SLFTSVLKARRSLPLSSESDTSPSFPTLPKSNHDPFASLFKSLRNVLIPVEYPEPLPS GDEPPHRTTVSACEEAGLMTYIGEILYASSSKESGLAWTRDAVDLAESTMLDLGNSAN RTARTRCAQCLKVGLENWKTMVSSLVTRARQEEQESRDQAKGSWFGGKHRAEAKCLDR RRWEAEDAILEDRIRRLFPLLEGESGLDLLAPNSSLFV PEX2_019740 MPRKEFLRDLADAAVPGRFPCISDVRTGDYDGSISFTFAAPGAG PTLDLQAIVSDSHDYPKEHGFLAFSSSEDCPKTVTSSLENAVPCFTSLTINDLLSKIE SIITNSIVDPDSTSSHPEDTGTQDPNDDESAASDTEPDWESDDENIIFNSTQSDPGLR EKIRRDLRAVKNAGFKVGYLGSKYGTIIVSVSCRISKLGISEEAMNAWSVESSEYLVL LIRYRPTYFDLHTIIETAGDPKSSPIQMHVGLCNSYKPSLEHAMEALQGPPDQQTKDE STTSDKTMCGHLLKPLFIGRSLNLLLGERLFGIINLRLKHGFSWTGAELFFQTNQGRI YASSEISSEEHYQSDCWATSTPEILVADHMTELDHNISNMSLPLLAIQFTLRHFIKCT EFCLVCHCKTGDTFESLKPYVCSNALCLYQYMALDLGPSLEYEIRSQPFVVDLLTSLA YSRASSGLLEDFPTGLRLRVPEKLLPQKTPDLTTYHTGQFDAANLEIQLNQPAPVKVG DWIVLIITVPEAEEWHCRIKHVGETSNHIRISPPISKDRQLQPKDLRAPPRQVKIVVY DTNLDDLAPVQKQHMISCLLGMLPGIKEMKAFIGSHESGKLLSSWRDVISPAGLDLLR WVVASNRSFIKQDDDNPRHQVVGMSGYIQFRLVQGAADKEQRFINAVNSNSLAQNPNH PTIFAWHGSPVNNWHSILREGFHFKQILHGRACGHGVYMSNHFHTSLGYTGTHILSTW SETRLKLSTVISLNEVVNAPHKFVNHSPHYVVQHLDWIQPRYLFVRLQDLNVPGMADR TGGSTYGSTPKSYKSQSSSHNNDESHLYKQDQRYLALGPNGLSVKIPISVFSGPRGEF LRIAREAGLADLAPSSKRRKYSVGNTEQHENDDDYDNASIETTLEDLNILLSDDEMSE SSPGPSMQGAIPELALKTDFRPGTLQDSILPTLSSPRFATTSGTKVLQQHLQATIKIQ ERVPLHELGWYVDPSLITTVYQWVVELHTFDPSLPLAQDLKAINQKSVVMEFRFPPQF PMDPPLVRVIRPRFLEFAAGGGGHVTAGGSMCMELLTHSGWLPTASIESVLLQVRMAI TNTDPRPARLNINARHMDYSVGEAVEAYRRVALAHGWQISKDIQKLAW PEX2_019750 MPSTFRASRSGRHLDGAPNRTRTGQIDHDVFEGLPVRRWSRQQH TFSQAPKTEDSEFGIQGPGGGPTLPELPMPRDSQLLPPTSRALLRAARAGCIHIRQGS RAADDGDKSVVDAEDSASASHMADRSFTTRKWMTLPKHLEPAEVEFLAKRRPGLLSLY GAAAGTDGSASGPMRRTKFKKVDPETGNISIYEAWVPEGHRIEGEITGDLQTIAEHSQ VPVKPEAPAPGTVVEGVGIVNAEGVVVAEAGSAAVMTPPKRRPPPPKRKGKGIGKGRK KKVMFAPGEGADAATVHGVGPATGNGEGGFKREGQDDASHMSIDQGGQDEDDEDGDEG DESDDGDDSMMDAKTPDTPQPLSGTEFADQPSVETPAEQSVDVDMSDAIPENQPPAPE ISPLAEDGKQNQPEKTSEVDFGTLTSNVSSEKAEATESNEKPVILEYDKTPTSPAGTS LTPSEPTELSAAVGEAQNSDAKVQEEEAINTTGDLAPKEEPALPTQQENATALPPVSR ETSLEPPVAREHSEPEQTAASTDVLAETRESQQIPSESIPHPGTQEQPSTATEREQQS PSDASRPSLQPEEAKDIEMGDAPAPEESQGVDHQSSASPDLPQAPPPAESSEPQPAPA DSTTAAAAEPTLLEKPTPTADEKTEVATETSVPDQSAAPEAEPQEDSPSTEAEQAKDE PEQLPELPDTIPQAGPSEST PEX2_019760 MSVASLHRETAFQARSLFSNYNFREYARRKTRDSFREHQNTTEE RRIQELIQEGLQSLRLLKRQTIISQFYQLDKLVVEGQKAGKETGNQGGIVRQKDTGWD PEX2_019770 MTQIPTPPASRPGSEAPEVKVKPEALEDSVQCLDTLLEKYLHLL DRQQKLHSSLAERLSSGFFSLAQANFSSPPGRRYGPDYYDGRMKATRKISIQSEPNTE KSTNDPQEKEDITALPVSEYTFSINTTPCQRPEKADENNETKASSSVLGGQLEASGES SPAETASSEPSDSAETEITPVEKPNPASKKFRSADPIHWYGILVPQSLRRAQDSFANA IDNQVPDLASTTVEMRALEQQISRVRARLEIESSDATAL PEX2_019780 MSTDVPSSVPSGEPSRKGSVKRARQMLDAGVRQEQASPPQQMRQ PQVPRDISHQPQWPLPDSGLPPHPINQHPRYMIPRGPPPLRPFHPSELPSPSIYSERD GPVSERSSSPSQRPPRSFSQLQPPPLAQSRRPMNDVPASPASPTSTIDMTPRISIATD DLFRQSVASSTPSVPDVPQFPPTFLLSESGLPQDDPRHRTAGLVAPLNMRQPGLAPQS SVPPIPEEFADPRLTKCSVASSRAIPLSWGSGPAESEILGAYLDIDSDDGQRSPGFQE DDTRLVRNASIGKRGKPTMRTILRSNPVSVVDMPTPTEEESSPKETAVRSIGMGGLAG QVPRIPSQLRNSSTTASSESVKGVDPEKPPFAPHYDSPSDARLEKELEAFGALPTAAP TMSDKRPGGHKPPALNMHALRDAEARGSLSSLSDLIKRATKLASNLDHGRTASRANNL AGGDEAGYRVGMGHRPRKSGSLSDMLASFPPPGLATPENRGSRTSWPFFGRSNLRNVE QLHSNEDDPNAPPRRKMCCGMPRKIFVIICIAIFIIVVLAILLPVFLVAVPREKAGSS CAEKHPCENGGVSVSAGTQCSCVCSNSYTGSQCNIAGDSSCTTALVNNGSNATMGSSL PILFEESKEKFNITLDSVTIMALFSINNVSCTTENELVAFSEVTSDNTSKTRRSVQLI DDSTPSLSETDPTPVLAVRSEATLNGILYDDSESSKSSTTTSTPTQTESATTATATKI SVQSTAVEQSTSTSTSTVTSVPENVIGFSRVAVLYILQKTGSLDTALASEEDIQGYLV DSYANATQPAMKVGEFKVDFEKLTITLPNSTVKAQ PEX2_019790 MANAPHGGVLKDLLARDAPRQVELAAEAETLPAVTLTERQLCDL ELIMNGGFSPLEGFMNQADYDRVCEENRLADGNVFSMPITLDASQKVIDEKKLQAGTR ITLRDFRDDRNLAILTIDDIYRPDKTREAKLVFGGDPEHPAIVYLNNSVEEFYIGGKI EAVNKLNHYDYVALRYTPAELRVHFDKLGWSRVVAFQTRNPMHRAHRELTVRAARSRQ ANVLIHPVVGLTKPGDIDHFTRVRAYEALLPRYPNGMAVLGLLGLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGSNSKGEDFYGPYDAQHAVEKYKDELGIEVVEFQMVT YLPDTDEYRPVDQVPAGVKTLNISGTELRRRLRSGAHIPEWFSYPEVVKILRESNPPR ATQGFTIFLTGYMNSGKDAIARALQVTLNQQGGRSVSLLLGDTVRHELSSELGFTRED RHTHIQRIAFVATELTRAGAAVIAAPIAPYEESRKFARDAVSQAGSFFLVHVATPLEH CEKSDKRGIYASARRGEIKGFTGVDDPYEAPEKADLVVDFSKQSVRSIVHEIILILES QGFLERQ PEX2_019800 MAPRTSRSIASAAPDAQAHEPTDDNMSLDVPEQLLTPENSRSET SSNNENALTDEKPAGRRRSTRVARASLRPGEPLDDTPENEEPAASSSEAYVDSLAKAK RSHSSLRHSIAVMESSLWSGTPADDDAVADDTVVPDTPVSKSSQDLKSEDMNMKLQQR TLRKRVGKALAEDEGTATAELVPPRTSPRKSVRRSGRFSLMDKASGLVDRASSILGKR TRGLGDKDLGRRSSLRPRNIAPSKDEAALSTTNEPASKKRRVSESDLSKLQDQDSETD EPAAPASPRPRRKIWLSHGLYTGQEPSDSPPKQRRSKNSKKTGTGPMHRTILPMPMFK GARLLKTGRDYQLPFDIFSPLPPGQPKPDEWRKTNKNVFVGDAGSFWKANKNLELSTC MCIEDTGCDENCQNRYMFYECDNGNCRLGPECGNRNFEGLKHRTKAGGKYNIGVEVIK TADRGYGVRSNRSFDPNQIIVEYTGEILTQLECEKRMRTVYKNNECYYLMYFDQNMII DATRGSIARFVNHACEPNCRMEKWTVAGKPRMALFAGDRGVSTGEELSYDYNFDPYSN KNVQQCRCGSANCRGFLGPRLKDKQQRAIEIEKRKAEESTKKATTKKVIGKKRKVSEE PESDDSSQGKKRKMTKSKAIKASVKKAVATVRGKKTTKTTAKGKTTTAVKSKTNVKLP TVKAAGRIKATVRASRTNKAAKQTPASPKKTTSQLKRPSAETKKKILAAASKGSGASP RKPAKQAPAKSPIKAKKPAPKAKSTTKGGFGKGVKSVARRVVKSVKGAKK PEX2_019810 MENSADRPEAGDTNQGSTPHHVLGIPRPPSVGGVSSRVTDEDGE RTPSYTSPPPRHQSRPSVSSKRGPPQTRNSINAPSQVTNRPGSSGSRLSRTHIPSLTA QAFFRPMSSQRLQAHRGTRPVTKGTVSSTDDWTEHGSRNRTSLVSNSTTPQGSQPPAD MEAPPPSRGTEFTDPIIPDRNTSNASPTGNTTIRSLGESVRLLRDRDQKEKPQHLNLG ANYTGHAVQDAPPKSPLSFLSLQNRRAGHAHDSRAGQGHEHLSSAASSPGPLDVKKPP LPKTNPGKNYEYFLGNTFFCGGGRFQNSRDKPVNIATGLLIVVPSALFLAFSAPWLWH NISPAIPIVFAYIFYVCFSSFVHASVVDPGIMPRNLHPMPQPESSDDPLALGPPTNDW VMVKLATSDVAAMDVPVKYCKTCNIWRPPRCYHCRVCNNCVETLDHHCVWLNNCVGRR NYRYFFTFVSSCTILAIFLIGASLAHILVYRSQEGISFGAAISKWRVPWAMVIYGLVA VPYPTSLWAYHLFLVGRGETTREYLNSHKFAKTDRHRPFTQGNILRNWIAVLGRPRPP TYMQFKQRYEDGDQRLDPQRRKYRAPDVESQGIEMQHVGPQQD PEX2_019820 MSSIAALATRRALTRQSLLRAPPRRFSSSKIEEAALDKAGKRDP ELYVLLGVMSGAFLIAGWYFGRKPTSVNSESNVRIGESAMPWHGESEDGKVYKYQYHP HGDKSQPLRAAPSAMNTVIVPNVTLPEDLHEKFNKYGKEEWDY PEX2_019830 MAQKAKDTLAVRPQSRGRRSPSRSPKPKNRKPLPVPQTYASDGV KNNDIFKLPGSDYRLIVLVTLIAAAVRLFRIYQPTSVVFDEVHFGGFASKYIKGKFFM DVHPPLAKLLLTLAGWLAGFDGSFDFKDIGKDYLEPGVPYVAMRMLPAILGVLTVPLM FLTLKVTGCRSSTSLLGAGLVIFDNALVTQSRLILLDSPLIFFTALTALAFSCFTNQQ ELGPAHAFRGPWWFWLVCTGLSLGATLSVKWVGLFTMAWVGSLTALQLWVLLGDAKNV TPRLWLKHLFSRIFCLIFIPLGFYLAMFAIHFACLVNPGEGDGFMSSEFQATLNSKSM QDVPADVSFGSRVSLRHLNTQGGYLHSHPHMYPTGSKQQQITLYPHKDENNEFLLENS TQPLGPYGEVEGAFAWDNLTAPGFIEDGSTIRLYHVITHRRIHSHDERPPVTEADWQY EVSAYGYEGFAGDANDLWRVEIVKSMSETPESKKRLRTIQSKFRLVHVMTGCVLFSHK VKLPEWGWEQQEVTCAKGGTLPNSVWYIESNQHPAMAPDAERVNYRNPGFLGKFWELQ KVMWTTNAGLVESHAWDSRPPSWPTLLRGINFWGKDNRQIYLLGNPLIWWSTTLAIGI YFVFKALSIVRWQRSCGDYKHVSFKRFDYEVGTSVLGWFFHYFPFYLMARQLFLHHYL PALYFAIMVLCQEFDFLTNRIKSLGLASKPLIGKALIAIFLGLSVVVFTLYAPLVYGN PWTRDACNQVKLLGSWDFDCNTFHTDLSQYITQYVPTLPPVAAPTTAAQAPPPPPPVQ AQEPVPASEAIQESEPAAVTSPKIKGSMARVEYRDQNGQVLDESLVASLRAEGKVKFE TRYEPGKPLEHAHDVPVVDGQLAPPHPDVQGQNPETGSAPEDSVPPESPASVAEGNER SVEQSSSMEAKPASESNEATK PEX2_019840 MESSNGSTPQPEGSNGTLKPPEGMVLPPKENRKILETTASFVVR HPPSFEDRIREKEVKNPKFSFLVSGDAYEPYYEWYKIEYAAGRVSGRTADAVAAAVPE KPKGPPEPASFHFSARMPIINAQDLDVVKHTALFVAKRGKSFMTALSQREARNFQFDF LRPQHSLYQFFTRLVDQYTILLRAEGIDEATSSEKRIAELEQNAKNKFHVMERAKQRA EWVKYQRQQKQEKEEQSEQERIEYAQIDWHDFVVVETVEFTEHDDHAELPPPTSANDL QSASLEQRAALSLGRRRIEEAMPTGLDEPVYYNAYPVHPAPMHAPQPPVSPYPAGSYP AAGQYTNPEEDQRIRERTQARDQAAAAQAAAQADPSQQPMRIRSDYVPRAQARRQQAQ MAICPYCNQKVPSNELDEHIRIELLDPRWKEQRAKAEARSATTNLSTVDVVNNLKRLA SQRSDVFDPSPLESEEETRRKRLAMGIDGSAGPQHPNAAVQNPNPQSLNIEDQLRHIH QLAKK PEX2_019850 MAEWGSLIAFQTTHHSFFSPSNMIPRNAHKRSLSSEHRSLSPDR TVIKAKSTTNLSDIASSNGTKRPGFDESSFSTLQDPRLMVGSEVSHSTSSSHHPDLSN EVAALSVKLIQAINNQTDLDDSLVATRQELELAQGKAQALEFQNEKYRRDIDNKVYIK KFDSDREISQLRDALAEETTLRLAAEKGRKNIEQEVETLTAALFEEANKMVAAAKIER EAVEKKNEQLRSQVKDTELLLASHQDQLAELKSVMQAMNIHKDDLESRATTTPSSPDD LSQAQGSSTQATELEPAPILIDNPEEFTPGPSSSFPQLLRMVCRTDLQAYEDFRDLLV LSRSSKPPSRAASGSYGGLNVMGLASFASGGSPSSTSSPTKGFTHSPNGSTSSTTGSN FSLKETRFYKRVLMEDIEPTLRLDLAPGISWLTRRTVLSSICEGSLVVEPMPPAAKKF EFPCSVCGERRPGTPNERTHRFRTSDSETAQRYSLCVLCLERVRSCCEFTGYLRLILD GHLRAGDTEEEKEIWDETIRLRERMFWSRVGGGIVPLCNRPSELEAEPVTTESNNGTD EDDDDRYLPVDVTYLEPRTEKVSVADQLAPETPITESATEHINHPDLPRPQSSIYDRD DVASFSGSERKRFSIDSVASVYEEASADVDPTSTAPVPTEPSIALSGDTDTNITQSSA PAPVLNEPSIVQSREIETDIDTDIAKPNGLSNS PEX2_019860 MADAELEEIRRARLAQLQQQGPRGGGSAGQDGGEQAQQRRQAEA EQRASILSQILDPDAADRLGRIRLVKESRATDIENRLIMLAQSGQLRSKVTETQLKEL LGAVAENQRKEEEEHKIVISRRKGGWDDDDDLLDL PEX2_019870 MSVLGRRGAIELRTLAASSNLSAASTLRPFSVLNRPPPNYPGHV PLTTIERGALAIGSAIGSLINPRRADLIAALGEATATPFFIYRLRDAMLSDPTGRRIL RDRPRITSQTLKMTHLRTLPENSVGRTYAKWLDREGVSPDTRDNVKYIDNEECAYVMQ RYRECHDFYHAVTGLPIFVEGELALKALEFLNTLLPMTGLSLFAFVRMKPAEKERFLS LHLPWAIRSGLGSKELINVYWEEVLEKDVDELRAELNIERPPDLRDIRKMIRQQQKRE KERQQQASN PEX2_019880 MKPEWWPGEEHEAFTVWATSNGINTTSVTPARFQGRGLGMMATQ NIKKGEIVLQVPTQLMLRVEDIPPIFIAKLPEDIAVHALLAAYLTHGDPDELAQYELW RKSWPSRKDFEDSMPILWPTALGGPQLPNSADVAPTDSEQPSLLPASISGHWNSLQPG PKTRKYSSDYQNILSQQAQRLCKAWTDVISAFPDTNWEKFSYNWLIVNTRSFYWIGEG QETPEDPNDAMGLVPFADYFNHADVARDVKFDQNTYEFRATKDYKEGEEVFMNYGSHP NDTLLAEYGFFLDVNEADSIYLDDIVFRDIHSAGQQEELWLNQYYGNYQVTPHGHCYR TEVAACLSYMKEQDWRNHVLEGQSEGLDESRSEATIKRWILTYAAEADATITVLQKAM ELDTTVQAHRPKAEMLLRRWRQIKDLCDHAAKTVSI PEX2_019890 MQSTFRPRALWRTGILGTCLRSRFQVHGGPTIRFISTWKEELHE VNHDTKAPLSGRVHILGMGNLGCFFAHSLATRRSPPPITICLHNDYLYQAFVRKRGQI SVSTHGLDDVRTGFDVETVDEEGRWYTMPPVDNRPSPFNSESPPDNGPIECLIICTKA HHTELAIKDISHRLTKDSTICLVHNGMGVLDSINQNVFPDPNNRPHYIQTVFSHGLGR NDSFKISHLGVGTTILSPVGDPNIPPTTPEADHTWAPSTKYLLRLLTLTPPLVAVADT PAGLLQYQLEKLAVNCVINPLTALSDCTNGELLYSFSFTRVMRLLLFEISAVICALPE LQGIPGIEDRFSPERLRRLVVNIASNTAKNHSSMQQDINQRRLTEIEYFNGWIVRRGE ELGIKCALNYMIKHLVAAKAATHRSREAGAIPLDLDNVISTNEPPI PEX2_019900 MDPTDLLPLLEQLDDNVDDLEDVLQPLLASTLIKNSNKLPVMDK AKLHVLITYTLESLIFSYLRLHGVDAKQHPVFRELTRVKQYFEKIKVLETEPEERPMA LDKAAAGRFIKHGLAGNDKYDLERAEKQAKERARAQLRAAMLARKSIEAAKAQSNNDS DSDDSEGGVDIATAAEPPVDTNHPGKTEKDSKNKSKGEHKFENNKAKKERKISKATIM KGEKNERRLKKEEARKARKAQ PEX2_019910 MPRQQRRAAPTPARSAPSRPTAAPARPAAAPSQQQSQPHSTAAQ PPQAQQAPPMQQQSAGPGLFGQMASTAAGVAVGSSIGHAIGGFFGGGSSAPAEAQQAP PAQSQAMDNGLYQSNAAQTSYENPACEVDVRNFRTCMDENQGNLGICGWYLDQLKACQ AAAKPY PEX2_019920 MPKRLLALLEVADVLPASNDMLLILGLKLTDDEELSSQWEDTYF GDDEVAQALNAKAVVLRLTAGSQEAGFLASFCPISKFPTVVLIKNGTLKEYIGPDISK DDFRSRLIAALEDEQTPSPAQQLQSNDTPTASTNNTSVSVAPQPQPNAPSNASKSAKQ ASEITVKKQSQIEQIPKKAAEPAKSAGSASQKHLSSKEASHKDIKGKAPVGSKKPTGK GVVKSEHQEPKPIVPRGPPTEYRLQVRLFDGSSVRSSFKPTQSIRNDVRAWLDEKMEG DNRPYNLKHILTPLPSETLSVTQESQTLRDLGLGSTANLVMVPVSTYTEAYSAAGSLP VRGISAVYNLASSAASTATGLVGSFLGYGSTTPASGATTPSAPPSTSNSQRPRTTGPN IRTLRDQQDGRGNSQLYNGNQLNFEPRKKQDDKDK PEX2_019930 MRPIFSALQKTSARVWTAPTRVVRSPRVPSKINSICAKYRLPQF RYISQSRQLAEGLNIVDHPARLVRVNKKHGPGLIILALIPIISFILGTWQVQRLDWKT KLMAKFEDRLVKPPLPLPPRIDPDAISEFDYRRVYATGRFRHDKEMLVGPRMNEGEDG FIVVTPLEREGQSTVLVNRGWISRKLKDQKDRPLGVLDEEVTVEGLLREPWKKNMFTP DNKPDEGKFYFPDINQMAEKGGSQPVWIEQTMVPDLVESYDREAKGIPIGRAAEVNLR NNHSQYIFTWYGLSLATTIMMWMVIRKNPNEALRRVRQNRNW PEX2_019940 MSTSTPNARGRRSPIGTATPSGDTTLTGLQRLPIHTRYPLTPSR LVTAATPGTQRSASRYTPRARGVAAPATPYGLRARQQRAANTPGRDRRKSGRMQRETT FDILRNLGRALAPISQPIRSSPQEKPEPIEEPVDEFEQLDNEPEIERPRLSLPIQESE EEGEEASPEIQPPRMSLAFVEEDMDMTYQSVEYPRDASIRDRDRLSMMSRATGRISGD FDETRLESDDADETGIMGEDDEAEDTMMSGGDFDRGGETEDLGRFHFDLNFPSPAAPP LEDPTGGDMNDMEDFELSTAEPPPAPSDDDDDDVGADFGFGLDFPPAASPSESPGIVG GGLRDEAIPAQGKQKKISRHGIPVPNLPAGVVKKLATRFARSGAGSKAKINKATLAAI EQASSWYFEQASQDLAAYSKHAGRKTIDESDVTTLLRRQRHINKSTTVFSLAQKHLPK ELQQDMRLAMPP PEX2_019950 MADTNAPTGLSNKLQNKRKRQADDAAPKQEKPEAGTPAEGSSKK KQKKNKNKKKQAEHDESQSTRKDGIDESIGKMDGRLLGDHFAQKAKRHDKELSAVELS DLSVPDSAFLDTSSFTSTRKLEQLPEFLKSFSPKGADLSKSSEKNGTPHTLVISGAAL RAADVVRALRSFQTKDSIVGKLFAKHIKLEEAKQFLQRARSGIGAGTPTRISDLIESG TLNLEELERIVIDGSHVDQKQRGIFDMKDTHMPLLKLLTRPELRERYGVKKGVKILVF PEX2_019960 MGDKRKLVQDEVVEPEGKKMKKEKKDKKDKKEKKEKRKAQEESE VVAPAETAAPAQEEVNEKKEKKEKKDKKDKSEKKEKVDKKEKSDKKEKKEKSDKKEKR KAEEADAEPAAPSEDAMDVDVTPVEKVDADKKEKKDKKKDKKEKKQKKEKTEPVAETE EEPTAESAEAEQAQKSSRFICFVGNLPYSANHESLSKHFEKNPPATIRVATKKEDPKK CRGFAFIEFDNYDRMKTCLKLYHHSNFDDGKYPPRRMNVELTAGGGGAKSEHRNAKIQ AKNEKLNEERQRQAKDIQKDKRKHEKKAAPAGGSGANAASMDGAADTAVSADNDQWAG LHPSRRGRV PEX2_019970 MATGPATQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFD NYTASVMVDGRPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAK WFPEIEHHAPNVPIILVGTKLDLRDDRATTEALRARKMETVSYEQALAVAKEIRAHKY LECSALTQRNLKSVFDEAIRAVLNPRPATKSGRKAVKCNIL PEX2_019980 MSEINVTAIFVPKPEKFEEVATLVTEVIKKVQEHEPDTLIYYAF EIKDKNEIVIVERYKNPAAIQTHVKSPYFRTFSGKLSTLLTKPAEIRAGGFLNGSRGV SRL PEX2_019990 MDLGYRNILAPDGPHYSIPPSDFRSPTIPRPPPVIDFMQRSSES CEMEKAPPVTGKRRGGSRKACNECKQQKSFKRISKRRRNAEMEKEIADLRRRLGPNSD HEQGVEPHESHGGDEMSHCSEDVFARRDSAAIDQSRPVSVPVESHSSIATPLTMKRDG SIISQDEGQWRLEDICLSRARVLRLYEQYFTYYHPFLPLLNPPKPPEVYLNRCPLLAW TMICVACRRSQYEPGLLSALSGPFSRLLWSTITSVPQDYRVVKALCVLCTWPLPTTSQ RTDATFMLSGLMMQIAMQLGLHRPVQAEEFTTFRMEVQGEALKDRLHTWVICNIVAQN VATGYGQPPSTIYDWALEPASLRDADYRLPDDLAIRLRIEKFCDRVTKALYSSKPEPV EFISADKLVVVQVLESELRDMDVEFDGKISAINTIHLRAAELHFRYFMFLGSTVRSDD LIKLFLATTSFLGRVLDLETSPGELIGHSTNYILQMIVSAAFALMKLLKSSFSRHLDF NHGKLLFNGAISAIRRISVMDHDRPIRLADILAQMWNATSLEPAEEDALQLKVRCRMS MSHVYDTVWRWRQRFRPVKSLEEMQAAAIAANQDILGPAGHMTRQQDSSLEDQSLMMP AQFDEGGAFFSESGFSEVFDSLNWVFDGIPDSFVAPPVL PEX2_020000 MSDYALHDSTAIPTLSDAPPSSAGRSSHGAEQTQPTHEAISDEL KARLDKVIYSDIGITTLLTRLKQSVASAKDFSTFLKKRGSLEEEHAQGLRKLSRVISD ASQRSENRQGTYSSSYKDIHRIQERMVDHGLQFSVSLHQMSDDLHELAANIERGRKQW KQTGLAAEKRVVDAESLAEKAKAKYESLAEQYDRVRTGDKQGGKFGLKGHKSAAQHEE ELLRKVQNADSDYGSKVQAAQAARQELVSTHRPQAVHNLQQLIAECDSGLTLQQQKFA TFNEKLLLGQGLCVNPLKTDGALGPKSLAEVIRQVDNQKDLHEFILSHEGNPGAVASV QVKYERHPTLGGGGAVSSASTSAVPSQPITQNKRVSTMPQAFTLTNISSPALQLPQSS DRLQQSPYPAEKTFTPPPAGTAPYPVSGPPAPEPAPKIPLSMAGPPPMAGPPPMAGPP PMAGPPPMAGPPPMDRNLQLNLPPLKPVFGVSLNDLYARDGTAVPFIVYQCFQAVELF GLDMEGIYRLSGSANHISHMKAVFDNDSSQVDFTNPETFYHDVNSVAGLVKQFFRDLP DPLFTTQFYQQFVDAARFDDDIQRRDSMHALINSLPDAHYATLRAIILHLNKIQEHYT QNRMNAGNLAICFGPTLLGSNSGGSIADAGWQVRVVETILNNTFQIFDDD PEX2_020010 MASTLRMPVGTSARIVPSGSFVQCRAFSETSQQWRGRQMNFRPP SQVQPSFKTRTKGMKLGDLPNDIGILPGTFVRPLWRDMPSVFKAPRDRWHMEWTWLKA WLSNHASLLQYCKRENTLPLLLSKRRHFAARLQEDMYTAFAEGNIPKIRQICCDGLVK KLSTGIERRPKNEQVTWKLVKYLRKPSTNFTGLRVISDRATSIPDLPKSGIRQIIVRI TSRQSTTTTQVQNASGKELTEDTAVSTKQQDCVEHIVIQHLRWNDQDKGWKVWGHINP TSLDEAWNNPYFMPGLSALERLDMIKESMGQK PEX2_020020 MGREGWTAAIVGSVGQEWDVERRFRVTEVALAIMWCCASAYLSY FFADCMMSRWLLNYTPPAVVIRLLTTNGLIAYITSWVLYLSGASSDPRLLLPAWISIT TSLTFVYHATQNHATIKRETAASLLVVSVASFLSMSSLLMQLHLTRENEPEVPVFVIT RKLWDWAVAIFLRMRVAGVADDRILVGEL PEX2_020030 MSSKKDMRRADLAIPYVDPPKSSSDADISSTPHLRRFSNGTMSS TMPMAAMFTRNRMIGWVSFVFSLQSWLGESPEQKKTASTPAYMSVFMSLMALVVTYFP LFLPPQAPGGSATSSLPFFYHSISSPLTTHAPIFYRDIESRPSLTPSIPILNAVYYEK AEFMSIENLKTFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTTVQGLPKKFDQK KILKVIKKKFACNGTIVSDTEMGEVIQLQGDQRKDVQEFLTDKKEGLELDAKTIKVHG F PEX2_020040 MIPHSSAGGQPWGQPLRTFNGGPGRVDNAQMLGQYDQPDRSASL PQPPIRQPVVVDLTAGGPESQDREPPPKRPRLEVPSGSNTSDTGAVVGETRNTPGSAI SRPAVSWRGRPAWSFQAVVSEIPSNENRGDGAAGSKPSSPPPLPAQPWVNYFGTEPEG NGVVKSRESSPVGAVQTTPYCIEIPSVAPVYKSQKPADFAPWTGNHPEDVLSEQTAKQ GYYDRTQVSQNESNTARPALYAQLKNRTGLQMLSSVFAAALEKRQTHNTIHAPSTFKP PPRVTLTDNKREAWLRDLANPSVPLRRLSRTIPHGIRGKILLDQCLGKWIPVARAVWL AKCVGANEIRAFKRKGTSGALAVGLEAKWVREWTTNVQQFVEGVFAAPKSSDWKAKMT YAVGLTARLFSENLLDHDHFLEWFLSSFEAASIGTIPVWLLMLGIYWTSIMRYRRRGR RLAELLLQKMRQATEAKLTQLQPLIDRLSRFIKKLVLDHTSSMILPNSWETYKQQVLS ALDLSNEAEKALFQSLAERNARVQRPKHSKQTTQRSPHQRIVRLLDSIRTAQDLSSVF GYLDAFDDKAVLVFKLLEWLSTPFRHGLCRVYIGVRLLRKWKLAGIDIDSHILAFLSR GQNNQKLNMDQIYHVVSELVRSQTFSVGRYLQWLMARGVTNGSSSAEERKVQDLPIDI ALIAQLPVARLPEHVGNLRSTLLTRTGLSASEENATIDSVKDIISQRLPGIFGVHESA SMALDSLPQNLPWAVKAEVGQWLRKAIAEHNRGTESTNREAFPVGIPSVVSALTPVEF YTVRDALETFDDISMLADVLKFASSCGDSTVLASVADTTNCHFNSLCVIGATTDLFRR LIDAYAGIKRYGMPSLDLIFSLIELGLRIPTELNTVSILRQDLSRMENKSIMAASSPV SDHIPDGFGGVDPFFREKLDQLLQSGNVMDEPTLDAIFNTLIKHLESDDGHANLSAND TCRYLAQLRSFHPKHFDGILARWVCGHLRSPERTILLRILPPLIGVGCVTIRAFLALA KRLTLSTPTTVPNAAQLPADLVQLLVSGDEDSKSFDLVSYRFQLAQQEFLNKNSEEAL KIVCDAASSNASGSSAGRSELEHSIIMLLRDLLVRHPECAAQNGMQKLMDQYPAALSI VQKALDLLLGVDSQSDDNSVLSKVEKLACMTDDFSLPFCQLKLQVLFHADSGSEDRTN IVDAMFKTAVSDCRAHRLHWVDLVALMTPDAVRQIRERAEKAFFSIPLLEEPIGDIPD SPDKLGSLETAKMYLTIIEELASSIPDSGAPSVAPVLVEKMDSLLHKIITMHNSTIAK GVTNTDRPKFERALAFWFSALLRIIVLHRSAFSQPPPSLKVNPLHEQLRLLTSIFCIA LSRLPGDVLRLFPAADYFPHPTPTEGFRPCPGILLQTHALDVAASLIDIFPDDVRHQC ARFLKEKCPSFVPFQNDSRFLYLLGPMTDHSANAQQVSAPSPAASGSTPTPTPAIFSI AGSSSAQQSVAAASGLSTGLPDTNSMANRLRLQHRGRVVGPYPVRPWELLEDAAPFLG VNDTAVNLGFFDARRVRA PEX2_020050 MAIHYVEQNGSEPSISRHSAPEPTITNQDVHKYSASESSIPGSS SSMPSTPEQIVAKPAKPVMTVKTSEVDETVTAKPGKADNKKPWEVDKTVPIPRADRLN QTPSKGNAQGLFLPDCCVFVGNLSVKVSPETLEEDLTDMISAFGRCHVKIKVSPCVKK LPIGFVQFENIKAAKAALKQNGNLMLHSRVLRLESSKARRTANFGYLSHAPINKSQVA DALKGLGSLEGVSVEHFVTAEGVESTFSVVTFAYPDDYADALQYFQNHPEYYMKRSKM DPNDTQHPQDHAPAGQRPSNQPPPNRGSQQRYNNNGRRSFHRPWYSGGNNNNGNVNSN GNVNGNSNRGGFRNAPGPAPRHQNPPFANHGHSQSFNGQGHSPHGSFSQNYPGGQGFP QNSFNQGYSNPNFNKNFPGNNFHPNYQGNNFPNYPNQNFIAAGAFPNPPVVFNQMQMH EGPPAPYHADYTAMPAPGFPVFLSNQSYQPHNTLPPIITQPQPIDGSVAGPVPGPVPG RRRLPPRPNCPLIVSSQPQFDTEHHRQLYYEPYPADEPVVDMQSNWTSAPGACFVQPD YNQPYPPNEYPRSRQSSMESQRNINSPPTVNESESTLRQTKVQTPEIERGRQLFRPRH PNTDGSCDMAPISAPAKTQSDPVSMQALDSSPERSNMVYASKSAEARLELAAPVTEPI KSGNKPTEPETEPNPPQTEPTCSPTHDQDKEELTDETKPPLNIDTKNEESAGSSSEAG PKTPDTDESRESAKLQTPAKAGSLKSADTKTPDTVETLKLSDKDTPDKGKSPEVATPT PTKSGSRKLQPAVPDDKYQLARHAFQHRKPLKKKYQPKTDTGKTVEQYTREMNAQRAS ADPDSRVPDHILQEVIQELENERRDNIRKSRGLGPSDKSSVDSGTSSKK PEX2_020060 MADKILILRQISYTLPGRHLNRYDVYFLFGKDIQTRGLHGQLYL KSQCSINEVRPR PEX2_020070 MFPTRRLAQGGFFKRSADEFGRLSKIAWNTEALHTPTKPYTLLN FEDEGTVTGCKTMADRAVGGFSTASLDYEPAEPSSNTPSHARFHGSISTKLPDNWRVE RTGYAAFRNQDRGFWLFGRLFWDVDPYAYLALRIKSDGRRYTVNVQTDAVVETDIHQH RLYTRHHRLRDTPKLQEDYEFQADTPDAVEDLYPTGLPAALSDVPPESTIISTSTSTT TSGSNGWETVLLPFNAFVRTNHGFVIEPQTSLTRQRVKSIGIGLTDRVEGPFDLRIHK IWATNGISEAEIEEERRICGDHALPLDEGASSGWTDAPKEKSIKEERKQQDRDSNKKG LKGLKSEWEE PEX2_020080 MAEALTTTDLQGALPLIARGKVRDLYEIDDKTLLFVASDRISAY DVIMENGIPNKGVLLTLCTQTWFKALTEANPSLRTHFITLDLPPQIPESLRPILQNRS MQVRKLRILPIEAIVRGYITGSAWNEYKKSGTVHGIKVPAGLQESQAFPDGPIYTPST KAEQGEHDENIHPDQAVAILGEPYASTVAKMALELYKTAHEYALERGVIIADTKFEFG VDEETGEVVLADEVLTPDSSRFWPKESYAVGRGQASFDKQFLRDWLVSEGLKGKEGVR MPDDIALKTAEKYQEAWEKITGGKI PEX2_020090 MTRYLTPWRVSLLCLVTLYTEGVVPNSSAIDVLSFLTAGLFPLD AADKQWEEHYLPTISALEECLSRHESSVPGRTLWDLFLKNLWSIDSLDALETFFGEVI PSLLAKSRDELIHDRDNGIAPVVDDRMRLSRSSPLGAFVRRAYLEYTRLQFHDSVKLW AGFVRYRLPTYSYWSRRHREAEEVAVDVNLRDLGLDSTSHLSQVVYGNIEDDEDREGE VSVKDAERLIEFQVGELQRMGGRVPDGMRAQLKRLMTSETSVPVMMYYLEYLDAWRAG DYTSAFDNLHRYFDYTMHANLDRSAYQFALLNLAIMQADFECFSEAISAVQEAVAIAR ESHDMNCLNFCMSWLYHFGKAFPEQMREVQNSGMLGNEKEGLAFLKAKSKETSMWSLM STTLLSEAKLDMQQGESLASIVESFVRASHINVTKGVTTPTGAYMLLQGSMYARIGAT HLAWLNTEIFRECYSEGQPHDDFVKITFRNCQILAQKGNYKEASTRMNKIDPEKLRAF RYSNAWTYFSGLLQLRRQICRDDKVAVEHILSQLQAVQLRDFDMRMLLAFYSIEFTMR QGDYGRALRMVEQAAHSMQPENFDVHSQVKLLCLKAQILGKSGQPQRGFSLAMRAASI AHRSKVLADLWEAICTLATVLLSLREFEATGELVESIMPQVLESEDFSLIAQAYSLLV DANMGMAGELWSLQGRDSTSTRKEYINRALGYIDSAYDHFEEIEDIKGQTEMMAKKAT VMHLTGDPVLANDYAAKYLDLRRLRTET PEX2_020100 MLKLPPTSSSLETKRSPLPNPKSSMKTNRRNIPTSPALTSDQNP APKAKSNTQTTTTPEPPAPPFRSVSACNRCRLRKHRCDQRLPRCESCEKAQVRCVGYD PLTKQEVPRSYVAFLESRVNYLNQVLIDHGIGFKPAIAYDEEETLKMEAGQSPRFESR GLREARELPEQTQTQMGMGARTARKRKLAHYSEDSIPARQVKRLAQLNVLLTDLLTDG CDHRQCSRDPGRGYLRAARRHQIQEDSLEQRIPWSPRSFDSLDHNDSRATRSESPVSL SESYQYPRMNPGRGSSLLGVENMGSDHGRDVQDTKRQSGVELDLGDFDSEIPAIKPVA GGSKLGKINVARHLNVSSRASSPEKEDRSSPEPKFDIAADLLRGRRERERANYDLLDE FLVDWAE PEX2_020110 MSFLRNFFGTSAVPAKEEEKPIPIRALPASWYTSKDMYELERRS IFSRKWLLTTHKHRIPNAGDSVRYDAAGYEFIVAKDNNGTITALHGNDLFPAHVHIDR NGFVWVNLDASETPEVAWKDDFEGVDEQPRFDYYNFEDYNFDHTWDMEGAFNWKILAD NYNECYHCQVAHPDIPTIADLHSYYVKTKAGHIQHYGAQRQDQIDKGFRIATTYFWPN ASFNISPHFFFMQRFTPTSPTQCVMRYEVYRHKDASDADFNLISDMYKRIMSEDKYLC VNTQKNLDAGVFVNGQLHPKMEQGPLHFQKTVRDVVVEHYGKEETAGHQIWPAKRDIP ADVAVSQDQENVALRTGGHVDTFKDAMGTGLSTVTAIAV PEX2_020120 MDRRYGPDDQDEKVELNTYRGFHPNPSFEQTEPFAPSPVSPRPL TPVRPGHFPTDEYGQPVWLQPTARMSGHSDNNSSWDTRTPYDSRSASPTLYQNNGVQE SHQSLAPLVSSPAPPSFEKDWVKSGSIARIHDRDDAETWKGWKRWVFFLVPFLTLANT GIYMFYLGLRIYCIIMAQNIAHVSYAGAWVFVAIEITVAIPSLMHNCWTMMALKRRGR AKLRLTGNECPTVDVFITCCGEDDEVVLDTVRGACDQDYPRDQMRVIILDDAHSPTLE AACNQLALNHPNVIYMAREKIPGKPHHFKAGNLNYGLDQVNLLPGGAGQFMAALDADM IPEQDWLRAILPHLLVDPKMALACPPQLFYNTPASDPLAQSLDFFVHVIEPIKDALGV AWCTGSGYVVRREALEEIGNFPLGSLAEDVATSTLMLGRGWRTAYIHEALQFGTVPED FGGHLKQRTRWAIGTVDTAVKLKFCLWGDAVRQMTFAQRFSGFLYATLSVYTLLLTIS LFAIPVILLWGKRLVAFATEEQLRWLIWACFAATISNRLCEMALFIPAGYHTGQRGSR YQLWMSPYIALCIVRSFFLPTWLGGQTQAFKPTGSLGSALNERDPKKSKNMFVRLRVI LFNYMASFHLAFVYVTLVAVVISSYRCFNQNSGFRDIILCLITHAFWPPLTFLFICTS LWTPVSYAIDPPKMPEREELLTRDPKTGVAHPTKKSKKIAFGGQAAWFELEYTVATVA TILVFVYSFMF PEX2_020130 MVFGIGLIVNAVLVAIPVGGSVGALMGLDAHRAATGQKPLFTGG NNSDDSTGGSDSTGGGGGHNTITNNGVEHTQYCELSWGITPPSKTEQYTLNPNQWGVT STSTGNGLCMNITTLVNQTYAAQTAPEFSITWQFDPGPQTAPVHGYPNIRVDNVLPKE LENISELNLDLSWTYGLGDTTAASTDVQALATENLATNVAIDMFLDADADKAKNETQA KFEVMVWFWMSNLEAQPHGWGNPGINRTLDGTKLLVEVQLVPDIFQHTLTCILISTLY TGQNDNGQYVLSWVPEMGTIVEKFSGDLYPLITDLYSFGGSDYPSKNDYLGSLSFGTE VYSVNKNVTFWADEYKIDIKS PEX2_020140 MENSYITDTKSIHEISDHEVQSNPELQDGLWLRFLTHAKWYPKD MSHPEKKLVLKLDIMILIFGCLSFFTKYLDQQAITNAYVSGMKEDLNLHGNELNYITA VFWASYCTSMIPACYWLTRTRINIALPTLEIGWGLFTFGCAWAQNLNKIYAMRFFIGI CESCSFTGVIYVIGSWYKPGEVTRRVALFFVASPLGTMFAGYLQAAAYTNLEGKHGLA GWRWLFIICTIITIPICIVGYFIFPDVPHRSKPRFLTATEHDIANIRLEGLTAPSELK VSRAIFKRVFGRWHWYVFVVHWALMDQNFTPYSTPFSLYLKAKPEIYSVSRVNTLPTI ATALSVVAALVAGVTADRLRNFWIPSVVTSIPVLIGVILLVVYNVGETGRLFGFILTG FEGAISPLSMSWATITMAKDAEERAIVTASMNAIGQAFAAWTQIFQYPAISAPNFRAG FISTLVTTIAQFLSVGLIAFLVNRDARKERLSVNV PEX2_020150 MAPSIEPEVGSTNDDSQTITRPRSSWYRSIPFQIAVASGVSFTA PGMWDALNNLGAGGAAEPYAVSAANALVYGLFAVVCIAAGAINNRIGLRYGLVLGAIG YPIYGAGLYTNNYHPTTWFLLFGSALCGISAGFFWAAEAAIIIGYPSPKERAFYIAIW QTAKSSGPIVGGAISLGLNAQSSQKGTVSASTYIVFIVIMCLGLPISLLLSPAEKVQR KDHSLVVVDKKETWGKEFKAVFSLICTRRVLLLLPAFFISYFYNGFQSTFLTTYFTVR SRAFSSFLTNFAGIASSFIWAALLDRQSIFIKTRARIAFSSVVVLIIGTWIWATILQK QYYDAPEPPLFDWFTSGFNKGYALIFFWTFSGQAFQQFLYWLVGQYSTDISSLSYHCG ILRGFEALGQTVAWAMQTEGGANHFVSIGLNFGITVLAFVPTWIVLSELEHAHEVQVT AEDVAPKTAGESTA PEX2_020160 MGVGEIELRKRLWWWVYVFDRFTSILHGLPPLINDVDVDNDLPI DCHLYDLEATELSHPLPGERTAVFVFLQYVSLGKKLSRILDLLYTTTQRRDGARKITD LDRGLRVWNQNLKANGILFDIGNTDLQHSSGDTNHSYESTTMWLQLMANITMTLIHRP GLSFDDTTPEFGNCLRECLDSGSAILSLVEASNIPKWLRNLSLVGPATIFQSALVHIY SQLKYRTFKPDGFPALDTSMGMISKGISILTMDSSRATQVQGSIYSESLSEIIKTLRT LLSSLPVAQGLVETPHVVDDGVPISDAPDTFDEQNWSGNALDALNYMTASDWMGDPSG PFMGFMDLGES PEX2_020170 MTSRLWNLRGEALSLAQILLVVCPAYTLFGYNQSGLGSLVSLSD WVKHFPAIDTINTEGAEASHNATIQGVVIATFTLGALPGCLSCSYTADKFGRRPVIFM GGLLALIGQVLEASSYQLAQMIVGRTVLGAGIGMLSGTVPTWQSECSSSKNRGKHIVL DGLFIALGYMLQAWINFGFYQFKTGPVTWRAPIAIPIIFAIVLLISIVFLPESPRWLV RQNRVAEARIILASLRDLPEDASGISGEIASIESSLEETAQSAASLKDLLKMGDDRLL YRFSICILLQFFQQMSGGNLISVYSSVIFEQGLGLSAETARILSGGTLTWKFLSCFVS FFTIDRFGRRIALMVSGGGMASCMLGLAIATSFPHSNYAAQITSVLFVFLFNFFIPIG FLGANFLYCTEVAPIRLRVAMSSISTANHWLWNFVVTMITPVAIETIGYKYYIVYTCI GAFVPITVYFLYPETMGRSLEEIDLIFRESPSVWSTVRFANNRPIETLPELPRETQKK GEVEHEEYSTRSLSK PEX2_020180 MAVSALDSRIFRNLFGTQEIRDIFTDEAYVKSLIEVEAALARAE ATVGVIPAEAGKAITDAFASLQIDYERLAVETDIVGYPVLPLVRQLVEGTPDEMAKYI HWGATTQDIQDDASMLQIKRGVSLVKRELEILKGILKGLAATHRDTPMAGRTHLQHAL PCTFGYKCAVYLSSILRHLERIEEIEQRCLLVQFGGAAGTIAALGADDTGLRVREQLA AELGLKNPNITWHVARDNIAEILNFLALVGGTLGKIALDVMIMSSNEFDEVSEPFVPH RGASSTMPQKRNPISSEVILAASKMLRANASLGLDAMVTDFERASGPWHLEWVAIPDA FVTAVGALHQTNFALGGLVVKVDSMKRNLYSTKGLIVGEAVMMGLAPHLGRQGAHDLV YEACKCAIEQNRTLLEVLEETPSLTGIATPEKLAAFCDPLKYMGASQLMVDEMVRRAI PEX2_020190 MDTPKSPTSPKRSRGFSVKSDKSDKSGSTSHKRGLSETSQEKAR RNLHTKADPLVAMNELQPMAVALEKSNLGSLREIEFKDQYGNVITDPDLSNPTRPRLE RPLDTIRSFEAAINGSYNSNQDGASQMGDFSRRTSYYGGQSNGPSNRNHEQSNYYGQS QSRPDSIVNAYQNTPLSPENPNPYYAQGGYNQSGYNPNSRRRPSHHPRGSPSAPITPS EGYPNMANGSQHGYQRSRDNVAAMSNTGSGYTDPYGQSTDPSSMNSSNDQLQQQALQQ QRLDGRGQAEYGSNNFSSPRLPQPAGESSWGGPVGGTPASTGARPAPPPPQKNVSQPP AGGDKRKSWFKRRFSKDK PEX2_020200 MIPDWINTFAAQHPLGAVSANASPTAKNRPFTAWSALDDVKTKA DDIAHEASREFNVASQKAQEKAGKIEPGSLKYYAACTFGGMLSCGLTHTAVTPLDLIK CRRQVDSKLYKSNLQAFRTIRAAEGIRGVFTGWSPTFFGYSAQGAFKYGGYEYFKVFY SDLVGQENASRFKTAIYLTASASAELIADIALCPFESVKVRGQTTIPPEITGTFSGIS SVVAKEGVAGLYKGLYPLWGRQVPYTMMKFASFETIVEMIYHNLPGQKSDYNKGAQTA VAFSGGYLAGILCAAVSHPADVMVSKLNANRQAGEAFGAAMSRIYGDIGFRGLWNGLP VRIVMIGTLTGLQWMIYDSFKIFMGLPTTGQGNIGHDTTEYVDGEIVREGPYGDQGDG AYSAGVSTSHSTKPKSQPPTDARDSTQRGGAGNIGSPMVRPSSRAPHDVEMIPELAVR DSTDETYHTGRGGQGNVHLDEATLKEKEKKKVAHEGLADKLKYKLLGRK PEX2_020210 MASYLTGSEAFTREKEATSDISTSSTAAWADKYRGATIEDLDPP PALSISTDDPISTALMAAYERDYTHLTVISPTKRSLLGYLSIPRLRELLQSGAVKESD PVSAAMQRFNRKRGIYQVITMNTPLEELEEFFESETGPNGEKRDKQQFAVVTDVARKF VLGVATKADLEEFVKRRPT PEX2_020220 MSARRPHNQSFADGAAKPTPQGTSDVAPAVPADVIYKLLGFTAA MIVGPIGMYFLTLNTIFSGSSTLAGITAAVTANVVLFAYIYVAWTEDQEDRQAASKSD SKKAQ PEX2_020230 MDESVNTTPPRDIKTIPSTPPGEDYETIATLPAITPSQRLTQPT QLLEHPYSRQNNTVIQVAASSPIGPVSSPPRRVQGGLLSSLIAPSGTQFRPPASGPTK RTPRFDLDDGPTYRGGSSDEEYHLQSTDIKPSMFAKTIRSPEKEKIMESPVRPDAGAM DRFREITSSAMYDPKNTGTAIKRTADQMSPPAPKGVAMKKARQDVPSRAQPVGVPDIL LHEINDFRLRVKVERILKVMPHMTVRACMDAMLKAKGNYDDALQILANMDAANQENGP VVIQSSDDELSASPATGPAPMAKQNIKARGTIQDKWAAMKQQPKTQDSDDEGKPRRRL IRGPKSEGSSRAVSPVHAITINDDSPPKKKMGRLQKGRKAPSPDRSESPEAMMSDSDD STVQVQASTAGGLDSKVLKFFNTCPVQDLADIASIPEETAQIIISNRPYATLNDVRVV SAPVVETVKPKGKRGGKAPKPIGDKIVDKCIDMWTGYLAIDALVGEVAELGKPVAEEM KKWGVDTFGKKGDLEITNIAPSKPEHDSGIATPASERQSSESDEDSDKLVVSKRKSRF ISQPSVMADDLVMKDYQIVGINWLALLFEKKLSCILADDMGLGKTCQVIGFLAHLFEK GIKGPHLIVVPSSTIENWLREFQKFCPVLNVMPYYAGLNERALIREEIEERRDEINVV ITTYTIAKAKLDAKFLRDMDFTVCVYDEGHMLKNHQSQLYEKLIRIRARFRLLLTGTP LQNNLQELASLLGFILPSVFQEHKEDLHAVFSNKAKTTDESHATLLSQQRIERAKSMM KPFILRRKKHQVIDLPAKHSHVGWCQMKPSQVKIYDHEKEQVRQLLADRAAGKKTGSK SANILMKLRQAAIHPLLARRHYTDEILQKMAKACLKEDKWSLSDPKIILEELMPYNDF ECHHMCLENPNSLGKFKLKNDEWMDSGKVEHLKELLTRFIANGDRTLIFSQFTMVMDI LEHVLETLKIEFVRLDGRTNVEDRQSILDAFHERTEIPVFLLSTKAGGAGINLACANR VVIFDSSFNPQEDVQAENRAHRVGQTQEVEIYRLVTKSTIEEQIYALGQTKLALDQAV AGDDEAGAKKGEEAGMKVVEGMMMAELQVKAEK PEX2_020240 MATLATATAAATAPAPAQAVVPRVPIPPNGVDYRNKIVLAPMVR SGELPSRLLALKYGADLVWGPETIDRSMIGAERRVNPRNGTIEFTRMPSNGGRPNKPT KESIIYRIDPAREKGRLIFQLGTANPEQAVAAARVVAKDVSGIDLNSGCPKPFSTSGG MGAALLRTPDLLVSILENLVKEVGEPYQIGISVKIRILSNPEETETLVSRLVKTGITG LTVHCRTTPMRPRERAIRDQLPMVARICHEAGVACVMNGDVTSRDQGLALMSEYGVDG AMIATSAETNSSCFRSKEDGGVAPWRDVVYEYLRFCIESENRLGNTKYLLNMLIPGKD KEFKDAKLSKTYLDICRALKFEDLLPAATSVDMILGLDEKWEPAPVVTDTPCETDTQT QSEPKSKAVQNAMESEAARAAGGGAVRTKKPSPAVHGGGPIRRSSAPQPAKVTQVDDE QPVVEATIAAAQTQAPSQLTA PEX2_020250 MTYSIRKIGSPYTLEHRVFVEKDGVPVSPFHDIPLYANEQQTIL NMVVEIPRWTNAKQEISKDDFLNPIKQDTKKGKLRFVRNCFPHKGYLWNYGAFPRTWE DPNSIHPETKAKGDNDPLDVCEIGELVGYTGQVKQVKVLGVMALIDEEETDWKIIVID VNDPLAPKLNDIEDVERQLPGLMRATNEWFRIYKIPDGKPENQFAFSGECKNKKYAED VIRECSDAWEKLVSGKTPRGEINLANSTVEGSADRVDQAQLAAVPRNETLPPAPIDGS IDKWFFISGAAV PEX2_020260 MTIPIATITTFRTAYNPFSRASRPCRLFLGMLRTPDTIPTSSPT HIDIKVKQLPRDSTESPTMTVGFKGGKELTLDVGKRGLKIGDVIEEVSRVGRALQREA SLKN PEX2_020270 MSPTTALQVRVKELSTSLGQIQPLVDRLRDFTASIGQGDEARLE LGSEIHSRLKEAEQELELLRVEVEALETGSDSKRKTSAAHGHKEADKERVISMAGRLA EDLKRTRGEFRTAQLQAKRNAELAKRKERELLLSRSHSSEKKQPTEKFTQDDLVLNAS NDVTSALRRTHQLMQAELSRSQFAQSTLEQSTAAISSLSESYSGLDTLLSSSRSLANS LLRSQKSDTWYLETAFYVLLGTIGWLLFRRVFYGPLWWLVWLPMKLIARVAFGTLGAA GLSTTAVQSASQSLSADVSTAIHQMATAATTGTATASITAWEQEPSAPIDSNRVIDEI GDMVEKEKQTSVDIDDVTPEERARQAELPRNTKKRMFEAGMEEPARAAAAAASSSSKL KAGDNKEDSTRLQPWVEKYRPKTLDDVAAQDHTTKVLRRTLQASNLPHMLFYGPPGTG KTSTILALAKSLFGPALYRSRILELNASDERGIGIVRDKVKNFARAQLSQPTGLDAAY RAQYPCPPFKIIILDEADSMTQDAQSALRRTMETYSRITRFCLVCNYVTRIIEPLASR CSKFRFKMLDNSAAGDRITHIAEQEGLQLEDGVVDTLIRCGEGDLRRAITYLQSAARL VGATKPPAVKDADDDAEMMDVGSNSSVITVRTIEEIAGVLPEDILDSLVEAMQPKSGG SVYEAVSRVITDLVADGWSATQLVGQLYRRVVFNEAIPDIQKNKIVMLFSEMDKRLVD GSDEHLSMLDLSLRIAGVLRGN PEX2_020280 MDSTYLTRQRGASRDPRRAGRTNQGAGHDDRQQHHYRIQQHQHQ SQHHHQVSTTLLRITCLPLLEDVFGDILTASDTSYLLILFFSMSLSYYIYQSKNESLL TND PEX2_020290 MGTEYIFQPTRESAGGNETKQCQRSEKKFRPRRAKWACYACHKR KVRCDALNQGTACTNCKLDMKECVAPPRRRKKAAAPSPWIPSEARTDHTPTSPCALQN SATPDPKCTDIQSNSASVSSRKRKSPPRSLDSPRTSPQQRKCPSEGSSHSVDDEVGLW MDMLPPNVLEQLELRSTKDIARPRRDTVDGLSAERFHHECSEKLLQAVDILTEVIIMH NSLSAHTTPSAPVANSEADIHYVLGDDDSEKEQNMEYSSSACRQIGEKIWDIEKTQDG SSALTPESLEFVAGSNYNIWLELNDFDLKGPSSLGPYNAE PEX2_020300 MSLFAKRPGRLGGTKSKTGCDTCKIRRVKCGEEKPHCLRCTSTG RRCDYSTRPLKTIGRPQPDLTTFSCQRTRERRAFEYYFHKAAPSLSGVLDQAFWRGSV LQICRAEPLVCDAIISLSALYERPPIHETSPWLLLNDPATVRHQHHREALVWYSRSLA LLQQRINQGTADLTVSLISCILFIAIELLQGSRKGAQVLYKQGAQLIFGASSTAASTI AVLGPIFRRLGTWVLITNGISEESWSLHLAVPDERFTSTEEARNVLCSIVAEMKALDI DSKAYIRRTTDSRLHEVSGLVARQQHLKTRLVQWYRLFTYLKSIHGSNVDGATALLLM THTSVFIEAEAMLDFDQGVYDAYESEFAHIIELAPTAVAWTRSSEGKQPPFTFEMGVF LPLFITGLKCPFPELRRQALRYMAEAPPAQGLFMCTPAAHIVAILIGLEENPDNLPEK VSEVYELLAKPGHIPPAQYRTCDFSVSSVMDEEGETRNWLNYTLHHFDADGRIQVIEK TVPFPT PEX2_020310 MIPSSVSEAYQKILSRVPPNQKSIVKKVLQIIIGARRPLTIAEM AEALDLALSSHIQPQPAAQARIDPLQLERKLRHLCGLFVFVKNSKIYLIHQTAREFLI KKANSDDVNFSYSSALNDIEKQMALLCVQYLLLENLGGNTAEQPAFQNFLEYSAVHWA SHVRHMTFTSGQEMAGLLNRLYDTRGKRFALWFPILWKAVAPYLEVPQMSALHLAAFN GHEQQVSSILAIDKGTLNTPDTTGTYPVIWASLNGHEKTVQILLEQGADIHAQDGLYG NALQAASNGGHDKIVQILLEQGADINAQGGLYGNALQAACYRGHDKIVQILLERGADI NALAQDGHYRNALQAASNRGHNKIVQILLEQGANINAQGGLYGNALQAASNRGHNKIV QILLEQGANINAQGGLYGNALQAASNGGHDKIVQILLEQGADINAQGGLYGNALQAAC YRGHDKIVQILLERGADINALAQDGHYRNALQAASNGGHNKIVQILLEQGANINAQGG LYGNALQAACARGHGKIAQMLLEQGADINAQGGEYGNVLEAARQNEHYHIVQILQKYH CADQSTYQFPLPKRRKVSSGFHIA PEX2_020320 MSDPANYTVGWICALLVEYIAAQEFLDEEHDKPSFVSPNDTNDY TLGKMHEHNVVIAVLPDGEYGTASAANVATNMLSTFHNVRIGLMVGIGGGAPSEKHDV RLGDVVVSAPRGGQGGIFQYDFGKSIQGQGFQHTRFLNQPPTVLRTAMTGIQAQYKRK GHKLIEAINTILNTNVRLRSEYERPESSTDRLFQPSINHESDCSAASCANDASNLVLR HKRSEHEDDPAIHYGLIASANQLIKDALIRDTLAAEKDVLCFEMEAAGLMNTFPCLVI RGICDYSDSHKNKNWQGYAAMVAAAFAKDLLQRIPLSRIEAEERISVILSGQSKQSYH HRVNI PEX2_020330 MTQESSQVAPRYLTGDKAGLQEFLAKFDVFLFDCDGLSPVLALS RRSVRGGVLWSGDHLFPGTVETLELLRNKGKQVVFVTNNSTKSRADYRKKLEGLGIPS TVEEIFSSSYSSSIYISRILQLPENKRKVYVIGETGIEQELRSENVSFIGGTDPAYRR DVTPEDYKKIAAGDESILDPEVGVVLVGLDFHMNYLKIALAYHYIKRGAVFLATNIDS TLPSSGALFPGAGSMSAPLIMMLNKEPIALGKPSQAMMDSIEGKFKFDRSRACMVGDR ANTDIRFGREGKLGGTLGVLTGVCSKEDFVSGEVRPHAYLDKLSDLLGSE PEX2_020340 MPRFNARQAFLNHPQKVISQEYLQLKEEKESRQRRWWQFWENGT RNANSPPQDPASNNPASPRQEGQVVVQSSQEGGECGEQRKVEENKPARPPLTILCDSS AESTNVNLPLTKATDYKSIWVDIHNPGYNIRLDPNNPEPFLRA PEX2_020350 MSEKPNFLFVLTSQAILPSRGTPTGWYLPELVHPYNKLAPRFNI VVASPAGGEAPLDPYSIESTKDDAECQAFLKEHKNVWVETVKLSSLLGRSAEFAGIFY VGGHGPMFDLANDPVSQSLIREFYESGKVVSALCHGPAALVNVKLSDGTYLVSDQTVT GLSNAEEEAMQFTNDMPFLLESELRNRGAKYESADGLFGVKVVVSGKDGKLITGQNPP SGSVIGETLLKALS PEX2_020360 MAFTITVLFPNVPDAKYDIDYYTAHHMPLIKQHWTKYGVQDWSV TTFAPGPDGAQPPYTFGSVVVWENKEGVDKAFASPEVAEVMGDVPNFSNKEPVFLFGS QIEAPK PEX2_020370 MKLNLVTLFACVPALFVEASKVRSEDISVTSACRRACSRIANDF NSATTNPGNGNLTVWDAKQQAVQSACRVVPVSTDEVSRVMGIVIEESCHFAVKGGGHA RYPDDSVSVGGVTIDMQRMRSIRVSPDRKTVKVGSGHILHSVYEALDPYNMTIVGGRA ATVGLGGYTLGGGLSHLSPRYGLAMDNVFEYELVLPNATVVAVNEDAHPDLYFALRGG MNNFGIVTHFTVRAVSQGQIHAGDRTYTIDKRDVILDQAYRLTTQWKNDTAMSFYHGF GYNQSTDHYTLSFTPEYSHPILNPPPFAELKRIAFETDTVRLDRASEFSKEVASATPP GGRNLFATMTYYSSLELDKQMQEIMMEEIESFKRTAGFFPNLILQPLYEAAIHAGRQR SGNAAGINADGPLTVALLTVLWENSADDNAMNTFVSRWVERATTFIHKSGKDHPWLYI NYASKDQDPFSGYGEKNLQRLRDIQKMVDPTGVFTSTGLCRGYFKLL PEX2_020380 MAPPVRPSRSLEGLERVIPPLSPQNPFSTRSDLFLNKPLPAKPF DEEPECSAMWSDSSDSDTESTLDSIAGSEPRHSADSYPIFVSSGSDFDDLVDHPVPSP DPLLRLSPQLPPHKRTDSIDINIPPSIVEPSVSVSSSFDTQYGRPSHWTQNRTGTNHY FREKKWDYFPELAPSALQASGRISPNMVAPNNKSRKMGNPLDFAKGKYRWHSLDRGGL GGVRDSIKTYVHRTLSRDSTADNKKEIPRPATAPMDHHLNDVGGKLSSTAPMPQLSSL ALDTNVAARTTSVATSSSSEYDYNNHKFHLQTPISPTSPTSLSTPTTPRPKQLAVPLS AYQKHGPVIWEPPKSKKRNVQFPRYKSSPGSAAEPSSSSAPNLSYANATPSLSPPRKQ SSNNPRGVFLGAKKKMAESKDDRRREQLKAQIKLVGPVNPHTYVQGDPWI PEX2_020390 MAPLNPTSQEAISRLRNYIPPPTEYNSVPLSRRAAVLILLFADA KGDLKVVVTIRAKTLSSYAGDAALPGGRADNTETAFQTARREACEEIGLPDMNQKLPP PFSVEHLCEFPANLARTEVVVRPCVALLHSYDPGLGLNADPEVSLIPILDASEVEAVF TAPFKDFLSDSAQNAHEWYRGSWGMWHNSQWRMHQFFVHQISEHGEDQVYRIFGMTAR IIVDAARVAYAQEPEFEHNSHFGDEEMIARLRQLGRLSEKAAKLS PEX2_020400 MSATMRSTGLVRGTPAFRSALIGRGRSSALSPASIAARSLLQNG RDLPSQVSALAILMPQRGYATEPSTSNNSSQNYPPPGFNAEQAKKPLSQEQTAQSQST VAQTQPAETQSVASKSAPAGVVAKTNPEDQKLAEKKPAKKLTLGQKVKKELQHYWDGT KLLSTEVKISSRLALKMAGGYELSRREHRQLQRTTKDLGRLVPFSMFLIVPFAELLLP VALKLFPNMLPSTYEGQKARDAKALNLRSTRKEVSGFLRNTIRETGLPLTAATVKNDE FTDFFRKIRTTGESPSTEDVIKVCKIFKDDLTLDNLSRPQLVGICRYMNLNSFGTDAM LRYTIRHRMRQIKRDDRAIFYEGVDSLSVPELQMASASRGIRTHGVSPARLREDLGMW LELRLKQGVPSTLLVLSNAYLYTQGGKESEFASQIDALKSVLSSIPEELFHEIELEVH NAEGAATNKQRLEVIKEQEELIEEENEQNSENESTGVSAPKDIEDIDEKEETKVDALT NGAKQSAEASEALAEGERAEKATESKDAKKSESS PEX2_020410 MDMNRGYPFAGEVLERRHSDTCIPQQPPMNMLIVPKQPHTAEYT HPHFAMIYLDHNGQWQLQASPSIAGCEGAIFTPDVTDRFIEMTGQAPQTSPQFTNPAQ APHPPWDEQPSSGWGHAGQTRQAEMIPFQWSSQQSRKKSKRSSGMPRARPKSSSPPPA TPPPGRTVLRVGNRALLRRYYEKAFEDFQQLNCRAIAKSYIKLVEPRKQVHYPYNGRR VIAGVSQRVDPEFTKPAWWPAGVLHKEPDHLLKPDRLRLLVHILCELKDSHGVTTDKL RDAGQDVRRQITPAHRLQVLDEIYFVRQMEEQFLDGEIDASTLIQVTQTHLPEAIFQD GDELSSRAHAAPATASVIETEHDMHDAGDNPGISTLEEDDSKLHHSHSLPLSPTTSDN ITPGPSQRADWIRMTIPQRSSPSNHHSHHEHTSISHLLAGSCPSISPSLVTASKLQLQ FYIAVSVSCASESGVVYSVDRQPQFAIIAHTRAWLAHIYYAVLFGLATMGMLTFNRFL SRPYPNTRDGPTILSFQQQFLMESTGSRRKSDPTMSAEPRVSTPGLDEIDVPFPSDDF TDNDEIGSTLTSPGRLSRNGSFSNSSSYQEDWESFPPLDKLTVFDLLDNIQLSQRLEK WQQTIAMQKEKVRRQREKLKSSSLNAKDRVVGEWKRRAPTADEKLEKYRSRMKQGVER LGKQWNKTATVTLREKMSFIAGVLNIFISGYLIGACPEYFYIWFSAQLAYFMPIRYFT YHAKGYHYFLADLCYFVNLLCMLSIWVFPNSKRLFISTFCLTFGNNAAAIAMWRNSMV FHSMDKVVSLFIHIMPPVTLHCIVHLTPVEKLKERFPAVYNIKFSQPGDPEHYGLGAM ILWSTAPYLVWQLMYHFLITVRRRDKIAAGRPTSFTWLRKSYSKAWIGRFVLSLPEAL QEPCFMFIQYGFALSTMIPCPVWFWSKWASGLYMSALFVWSIHNGATYYIDVFGKRFQ KELEQLRTDVARWQSSPEGTTSPLLAAEPSPLSEAINAHSAEKRSSVDRIPLLDTAEA SSIDIQQEGLNKDSLARERI PEX2_020420 METTTNYLSLPAIAEFLNAYQDNPTAENVKIMVSGLLTYAFDSN DGWVLKWQEDEENNHSNCFIVRAVGEERSLHAIVKVILDATGSIKENWDQSIPRLLSA PLPNERCWAILFRGLKVRLYEYHREQEPDERLVPCDFKIKEKRKHAVHIRKNADAINN LLISIPNQIPEPLEEGEHGSPCPIDSATETTNGSKVSLDVTLNAAPASGAYLESPPED PPTEVSEEKTPTEAEPVTEHETAAQAETATQVDTTTTSQTKHITEATGAKAKITPQSK AAFLAKVAAGIKSTAQAKTARQVKPAAGVKNATQAKSNPQAKAALLAKGVSGTKLTPR IKPAMETEVAGQTATE PEX2_020430 MGKLIRLELFNFKSYKGHHVLLFGDAYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSTNLRDLVYRGRVLRTSKVDGEPAADEQDGEQEEEPESMDVSQD ASGNDPKSAWVMAVYEDDAGEEQQWRRSITSQGVSEYRINNRIVSAHQYNEALEEENI LIKARNFLVFQGDVEAIASQSPKDLTRLIEQISGSLEHKADYEKFKAEAEEAAEQQTV QLNRRRGINSEVKQYQEQKREAENYAKKAEERDQAIITHILWKLFHFQRLIDDSSADI QKYQDELKEYRRGVEKYEQNVEDAKKDHARVGRDVGKAEKNITKKEREIEELNNSLVP VDEKIDITQKKVERYSSKIIEIEKERASQSNNGKQLEKDLKLVEKAQAQWEVEWQKTM SKKGGQLSEADQQEYHKLREEVNRRSSADSLNLDNLRRQRKTEAEAVNSLKGKFENTE WQLKSVESDAQNMNERKSSLNDTVKSTSKEIDRKKKELNALTSERLKVSQMRTELEEK LQVVLKKLLEADDGKKQTERELRAKELISALKRIFPGVKGRVSDLCRPKQKKYSDAVS TVLGRHFDAIVVDNEKTAKECIQHLRDQRAGQATFIPLETIQVKAFNSNLKGMHRGMR PAIETVDYDDSVARAISYACGNSIVCDDLATAKYLCYERNVDAKAVTLDGTVIHKGGL MTGGRGPQQNSKRWEDSEVENLYKLKEKLMSDLANLPKSHRRGSEEETLQGELVGLEQ RLTYSRDELKALERNLESKRSELDFVKRQMEELRPKYTERKENLDELDDTIETSQASV STVEDEIYRKFCKRLGYDDIREYEAQQGSMQEEAAQKKLEFTTQKSRIENQLSFEKQR IQATDDRINGLKAQYDRDQGLIEELQSQQEEIRNQLDEFEAELELLREALEKQKEIYS QSAENLAEQRRELQRRSKHVEAALKNVNALEAEIQRNSSSRYALLRRCKLEDIDVPLT ESSNSLDKLPIDDLVQAADPDAMEVDEGDGLDEAPPVHDYGIEIDFDSLGETLKEEAD DKLEDELLEKVRALNSDLDKMAPNARAMERLESVENKLRSTEKDFEDARKSARKAKED FESVMKTRSDLFNKAFTHISEQIGPIYRELTRSANYPLGGQAYLDIEDSDEPYLDGIK YHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDNTNVARI ANYIHDHAAPGMQFIVISLKTGLFQNSEALVGIYRDQVENSSKSLTLDLRKYT PEX2_020440 MNTTSSLRYFNSSAPHYKAKGVARTVDSAWQAASPYGNKNPRAL AKLQSKVLKAGQVILFQAPSHRPYVLTAYGLSAFCFAYSIYNSNAVLLDPLVPLPMWQ KSLFGGICIMMSVGGTLFISRTGSLVRNIRAVNSDGRMRVLFSVRSMVPFKKPYQVEV APGDLSFKRRISVSPETMKRYEDSTKLGRELQPEPTFVRTLKSMPRRIFQSVRQLFTN EDFIIVQLAGHRTHFRLDSNGYISDDLLHFGRMVNVKMPFRD PEX2_020450 MAAKISAGQVPAMFARRQQKVFGVGRRLQSTAPTTQNPAYPLYP SVAQLLREKGIPKSDVSKIPASGPKGRLLKGDVLAYIGQIPANYPSDQAATLAKLSHL DLSNIKIAPAPAPAAPAAAEKETAPEPLPLTSVAISISLAAVLSAQKKLQDNLGVTVP LSRFLAVATDLANDDLPRLANFKPSADELFDEVLGAQPINTSRGDYIPELNAFEATKT VRSKPVTEDLIDFLSAKSPKKSVPTSSAESSVGVASNVFSLTVPVGEETRAKAFLERI KTLLSVEPARLVL PEX2_020460 MADRPQNPEKAGNRSATRPQISQISNIASPPGSKTPPSMPSKRT MYFPDNSNSGSRQRQDGAEPIDPSALAKALKDYETAGSRRDRTPASSPCRKRQRVYGD RFIPNRDGQDLQATYSLLHEDGCPSTPSKAKKRPPHSELHFQKTEEANRTFSRVLRSE LFGNTVPQPDLNSAPSDPLLGFSNGINDKTRSHTPPAHIAANIPPASITPTTPHKNLF NYGPSRPGSGHPTPSKTPRSVHGPNLDVRSELYSLSPIRYDSQRILETPRKQARYVNK VPYKVLDAPDLQDDFYLNLVDWGSSNVLGVGLANSVYMWNSHTGGVTRLCELKDDTVT SVSWIQRGTHLAIGTGKGLVQIWDAEHCRRLRTMIGHTNRVGALAWNDHILTSGSRDR LIYHRDVRSPDQYIRKLSGHKQEVCGLKWNTEDGQLASGGNDNKLMVWDKLSETPLYR FSDHSAAVKAIAWSPHQHHLLASGGGTADRTIKFWNTQTGSMIKEVDTGSQVCNLSWS KNSDEIISTHGYSQNQIVIWKYPRMEQIVSLTGHTFRVLYLAMSPDGQTVVTGAGDET LRFWKIFDRRATRDTRREGSKLAEWGTIR PEX2_020470 MNECLQGSDGVAQGDSLGHAKLMLVPEILGNVLCQHGFVTIETK PTLLVLLFTGRDAPNLA PEX2_020480 MAAKQPALDFLSFVNSAPTPFHAVHEAKKLLADAGFQEIKERDS WASTCQPGGKYYLTRNTTTLVAFAIGKQWQPGNSISMIGAHTDSPVLRVKPVSKKSGE GFVQVGVETYGGGIWHTWFDRDLGVAGRVMTRESDGSIVQKLVKIDRPILRIPTLAIH LDRQENFAFNKETQLFPIAGLVAAELNRNDGTNTPDTDKGGELFSPLKSVTERHHSHF VELIAAEAGVNPTDILDFEMILFDTHKSCLGGLLEEFIFSPRLDNLNSTFCATVGLID SVADESALDDEEAIRLIALFDHEEIGSRTAQGADSNILPSIIRRLSVLPSSSSKSTDL STAYEQTLSTSFLVSADMAHSVNPNYAGKYESDHKPEINKGPVIKINANARYATNSPG IVLLEEIARKTTKETGEHVPLQLFVVRNDSSCGSTIGPMLSAALGARTLDLGNPQLSM HSIRETGGTYDVAHAIRLFTGFFKHYSELSKTILVD PEX2_020490 MTDVDAYRDAEYIADVSGISYDDAEPPRPTGTLRSQNARVKKIK STAKVAFIDRLLRDLDILIYCQLSALYYMDCSIVLFAIRAIVELIFFTPKAPPFEPTR SQPFVGAIIGSNLICMLFHAFLRVKTNGTPTPAPTMPNPNPPADTPADTSPNAEDSQP QDHDAEERGVLRQEDSDETVSPAQYSSDSHHSSGIDEEVEEERTTLLADPADTESGSG ARGEHPMDTFAAGEAVILSMSFLGTIRDQWRQSNSAARPTSGFVPSPETATFLRQRFG LQVGTNGRIERANTT PEX2_020500 MLLEPRTLACAAPAELDTDTICDRDSGRWLAHFLQERIICLNGE VDDTLSASIVAQLLFLEADNPAKPIHLYINSPGGSVTAGLAIYDTMTYIASPVSTICV GQAASMGSLLLAGGEAGKRYCLPHSSIMVHQPSGGYFGQASDIAIHAKEILRVRTQLN EIYQRHLTGKKQLSLDEIENLMERDYFMGAKEALELGVIDEILDRRVQGKDGAGEESK PPTA PEX2_020510 MECVGTRIPYAKWRLSAFRQLLATSQASRGISTSRQTDQLNATT LETKTGNLNPDSAPPSQRLPQSPLLARLRSGSEKNRKRRPTTQEEADLLKNPWAVMLA SPARMCSVTGARLPSALLGTWGLVKQPNSDKLHMMPVGLLQDSLQGNKTKNLSSSSEQ TIPDQQESPRIGKKGQEKGHLDVSPISTSPDKQSGRQLVLRIAELLPLIRSISASLSK KNRKRQPIMRLLPFRWRHPQGPVTAHEEKRIIWSKDTPEFMLQSMRSVVVKKLGAVLE KYKRVGTPNGVWRALDLPESSDAALKEALESLERFDRMECGGVLLLDSKKSAAATHTS QSSGSLNSVTLTQTGSKVPVFDLSVLFSESDINKLRESHSQFQHTALFFRPEDQLGID AMISLWKIKRLLDGIDLTEQ PEX2_020520 MAPKRPCPACGQISHPLRECPFRGFHDESQDKPSITTVTITRTL PGSFAHLGLRGRAKRQVAHPLRSLELRKPKPSPLALYCETSVPTDDAVEATPITESMP SEDAVETTPANEPTISANGTERNEST PEX2_020530 MSGWMIAAIDALNYAGAVSFTPENDIPDLTGKVILVTGGNAGLG KETVLQLAKHNPKKIFLGARSEAKAEEAIKSVKSSVSNDAEISWIPLDLMSGKSIKSA AEQVNAQSSRLDVLILNAGVMALPPGETEMGHEIQFGTNHTGHFYLTKLLLPTMLKTA EERGSDVRVVSLASVGHNFAPAFEKILNQEKLKKVNTTARYGASKAANILFAAELSRR YPSITSVAVHPGIIVTNLYDALNSQSVFAALGSKILRFSASTVRQGAFNSLWAAVGAK KEELTNGGYYVPVGILKRRNKWACNENMGKRLWEWTDLELTKANL PEX2_020540 MLSLNIPSYSQPSGYQLSELPKPDIIDPKDVIIKVHAASINPID VKKAGGMLKLAVKDTFPYKIGYDCAGIVTEIGSDVTRFQVGAEVYVRLPEISRGSCSE FVRCTEKYIALKPPSLSFEDAASIPLAAMTALQALRKYKGDLAGKTVFVPAGLSGTGL FACQLAKNVFHAGKVITTVSTSKVEKIMELLGEATVDQIIDYTKSDPRKAIKSGSVDF LFDTVGSSMDYLCLMKQQSGCIVSISTMPSGNQLQESSVTDLPQKSSIPIALRMGLNA SDYVRKFRASRYGVEYSYMFLESTGEDLDELRGYVEGRRLRTVVGNTVELSDIEAVRS ACQVVYSGKGGLGKLVVKVADS PEX2_020550 MKTSITLLLSAAFAGVSMACSTPGNFIVTFYGYPDNSPPGPGTA HDCGGRNFVAGGTGTHSDPVTIATAPGELKVCETVYLPLLKKYGRYEDDCAQCITDHK NGKPHIDIWTGSSTTNGGQHQIDCEDDLTLGGRYSIVRDPPTNLAVNAAPLFVPPKTC HTQNVYPDNEARC PEX2_020560 MAATPTNPDSLVEHETSAGMISEKLGEKGGDMNKTGAIQEVPLP SYDDSESLSKGAAPLTTAEEIVTHVIQVDDDPTLNPWTFRMFFIGLGLSAFGAVLQEI MYFKPQVVYVSVMFLTVLAQTMGTAMSTFIPRRGVIGRFLNPFPWNRKEHTAAVLMAS AAAVSALSTEALAVQKLYYGGYPNQAAGIFITLSSQLIGYGVAGMMRNVLVNPTSMLY PINLPITTVMETLHKPKEETRQRFKVFWIVFAAIFCWEWFPEYIFPLLSAVSIFCLAD QHNAVFTNLFGGSQGNEGMGFLSVCFDWNYIAGFGSPLWMPLQTLVNSLIGYLGGIIL SMALYYGNIWRAQDFPFMSQLLYDQSSNTTNFVPYDETSIMNADFTVNSTLIEQAGAP YLTATYVNYLITSNAGLTATIVHMFLWNYAEISLGWAWMTMSNLKKILDPSLYMFWRH GGGRTEEEKEQIRQDPLIDPHYKLMLDYEEVPSSWYFLVFAASWITGLVCLYVMKSTL PWWGFIIATIFLIVFMVFFGAQYAITGFGYNLQPIFQMLAGYMMPGRPLANMYFTTYT YNGITQGLLLLRDLKLAQQNKLSPKATFVTQVIGCVMGALLNYVMMITIVQNQATILE SAEGTNIWSGAQIQQFNTLAIAWSIAPQMFSIGARYQWVTASFLIGFLAPLPFYIMHR FFPRQRIWAYLNTSIILWYLGYLFVGLNASVTSYYILGAFGQFYLRRYRPQWFTKWNY LLSAALDGGTQVMVFLATFAVFGGSGNSVAFPAWAGNRVNNYDYCLYNPEG PEX2_020570 MFFPSLILAAGSLSTLIQAIPHGAKHHHSLHRRAAATYAVMGGD GEASDGWPTISQWSEYETLWGLNQILIAASCDNSDDETSDINTSIKSIASETGVDARF ILAIIMQESKGCVRVQSTNNGVENTGLMQSHDGEGSCNKDGSKTTPCPSSMITQMIQD GTAGTTQGDGLKQCYEAQTGGTAAKYYKAARTYNSGSIASSGNLGQGGATHCYASDIA NRVRGWAGDVSECVEATIGTITSGVESALGGDDGSSSTSTSTTAAQSTETAEPVQTSS SAAEQPVTTEPIQTSSAPAQAAETSSAASSATSTETTSVAPAPTWTPSSNVQVAAQTT TPTPSWTTKSAPAATTAPAASSSASGTAPLYPYASSSCQKYYTVKAGDFCDKVTEAVG ISFLDLRSLNPGLDEKCSDLWLGYQYCIKA PEX2_020580 MERLEMLSFERGNKVVGKAAPDRDANVSNAYDRPLKRTGPTEQA SPKRLFNFTQIFFFSLTYLSSWETQALNLSTVLSNGGPEALAWGIVIVVFGAMAQSAS LAEMASMQPIAGAQYHWTHYLAPPSQRKFITWMQGWVTWFAWISTLAGVANTTATMIQ GLASVNYPEYEPKQWHITLIIVGMLIVEALMNMYTFWLIPWIEMLAGILHICLLIVFL VVFTALAPRHTPEFVFLHAQSTSGWANFPAWNIGLLTPVWGFVGFDGAVHMSEEVRRA KQAVPRSIFYTVVANGILAYAMVICMLFTMGSVEEAQKSSFPIIEICRQATGSVKAAT AMVSGLLVISLSVNLASIASVSRLTWAWARDGALPRWFSYIDRKHNVPIRAVWLPVLV VMILACLNIADGAAFGAFVALGSIGLFVSYFIAISCMVHNRLQKHAAPLGNWNMGIWG LPVNVFALVYTAWVTVWLAFPSALPVTGENMNYAAPIFGATTLFAFVYWFIKGRTRWE GLNKEVIRLAVEGGELQLKT PEX2_020590 MSSSITVLLPPLLPVTDNDHSAWVITVSTILLIITILATTVTLI SRIRVLRRVSWSDSTLFLSCILFIPQTVFVNIASTYGIGKHRYALSNASFEKYSKALF TSQLLAVLVLGCSKAAVALLVLSLQPFEKITLACKVVLGLIGAWALAALIALGKQCDE PQPWNSSPERCVNQEALYIGLGGIHMLLDVLIIGLPVALLHQVQIIRWKRHQISALFA MRILVLALTIAGLHSLPPIYDSKPLDQTWHTLMPAIWLQLILSSSILCTCIPTLKRVL AELQTGMMAGVVSDFFEHSVSGHTNSGDRSASKFDNAVGQRSGSGSGSASRSPILRDS LDVERVDSQKSLRENAIVQTIDYEAFYEGPGSSRASSSHGCESDARSTYPHVETSKRL VLKG PEX2_020600 MTDHVLDILGQTPDLYKLYTQICSIYRVPETSSHDTIIDTLRNG LDRLAKSFPWLTGQVINEGAGDGNTGIFKITPLEKIQLVVKDLRHDPSAPTMDGLRQA KYPFTMLDENVIAPCTTLNLPGNLPSITTESALVFCVQANFIEGGLILTLVTQHNVMD MIGQDFIGGLLSKACHNEPFTSEDLAVGNMDRSHVIPLLDDSYTPGPELDRQIVKPPP SVKDIVSDPVTPLKSTWAYIELSAAQLGSLKSLATNTLPASTDFISTDDAVSAFIWKS IARARASRLEPTSKSMFTRAVDMRQRFGFPQGFPGLLQNLTYNTNTIQKLSEEPLGIV AAELRSQLDPKKNDLVFNTRALATVLSRSADRTKASFTATVNVSSDFMLSSWSRISCY DLDFNLGLGKPEAVRRPHFTPFEGLGYLMPRSAAGEMPVGICLRDEDWEQLKVDGEFT KYAEYIG PEX2_020610 MGGEVPFRPAPPALPQLAEHPVGQRIKKIYTDRLNQFTSNGQYE GQNLVSKYHEATNSDEDHVKLSVYSVPDLQRPTFKEATAQEFKPTHIGASFGPSWSTH WFRIHLTVPEDMLQREHLEFHWDANNEGLIWTEDGHPLQGLTGGGERTEFILPKDWCD GKQHTFYIEMACNGMFGNAPGGDSIQPPNPDKHFTLSTARITAINLAARALYYDFWII GDAAREFPGESWESHEANVVANSIIDTFIAGNGSNESINEARKIARKYLGNKVDSSDV YDTHTQPIVYAIGHCHIDTCWLWPWAETKRKVARSWSNQCDLMERYPEHRFACSQAQQ FKWLKQYYPSVFDRVKRWVKKGNFQPVGGSWVEHDTNMPSGESLVRQFLYGQRFFEAN FGKRSTTFWLPDTFGYSTQIPQICRLAGMSRFFTQKLSWNNINNFPHTTFQWVALDGS QVMCHMAPAETYTAEAHFGDVKRSVTQHKSLDTDKTSLLVFGKGDGGGGPTFEHLEKL RRCRGLSDQVGLLPRVQMGESVDDFFAKLEAKAASGTDFATWYGELYFELHRGTYTTQ ANNKRNNRKSEFLLREIELLATFATINASRGGYKYPKKEIDEMWEGTLLCQFHDCLPG SSIEMCYDDSDKLYAEIFETGAKLRKNALEALGLTGDARSENFMALNTLPWPRSEVVA VPPAVSAYNGSRYAVAVGTTGVMQIQPADFKTTTSVTVSEIKSGVFRMENGKLRVDVQ DGVITSLYDVEADREIVAKDRKAGQLVIFDDKPLYWQAWDVEVFHLESRKELPGGKTT ILENDPHRVSVMTETQISDKSWIKTTISLSASISDEPSYVEMESEVEWQETMKFLKVE FPVDITNTEASYETQYGIVRRPTHYNTSWDMAKFEVCCHKWADLSENGYGVSILNDSK YGFATCGNLMRLSLLRAPKAPDAHADMGRHHIRYAILPHAGALDARTIRAGFNFNNPL VVEKASPKASASNALFTSLSIKGAPSLILDVVKRGEDDVDVSWDGTPTRPGKSVILRV YESLGGKARGTIETTLPVKSAFKCNVLEDNENDGLVIAAKDDRSAIKIELRAFEVATY RLQL PEX2_020620 MADTAPAPTTASATTGAAAPTAGATTGAPNAPADQNAASRGLPY YEKLRRELRDTLQKKRLMDKSMAQLEDQIFRFEQSYLEETTAGNIIKGFDNYIKGSAS GSSLGAAGLGLGGSMAGSRRKAQVTESDRVFSRSSASYMLDSPGPSSVQTTPSHAATP TSTTGGNSMSMKIDPLSISASGMKSSNGSSKNKKKATGGSKNTKGKNQTEDISDEDKP SVKRLKISYGRD PEX2_020630 MDHSMHTGMEHGDMGHGGMGHGDMDMGGKCNMNMLFTWSSENLC IIFRSWHITGPFSFLLSLIAIVILTAGYEGVRSATRKYEAAHAQRLSAFLGTTATTGD AEIADPIIANGLADAIYNNHHESSPLLVGSENRAALARKGKLTMAALYAIQVFYSFFI MLLFMTYNGQVMIAVAVGAFVGYLAFSEDTSAAKTIACH PEX2_020640 MPDITSLPSHPSPQDAEIIREAIPSHPLGVKPSGNALLATWSLR NAIGTFQHLPDELILLLLEGFDGPSLLRIGRTCKAFYAFTRAEELWKALFVRDPREDF TWRGTWRSTYLNIPASKVPMVDCSQLFSDSLYRPFNCAHISLDPYVSKIPARNQIARL QDLSPEEFQAKWTDRPFILTEPVKAWPAYKNWTVGSLLARYGKTKFRAEAVDWAMRTY GDYMADNSDESPLYLFDRSFVSKMGLSVGSPETTPDASYWPPACFAEDFFSVLGDDRP DHQWLIIGPERSGSKFHKDPNATSAWNAVLRGPKYWIMFPSSTKQPPPPGVFVSDDQS EVTSPLSIAEWLLGFHAEARRTPGCVEGICGEGEILHVPSGWWHLVVNLEPSIAITQN FIPRGHLGAALDFLSNKPDQVSGFRKNVANPCERFMTGMREAYPDLLEQAWNELQKKT EGKKRKWEDIVHGKTVDTPDGQETEGGGFSFGFGDDGSDVEVP PEX2_020650 MADKGLEDLAEGQIESNYDEVTDSFDSMDLKPELLRGVYAYGFE RPSAIQQRAIMPIIKGNDVIAQAQSGTGKTATFSISALQKIDPEVKACQALILAPTRE LAQQIQKVVVAIGDFMSLDCHACIGGTNVREDMNALRAGPQVVVGTPGRVHDMIQRRV LSTTAMKLFILDEADEMLSRGFTEQIYDIFQLLPQSTQVTLLSATMPQDVLEVTTKFM RDPVRILVKKQELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKVD WLTDKLTARDFTVSAMHGDMEQGQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVSLV INYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMLREIEQFYSTQVEEMPMNV ADLI PEX2_020660 MIGAMLPHIESTSRRPGHSPGPCYGFLCLAPTEMRHVCVHLLLL RFILFFMHFPLFFPCLYLARSCWLRMLLLLVLNQ PEX2_020670 MASIRANCRKIMCIGRNYADHITELNNTAPKQPFFFLKPASSIL TPGSGPVLRPKGVSLHYEVELGLVIGKTVRDLDPNDEQAALDAIQSYVLAIDMTARNV QDEAKKKGLPWSIAKGFDTFLPISQEIAKSRIPNPHDAFLRLSVGQNERQADSTSLML YRIPQQLAQISRVMTLEKGDIVLTGTPKGVGQVKAGDVMRASIEVGGKEIEEGRIEVE VQDREGRFPVCQDIAMFASDFKDQMFSSTQTSLDLSTCKSQRFSQTSIPSASAMAQGH NEALALVNVHKNITGSDSTESGSALADKSPADDKADLRRAKELVELHYEVKARHANGT VDEELSQARQDVRRVLRELSTV PEX2_020680 MNIMSPPKQPILFLTSPEHGQSNVALAVAEEFLRRGEFEIHIAS FKELSTRVQAINDKPGYDQVIHFHPIAGPSLSEIVTRTIPDICHRPGLAGTRDACNLI NISVLGWKPEEYILSYRSCLEILKDVRPVVVVADPLLHLGLDAARSIESRIAMLWPVP LKDIVVTVQPKAGIFWKYPL PEX2_020690 MQPYIGSESAVAQFHPLQEVETHRFLFRVLKDPTKLIEHIQTEA GAIILKIAYGYTIESHKRDSLVHIANLALEHFSKASTPGVWLVDIIPALKYVPSWLPG ASFKRTAQAWKKNLMMVAEKPYAFTRRQTEEGRYEPSYLSNLFKTTGCPSPGSEEEFV AKWSAASLYTGGADTTVCAMECFFLAMTLYPEVQGKAQDEIDRVLGSSQLPTVGDRSR LPYINAMVKEVLRWHPVAPMGLPHASSEDSNWGEYFIPKGSLLMPNIWAMMHDPTVYH DPMAFKPERFLEINGREPELDPHDLAFGFGRRICPARILADITLYLSAAQFIAVFNIT KSVEDGKEVDVQPKFQPGVISHPEPWKFHIGPRSVAHESLIRSVEDKHPWEPSDSPDL VDI PEX2_020700 MASNQLVNKLAAESGGGAAQIVMSANRPRQLSTLTPGAVMYGLY DSTGANILEFFYFYLAQNVIPATLIITTRKSSPLRYLCIPGMIWVASRFIRPFGSSGS PTWCQAITQLVIATLQATNLLLLHPLANSDIPHSTKSIQDFTSRLIAAFRLLSQTRAV NTQWQVKNVPSHPKYYLRRGMQVPTRGRFLLRQLGIVAWQCLVLDIVQTVSLQQTKEC GLHEPASLEIEWMVPVGQWVERIATHLSIWFVVNRLITDLAYRVLSIFFVGIGLDSPA DWPPAFGSMADAFTLRNFWGKFWHQFMRQPFTSISNFIARDVLNLTRSSTLERYTNLF IVFLISAIFHVIVDILQSVPMERSGSMPFYLAFVLGIMLEDGVQNIWKRVQTSNDRHE KAKQSSGIVPLWKRTAGLVWVMLWLGVTSTWYFTPMIQSTNDDLRVIPFSVAKYIGFQ PLVGIVVGSGVGIAVVLEVEI PEX2_020710 MLKQLDFSRFHRGSTDERDQFCRELISGLSKSGWVRLVNHGVPP ESIDRAFEMSHKFFDLPMEQKLKSPHPPTAHPHRGFSPVGLENISTVSNYRSSATQPL LRDMKESYDIGSEQDPLYSNIWPPAGVHDGFQTTLSSFFTVCHDAQLTILDAISIGLG LPVHSLRELHTAQTNELRLTHYPEVDQADFANATRIAAHTDFGTITLLFQDNVGGLEM EQPPGSGVFVPADSAGPHECIVNVGDCLQMWTGLHSARHRVHLAKSENQGNMVPERYS IAYFAKPDRAALLRPLLDSLVDDDKPVQNFLTANEFQHMRIEGTILGGLSDRRAPGRV SLATPTPPSSPPRPRYYARGSMCMQGMQGMHAIQEKSENSAHKITQIIEITIRMDGID LWFHSIYDMDWLEFCSRIGLLFLAGAILFLVRRVYFHPLSGFPGPKLVAASSFQHFYA VWSGQEKTWYQDLHRKYGPVVRCGPNHLTFNDLDLIPVVYHRQSDKTDYPKDFTCPGA ATNKASYLEYAAAKRQFGQAFSVSRVQLFEGLVDETLIKWVSILNEETHTNPSIDWGA WVKYFSFDVYVPMSVGESFGFLDHKSDVRNMLKSSYRIFRQWTLSRYRPIAWLAAHTS LGRRLFVSGRDDSTGMGMWTTEVHDITQRRIETSKTQEKPRWEHSMLDQWLAAKSATG EGIPLSDIEDQLVSDVLGGPYALATTISHLVTTMAKHPQAVRRAHQEIDNAFTQQTLS TPSPTYTECCALPFIDACVREAMRITATASPRWRCSPDRPLRLLDREVPPGTAVATSP FTISTHPQLYGENAEEFVPERWLEASEEQLRVWNAYDAHWGFGYRKCPGRHIGVLVLY KTLVTIVPSVLTSYREEESSDPPLDKNTKVCIIGAGIAGLYLALILQDLEVPNLSWDI LEANWDRIGGRIYTHRFSEAHNDYYDVGAMRFPDIPIMSRVFDLFRRMQVPLKEFDMR ERDTNTKGPDILKTEDCSSANLLSQSFGPYKKVMQKNFVQGFQLLMKADAMSTREYLR GNHKSDFTTIQSAESDTTGTGMFDQAFSESVMDSFDLDQSTTASEDSGAVKWYSVDGG SSVVIERMCRQINRNSSIELGKNVRCIALNHSADLSVSCAGEEEARDGYATVFSTTPL ACLQRIDTSTLDLDPVQEEAIRCLRYEDSTKVGIKFAYPWWIVDCGIRGGGSVSSTLP SRTCVYPSNIAEENWDQPAVLLASYTWGQDATRMGALINPSSVSSDGGVDEEDDLLDL VLRDLAQQHRQHGITYEKLKNLYRDHHAFCWGNSRFSSGAFALFAPGQFTNLYPSLSL PAADGKFHIVGEAASVHHGWVVGSLNSAYVAVYRFLVRYGQQRAIQKLEKNWGTVHEL DLAGVARLDASI PEX2_020720 MFAKKTNGGAPLSAPDAPDAESPSSQELDDIWDSNAVVPETVTG CVHDLVSEVAQRQPDALAVCAWDGDFTYAQLSALSDHVAHHLCEMGIPQKSPVALLFP KSRWTCVAMLGIIKAGCAAIALDSTHPDARLRSIIRHAQPKVMICCAATRNRVSLLGD SPILQLDNSLLETAGTVKQHTVDLPVVSSKDIVYISFTSGTTGEPKGACISHANVRSA VNHQGKALGFHRESRVFDFAPYSFDVAWSNVLHTLCAGGCLCVANEQDMVNNLSAAIM AFAATLINVTPTVLRTISSVPPTLQTVLLSGEMPYRENVTQWAGRVRLLNTYGPTECT WKCTFSHLNRCEEGRPDIGRGIGFCLWIVNPNDSSQLVPPGTPGELYLEGPMVGQGYL FNQEKTSEAFIDNPPWLLSGSSAVPGRQGRLYRTGDLVKTRSDGSILFLGRKDVSQLK IRGQRIEIGDVEHHARACLNDTLTIIADIVLPKGTDTALLALFVQTRSENSETVKTVM NNLVCDLDGVLPAFMLPGIYIPVEEIPVAATGKVDRRKLRDLGDSLSLEQLLQLQSTI LPVQEHRDPSTVMEEQLRGLWAHVLNIPSTGISVTDSFLRLGGDSIAAMLLVAAARNA SLSITVADVFKSPVLSDLALIMKEDSFSSETEGIIPFSLMDCPANTQEMREEAARLCN VELAQVEDVYPCTALQQGMLSITARGETNNVARTLFELPSHTDISRFEKAWLSTVRQA SILRTRVIDLTSNDLVQVVVESPIMLGRYDNINDFIEDPIPMGLGVPLCRAGLVSGNS PSLIVEMHHSIFDGWSTKLILDAIEAEYHGKMAPASILPFQSFVKHTKGMNKETSLLY WKTYLDGGSETKTFPSPGYRPGEKLDFNHNVSEMPWAHSGTTPSSVVRAALALLLASY TNSNDVRYGATISGRQASVAGIERVAGPTIATVPVRAKFDWNQTVESWLEQVQRQAVE ATEHEQLGLQHIAGLVEDANLFQLLLVVQPAQQANTHDVEGLFSRVSSVVSSSDQPGS LKVVCKDGEADTVGMYNPYAMMIICQLHESGVELKINFDSGAIAVKQVQRMSIQFEHL LRQLCSEECTQMNLRDITVATKDDLADIWSWNAARIEPKFQSITDGIYRQSESKPEAV LISAWDQQFTAQQVQAWSGTLAGRLLHKGVLPGSIVILAFEKSAWQAVMMLAALQIGA IVLPMSVPVSKTRALQVVESLQPHIVVTSTSSDLSPFHTLTPVLSITDLTMPERKGSD VIQSPPYCHLPSDPALILFTSGSTGTPKAIVWSHSALSTNIQAAIMAFGLTDTSRVLQ FAGYDFDVSTVETLSTLIAGGCLCIPSETDRRNRLTDAINGYNANWMCLTPSVSETMN PGNLSSMQTVVFAGEKLEHKTALRWLDKHKIVHNWYGPAEASVATSCLVSPDSWRPGM IGQGRAGLAWLVDPKNPNLLAPVGAVAELCMEGSMLARYAGANGAVLNNESFISPSWL QDGHWKTPGRPGPVYRTGDLVTYDSDGRIIYLGRLQDSQRKIRGQRIDLGEIERCIQD FLTGLMDTMLVAEIFSPAGGDNDTLALFISPTDAVRRADPQAYLQSAWPVDALENHLA SILPSYMIPRVYIPLSELPIGPTGKTDRRRLREIGGSLTLAQLANMQPTRKQARKVNT DNEELLQQMWAHVLGVEPDVIYATDHFLRLGGDSISAMRLVGMARTQGYSLTVADVFD FPELEMMVEKMVHGEDHADMQDVPAFSLLPLGVDESYCRSYVAQICSVSQDQVLDVYP CTALQEGLLALGARRQGQYVSRSVLPLQDDIDPDRLKSAWKKTIAKLSIMRTRIVDLP GKGLVQVVLNDTPWRSGQNIQQYLHNDETEPMALGTQLCRAAIIDRTFIFTIHHCLYD GSSLPMVLQELQAQYYDQPGRTVTGVEHFIRHLSQINPQESDDFWKAQLSRAEFRSFP ILPSSTYEPQASDFMEHPIALDWPSKGATPSTILRAAWAVLASQYVASSDVIFGVTVS GRQANINGMENCVAPTIATVPIAVSIDWENTVETFLQGLQRQGLDIMSYEQYGLPNIQ RANGDRNAGLFQTLLVIQPIATGNSLHEDSRLFKARSFASNLSTLGIDPFNVYGLMVI CQLTSSGLNLQMSFDPKITDKRQIKQIVYQLETVIRQLCTKSPDRTTLKSIQTTSELD LERFWAQNADLPSDPTTFVHDKISLCAKADPEAIAVDAWDGQFTYGELDQVSTVVARK LILHLGVKKGSVVPLCFVKSRYMPLAQVAVWKAGAVTLLQSADMPEQRMNRTFQHLRV EVALASPERLTTVSKYARCITMEQILDTPLEEIITPLPLLEMDSPASILVSSGSTGEP KHVLWSHRALAANAEEPTLRLLVTPSSRIFQFSSYDFDVATLETIIGLTHTACLCIPS EAQRLDGIAAAINHFGANWAFITPSTARLLHPQDVPGLSTIVMGGENVLQSDVERWKG YCSVRNWYGPAEFPAVTVYPADEPNWSSGVIARIDSCRCWLVDPQNRHRLVPFGAIGE IVVQGPARASGYVGNASLTEKHFYQNPTFLSRGFGSAHPGRQGCVYRTGDLARYDSDG YLVFLGRKDSQLKVLGQLVVPKEVETQIQKCLDRPGKLTEVIVDAISPPSGGGVMLVG FIVTQEDINQLTSGLSQKLQAVLPRYAVPSWYIALQSVPLTTNGKRDRRRLLEIAASS TPSSQRSTGRLPVSTAEITLAKLWSLTLGTEPETISATDSFLQVGNSIDAMRLVGTAR QHGLLLTVAGVMEFPILEEMATLLKNLEDAPDENIEPFTLLDSGRDKKLARQQAAFAC AVDEADIEDLFPCTSLQTGLLALTIKSRGDYTGRNVQELGPSIDAHRFKHAWEELVRI VPILRTRIVDIPGQGFAQVVIREKTAWSKAESVEEYMSQDRQSPMGLGTPLMRCGLFS CKSTNETSGASGPVRWSFALTMHHSIYDGPASAMIMGTLKSLYDGETPLRLCPFQSFV KYISSRNKDAGVKFWKTQFEGCEASQFPLLPSASYQPRTNSTRTVLIDDIKWRTDGFT PSTIIRAAFSLVCGQYAISSDVVFGTVVMGRKAPIQGTERIAGPTIATVPVRVQIKSD ATILQFLQSIQDQEREMVPHEQTGLSNIRQISTLAEEACRFQTLLVIQPPEQAMDDTG LFIPRSAEQDEATRYHSFSSYALSVVCNLETGRLRVEFCYDSAIIQSETIQTMAAHLD QALRSMCTHKLPHTTLGDVNMMTQSHLNDIWTWNSKLPAAIDRCMHDLIKEVVQRQPD IVAISAWDGSLTYAELDRLSTRLAGHLVRMGVKKNMIVPLCFEKSLYAMLAVLAVIKA GGATLLLDPSLPDSRLDGILQQVNPTVLLSSISQEQRCSRWVAHPVVLGKGSTLFETN ATNGTTESHDLPSVSSDDLLYTVFTSGSTGTPKGCLMHHQQFTSAVVHQQATLEMNST TRLYDFSSYSFDAVYWCLFHVWNAGGTLCIPSEEERKSDLTESVRRFETTHIFLTPST ARWIDPQRTPTLRYLFLGGEAVLPEDLARWSPHVNTFEVYGPSECSAITLYHRVPKTK SAAVHSIGKGIGVLTWVVDPGDQDRLAPLGTVGELYLEGPLVGQGYFQNEEKTAAAFI ENPHWLGQGSPDGTVPGRRGRMYKTGDLVKYHPLTGNLAFVGRKDTQVKLRGQRIELA DVEHHVMHCLMDRSSSKAAPTAIAEVIEPIATGRPMLAVFIDCEQAQLADLLPYLEAE LPSRVPSYMVPATYIAVPSMPMAASGKTDRRRLREIGSNLTLEHLAPRDAATTLPPST ASECHLQSLWVEVLGVPAEKIGAESSFVRLGGDSISAMRLAALARMQGLGLSVHNILT TPRLSEMAQTMSALTSKDIKEMEAIKPFSLLKHPSEQNVILHDFASQCHIHVSQIEDI FPCTGVQKSLLSMTAKRANSYVARLLLKLRKNVDESRLVNAWEMVSRASAPILRSRIV DCPMEGLIQGTVDEPLHWDVTQPLQHYLQEYQTRPMGLATPLTRLAIVEDEHSQERYC LLTQHHAIYDGYSLNLLVDEVSKAYDGVLDEHAPVASFQAFIKHVMAVDSEKAKEFWG HEFADFEAIPFPALPHADHQPKADSTVRRNLEAFQWPQRDCTPSTIIRASWAILTARY MDSDDVVFGAMVTGRQAPLQGLDRMVAPLINAVPVRVKLDFQESVDSLLTKIQKQSID MIAYEQTELLTIRRIDTNTDRGSRFNTLLVVQPASQGSSDDHPNGPYEHPRKLVSATQ DLDDSNPNAIMIMCQLTNTNGLQLEFSFDSSVVDAAQMERIASQFEHVLRQVCMATTQ PVDHIQTLSGADIAELWEWNSSVPQAISECVHGLITATAQKYGGQPAICAWNGNLTYF ELDQLSDRLASHLIAFGVGPGTVVPLCFEKSMWHPVAALGVMKSGAACLSMDSTQPES RLQSIVRQVNPRVVLASAKTAGLAGQLSDAEVMIIDQDYLDLVAKDILTQPLPTVHPS NVLYVVFTSGSTGTPKGVVTTHQNFASAAVHQAEMLRIQPGTRIFDFVSYSFDVSWSN TLQTLIRGGCLCIPDELERRNDIAGAFNRMNCNYSYFTPSVVQSLDPLSIPALKTLAM GGEPIPTTEVARWKQAEAVIGIYGPAECAQALTFTLLRPNGRNNHVGYSYGARTWLVQ PDRPDRLAAIGAVGELLIEGPTVSRGYFDDSDKTAAAYIQNPSWLLEGAPSILGRQAT LYKTGDLLRYNSDGSLDFLGRKDGMVKLRGQRIELAEVEYHIRANLRHPDLCDGLAAE IITPNNSSPILAVFFALSSHGEARSEEDTLSKLAHLIDGLEDRLSNCVPQYMIPGAYI PIEKIPMTTTDKTDRRTLRQLGAVQTLEKLAKLQSHGKTHRAPTTAMEQKLQVLWSVV LGMDANIINADSNFLRIGGESIAAMRLVAAARNSKLSLTVADIFNAPRLSQLALLVKE AAEEESLPLLRPFTLLQADDPKSFLTQFVDPLLDPGAGTVIDVLPCTDFQTCAILDAF QDPPSRLPHWIFDLPADVDFSRLERSCHQLVDRHDILRTVFIQTQGRFWQVLLNHLNP AYDNFQANHDEDITTFVDSICELDRKRIRAFGSSFIRFMAVRSPSGKHRLIFRISHAQ FDGFSWDTVLRSLSSLYCGETLSDEPKFAQYIAYREDKKADAFTYWTSRLKHTPNPNW SSIDYSKSVYSTKDRLTMKATVPMPEGRLVEGMSPATLFHAACAMVLSHQYQQPHVVF GRLVTGRSMLPSSLQNVVGPSMTEIPISVHIDDHATISGVALQLQRQLIEDSRYETAG MEEIIRNCTNWPDDAKDFGWRTSFQQEDERNFTFLGTESRISFYEADLLPRNRPEIYA TPRDGKLDLEFEGNRQLISEDHVRSFIQGLEKVLSDI PEX2_020730 METDATKPTVRSNRAREQGMREEPEVVPNAKPWSRESYFGSFLF NLGAFALPALYSTLSKLWVANIDAKQVVTTDIYTYIGVIVEVLNEGLPRSAWLVIGNK STQSVSLRLNLAYTMILAQTALGITMTVIFLAASKTLASGFVPVEAVTSAIEAALSAS TRALDNPDVPLIISSAKFLINIVLDLLVISKVHVGRWKPTIVMQAIIRLVCDSVSSLL GLMYFLTVVVRRCQKDADGPHRLRCRLSALLTLIKPSAYTFAESAIRNAIYLWLVHRI ILLGSDYATSWGVFNTIRWGLVMVPVQALEASTLAFVGHNWGQFRAESGTAYPKATRN DVIELIQPALFSCLVALAFETIMCIALSLRGIEAFAHYLSDSTAVAKITQTMWKNIDW TYIFYGLSCQIAAILLATSPRWYLYQSLGSNFLWMLPWAIIVTVISLPNSLAWTYYAI IFGGALVFDFVDVSLTAALWAYRLTRGKVGIGLEIQSN PEX2_020740 MPRKPISKCDHGSICLSSSSHASVAGFRRQGQRQRLAAIKADNR SFPREDKLFLEEDDRVFPAPLLLPGDDLAGDLDDAQSFQEWLDGEHRNPITAKQKTVY VVPSPQIDANVDFMRTWKTPKCPDHELSTKPPSTKDVQDYLTAFYHGLPVKMMPPSTL RFIPWEEPQKKTRKKMGPQYLGLQSGDECVRIRSRTLSDGVYEGQVNLDDLLDTAIGI LPKDAYALLMLVDFDLYEDDDDEFVCGRAYGGSRVAVVSSARYNPILDTIQDVERHHA WPASHCEKYMSACASAEPTAKRQKRSQVNSRVSQNSTLELNGPVRDAVSVYRSLPEVD SSPSLLSALWLGRVCRTASHELGHCFGIAHCVYYACSMQGTASICEDARQPPYLCPVD LAKVLCATSMSASKRYRALLAFCERPGNSDTHFFGPFATWIRSRLSQIKDST PEX2_020750 MRSSLVLIAALASAALAYPTERSVEPRTLGLLGELLGDITVDVS SILNTILHGHSSVELFAGLSAEGAAALQGGALGCKSGAIHYKAKAALKAWLLFHANID LSLKKSLISWCEGNEELVLSSDVLAALSVYIPGCADIAAKGQLFVTIEGIFEAASLES ALVLSAGAQASLSAWIEAQLGLDVGVKVGLNVCAAGGVVGSLSADIKAALFAWISSKE CELSAHLKITVLAWINGHAGGDLVEIGAIADTALSTISVGASIGVHVLESGLLSIGGQ ASLAAFLGVDLAGNLAADVKLGLEACAKGGLATAVELDIRTQLAIWLHSSDCTLGVEL KAVVLLWLSFAVEADASVSLDLVGGLVGDVTGLLTETLTGLSVDLRGALSLCAAGGSL VDLTFAARAELAAFLSGCTSIEIDTSIQIIIIEWFTGCSIPGAPSAPASSSVPSLPSS TPYLPSTSAVPSGPGASVSVSVSVPAGTPIPSGPAASTTPCDTETSVIVGSTVIPGPS GSGSITVTVPVVPTGTSPAETPSGVSPTGTGVPGVPSAPVSSGPVPSGPDATSTPCDT ETSQIITSTVIPGPNGSGSITVTIPAVPTGTSPAETPSGVSPTGTGVPGVPSAPVSSG PVPSGPDATSTPCDTETSQIITSTVIPGPNGSGSITVTIPAVPTGTSPAETPSGVSPT GTGVPGVPSAPVSSGPVPSGPDATSTPCDTETSVIITSTVIPGPNGSGSITVTVPVVP TGTSPAETPSGVSPTGTGVPGVPSAPVSSGPVPSGPAATSVPCDTETSEIITYTVIPG GPGESGSKTVTVPAVPTATGPAETPSGVAPTGTPVATGPAASTPCDTETSAIVTSTVI PGGPVPSGVAATTEVPVPSGPAATTEVPVPSGPAAASTPCDTETSAIVTSTVIPGGPA PSGPAGTTEVSVPSGPAPTSPPTQPSGGESVTTKTVTVTATVCGCE PEX2_020760 MASSVDAKLIRRTKFPPEFNKKVDMTKVNIEVMKKWIASQISKI LGNEDDVVIELCFAHLEGPRYPDIKSLQIQLTGFLDKDTPKFCQELWSLCLSGQANPQ GVPKELLEAKKLELIQEKVITADVEEEVVIVVIAVAVEVGDETSIEDLRLPETKTVAE TDSVNLHRDVTLTPTCRLVIVAAAGHPDLHTALVLHLDPQSHRHHLAETATSHATGDT NAAPAPQATQYLPSDATAEEPEDAAVRITVTVLKQDPFPAAVPRAHVPPEETAAEKAP SLAAAVYPRRLVTHGARQTLLSPRSPDRTRDRGENRRRRSPSGGRSRSRSRSRSPKKE TDGKRRRSLERYNPDEKRRKMADENEDSNPPPLKADDPSKADDSETKVAEQVGH PEX2_020770 MFSGMLCAPATKPRDRAFSRINKTIDNFGGATLIHDFNVGIIAM ASPNSLPAYNELYRQPARPMSRSAVSRSGSRRASPSTQALPRHYSGDSSDEEVPEPKF SASVKALLHGDGLGSSPHLQKAHMSYEPRIETSRQQSRSPRNSSPHDSSNGSPAPRVV RIGAISSASRFSRESSPLSNSQSAEPEHHSRPNDFITPAPRQRSVRINVSRSNTRSPA SVSPSGKRSSGRNSADEYGSAERSDSDRKSQYDDDPAMRYGLSSALRGRGGEEIAPHS SLRVKRVGRLAGTFLNGPARRGVLRRQSEESNEENHQYLSADGVREDVEGEEENAEYR PRNPAKSSSPKVSWADPSPPLVRESNRPEYPLRPAEVEGPFSRSSSPKSYGSKSTPAS SDMSSKSSIAKEHPVFKVPSIPALPSVRDQENEPPPTFRRTKPQGLNVLDKPEKYNVV YDTEKKDVAETPATNSARKILATRSNNTPHRAAPPPPKMSVLETATSTGGASTSQSRK KKSQVAINGKHFTRLDCIGRGGSSRVYRVMAENYKIFALKRVNLEDVDPVTLTGYKGE IDLLKKLENVDRVVRLFDWELNNDKHALSVLMEIGESDLEKILTFRLNAEDAVFDINF TRFYWKEMLECVQAVHEYNIVHSDLKPANFLMVQGRLKLIDFGIANAIQDNTVNVHRE QQVGTPNYMSPEALVDSNVSLGLPSSVGKVMKLGKPSDVWSLGCILYKMVYGQPPFAK IAKYYERIMAIPNPRVQIDFPAFGVGGIPVPPGLVRTLKRCLQRDQTLRPTIEEMLGP RDPFLHPDAQLEGAVPVTQDMLGRILANVVNHCKARGVPREEELAAWPAGFFAKIKAA LEEENP PEX2_020780 MPQNEYIERWQKQHGKRLDHDERMRKRQAREGHKASHDAQNLRG LRAKLYQQNRHKEKIQMKKRIKAQEEKNVKSAAPDEPSKTPLPQYLLDRSQETNAKAL SSAIKNKRNEKAAKFAVPLPKVKGISEEEMFKVINTGKKTHKKAWKRMITKPTFVGND FTRRPVKYERFIRPMGLRYKKCNVTHPELAVTVQLPILSVKKNPQNPLYTQLGVLTKG TIIEVNVSELGLVTTSGKVVWGKWAQVTNTPENDGCVNAVLLV PEX2_020790 MFSRSALRSFASELRWAAPSTISSAAPLQQRACLHQSASLMNSQ QPQSQSASSHPESPLSATPRAQDAQPKTHQGVKASAFDENTMTPQTRAHVPIAKQPVA PTFTSPLQVTKSLMSQLPHLAGQKPHYIVAQLHARPYLLTEGDHIRLPFLMPKVKAGD ILRFNRASALGSRDFTMKGSPTIDERIYECRVRVTGVDAEPLRIKEKTKRRQRHVKQA KSKHRYTLMRVMDVRVKTPDELLAEGAVVVEDSEDSSVIEPRS PEX2_020800 MAFDQDNLRSRFCHALSEMYKSEVPLYGDLIDVVWEADAKTVQN SQNIEGDRVINPDDILPARHRVERHGAIRLGTAHELATVRRMFAVMGMHPVGYYDLSV AGFPMHATAFRPNTQEALEKNPFRVFTTVLRMELLTERTRELAQKALEQRNIFTPRLL ALLDIAESQGFLTPDQCTELISNGLETFRWHSKATVTLQEYEHLKAEHPLIADIVSFP SSHINHLTPRTIDIDLVQQLMLDHGMPAKDRIEGPPKRLCPILLRQTSFKALEETVYF RDPSGSYVKGSHTARFGEVEQRGYALTREGRQLYDQILERVNAEAAKNGLKGKAYDTL LEERFKEFPDSLSDLHDQRLGYFTYRLTPLGDQLINERVELSEEQLPPVSLQDLLNKE ILSYEAITYEDFLPLSAGGIFNSNLGGVSQSKQLIMGADSDLDGFQRLLGACVADEFH LYAEMQRKSLEVCRQKLRALHSNSTSSQTLYAFNPTDRPLEVSFSNAVHALGTWCQKA SVSLGMRQIDGFNIGGLLGSVFATFTIDPQNTHRSSFESGFIQAVLDKGVGPTVYKST MAQKILFDDDNKRVTGVQVSTEGTFGTRPVNFTLHARNRVILSASAFQSPQPLMISAI GPCGNLRSLGISCVKDLPGVGQNMQGHPISRATHRVSVLTASASANKCNYSSTCWEKL PDPFRLNLTRKFRLALSSFPFDWPELEWLPISAFNGYNLNKVIANAEDGHQYAPLSGS LTAPLSRGSLRLAGPGMKTPPLIDPQWFVDPTDMNLAIQAFKRQRQIWAELAKLGVAE QEEYFPGFDVSTDAQILKFIHQSMSTIYQASATCYMGRENDTMAVIDNHANVYGVQGL NVVDASSFPFLPRGHPRSVVYAFAEKIAGEILSFVE PEX2_020810 MGFATPCAAGLTGMRNLPRSEDQGRPNFTLKLPGAIKPNRALIA HVSIPPLEIPRQQLTQRQITRLTACSALLMGAESPSIQTKGNEMLENPSKGWGFYHMG FRASSLIRWKAKAALGHLLGRAQTPSSLSGRPNVVNITPKTTIVSAKPSDASQIRNSE LGDAASRDGLSGVNLHTNGTEFYDYVGHPSPQSHDGAEFTAQRSPPDADSLKSRTPTM NNDHDINLEALFPCLTETAQMEIEKTFIGSYFANKHYIHPLLSKSSFMRRCGNEAWPI PNRQGLFRGVTKFAGLYFAVVALGAINASPNETSLLEHFCQQFVDPNQTQRALRGHRF TALDFAKFFFGLAKRTLGDLFESSCLESAQTLLLLSVFRQNSLQPHSCYMYSGMAVRT AAAIGLSSSMSSLPPSARREARRTWWCIYSHEIEMCCSSGRLDSMKELHYYQTSLPKI KVDADDLDPDAEDHDIAMIPAMVALAQIMSEASHQLYHSMRRSIADKSCLAMALDQRL LEWKATLPAFLNLDAHALNDPEWAFKQKLVLRLRYYNTRILIHKPFLVAATANTDTSS TDLSVHLHTCLTAARMSINMQYESFLHRIYIRTWWYNTTYALYGSMILLHLILSNYPG LPDDELLEDVEKSLQIFSSMGDIIVARRCAEMLREVLEVARTCLARRRRSAHRPNHSQ DRSQSQSQLPRLGMPYTSSTGILNSSEASSDATTLTRMHSTPNSTPTGGEIVLPEAKL PSPMHGLSLDTPLSILEQHTDSDDGDFLFSLFSNGTQTQPDRTRAEMLANLVDPSVLE DFAFGGGNEFSFF PEX2_020820 MATVITIQPSGYSQTHSPDLRRKTGSTPTLSPSPISINSTLPRV RNGASCDACLRRKSRCAMNEMVNKCYSCDFHRQDCTFSLTPQSEVTSKKRKLEEGQEP TEAIKRPSTIQPTQSIPETESHPLAVNMIRPGFFNQSTQYIGMTTELEPTLLDYLPLD QYDECTVSNSRVRRCADDGTFMRVVDTVPGGDSQAVSLDVIESLVAPYGSTLIEKFFD HVHPSFPILIEDVFRQSYRERRGLSPLLLAAVYVLTLKFVDVGAASQTARKPDATRLE ASALKLLMDSLQFPSVSTIQAGLLLTQKSTLATASLNGQLVTAAFELGLHQDCTNWRM ETWEKGLRKRLAWALYMQDKWSSLVHGRPSHIFAFNWTVKDLVEQDFSDAFSSGTDSS HDETAVGHGPLLFCHMVALTTILSDILDRFYTLHAIEEFSAAGNQRTRMILEKAKPAQ IRLKDWFSSLPPSLKMETSTGELVETITDEHARNGALHLAYFATEITLHRCIVRSLLA EGTDQYLAHICRSAAKTRLISAMDFVNRLRPAHLRSFWPASARTNFSLIGSFGMLLRI TAPTNEEADFYRVRLCEYRWTLGVSHKNAEFMGFALQSLDNATALHKHVPAKPGIAEL MASSGKNAPPRMPTSALGSYDEAMMDAAGTGASSSVMSGLASPATSVSDMEDGEGEAS MAPL PEX2_020830 MSIQLPPPTHRKRALPQGELEAASTLKLGADQHTHTLSLSEARL VIHKVLENKRRGGNKYEEPENLTKTLDYLDVFARFKDEENIKAVERLLNSHTELEMFE RSQLGSLCCDNAEEAKSLIPSLQNKISDGDLQELLDELTKLRNFTE PEX2_020840 MTPPDSISEQATPNETSAMFRPPVNRAMRTLDRSFFRRSVPLSV ARVFKTSDISNVRKDLIKSRDILLLPRISPIREVKDQDGKVWKAMLLREDLKVDDKET WSPTINELINKGTVALGPYELLLEYDYWSYAEIISSILPEELMEEIPQGFTQVGHVLH LNLRAQYFPYKHILAEVLMDKNPTVRTVINKTEDVGSHSQFRTFPFELLTGENDLNVI QHEQDCEFRFDYARVYWNSRLETEHRRLVEKFEPSEMVCDVMAGVGPFAVPAGRKKIF VWANDLNPHGFEVMQDAITRNKVQDFVTPFNQDGREFIRSSGRLLLNAKPLTVTIRPK VGREKQRKAAQGNGSPLPAPKKYTRPTIVNHYVMNLPATAIEFLDAFPGLYAGEEQIF APNTEQKLPMVHVYCFSGHSDNEVDDHIDICERISERIGHKITVEDCVGGKGNQEVEL AIHNVRLVSPKKQMFCASFRLPREVAFRKV PEX2_020850 MSSPQKYPGSPGTTAPLRRGLFKDGLWRCNCPERPVAVRRETKK QGPNFGKWFWTCAQVSHLKCSFFLWADDATMREQAAVLANSRSELDPTSLTPSRRTPG RMNNGLLTPQTERRFIDTPQRNFKSPPKTAKARMISEASDDFGWNDDSDDNDELVKAL SSSRTETFISQPNFNPESPFKTPRTAAVTSPGKSKLAEVTNNNSPYDQSELATPFSCR SSRTDMFPPSSAELCMTPTPSKYNDVLSADSKFDTSDLAKSLLDILEKHSVVLPNKAH DEVVSLLNRQDLKTQGIIRGRDMTRLALKKKDGDIKKLQDRVSNLEAQRELDRSLIEG MKPY PEX2_020860 MHTLCINPQGPLSTLPKELYLAISDFLLSPSDLNAFTCTNTITY NLLNNTLYKRDATSQNPKSLFWASTTDTTSTALKSLSAGFDIQSRTDTDARLKGCTPI MLAALHNSIAVLKLLLLNDEANPNTRDRKWIRPPLSWAVKEGHSAIVQTLLNDDRTDV NLQDKAGDTALMIAVNHQPKMMTLLLCSGRADPRMPNRQGWTPLSRAAREADGDVGLL LANHLRLILEGDNGAVHCQHVFFYAAIMGHVDIVRYLVKYFGEKLDPNAEGQQYGRGA FSIAASAERLDVVQFLLGWEVTDPNLQTHWKRQTPLFVAAENGHEEIVGLLVGCERVG LEIADMHGTTPLGVATERNHEGIVRRLLTGSRRADPNTRDENGQTPLFNAAFYGHVGV VELLLQAHGIDPQLGDTDGKTPLEVASENGNQQVVEALQRYIGSPS PEX2_020870 MPEIQSPDPAFPSGKGDVGATPPTGQVLTGKQEHYLKRELIACE VRDEISELNSPTALQRFGAPFRSEFGEVAPVDSELPILRYIFVHHVRNFPFLDQAREK EFWQDKLQIFLESFANKHVSSSEDRLEETKRRKLARKCEKLVELMMVSGVPTASGYEE RIQFSEMEIVERGAQDSGLLANMPEGNSINGWDVNVAAVRTTSVKRTVRYHQHAEFII RVRRHDKQEVHIGRRYGEFVKLHKRLRTELPGKQLPPLPRKNKSSSTSSWWGSSADDD ASSLSSLSTQDTSAAEDRLSAPTLSAGDLRRSISRGSMRSTKSPRASTSDVSRATVLY REEQRVSLRAFLRTILQNKRIAESKVMEEFLTARPIELNEEELIDVQKRKNMDAVRIE EQKRFYEIARQRAAELDVYMEQFRRDIVESNGLTKLFAEIREKPSIADLSPQYQKFAE WLRIEVAATIYHLFLAEDNSPELFAQAKRIHGLVPYTLMKNVIRIANPAAVMSGVLDL FMAQPFGSRSLLQRIFSLTLNESIKDFQKSIDSLASKVEDNVLTQKLKSFADAEEKVK NEIREEAVADDVDIIVAILRSELLSPELTPDQIGKVFNGYVAWNYAVENVDLEMQQGA QWFAHMKQLLKLYTRQRDKAMMLSIVEEPVTLQLFRDLFTIFYEPLVRVYKSANVYNS ITDFALFADDAISVIESAQRQDASADPNQTVQAFIDLCARHEANFYKFIHEVHQHDNG LFQSLMGWIEEILDFLRNGPAGGKMDINALFQGAVGVGQIDKDLALTEINQLIKWQED RKRWHLNKTRQKMAAEGTSGETIPGATTFRGSDFGLDEADLEDLTISDEASDASDEDS GDEDIDDPIAIERRRRTKKQDQLRRTAGEPIKPEVTEILKLADPFGAMLRHVLAD PEX2_020880 MSAVQTEPSRVHPRRRPVLNTALPTLSSDSPDSKMPLKKGETFH TPTSPPSSDRDPVLNFRSLPHRSATSLEAITVAEERMTSILGRLTLEPTEDQSSETAG SESPLESGLARSNSQSNSPRSSNEEDRGIPIPLDEKKASQQHSHESDSGLGTSVSSEE GLADLQNKVNDGIHENQSAITSSISAFDTGSSPKRQLGPAACKQIERFVLVPILKEPR LKPFHALVRSVPQRIINKQIVCLRDLEKTLLWLAPNSATSRNSYLNFCEFTIQCLHTS TSHLNDRDQRLPADRPYTNGYFLDLVSQVRRYAAMVRAERERVQATQGSESNKDSNSS AIPKATLEGGLSKNGKSAELVIMQDGKPISLATGKPYEADVPGTAKRTINLDDAVDEG VERSMARRKKDAPPMNINQKCADCDKVFKRPCDLTKHEKTHTRPWKCEYSDCNYHTKG WPTEKERDRHMNDRHSDKPTLFKCQFHKCPYASKRASNCKQHMEKSHGWVYVRSKNNG RTGSKRGSSAQATPQTPSVSTPASKTTDFASPIPGPSPSPSDQTYNWPENPQFNFADP PPLAHGEDFPLFGETSPYLMTDVNSFPTSVNLSGFQSQFEAGDPNGLIPALEMHRQSM NSMSIPSAESVPDLMGPVSFDGSPLTGTESINFDLDWSNLDYPTNEDYTAMAAQLPQG HSLEVLKGYSNDYEQMDLSHCSYDASGKPSGLSPGAQGNAMLYSPDSCNVGDTLSERY EYGLQGQAGNDFTLYNQGAHMGRGAPPMMQTPSDHSAQYHQPRPQMFPSLEHERVLQS MQPWNGQQPQGHYLPRDMELEFMK PEX2_020890 MDFEQYGQSSQQPRQRRRRAGKRRLNNKAPIAARLALDPQLRGK VGILSEDLANDLFQQQALQDVTTSDDGVLYVAIAPHTPTYTSVEDQAWTILPVRIQPT ERSPVPMSHSTVLFPESADSLQPFLQALGKVDSSRNSLQAHRSVEIRILDVAPIHLDT IFVTVERHLLRNHDDIQSKFGGGFTNAQGPNGVWGNAGKSVEAKKSSKRAAADAEQRL TAAVREALGAQRIVHTGDVLPLPLPSHPITYAPPPPVRISFCEPVSQGLLMSTTKIVL VQARPQGLRAQQTVPSRSALLKQVAEDEADDTSNEQFYSAAEDKPGESGTEMEVTSAA EDSETEGSAGSMSDSSDDSLEDMISLSAPELPQPPSGVMSSLTSATPRAGGRRLDGIH TPGSVASNFTSATLRPGRGGGKTFKVEGLLQQVPNEVLHPRPRDDEDVDSFVFVDIST LAKIGCFSGDWVRIEAAEEPQLNMFASLKFGSFNDSPEDSGDWRPVKIFGLSGLPSSK PRYAINHSSERRSSISQRPPARLTPSVFVPPLLLGNIENPKYLRISPMTFATLNGSSK PGLLHQMKNTAAKNPPLAKEVTLLKVSTPLSMDRVLQPALFAGLKQYFESRRRILKSG DLVGISVDEGLGRAVFSGTAGGDSASQEEDITIRLGQGVNATNAGARKIGVAWFRVGQ VAPTTVEELEETGEDQWGGVAVLDPATTRMVQAGSDVSRVPGVLGNGWEYWLGVKTIP KSVHDVPTPHGIVADPPQSFVPLLQQRIRDLMSAATSPRAIQLGMKPVFILLRSQQRH IGKATIATRACSDIGLHTFPIDAYDILTEGGANGGDVKTEAYLKARAERAFHCGANCT ALLIRHIEVLTADRIVTAMSDILNDARVVIATTTDVETIPEGIRSLITHEFEMGAPEE KEREGILRNAVAERSIRLSADVELGTIALKTAALVAGDLVDVVERAAGARTARLESLA EASKKLSGSEVFVRDVLLAGGDGARGVTKADFDAAVEAARKNFADSIGAPKIPNVGWD DVGGLTNVKDALVETIQLPLERPELFAKGMKKRSGILFYGPPGTGKTLLAKAIATEFS LNFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVAPKRGNQGDSGG VMDRIVSQLLAELDGMNGGEENSGGVFVIGATNRPDLLDTALLRPGRFDKMLYLGVSD THRKQATILEALTRKFALSPDVSLDRVAEQLPLTYTGADLYALCSDAMLKAITRKATA VDEKIKTLPNGPVSTAWFFDHLATKEDVNVMVTEEDFLSAQGELVPSVSAKELEHFER IRQTFEAVDKSKQDPAAAAPQTIAEAMEAFNLGGSATIEEAPVTNGDSHTAESIPGRI KGLSKWPGNLVRSVSGQSTTSSKGKGKSVSKKGKSRTGAESDGSVDGDDEDTAYSANA NEDEDEDDYVVRTDHLKNPMQGAE PEX2_020900 MSIKLDPDQLSRGRAFANSWELARLLQVIAKSPRSLETGIVDIE VRPVPEAIRSKEKATEDLGNMKCLPNEVVDLIVNKLDMPSAISLSYVNRIANHFVQQS PVSYLRQWAPGMPKILKKTQIHKNWSIHELKEAIIRERCVVCGDASVQLYLPTMERIC HPCVHENHAYWCLPVEKAAIIFGLDLPDLINTQTMYLPRLNKNGFDLGDLGAWVIPVK VALTKALELYGTRRGIKRAVEGTPSSPDTDEEQGTPDDEEFVVQNQHDIFRAASLNSP NPVKLRLLISRGNYHQHAHHHEVACRVPVVNRDNTRRVLYSCRGCTAMLTHPKMESIS DNYMQMMGLDPALDKYQRSFAIFRRAFRVWTTDEITEHIRTDCIGAWFLLDAEDRNEI S PEX2_020910 MDKDEYNSDDAQLAQLGHKSELKRNFSMISMLGLAFAILNSWTA LSASLGLSLPSGGSASIVWGLVTAGICNLCMATSLAEFLSAYPTAGGQYHWVAVTSWR KWMPILSWITGWINCSGWVALVATAGLLGSQLILGVISLMNPSYEPQRWHQFLIYCGY NVVAFLVNAFMNDIMPFVTKGAFIWSLAGFAIICITVLSCASPNYNSAKFVFTDFINT TGWPDGVAWLLGLLQGGLGVTGFDGVAHMIEEIPNPSVEGPKIMIACVVIGTVTGAIF LIVLLLVAGDINNIIDSAATPLLAILKNATSNNAGAICLLIFPLVCALFAAIAIMTTS SRMVYAFARDGGLPASAFFARIHPKLNVPLNSLYLNLALITIFGCIFLGSSSAFNAIV SASVVLLGISYGMPIAVNCCRGRRMLPERSFVLPEFLGWTVNIISLLYIILTTVLFLF PPDLPATGSNMNYCVAAFGIVFFISVIQWIVDGRKNFVGPRISVEVFNGEAGAQPEQP IPVVEQHKA PEX2_020920 MFTVRWPSLPSLGLFSSSPTQAIDLPPVKVHETETAQDKPDRAF KHLLKLNHVENSLFDCRNFPNQLIHLLSSSFLQGADADTLGRIYEEEVSDLVKWKESP AEITTLDWRGHLGCRGFDRAFVDFFEDQMVHLGYDWKEVVAEYLFANKEPMFDSIMAS LGLPLIHLAYAFEMDSREIAMEALGLAATCHNDIYKSLEDPKHSKNEASYESKSLFAI LDLVQKDEDLDGLFPTPGSDNLDTLFVSRNAVLLNHWKAWKIENPVEQFRESQELAAA LLVGTAAVDSTGHYDWFFALNLTTSHAVRVVLPFIPPQFQISLLKQWWLICVGIYVAQ LRPEIKVDQIRNYDLNGKDWEWVAGKAVNGEFSSNVYFVKTTRALKELALTWGDSDSF FLKAAVRFVTEFKRWRGNFVGYEL PEX2_020930 MCPADQTVATNNDHLVQSEDPEHPANLIPELCRKFYNWGWVTGT GGGTSIRQGDHIFIAPSGVQKELMQPDNIFVLQWPTPKYPASDRNYIRKPLKLNPSAC TPLFLTAFEQGAGCCIHTHSQWAVLVTLLVEREKGPDACFEISNIEQIKGIPRGKGKG MLGFFDTLKIPIIENTAFEEDLTSGLEAAMNKYPDTYAVLVRRHGIYVWGDNTAKAKT QCESLDYIFQLAVEMHKLGLPWVK PEX2_020940 MDLDSGDSPWGDEPSQFKPSDGSSKPSTQGAAAAQTPASDSSPA VRVPGRRGPRGTRKISAQATKLESVDDSVDPLGPLGEAPVEATPTPTDEAPAPPQKEP FAGRGARPLSSPSQASGGVGASDSTNLEEETAVFRGPPPVQPPAQADGPTRQTQPSMS VEQAAKPTFHIYVGDPHKVGDLTSSHIVYQVSTKTTSKAYRQPEFTVSRRYRDFLWLY NSLHSSNPGVVVAPPPEKQAVGRFDTNFVESRRAALERMLNKIAGHPILQHDGDLKIF LESEAFTVDVKNKENREPDIGPSKGMLSSFGISVGGGTKFVEHDDWFHDRKVYLDALE NQLKALLKSMDTVVLQRKGLAEAAGDFSSSLHALSAVELSPALSYPLEGLSELQLRIR ELYDRQAQQDVLTLGITIDEYIRLIGSVKLAFTQRQKAFHTWHAAESDLQKRKNNQDK LLRQGKTQQDRLNQVNADVADAERKVHQTRLLFEDMGRLMRNELQRFEKEKVEDFKSG VETFLESAVEAQKELIELWETFLLRLDAGEEGLPYYVPPEASDAPAPEEPTEAGSETG LLAAEDDA PEX2_020950 MSMHTTLRRTAATITNSTTTILPTRLPTQSSSTTFVCSQCRHAT LLRRPKRPYTFTQLITLSDGSTFTHRTTSPAPIYRSTRDTRNSLLWNPSSSKLMNVEE DEAGRLAAFRARFGRSYDASAPVEEDAAAAKDPVAAKQAEAEAKAQAKAEQEEEDNLL DLISAFGQNEAPPPPEKKTPKYTGKK PEX2_020960 MKLAFPVAHATARTGLGELSCIKNYTPRCLGASPSLRTSPVQYT QYRSRCDQRRYTSNATAPLKENDCAGKANIPSHAGNAPQPPTSTISISDQVRLLMRRV PYPVAIITATDPSGPENTTSFRGMTVSSFSTVTLTPHPVISFNVRRPSETLNALLASG RFLVHLLAPGPATATLARDFSKGNVTLAAMLKGHGEFEFAALPTENEKGLSQRPLPIL KRRAEAVAANDGVDFPFVFECTLHPEKIDVQDHSIVLGTVVRAIEGSASVSPQGQDGK VLDEHSPERLCLAYANTKFWKMGGEL PEX2_020970 MTRSAASRKNQSNRQENGLVGPGKKVTKQKSSGHLNGNSGNGSA TGPGASAHFDLSSVRSTSDTAVTSPTVAGKGGDSTKADGNSRGMLNGHSKGGEMACAQ ENGDVAQNGGIAGHASRNGNSKRSGSNASINPLQLASTILKSCPMYDTIAILIFLLQL PPMVLTLVQFLFASLTFMPPSGAAAGSLSSNFDIFQGPAGTPSLGTMIAMDGFCILLW GLFMWTWAQNFAIDLAHVQVAITLGGGGSGKNGGVNALCVGIVLLLHLVRSKGIQDFV LGHLVSAKLLSPDLLSQYSHLLPAEFRRSEAQTSPSWLRSLLAVHILAQAGTAMARRS MAKNRSPTPLRTGKRGDTEASAGSQGQADSALESAASVSSSFVGPDGQLKDGKDRFTS AKKRRRQANQVRSRQPFWAALASTKVTVMREYEHSRAASKTARNLTMTEEDLQGVSLE DGLIWITDIDSSSIKFAAGEFTDDPTVSGSFEAGRLGGDMEPFYVCVNGALWATASIC RVSDAKGPSVVQWRGEIAGLAPNCAYTCAFVRSDTDEEICVMSVKTPATTDTEQVISA VSTPPQPTYRPSSPTTTLKNSISNAESKLNEKRNRLKKTKNDHKAVISKIRKELENFN TRLHSGSDENRQKQRSLQLERNIRQTEEATAVLEVQLDTMESVPEEEMEEWSAHKADF EREVELFNATKEEVAGARTAAAQQVAALELELTQAIQKRERLQGRRTRVNEQYERIIS ANAQGLNERERRAAEQFAREQDQSKMETNFHEQFGSISQSVQDFQLRTSQLWQQASAI EQSIQQQQQQMLLESGPLTPEGNLPGTNPLPETSGPSFNASTITAPSARSLLGGLSFP PARSSPLQISSSPVYELPSNPTSPSQDLPFLPQFPTSPVGNVGSYFGSEINNRDRSFS NRSTRSSQYGSDFLDTNRLPPLQLDLAELLGETKHRPGSDGNGFLHQGGRPVLSPFQR AVSRGSGTGSGSGGSGSGTGSPHSSRD PEX2_020980 MRSENQEYSVDQEIANFFQKASVDRSSCDDRAQKLVGGTVTPIA VQGASSYSVYAGPNHEYVVQFRLRSLWLKTEIANIASKIYGSLVPSVSCYGQIGRDVS GKERLSLYVMSRVKGIRHLDFVNAHNLPENSPEYFTWRENLISDVASLTWKTSLNVDA SFRENLYQRYDEDLRLLLAALPNRFHAIIQQSIDALPAIFSLPMVLLHKDFGMRNVMV DADNNHLIGIIDWAEAEIGPFGTNLHCLQQFMSKYRLGVGWIRYRNYDTLNNNFWKIL SVGAGLEEETIRTIKSAMIVGLLLSHGFTSRLANMPKPEPIRDDESGAFEMLGLDSLL IVPATKLVD PEX2_020990 MTHLKSTFLSREPLASQEAKWKRLVKTNYIDPNGVKRDWESAER QTRPTDSSVDGVNIVAFLNKKNGSEILLEKQYRPPIDQVVIELPAGLIDAGETIEQTA VRELKEETGYIGVADKTTGIMYNDPGFCNANFHMVYVQVDMSLPENQNPKPDLEDNEF IECFTLPVSELSAELQKLESEGYAIDARVGSIAEGIEIAKRFKL PEX2_021000 MESPDKITVYQKLIPDPSRHLSAQSAFRLEVMILSEAHQRPAAR CFEDIVIYDYKQNRKTVTIPPFIMEQFESMWKQQEQEKENWKQHIADIENRVRNLELE SWDRADAVEDNGSA PEX2_021010 MVNPELRRQVINVYKGREYPLGYQYFRDRLHRAFASQTQITDEE QIRKGIARAEFVKKGGWCLFSLGYISLYLVTVENLLLII PEX2_021020 MDMMALGFSGAVASGSDLHSNLSSTLAATSSSSLNSLTDYSTYP LMRLGDRTYFRDPENVYPLPCDMPEIHRQSLRTLMLLRVLGGPFCNPHLANRPPKRIL EIACGSGLWSGLCHEYFARRGHPDVAFAGIDVISIAPDMRKQGMNWQFKRHDLRRPRL PFPDDYFDFVFIKDAGMCPSSPAQSASGLSEPLRVLKSGGILEVWDSDWSFRSLLPNP APARKTNPKEQEIADATATYTFSSATPFTRAQNKFVIDYNSWVEKSFDRLKLTSLPCA TIGLSFNSEVDLLENVDSRRVAIPLGDLRWERDGQGKDSKNRPRKALTPEQISIRRTA LLTTIQMIEGLEPILMESSGKGRDEWDRWWAAMTADLLQKGGLTSGECLEVSAWWGQK K PEX2_021030 MADGTYRFQQPGAGQFYFQAQAQQNPHQRHIVRNGTNSPTGRLK FNTDTPSPSRSPALNQAAALSPFNTMYGQTHQGQHVMMNGGQAHQRFGMPIPKFQSQT HHSHHTQQPHHHAHHTQATHNLTHQHNFPGGALAATTTSHFTSTHLQNGGPTADDDLD ESMNEHWQQQLQLAAESRQATSPHYHARVIAQQTKGIQLISSNTDTENGTDGRNGASA GKPASRQGWNALDFGGQGLRALTTSLFNYGFIEKLYLNSNKLKVLPPAIGQLRKLNHL DLSGNELTELPEELGMLSNLKKLLLFDNNIRTLPYEMGYLYRLETLGIEGNPLNDVLK SQIMKDGTKALIKYLREEMPVHLPPPDRDWVILDDTSTSSNSNTEKITVLSYNTLCDS SATQSHYGYVPSRVLSWEYRRELILNELRSHNSDIVCLQEVDQGSYNNFFREQLAYND YKGVYWPRGRAMGMQEEDARMVDGCATFFKGSKYILLDKQLINFGQTAVRRPDAKGQD DIYNRLWQKDHIAVVIFLENRQTGARFISVNAHLYWDPAFKDVKLIQTAILMEEITKL SDNYAKWPACTDKTAFRFSEAESGSETAPVVEPAPSMEYTSGDQIPVLMCGDFNSSPG SAAYNLISTGRLPEAHPDLEKRLYGNLSRVGMTHPFKLKSAYSSMGELSFTNYTSDFT AILDYVWYSSNTLHVSALLGEVDKEYLRRVPGFPNFHFPSDHVALLAEFTVKGKKGKV VEADFGPQRH PEX2_021040 MTTFNGLEITTASIIAALASEGAIHPMDTVITRMQSPMYSSVYK HVNGTFSRTLFSGLYQGFGPIFVAGTLSSVAFFTAYEASKTAFDNAQSAGYILGVPRP LIHITSSAVAELLACAIQNPAEVLKQNVQVYQKPLNAERGPSPTMEMLRQFRKYPSGL WAGYGALVASQLPSMCLTFCLYETFKENLLERWKTEKDDIRQQLEATVLGAGAAGGCV SWFFVPIHVVKTRMRLAVGEQTGSTQSSLKGKIGLPSRVGAFDIARDVLRKEGIAGLF RGSALTCVAAVLARLEIIPG PEX2_021050 MFSVVPQVVANTHQPDVSYRSLALSPSEDSPDIRQKYRPFILDD NATEDWVNNLDLATAADMAEQNLRVTNERLKVLVLYGSLRKRSYSRLVALEASRILFR LGCDVRVFDPEGLPMKNESDTAHPKVQELRELSVWSDGHVWVSPEQHGNLTAVFKNQI DWIPLTTGSVRPTQGRTLAIAQVCGGSQSFNAVNSLRILGRWMRMFTIPNQSSIPKAY TQFPNEGQPGDQRLMPSGNRDRLVDCMEEFVKYTILMRPHIGLFGDRFSEREEKKIKE AKLNAAAVN PEX2_021060 MSTEESVHTLPGGLELYAKTWKTSGSPLAVLAFIHGFSDHCNAY HDLFPTLVSAGVEVRSFDQRGWGRSVKKSRDRGNTGPTSQVLSDIHSFLQSLPARPDV PLFLMGHSMGGGQVLNYIFHPESPYNKDKSSRPNFAGVLLYSPLIAIDPSSRPSKLLV SVGRIVAKLIPHKQRYSPLDANLLSRNKDVVKDFVADTLCHDTGTFEGLAGMLDRGLW LEGMFAAGSGAWVKSELPFWFGHGDGDLITSYPATKDFAKLLTEKGGDVKFSSYEGAY HKLHDELPETTERFFADVKTWILSKVPETQAAVVQDEVERAEGEGVVDTAVHEEVETE GKAKL PEX2_021070 MSRLRQALQRIFGATSTPSALRPRTIDTNFSIIKEGDRVILHGK QPSLSKALKRGEKLQTPRGAVEHDSIIGKRVWDTVQSRKGLNLRVSLPTLEEYVALTP RLVTPIYPQDANLIASLLDIHVDTPAAGEIQPTLEILESGTGHGSLTLHLARAIHAAN TTPPPRPSRSQINYLEGRITRPSEKEAEQTGEKPAEPIADPAQEEWDAWRAQRNAVIH TVDVSPKFSALAEKNIRGFRRGIYAGNTDFYVGPVENWIAQQKQLRKKTGLASLTGGN PVDPFLSHVILDMPSSNLRIPHVTPMLKRDGLLVVFMPSITQIGECLQLIRDQRLPLV QEKVIELGSGISGGRTWDVRFATKKSGADPASWATPSDAEVATPVEADEQSTASDTAS EVSTTDEPPKEGESVLVCRPKVGLRIQGGGFVAVWRRIEDR PEX2_021080 MATNPKLIFFTDFDGTITVDDSNDFMIDTLGFGREKRLVLGNRV LDETMSFRDAFREMLESIKTPYDECIDILLKNMKLDPYFEEFYYWAKDNNVPIVILSS GMRPIISALLEKFLGHKPASHLTIISNEPVSRDGKDINSEGGWQIEYHDDSHFGHDKS LEIKPYAALPDGERPILLYAGDGVSDLSAAAETDLLFAKKGKDLVTYCQRRGMPYTTF ENWSTILSTSKDILSGKVSASEVAAKA PEX2_021090 MARRLVRASVQLGLFATFIILVIVILDNRFSVLPSSIHGHLPSH YSGYVITDVTVTTCSSLNPFSSCKLDPETWYRVDKDLYLRSGWTSSAYVQFRRKKEEE LGPDDKVVIDLKISRITPPSESVGKTEIEAWEPRPGGIWLKRSSSRHASDSEKAVTYL DVLYGADAVDPRPNWEVKDTPILLDSRTEQLETRLSIRRGHPQAKPKKPVPRINENGK FKVMQLADLHMSTGLGHCRDPVPAEAVAGQKCEADPRTLEFVERLLDEEKPDMVVFSG DQVNGETAPDAQSALYKSVKLLVDRKIPYAAIFGNHDDEGDLNREQLMSIYEDLPYSL SAAGPEDIDGVGNYVVEVLDWGKSTHSALTLYFLDTHSYSPDERQFRGYDWIKPSQTR WFKNTAQSLRRKHQEYNHIHMNAAFIHIPLPEYRTSGKYFKGAWMEPPTAPGFNSGFK SALEEEGVLFVSCGHDHVNDYCMLEQDENSKPSLWMCYGGGVGLGGYGGYDDFVRRVR FFDFDRGPGRVSTYKRLEWGQTEAKIDEMMIVDGGTVNGPDKAS PEX2_021100 MTSQRDNSIPHELRTAAEPRQNRLHPVRLSHIEQVNPSVRLLQF ALPQENNDTNQQPFSFLPGQWLDVHIPSISQAGGFTITSTPADAQVLPPPEASKDSLA GEALEPSSESQGRPPYVELAVQDSPGNPSAAWLWRPKEEILGKELNIRVGGSFIWPPT GVSINDVQNVVFVAGGVGINPLISMLSHLNNNEPHTPNPTTIRFLYSSRLPKNRETVS ADAVLDQVLFLPRLRQIVRSQEISHRLRISLDLFLTNLGSSPDLLSSGSPPDIKIHPR KISDQDLRSAAVGTDGKLDSRGTVCYVCGPPGMIDSIVEKLVEILGEGGEQRVFFEKW W PEX2_021110 MYSPLHSHRCDSHHPPHQRFARRFALKAASSLGLPRFGDPIEGN NVMRALCRSVILSHRLACDDRRSSLDSRRLIGVTSPGCYLRWRFAAIKHWPDAPPKHT SIPLHGLPMLIRAPSCMRWLVPSSTRWSRAHI PEX2_021120 MQFMFPKKHKHHKLVLWLMAGEFPITIVMLTLTGIASHNLYRTL LWQDGADNGFNSAPNEALYAASNYRPFKTPMVWSAFITNYNLVLGVLSTFFLITKLPV HWMKLFYPPVAVAVHGSLIILYIVSAVYQAGSDMSDPKHPQPGAPWYIAKSCSVASKR SNIKYCMQAKALFAVTVIIIMYYVVILGFSIHSCFITPEEREAILEQREERRIEKEFE EEIIKSPRMIPMTPGSMPRGPGMVPRTPGVPPMAVGGNISPFAPRTLAFNRLGNGSTA SDLPLRDNSRVQAQYPPSQSNEVSPESSTGSPMYFPPPPKKAAKA PEX2_021130 MALSRPQLYEGMPLSTSQMRSESNEGHSGYAMEQQQVHGSYGGH YGVQGAQHRGYQSVQHDAGWTERVLDEMKDMLLLLTPEGRITYASPSCKNITGRGAKQ LEGSLMAQYVHKDDQPIFQRDLDDSVAANQSFRTYLRFQKTSNTYCLMEAYGHPHLAN QDERPTVRTTPANGPCTGFFLVCRPGPNKVSQLLDSFLEHKIENARLIQQIAKLKQEE DEDATAARAAYSRSEQEISAPVTENQVQQSSRSGETSSDPDSTDTVGPNSDESDTGQS TGYYPERNNRKVYSHIDGIEVMTGLNYAEGERSQGLSTGVSLGRLIHCDIDITTAADQ ERNAQEGDRRKRLKAQHVCSDCGTADSPEWRKGPNGPKTLCNACGLRWSKKEKKRQES A PEX2_021140 MFGTFGQEGGEQCASQKIHILSLRVWILKREDRGNKSRPLLLDE RPPIPAQVWASALYLYLIAFDKSNGQRAQTPLSELLSKMSKIDKLSILGVRSFDNTRS ETIQFHTPLTLIVGYNGSGKTTIIECLKYATTGDLPPNSKGGAFIHDPKLCGEKEVLA QVKLSFKSTSGAKMVATRSLQLTVKKTTRQQKTLEGQLLMVKNGERTAISSRVAELDQ IMPQYLGVSKAVLDSVIFCHQDESLWPMSEPSVLKKRFDEIFEAMKYTKAIDNIKALR KKQNEELGKFKIMEQHAKEDKDKADRAEKRSVKLQDEIEALREETQRMSQEMRRVAEL ADKAWTQSESYAQVLGALEGKRIEAKSIQTTIDNLKRHLVELDDSDEWLESTLEQFET KQIQYQQQEESQKENYMEIKDRIEQTRHRLGLKQAENGKFENDKANFERQSQRRQNMI NEIARANNIRGLGEKMDQSEIDTFMQKIKRLLREQNQSLDRVKREAQKELREVQETLN EIGQSKSALQETKNAAKRQIAANDKEATTYQKKLNEIEVDEGFQAALESKVEDITSNL EHAKERAKTASWDQDIQDTNAEIRRLEDESSRLNTELIDSTKKAGDLARLDHLKKESK DRERSLQTMKGAHGDRLEKVVGSDWKPETLERGFQQALDSESKQVADAERERDGVSRE LEHVEFKLKTAKKNLKQRQKELDECVKEIHEAVDAEPSEYPEIVKERQAQYDLARKDA DQYAGMGEYLTKCLDAAKRTKLCRTCQRSFKNEAELQTFTKKLDALVKKAGLDAEDET LKGLEEDLETARAASASYDTWVRLSETVIPELEQEEQECETQRDQLLEKLETQDGKVS EKTESKRDVEGLAKTVSTIARYDVEIKTIKSQIQELSAKQQDASTARTLEDIQEEIAL INEKSRELKKTLTKVTNEREKTRSEINKLELEFRDVKSNLDNAKFQLEKKADLTIRME EFKKLNNQQRDAIEKADRDIESLTPELLQAQARYDDISQRADERERDLQHEISRLSEN IHQLDLANDDINSYNQRGGPDQLERSKQELQEIEADIGKLEADQSEITREINKISTQL KDSENTKRQYSDNLTYRQATRSLNTVVEEVEQLEAQNAEVDRGRFKQESERWTREHNA LAAKQASKMGEMKSKDDQLMQLLADWNTDYKDASSKYKESHIKVETTKAAVDDLARYG GALDKAIMQYHGLKMAEINAIAGELWQKTYRGTDVDTILIRSDNENAKGNRSYNYRVC MVKSGAEMDMRGRCSAGQKVLASIIIRLALAECFGVNCGLIALDEPTTNLDRDNIRSL AESLHDIIRTRQQQANFQLIVITHDEEFLRHMKCGDFSDYYYRVSRNERQKSIIERQS IAEVM PEX2_021150 MLQTKIGTGAAVFPTLTSASKEFPAVTRLHLTYARKIDQGHGGA RHFWRNCLPRLKYHNPGVPMTVTQTSNQEGPAALTIYFAERVGSAATALANEKKVVDE LAPAPEANEQSAVLDIKNRTYQQIWDRVQAMTNAKVVPANSEDIALSQKLAEIKKRSG PDRQRVQAIRQAKKDQERMLAEARGEVEKQV PEX2_021160 MAAAVASAAELDPSNSSKNTLKLENTEKRDTLIAIEKKYQAQWK ENKIFEVDAPSFEEAPQGSMTPAELREKYPKFFGTMAYPYMNGTLHAGHSFTASKVEF MAGFARMEGKRALFPLGFHCTGMPIKACADKLANEVKKFGQGFEGYNEEAEAAQDLLA APTQEVKTEAGEKFSGKKSKAAAKTVKMKYQFQIMLAIGVPLNEIHKFADAAHWLDHF PPLAIRDLDSMGARVDWRRQFVTTDANPYYDAFVRWQMNRLHELGKIMYGNRYTVYSP KDGQPCMDHDRTEGEGIGPQEYSAIKLQVKEWSPKMEELVKGKIEDDAKVFFVPATLR PETMYGQTSCFVGPKINYGLFKLKEKEYIVVTKRAAWNMAFQGHFFGDKFPKTQDELP QVLEVPGSAFVGTLVNAPLSFHTAGIRILPMESVSAAKGTGVVTSVPSDSPDDYATLV DLAKKAEYYGIQKEWAELEIFPLIDTPTYGNLTAPALVKELKINSPKDVTQLAQAKDL AYMEGFYKGTMLVGNYKGEAVSDAKDKVRKDLYDSGDAFPFADPMGKVVSRSGDDCVV AYLGQWFLNYGENDAEWQQETLNHVVNNLNTYSAECKNGFEKNLSWLNRWACARTYGL GSQLPWDKQFLVESLSDSTVYMAYYTIAHLLHGDRYGKTTGPLKVTAEQMTDEVWDYI FTRREISDELVTKSGISKESLQKMRREFEYWYPLDVRVSGKDLIQNHLTFFLYIHIAL FPKEYWPRGVRANGHLLLNGEKMSKSTGNFLTLKDAVDKFGADATRIAFADAGDSIED ANFEESVANSNILRLHTLKDWIEEVAKDETLRTGPADAFADKLFNNELNSLVRETQKH YQDTNFKLALKSGLYDFTSSRDSYREASTAAGVGMHRDTILRYIELQALMLAPITPHW AEHIWLEVLKKSESIHHAQFPVVPEPSPELTAAQNYVRSTASNIMGSEANFTKKLSKG KAISFDPRKPKQLTIYVAKKYPNWQEKYIDLVRESFDSLNLSFNDKELSAKVGKLGEM KKAMPFVQSLKRRLVNGGESPATVFDRKLPFDEFAVLSEMVGGLKRTSGFKEIELIAV DEGGKTGEVIGTGEKREGLSGENAVPGTPTFQFVNIE PEX2_021170 MLSSRGSENVSELAKPWRFSLTQTYDPEKNPTGLISFGMAENKP MRAEIAKYINEKVAFTQDSVSYRSSAPTAARLPAAAAVHLNNILNPHTPIYPDDIIVA DSPTSLGSMLGYSLAEPEDGILVSRPVYGRFELDYGVESGVKMVYADTSVEEAFSPAS VEKYELALKAAKEQGQRIRAVLLVNPHNPVGRCYPVETLKAIARFCNKHNLHLISDEV YASCVFDSGDPEAVPFTSILSLDFTGLIDPNLVHVLYGFSKDFASGGLHLGFLVTQNQ QLRQACKAILRLHGASQAAVTIGTAILEDQAFVTSFTAKARQGLTSAYRLTTSVLNKE GINYVKGGNAGFFVYVDLSPYLLADGALSPRNREFALAQRLVDAGVFLHPGEEHSRDI GWFRLVFSQEEDTLKEGLNR PEX2_021180 MSLCSRGAVFRNPRLNLLRLLPNTIPRSQAQTLFSQSRVAPQSK ALTDLRRQCRCFSTTRFRREQPQAEPQVETLADSLPVCCPGCGAFSQTVEANEPGYYG TSRKQIRKLLAVRKEAIEHKTAKQNNAIFTEGDALSTEQNNAIEEAVPPQPIQDGAFP DEAVDPETNFLGSTGRISEVCDRCHDLIHHNKAVSSPKPTILSIRNYLEESPFKYNRV YHIIDAADFPMSLVPRIHWALMLQEQRSRNRRSTNEKYERGRKLPSLSFIITRSDLLA ATKEQVDSKMDYIRTELRAALGRSGREARLGNVHMISAHRGWWTKEVKEEIREHGGGI WVVGKANVGKSSFIEACFPKDSRNVEKIEEWVQRHRDENEIPNQREATLLDPNSLLPP APREDLYPVLPVVSSLPGTTVSPIRIPFGRGKGEVIDLPGLERGLLEDFVQDEHKRDL IMTKRIKPERLTIKPGQSLLLGGGLIRITPVNPQDTVMSASFLPLESHITNTQKAIET QAEETPYRGTVIMKEGTSSTMASAGVFDLKWDTTNSNLPTSLAKAIKDRGIPVPSLPY RVMSADILIEGCGWIELSIQIRSKRDAEDEPSYPQVEVFTPNGKHIGSRRPIECWNFI AEKKKIDKRKRPRTRY PEX2_021190 MASSNVNVLLSTFPGLPLPATLSFTLPSTASTSDLTAKIASYLP SSLSLQSLVLTTTNNKQLTATSECIQSFLQTHDGVSTSTLLPLRLTAPVCGGKGGFGS QLRAAGGRMSSKRKRNQGDNNGSSRNLDGRRLRTVNEAKALAEYLAVKPEMDKKEKEE RQRRWQSVVEMAEKRQDELKNGSGRQKLDGQWMEDREEMSEKTREAVLRAVKDGGWTD NLRDAILGGSSTSASDEGSEEDASSSEDEESEENGESSNAVSGPSGLQKAAPRKFIGF DEDDEFMSDSDDEMDDSGKGKGKARA PEX2_021200 MYVFSLIPKDDRKKIHEYLFREGVLVAKKDFNLPKHGDIDTKNL YVIKALQSLDSRGFVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEVVPATHIKQQRSH APPRGMMGGEEQRGERRGPRAPREGGYRRREEGGKEGGAPGEFAPSFRGGFGRGRGAA PQE PEX2_021210 MLTTELSETEVSALRANKERLANDLHHSCQWGSGIRWGDGPTDT GMQRLALSEDDKSVRDWFIQTMKDLKCNVTVDEMGNIFAVRPGRRADVPATFIGSHLD TQPTGGRYDGILGVLSGIEVIKTMNDMGLETEGGVGVVDWTNEEGARFPISMISSGVW AECIPLAHAHNLKEVPTVASLPTAASAPETMKSALQKIGYLGDVPCSYKSTPMAAHFE VHIEQGPYLVSANQRVGVVTAVQAYRWFRLNVIGRDTHTGTTAFEHRADALYAFAQMM VRAREVASSKGCLASVGIVEAKPGSVNTVPGEVSFSLDIRGPETELVAEVEKELRTAF DAIAAAEGAGIGKPCRVEWTLDFDSPAVKFHEDCIDCVQQSAQAVVADASVADTKSLV RTIMSGAGHDSVFTSKRVPTSMIFIPCKDGLSHHPEEFSTADDCATGASVILQAVVRY DRKRFL PEX2_021220 MSFHESCELIRVEVRGDGTWLLAAAGNGHNENVPAELRLDNHIG NSDGYFTWEGERFTESAENIDLSFRDDGVWLEADLPEFNGGERGRQGINLSKHIENQG GKLVFVGL PEX2_021230 MAPRRTVSSVPEGYTEDLSKGKMLRFEDSLPKLPVPSLEETSRR YLKSVHAVVSESEYERTKSAVEEFVRPGGQGESLQKRLLARAADPKIKNWLAEWWNQS AYLTYRDPVIPYVSYFYSYRDDRERRNPAKRAAAITTAALEFKAKVDDGSLEPEYLRK EPQAMSSYQYMFNCCRIPAEGSDFPRKYPAAENQHIVVVRKNQFFKIPLIVDGQQLNV SELEKQFQRIYEVAQPAPAVGVLTVADRDHWTAARKTLVSLDPANELALQDIESAGFV VCLDDARPVTLAERCSQYWHGDGSNRWFDKPLQFIINDNGTAGFTGEHSMMDGTPTHR LNDYINNVIFNKKIDLSAQSVRSQLADPKPINFKLDDAANEAIDVAAVYHRKQIGSHE LVVQAYQGYGKGLIKKFKCSPDAFVQMTIQLAYFKMYGKNRPTYESASTRKYAEGRTE TTRSVSDESVAFCKAHEDHTTSREETVKLFRAALAQHTKYTQEASSGHGVDRHLFGLK KLLQPGEKLPEIYQDPAFAYSSSWYLSTSQLSSEYFNGYGWSQVIDDGFGIAYMINEN SLNFNIVCKRLGAERMSHFLNEAATELRDLLMPDLIAQAEKPKL PEX2_021240 MPPPSPPHTYSSRAQSTHAPGGPLMSRDLPGGQAHRPGSSMSIS AMLGSDPDRAAREPARESAPFFSRPPASSIFGNAPPSSSSAAMSPPTAPARPSPLDNS FFRRSHTPEKPFSKPQGARTYRSGSGGGSSLGAEQSVFGGLTRSSLSQYPEKPHSTHP SPRISTAEPPYTEPRRMSLNGPITRPSSQPPHADAPTRPPGYSPISQPGGAADRPFES GPRPASGSYGSHDPQHGRFANIFGERRSEDTAQRERERAPTHGSDAKLNQPGSYRYGS HYGEREPIDRHQGGSTWDHGRSHPPSPESKRFPAPEHGSGFGFGAIQSYTKSLGSQPG GNRQPQISLQSRNNQPTPPPSHDPYLRHQGQPPRIGSTPTITASTAAASSGLAALADE GRRKGSDELLHHRSLLAVGVDGKRGGRASPLPQAVQGAQAPYIGPAGEPAIKNELGRV FSGIGSGVGGVTASTGGSGPSTPLGTSPFKRDSLTGRSMNGEGMDEASKLARPSSAAG RRSRKSRDEEQMEIEANDARGLLSARNARRSRHSHHHHHHHHHHRHKADEEAATLSSL QRPTFFHRTSPAEPPAAQHHHPHHHHHHHHAPRPVTIPAASPIREPRTTVNLEPLLSS VAHLPRHHLGSTLYTPRISTPTAKSSLDSSKFGYTTTPQPLPRFEQRENCTFTVRVPR FRINHSHREEICARRALWGTGVYTDDSDPVAAAIHSGFIRGAWGDDVDESMLDLEIKD TYQHAPPPEATTDGNSSTKGPRLPPIPPTDKDLHITLLVLPRVAQYDSTLMFGLKSRK WDGRHDGMSFKVHAVDWVDEGVARGEERSGEARRKRLRTLMQTGRICTGPAMAKMDEL RRSGVQVPRAIDGQDQPAAVQPVS PEX2_021250 MESSKGCLDLESNTQFEYDPWLLDDHPLRSYPTECIPGLSLYLD EYYESIGKRRAILFRAGCLILGCLAAFQCLRFLPINLKRILAPHASIPHAQIHPQSGA CTFPTLDTRPHALKSSLDERCDGLRTAVWMHNGKLQIGNAVAETEPEDLLKRLGLDSL LAKLEPENDATARASLNPEIPSSFDAGLAGTFLLMLDAKTSLHELYPYLVEQLDTLRQ RGYLSHWNGQNVVQRPVTVVVTGEAFPESDCVNHSYADVFWSAVPEGRFTTSDFTKDG LRHLSALPIHSKEVDKG PEX2_021260 MEAKHTPVPNGMTSFWRTEPHFLDSHRSTESLPQECDIVVIGGG YAGASVVHHILAQISPGSKPPSIMILEARQACSGATGRNGGHMKPDVYNGIAGLAADH GVEAAAEVAAFEAKHVSFLKEFIEREKIDCDYSVTKAIDVQLSQSHSANLKKGYEGLI EKGCEATKNAKYIPSNEAEKFSGVRGAQGCFTYDAGHIWPYKFVLHLLEKAIAQGVNL QTHTPVSGMTQSTASTSSHSWTVNTARGSIAARTVVLATNAYTSALAPQYKEKIIPVR GTCSRIIAPPGTTAPRLTSTYTLRWNNWNYDYLIPRPDGSIIVGGARPAFINDLDSWY NVSDDSLVLDPAVRYWDNYMQRNFVGWENSHAYTDRVWTGIMGYSSDGLPHVGHVPGQ KDQYIIAGFTGHGMPQIFLAAEGLAKMVLKDVDFAQTGLPRLFESTQSRLESRQNKIF DSTPGAGKPQARL PEX2_021270 MSSSKHVVFDIVGTLVSYDHVFDAIDARLGDRLRAEGIKPRLLG FTWMEAAEREYTNLSLAQSYVPFANVFRALFYRMLWMAGIAEPRTWASPEDLEYIMAE YQLLKFRPDAAECVQKLRDAGFTVWAFTAADINRVGGYFKNAGVELPAENLLSCDDVG VGKPDLAAYRPLLEKLKSENDGKTPWFAAAHMWDVSAARKAGFKGAYCTVWEKESLSE LFGDMDVMDDTLPGMADKIIAHQASS PEX2_021280 MNEPPMQTTKDATDLKTSETNDIERSASSETERHGSTVVISASD DAGYHRSLTRRQIMMMTFGAGIGTGLWVGTGQALHYAGPAGTAITYTITAMIVYAQYS SIGEMTTYKPIHGGFIRQCAEYVDPAFGFAIGVNFWFAWVMIIPAEITAAISVLKYWP QTDVVPLAAFITIFLVIFALANMFHVRVYGYIEYYMSFVKCAAIVLMIFFMFIMTSGG IPATNGPIEFRYWKNPGAFNNGIKGISKAFVQAAFSFGGGEHIAVIAGEVVNPRRTIK KTVRPVFWRMFTFFVVNIWLVGMCVPSNDTDLVNASGTMGSPFVIAIRRADVYGLAHA INGFIFLSVISCGITSVYIASRSLTALSDLQIIHPFFGRKDSQGRPYVSLIISLGLGG GLGYLNCNSVGTLVYSWFSALVAIATLFQWGSIYIAHLRFRQGLRAQGKDLSTLPFKG LLTPWAQYFGLIIVLFVFGCEFYLACWPFGGKGSAKSFFSSYLAAPLFFFDYFVYKWY FKTKIVRPVDMDFGPARAFDEQDLINAIATEDAERDPEKNKRETWTKRVLYMVLG PEX2_021290 MFFKYAEINSFYMERKWIEEKVVLCYSSTTKYTAIDFPWVCSVF VVLAIGTQLAHMEDEKPNPESEITEELNLCSEDSVGLGFYHAACKLIPDVLLVASHES VQVFLLLATYSLPVSTGGLAYTYYGLAMKMAIQNGMHRKYEGGNCDSRTIELRNRLFW TAYTVEKYVSIMHGRPISIARSEINADMPRDCSTFESPRFANLMAFHTLISYLGEISE TLTQFKRCPKRLLSEYSERLLRLRASIKQWWDSLPATEECRDLCSQGPNFRQNSHLRL CYLLIYVYMGRAFIFVDDRKKPSEPIIGADHDPGRTRRSVLVDDCVSSALDILNTLQS LSDHVGLCRASYNEFGACRAAILVILAESLNSGKSQRLQDGLHRGMGLIRQMVGGSSS ESEISYLESIEAAISQLLTIPEEDSILQPQNDQSSVSAYSKFKDWTQSLKKDKSASGN VELSSFSPLSHLTPGTEGYANPELNDMTAFFDPDWSNGDFEFDTYNLSSLSK PEX2_021300 MGWPTLSLKNGNFIPMLSRYPFIRASEFPTAWYKDDPNAPINPE LIEVLKTTVLKGFIHIDAADSYGTEREVEITIKESGIPRDKLFITTKVLKGWKDARVA LNDSLQQLQVDYVEMYLLHNPYVIPTTAEIQTAWKGLETLHAEGKARNIGVSNFQRNH LEALLEDCSVVPAINQLEYHPYLQRADDYVPWMREHGIEISSFKTLAPITVGKGGPLD LPLSTIAAKHNTSTSTVVPRWVIDQNVVGGTHPGDPYILSDMYNGSQSTPHPSDPSAS QVFLPRHQTVRKLANRIHEQRVVLARGTPSSGKTFLARSLHTYLRGQGVKSIYIRNFP LSLEGGPSALHYLLEACHIQGFAALGHSFLRDNFVFLIDDAHTTYNNSELWLILNAMN QDHLVNVPGASFCMFSAFGTPDRGVMPHNMGSDLLVFNEHQRVFMVERFADDISLFYT TEEVDLYMEMHFQARGSDYEICDILKDTIHGLTGGQPELMDAFMHLCDMLYEAFYKDD ELDIISEDDSEITQLFEVRGILEVTIAAIVNFAGLPLSPETFFPPEQEFEVLRQAQHA RGQGLLFDPQSEAMLSCLTKGWLHMEENREGEFRCCFPTMFHNRLVEYLMGVQDLRYP TPPTLNRGELELMFRMRNMTIS PEX2_021310 METTGDFGPVPPGIDLAENQQTQMLGVVITLMIIGTLAVLLRVY TRAKTSQTSFGLDDFLIFAALLFAYGTGICVIISINYKNGWHQQALTDSEFVSLWKLL FAHVFIYSTTVTLTKSSIVMFYHHIFNLRWSLYFAMAIILGYFVAAIVTIAAACRPTS YFWQQYTDPTANGMCIDIPQFFFVNGIAAVLIDVMILCVPLPIIWRLQMPKSQRVAVT SILLLGGFVCIAGVVRVVFLYKHTHSKDPSWTIAPVFMWSCVEPFIGIVCACLPTFSP LFRRWWAILGFKKSSKKQEDYYGAGGSQTRRSRQHASEDEEYGSHDDEAQLTSFPGWP LNFLRGKGSKDDLGLSNSKIQIKNEVIISYSVEQTA PEX2_021320 MEHTLAPIHVDGGSPATSRTHLQPTIIILASTTLVFTGCGINFA FGVFQELYDSMSKEPNTPFTGSTPAQIDLIGTLSIALMTIGAPFATAWTKQYPPRYVI WASGIIHSSALLFASFSQHLWQFILTQGVLLGMATCLTYMPSVTVAPTWFTLHRGLAM GIILAGTGIGGVAWPLAFRYLIESVGFRNTLRVTAGISFVLICGSGTFIRWPASQITR IQAENAASSRSSTFFRLPLVDWRVVRSRKFVAHALGAALQSAAYYTPVFFFASFARTL GYSQATSANFIAISNAANALGKVVIGHAADRMGRLNTLVLTTLISAVSVLALWLPSCL SATQSTGSTLFIAFTIFYGIFASAYVALFPTSLVELFGVQNFVSVNGLLYMVRGFATL VGTPVAGALIRGNHEKSVGPRSYENTSIMVGVLLVVATLAVVWARLEATLTIDAAAGR RMKWLM PEX2_021330 MSTMQATHGHNQACCNIPPVISSGYVPKGFYEDLGGMKTYVTGP EEATRGIVAVFDIFGYFDQTLQGADFLATSDQHQKYKVFMPDWFNGSPCPAEWYPPNT EQKQKQLGEWFGRNMPHGPAAALPGYIAALKAANPSINSWGLIGYCWGGKVTEIVTAS EDNPFKIAAACHPAMVDPSGAEKIPVPYILLASGEESAKDVQKFEKNLKVPNHVEIFG DQVHGWMAARADLSDPHVQEEYIRGYKTVLEFFGKHWD PEX2_021340 MPLTASDEDAATRASQEQPSSIWKRFGARVSGAFSGADPRVCVA FWLFGLINNVLYVIILSAAVDLVGPDVPKGVVLLADVVPSFATKLVAPYFIHLVPYWM RILVFVFLSSVGMLVVAMSPGYTDGGSISSKIAGIILASFSSGAGELSFVGLTHFYGP FSLAAWGSGTGAAGLIGAGAYALATSALGLSVHLTLLVSACLPAIMVLSFFVVLPMSP LRSAESDADRYRVVEGGGQIEEDEIDEGAGGEHEGLLGVSHNAPAHKSTHVNGGSSWQ DQARINLHRIKGLFIPFMVPMLLVYIAEYVINQGVAPTLLFPLSESPFEHFRSFYPTY NAIYQIGVFISRSSTPFYRIHNLYLPSLLQVANLALLTLHAMFDFIPSVWLVFIVVFW EGLLGGVVYVNTFAEIADRVPKEDREFSLGATTVSDSGDHVLGRPSTRFRKIQVFAVV SFSDKHGPPFIRSLSSRFTGKLTTWQTTVIIFLWLYLSRNFAKIVGLECPEPLANMYS RSFFRATWITTGLDAGFWTAMNIKPKWLRDIASLVFTAYYLIAAEQADEKVRRVRATL TLEHLRVSWNKATSPYLWSLAKLVRPRMTQFPARAIRIPRPAQSVYTDPVHAWLYFDG PLSALRDQSLIVLDVPGGGFVAMSPRNSEDKLLSWAGRLKVPILSIDYKKAPEFAYPY ALHECYDVYHSIIATNGRCMGLSGKTRPRILVTGESAGGNLAVGMTLMVLQSAMSEGW RGEDVLPAPDGVVLGYPALNMRVESWMSDEQMSLIQEKSTRQTNRSVLQRKQDQYRKL TPFTSPGGSVEDLTAISPPEKENDNKDTNVVNQAARSLEKKLQKNEDIAPKPVAKAED KVTPIKTRLAVSSMITYVHDRILTPEMTRAMIILYIGPHHRPDFNTDFFLSPVLAPDA LLARFPKTYIMTGERDPLVDDTVVFAGRLRQAKLHQFRERQDLGLERANRAFNERNHV EVSLIPGISHGFMQMAGFFPDAWKYIHRSADWLENLSQKIKTDNSEADLLYPDRGMNT LNANTSLKLSGPANIQANAATKKRNHHRKFTGESSGDDDRPLEMSMSKITSAAGSEDA LASKSENRTRRRRLRSSFASSRVTGFTSLGDDRNAKEDFLAKLRCLERAGRSDPFDPD ETNSAPESPGVVRPRGRSIVSLDSEEDLLDRRMNGLAGGLMGIGEGAQTPGL PEX2_021350 MSALRMAARRLPRASKFVSALESPVQRRFATTSNAPQNAKSQVK ESTLPNPDPSADSVTASFINERAPFMVPTYVRPAPMMMKGQGCYLWDMENRRYLDLTA GIAVNSLGHCDPEIAKIIAEQAETLIHASNLYHNPWTGALSKLLIDLTQKSGAMRDAS QVFISNSGTEANEAAIKFARKTGRTLDPSGDKHEFVSFKNSFHGRTMGALSATPNPKY QTPFSPMIPGFKYGDYNQIDQLQTLITDKTCGVIVEPIQGEGGVNIATPEFLAALRKR CDETGAVLIFDEIQCGLSRTGTFWAHAHPSLAPASGEAAHPDILTTAKALGNGIPIGA TIVSGKTVGQHIKTGDHGTTFGGNPLACRVAHHIVERLAEPELQQSVQVKSDLFVSGF QAMQKKFPGVISEIRGRGLILGLQLAPEYTAKAGDIITAARERGMLIITAGEGCIRFV PALTITEDQIQTGLRILEQAVDSVVAKS PEX2_021360 MVYSLVIRRSILSAATARGAIRPLSTTRFLRQSDDKPMPFSDSP APPRLPKEEQEIFEQLQKRSTGAFSTPQVNQSPQAEIQADGKGGELHPDAPKGLKPEF EGEKNPKTGEVGGPKNEPLRWGAAGDWSYGGRVTDF PEX2_021370 MITARPRLSLFSALRSPYSARRFATESRLTSDHVRIVEVGARDG LQNEKKSISMKTKLELIERLAKTGVTTIEAGSFVPAKWVPQMESTAEICEHLLTKPPQ AQSTIAYNYLVPNIRGLESLVKILDNTGVHADATGETSKVATTTEVSLFAAATEAFSK ANTNCSIAESLERIKPIVSLAKTKNIRVRGYVSVALGCPYEGPDVDPAKVADITATLL EMGADEVSVADTTGMGTAPRTMELLQALHAAGIANTDLALHFHDTYGQALVNTIVGLE HGVRIFDSSVGGLGGCPYSKGATGNVATEDIVHTIHSLGMHTGISLDEMSKIGDWISG ELGRANGSRAGKATLARLAEEKASL PEX2_021380 MEPNIPAPSNEDGSQAASQMRQPKKRFVGRRTADAQAQKDTTSQ KDVESTAVQTAAPRRTPRTLNQVPPEILNDPEIQEAIELLPKNYSFEIPKTIHRVRTS GAKRVALQFPEGLLIFATTISDILTQFCPGIETLIMGDVTYGACCIDDYTARALGCDL LVHYAHSCLIPVDVTQIKTLYIFVDISIDTSHLLATLERNFKPGQSIATVGTIQFNAT LHGLKPVLERAGFRVIIPQITPLSKGEILGCTSPQLSETEIDAILYLGDGRFHLESAM IHNPSIPAYRYDPYSRTLTRESYEHEEMHTIRRDAIATAKTAKKWGIILGSLGRQGNP HTMAMIERHLRERGIPIVNLLLSEIFPGKLASMADVECWVQIACPRLSIDWGYAFTRP LLTPYEALVALGVRENWDTGNNGVYPMDFYAKEGLGRTKPQQA PEX2_021390 MTYTIQYANLVLEARSLATPNTFRDDPDPRPTTVAPILKAFPPS GRPDGQWGMPPLSAYTSFESLLFFQSLATSDSRPASFGSISTLLRNNQLVRENVDFNA DRLTPEALEDLYATLMRDGFSRDSALSVNGRPADTPSPPNPKKRKISSPRPDGLTGGF THATLVPELVTHLYAKYRDLVTREIRDDEKKYTEIRAELERLQQEGDKPAAAPATAFT PVKPVPMEGKEAVLKEEVPHPHVQDQTGQLVPTTIPLAQDKAKPEPQHIAINVPLTTN EPPKTHPAPPVTQPQAPLPQAQAPTPKPQDIAGQAPQLQPTTTHPLPKPSIQPTLAPS IQKAPPTTPAAPRVTPAHPSQIAPRANPVPPAPIVTGKAGPLAPASQRTPAQPSFQQW QLDPSPHSPYPVASPSAATPQAAQVTTKRAVPPLSTNPPLPGPQAQTPLQPPVPQTPG AISSTVHTPVPIGRPRVSQTPSATFPSFSESRASHPRLSIDTQGSSTPWKRTPRPRVS RSPSSPPRPRPEDVSPISERGQSPIDAMEMSPPPRINLGQRAPSAEDKKSRRGQPDTK PAPVIKTEKLASIRRTRAVSSASSRSRGRSMASRDGSAAPSEDTLRESRATGRRKGAA AAADEGTPRPRTKRKRGASEAVELEPHPADIPRFDTTQYVMATRNFHRTAAPIMNDVA THKLASIFAKPISERDAPGYHDLIYRPSDLKSIKSAIHQGSKAVATAGESASTPAGDG ESPVPGGTPSKSGVLMLQKNEDFIPPKGVVNSAQLEKELIRMFANAIMFNPIPQRGFG PAFPMSTDRGSRESTQLGDSDEGGIIHDSMEMFEDVQQAVTRWRAAERTADELANKNV LSLRRGSASDFNTDSTDDVKG PEX2_021400 MSDMDREWKPKARPQSTMAQAFSSALDSAFMLDSDVDNLSQTID QKKQQMLIQNRELEELQNRIREAERRLQSQSFGGDNTSSQTSRGQGQSAASQGGSNNE QQPEQSEQRGNN PEX2_021410 MVLIDGKEVITAEEKRLKEDRERSKYWKRWGPYVAERQWATVRE DYSPDGDAWSYFTHDHARSRTFRWGEDGIAGVSDTHGIQNIAFAFWNGEDDFLKERLF GLSNPQGNHGESVKEAHFHVDNTPTHSYMKFLYKYPQRKFPYQDLLDENAKRSRLEKE YQILDTGIFEENRYWDIYIETAKEADDEEELLFRVIAYNRGPEPAHLHIIPHVWFRNT WSWGDEKKKERPSIKKEGPMVAKSKHSTIGERYVSFAPSPPVGSSDDDIQPEMMFTEN ETNNKFLWGTENDTPYVKDAFHRHIVEEEKGAINPDNEGTKFAAWYAFNNGEGVPPGE CAVVRFRVSRKKEEVLDEEVLDDVIEQRRAEADDFYYHLNPLPMSEDLRNIQRQAFSG MMWCKQYYNFIWDQWSNGDPAEVPPPPGRKGIRNEQWRHLYIDDILSMPDSWEYPFFA AWDTAFHCIPLAMMDPDFAKKQLDLLTREWYMHPNGQLPAYEWNFGDVNPPVHAWAVF RTFKIERKMYGRQDLDFLERVFQKLLLNFTWWVNRKDSGGKNVFEGGFLGLDNIGLFN RSEPLPTGGVLEQADSTGWMAFYSLTMLNIALELAKHRRIYEDIASKFFEHFLLISDA MTYRSGDDTVQSLWNEEDNFYYDAISYGGPWTQQLPIRSLVGLIPLYAVLTLEPELIN QFPSFKRRVDWFIENKPDIAERNIASMKRRGKDDRLLLALVSKDRLVKILERMLDETE FLSEHGIRSLSKFHQDNPYSMDVNGETFQVGYVPGDSDSSLFGGNSNWRGPIWLCVNF LLVESLLRFHMFYGDSLQVECPKGSGDYMHLGHVAEELQHRMQHLFARNDEGRRAVNA GSDLLDFDEHWKDNLWFHEFFDGDSGRGLGTSHQCGWTGLIAKIIHDTGINCRLPQTP RSPFAAASHYFDDIFSRSGRPRGSDRPSIRRSSTTRSIGNRSDFYSGDATPAASTVLD DDDESRGPSRAGSRRGSTAAPDNQEHVDHYVESQLQRVRSSASIAAYEDEFETRADKE NEQNGQS PEX2_021420 MMSARFARAGLRATQFSVPRTAAVNGIRTYATPAQETRAPVALF GVDGTYASALYTASAKSAALDQTARAIASLGETLKADKKLGAILGAPMLTPADKQSIV EELAKVAGADKAGILKNFLETLAENNRLGSLEGVCEKFATLMGAHRGEIDLNITSAQE LDAKTLSRLEKAVSKSQFSQGKKLKVVAKVNPDLVGGLVVEIGDRTVDLSVSSKIAKM NKALSDAL PEX2_021430 MASFITTMSVPATPPVTHSPSPGEPTSRSPQSHFPSIISPSALY QTTRTSHLPLSEPPSYLSPAVRALKQGCFGLTPPSNARTRAPFKPRSAAKGTSSYQLR QFAEATLGSGSLRKAVKLPEGEDQNEWLAVNIVDFYNQINLLYGSITEFCSPQTCPEM KATDEFEYLWQDSESFKRPTKMSAPEYIEHLMAWVQSNVDNEQMFPSRIGVPFPKTFP SLLRQIFKRLYRVYAHIYCHHYPVVVHLGLEPHLNTSFKHYVLFIDEHKLASGKDFWG PLGDLVDIRDTD PEX2_021440 MPSNIQVFVKWKDQTIFAGEDVECTITFKNVADTGADANNGGEG GQHSRKISRVANHTPNSNSESFFGFKSPQALFSGRRSYSISSQRTPNHRAASSLNSPL VGSHSFPPNNGPSTPRAWQPGHNHKRSVSILSIDSEGQLDPTPSPRHYNRHQSASRGH GRSASLQVLPRRNNSYEDSYKKARSPSHAFPFPLTEAPSEHPNGSLRVDTSHLGRASR AGSLATSPIGTLEPLRAPARRPQPPPLDFKFPATPSIDPTNTRTVRTPSPKSATTNGT SSTGGRPAIRDAQGLTGPAHQQLTRIISTTSVNGSSRSSGEFYSASDHSTETLGSEYT NYSIQGARAPPPMRHARHHSSVVASPAKASNSQALLMGYAQVNASFTVDGSLVNQSAF DEVKRKGVVGGQPGSGGLPGRPTPTAERPRKTGGFWGALKWNTIEESINGLLSNNELD GLREMRGVTSSRSIPLLSTSQSLLFVDLRLAPGEEQSYSFSFSLPKGLPASHKGKAIK ISYNLVIGTQRPSGPSEPQKVNRINIPFRVFSGVNEKGDILGHDLMSPYVILRDEAKV QKVGPVMPVITKNQSVSKSHHSAADFLGFVDEILEQRARSNTLFPPGAVPSRRPSVEG LPQMLTTKDVIDFAILRSNQAVNSRRSPNRFEIAREGKRIAVVVLNRPVHRLGETIIA TMDFGDASIPCYAVRASLETSEKVTPTLAIRSNASIHRTTRRIHASLFENTLHATRVA FSPAIPIAATPSILTSGVTLEWELRFEFVTSSVRGEQGAQPSGTRLLEALSSDDRGTV LSAMEHLNCESFEIAIPLTVYGETVRERLPEENEGYPI PEX2_021450 MASKCAASSRLALPAVLRNVFRSQFTSNLGTSAIIPYHRPLASG PLFSSNIQLQRPFSAISRLCDHQNESAPAAPEPPAVTDNTVPSQDKTPKKKDYDSFGK KGGWNNHKRHPKDQRRDPDSTGRTDRERRAFRNETRREAKKEPRKDWQDRAEKAKQFS ENRGKRRPETWQVQKAALKEKFAGGWNPPKKLSPDALDGIRHLHAKAPEQFTTAVLAE EFEMSPEAIRRILKSKWRPSEDEMESRRKRWENRHDRIWSRMAELGLRPSTNRTRTLS DFHVLYDDNNRERR PEX2_021460 MATTAPLIAKSKLAGPGASLKITPSNSPILRPGVRSPSKTSHQS SLSLQTVIGTTTTTPNGFSSHDQSRSFALCAGSAAVLAELDENDNITQRFFRARPSAT SVNPSTSFYNHSTPPATPDPRSRSLSHIRSNPHLNLHNGSPSSEIIDSGSPRGWSSRE RIKAVTSVSISPNGRFLAVGETGYNPRVLIFSTARDALPDVPLSILNEHTFGVRSLAF SPDSQYLATLGNPNDGFLFIWTINLKNGSAKLHSANKCTSFINDMCWVGQSLVTTGLR HVKVWRLPTVIRPVSPTKTRLNVDGAGPSPNPAPKALSGRNCLLGALGDNTFTCVNSI SYNEAVIGTDSGAVCFLDDREGSQKMITVKQVGFSITSLAVDFDQESVWLGGRGRQMQ RVPFELLRSPGSSTPIPSARLDKSALDKKSKTPAITCMGSLNSHLVTVESTRAIHVYP IDTLSEDGEQEEGEASMPAHRDPILGICRMDFPNDLSADFFTWSRNGSVNFWDVQGKC RGSKNIDLDQFPGKEDEAANELKVLRTAENAGWFVSADKFGVLRIFSNSDWTCLNEAR AHGGEITDVAVQGMDDTWLIASSGRDRMVQLFERRDTELQLIQTMDDHVGAVGQLMFI NEGEKLLSCSADRTVVIRDRATREVDGGTSVAYLISRVITQKSSPVSMTLCPDDSNIL YLSTMDRCVSKFDIPSGHQLHCFKASDSETADAVVLSSLTIASEISGQTPKVLIGVSS TDKSIRVYDLERDQLLTGEFGHTEGVTDVLLLEERDKSDGSQTKRTVVSAGMDGILMI WNLSVQQQVSSDLIGNTREDDETPVKEMTAARPPLRKVLSRSELAGFQRPDSPNPTTP TPIREHSPTLLRKLSRLSLAPSSLKNHAVSETSSPPNRLSPTPGPGTPQDRRRRSPSP VSPKSKAPTPRKPHSARTTNRRTSIDFRNRGKTTPRSEFGSLDMSTEQLCRSLRAYRK KLNGSSQRMQAQKELERELSLTLRIVGGRGQSSDESGETETDSSGKDTERERERKQSY SAMPKSPRVPHRMPSTPSLRYKGVRQVSRSRSCDTNEEE PEX2_021470 MAQPSGARQALAVDPSTIPLLSPNTIYRLDSDLNSNPFCVSVNT ANRQQLDTIGTLLWNDCTNLIISRGHNPEDVLLLGKVRALAFAILNMAVLPDLIGSIR ALDLALKAAHICIVNQQLEIALNILSVAALRLASVQPAHLGLNPAINCTLTTRYFLLR IRLAWLHNRVDIAEHFFAKLPTPIMTNDEELIFETCFIIGDSALARRLPDIAITWLQR AKDHLETLSIKTLEKTPDYHNWNLVIRHSLVVACAQVKNPQAIVTYDVEMTILREDYP KHPAMVLFDLSVGHNNPSNDELLQGLKGLVEEIPLTDMNMPIIFQFARSLGHTGGSDH GMEAFRILLMRSLPTREWTEKCLVAFLLLLSRSEVSDSKRIRSLRCVIDALEKRGYPS ISARAAHATVICLWKMTGNALLKKDYWTAQLWLQVCSDPKIFQHCSMSIQIAIQKKLV ACYLQTGDTTAARRLIDRGLVQSQVDCQRMYLSYKLCLLEGKDGSGCFYLGFPLHPVP HKQMSLVSCAMEAQRQRKPEEVLNCLDQFIKCLTSDDIYHHDFPATEHYIFAITLLSE ELSKGFSQRLGNCIEAVLQSALAYAKENSMFEGGEQEVSVTQLQWLYFATYKLALKLI NSPGVIWATSVLNHSKDFALQYRQIAYPEMGSRAPRPHLFAVVYLRLLVTSFKARHEN NPTQKTSHYEDLRTCFQELDDLHGWEDGEEEADDDANYKEDRHHDIAQFFDFEAAMHL KKWDDVASICASDDAFSNPKFYAPIMDLTLQLNLPPKLAIQIIKRIVSELSELQDPPS TWQLDFRVSLPRYLHCLFTLAIAPAQDPNSPEIAFLDVNMADPEVAEEVLDKVLAMAT EEVAVEEGHNAQHGLNAIQAGSELCEVFTYPAAELIKIATVAFNKATDFYRATQDEDC QRWANKAISIAQLVPSDQGKQLVNTLQTRLGSLIGV PEX2_021480 MSEKNAPLGASNATKSRSTDARKDTSVKNSQTSAAKAETTGSGS HSSPKKRRKVNHADQSESMKERPCTRCIKRNIGHLCHDEPRETAKRGRGEHEHSAADD EGSSNNELGSVQGMPRSVDVADAAGQQSFPDTTIGIAPSAANPPSTVPPGNLSASSQG LEANSQLMQYNDWVGGQNQFQDMHSLHPSYMFNAHEVTNEYNLLGDFLSNSLLDDGAM FQNQDMQGMYTDSSLMNSMNGMALPNGLPPPAQLPPPAQSQVPPGDSIQRSIPAVGND KARETYYMTAADPAGTDPPEERMNKLLKAKYDAGLLKPFNYVKGYARLNQYMEKNMQQ ISRQKILRQLDKFRPKFRERMQSLTDIELILVEMWFERSLMEYDRVFASMAIPACCWR RTGEIFRGNNEMAQLIDVPVEGLRDGKLAIHEIIVEDQLVSYWEKFGAIAFDNTQKAM LTSCTLKSPNPNSTNDGITCCFSFTIRRDNHNIPSLIVGNFLPIDK PEX2_021490 MPPKRKHTGDDAPQEDDSKRYAYLKPHVRRVPEKTIKSKWTPLP EPVQEKVKDMFHSLERPVIMRNQNERKRIEAQGAVQAVVRNLGKRLPRMPFPPITKES NFDYESALNEHRSLEAHLATMNDSVDLLKAEIAKEEALLARETKSLQEMDKNAKRAEA ERKRQTKNEHPVLRQLDALPQTEGSGSSGFMLLGAKDSQVTLDELETDPEVQGLMKQL HGHLQSMQSNTAPFAGLGDAITRSQAALDLYSMPND PEX2_021500 MNGHGTEVRTGRTSPPAAPFGYSFLSPMDTPFAPAPALPPGPSL LDDNESNMLDNFFTTMNANHFTNDFWMRQEHKSGAPNFDWSGELPPNFEGSTTSLSQP SFQQHGLGKTAGGMMTDNPTSSDIFAAASMLYHSGLSGSELGSTLAHQNFAGQPLPAL GIQRKNNSHTGSTAHGSTSSPPRADVPIGYHTSEMFFDVRDPIPADQHPSRKTRSLRW GSDASFMDQGYLRPPDQPDEEQRTNDLLDHLGCFEPQSSAANTRAPSPERIIGPPPQG PAFRSGAVLDENLHPRKRSRALKEEGDEYSDDDDARPHSRKSRGSISKGRRASTDMSR KARLQPGSKAARENLTEEQKRTNHILSEQKRRNLIRQGFEDLCTLVPALRGGGFSKSA MLTGAADWLEDLLHGNDILQSQLHDLKGINGLVMPR PEX2_021510 MKVSGSMEPALRRHHGRDNVRVFKPLLRAYALGYLSSVTPKLVS YVRQLRNKDWTTQQKLQEGKDPGQAITNPLEHHRPELAGRTMDLTIYMVTRAVDAVAC AAWGRWSRRRRAQNRWTTVESLIPGFADATVFAMSAAVVMWAWFYLPERLPRTYEKWI GEAAQVDSRLIEALRRVRRGVFVYGKDTGQAPLLQSMCKDYNWPEVWGDPAKVAPIPC EMVHMGCGPSCEKHALYRFAKTFKFACATYIPLQIVFRLRRMKSATALRRALFDAAQS SAFLASFVSLFYYSVCLARTRLGPKIFDAKTVTPMMWDSGLCVGAGCLMCGWSILVEK TRKRQELALFVAPRAAATVLPRLYDKKVCIGPSHPLSTKGLHPHPLHPLLSASKLSCG RFQNNHEMLTRVDVSQYQSRERVAFAVSAAILITCLRERPSTVRGVFGRITSNVLN PEX2_021520 MFSRLLPSLGRSALTGKIPQRSIYLRKSVFEVERRYFSRNFLLH EQRNSSAAPFNTPESQKRNTSTMYYTISMILGTVALAYGSVPLYKMICQQTGWGGQPI LTHRVGDGDTSARVTPVTDSRRLRITFNGSVSDVLPWKFTPQQREVRVLPGETALAFY TATNKGPQDIIGVATYSVTPGQVAPYFSKIQCFCFEEQKLNAGESVDMPVFFFIDPDF ATDPNMQGIDTITLSYTFFKAKYDDNGVLKPISS PEX2_021530 MALLVDKLRPRNLDALSYHPELSDRLRSLARSGDFPHLLVYGPS GAGKKTRIIATLKELYGPGVEKIKIDARVFQTTSNRKLEFNIVSSVYHLEITPADVGN YDRVVVQELLKEVAQTQQVDLGAKQRFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTSNIIAPIRSRTLLVRVAAPTENDICSALHLAGKKEGWTESEVLNKRIAKE SGRNLRRALLMFESIYAQNEKVTDNTLIPPPDWEALVTLTADEILAERSPARLLQVRA RLYDLLTHCIPPTTILKTLTFKLVARVDDALKPEVIKWSAFYEHRIKLGSKVIFHLEA FVAKFMRIYESYLMGMDF PEX2_021540 MSDPRLIDMEPGGESTQYESIREFTKTPPKQTGQLGSGMKHTIS EVSPGLGTHSPVPSIDEDFQAQKLGMGAFADSGKKDTKRDEDDAVAKARRQQGYGPGS GVGA PEX2_021550 MGPQIKMFAGPLSACTRPTLSGPLATFSLSRCFSTTSPALDWLT PKFQEKSKSPKGRPHVATGGSTRGTTVVWGDFGLRMKDHDRRMPASALKIAEETIKRR LRGMNYKLYKRVSANIGVYTKGNEQRMGKGKGKFDYWTVRLPVSRIVFELKGDVHEKI AREAFRLAGHKLPGLWEFVNKDDPPVVGITKLGNGVTLESLKRARRNPPLGTNDLASP PKSASSSSDPTQ PEX2_021560 MDIRNFFGGKSSQGSSDAAAKPAAKKESTMQDSSATRKRRSRKV VDDSDEEEDVAPTKAPAKAKSKSQPKPEETKEEPTTTSDYFASSKKKTRPAKTTEQAR PDKAKIVAESSKPKKAAEEPAIKGRTATRGSTKQAKNIIEDEHLGADDIFATEYGKAG KGDDAYVAGDDSDEDSDFEMLEVKPVAAAAKRTQKKPSSRDDEDDVVMEDLPKIPVPK ARPGRKRKSEALIDEDEDGDYQEPKNETKKAAPKSKAAASPAAKKQKASPKKAKKEDK IESKELQDIFDSIPTIDAPEPPKGEPKKFKFGAQQSRDPAMTGTKEMPVGQENCLAGL AFVFTGVLESLGREEGAQLVKKYGGKVVGAPSSKTNYVVLGSDAGPKKLETIAKHKIK TINEEGLFELIRRLPANGGDGKAAEKYAEKLKADEAKVRAMAAEIDAEEKKREEQKRK TTAAQGPKTAATASQTPPSSQPASSGDLWTTKYAPTSTSMICGNKGAVEKIQSWLRNW HVNAQADFKKGGKDGSGTYRAVIIHGPPGIGKTTAAHLVAKLEGFDVVETNASDTRSK KLVESSTLGVLDTTSLQGYFAGQGKQVESEKKKLVLIMDEVDGMSAGDRGGVGAVAAI VKKTKIPIILICNERKLQKMKPFDFITYDVPFRRPTAEQIRARLSTICFREGLKIPPP VLDGLIEGTHADIRQVINMLSTARLDQKGLSYDEGKQMSKSWEKNIILKPWDIVGKIL SAQMFSPSSTSTLNDKVELYFNDHEFSYLMLQENYLKTKPALAGKYHGQEQRLKSLEL LDNAASSISDGDLVDRMIHGTQQQWSLMPTHAIFSFVRPASFQYGNMNERPAFTSWLG NNSKHGKLSRYVKELQGHMRLRTSGNRDEIRQQYMPLLQEKIIRRMMDEGKDCVDSVI DLMDEYYLTREDFDSMLELGLGPMDESKIKLETQTKATFTRLYNSRSHPMPFMKASNV VAPKQAKKERPDIEDAIEASDEEEVVEEIKNEDEEELDLKKDKYVSLPKKKKAPAKGK KAKKADDEVDADEEKPKKAPFAISNLVRCGSPPAAKMTVVSGSDGSDDVTLSSLDTKG LNTPHRTLLPKVQTTHHALVLRPAPFTEPQVEEVHIPSLLPGSVLLRMLATPLHPYSK LSFEFYSHGRSPHEKPFIPGSSAIARVSQVGDDATTLKVGQLVFFDSVMKGRDSPETI RFSNPVYHGFDPHSVEIIASSGFADGSYAEFMRAPLENCYPLDEAKLMGDPRKWGFAY TPEQLCVIATFLRPFGGLTSIDLKPGETVLISPATSTNGVAACMVALAMGAKVVAWSY DDAKLVRLNRILSITRNDVHNIKTFGPMDAFLDISPPGARGSFHLKSGIMSLRPGGRV SLMGAYQDLEIPNVFVTRCNITLKGNWMYERKDVLALIKMVEKGNLRLREEDGCYVVG EFGLDQWKEALAAATIIDSPSVVTTYVLVKARKMSLLHNEDFTIWQLRSSYLSTIKDG IGDRLINVNDSVLNTPGFRAAGWSTAAAYPNTHSSSHLKRTYSPPIPTTANVSSEYYR LAERNAKPQRHELQGLGLEDGEDDGGMVTGKSHTDMTARRNHARSGKKKSRRERQQEV QRQAEAEDDDSSDLSDDSDDDGDNVGSAVDQIKFDKMPIRRDRADSSPRRSVDQTERP DVMITSASTQSIANQYRQVKPRPRRDTTTSSDLSTDNETDLKGYKQGQVQFSASDQVV EYSRRRRERTGSRGAESLALGELHEEDEDEDSGAESVGSAISSDFDNTAGSGSLLLAG VPGGLNLSSPMAMMNNLPSGTGPQNNSPRKHRTPAPALQDLPPPRPISMIQPVSLLTS QLTSRKRAPSNPVDKFVVLSGRGQEDSLYLKIYVPFSSDPEDPLDLPLTRESKLAEQP AQVTVAETIGLALWKYSADARGPPINRENLTVNRWTLRMVDDGEVEYDFPALGRTLPM TDFTSNNNQSAKSRGRSRGKPYDEFALVEASKSEFEENERLYPQFSSSIGSEVADQPA SLAVPGTQPAAQKKTPQTTPARANPILGQPFSSALNHSTLTPADRPVVPISHATPRLG VSKTLKIRFINMEASAHIMTINTSTDSYIAEILDSVCKRWGQDKGNYLLKVMGSNTIA PLDRTVEALGSITELDLVRRRFGGGPLALGTSPGSSSPNAPLMVETADPTASKKNKKE AKKGAQRMLPSSSQKQDHLGGYYRRYHVFRKQPMSFTASNHRILTFENDYMHIVPGDT GKTASGGKTRSISFSDVIGSKVSRRHPKSFRVMIVRGNDATEQKRYDFEARSTNEAVE IVDEIKKNMAHYRI PEX2_021570 MEYSNSTPAEGPGVSHAPEQPQPTKPAEDPKESHSSNPPPKEHS RASHSRAFRFKDGSRPHRKRTHRHRSRTRDDEPSKRRHREEKRPDPTDENPFGSTRDT FRESLFDALGDDEGAAYWESVYGQPIHNYRVPDVQRGPEGELEQMTEDEYVEYVRRRM WERTREGMLAEQERLRAERQQKRKEEAQRNAQSGREEFERAMDDSLRRGVERKRAKIE WGAPWAEYLERWETIGKAAEGSAPKPLRNLIFWPVRSGKRVDVRPQAVEEFMRHAPAP AELIGTLKAERIRWHPDKIQHRYGALGIDDVVMRSVTEVFQIVDRLWNEERERQK PEX2_021580 MRLPSISSLLCFAGLVALPVTAYDDPNIKSIPPYLDSDFQSRWF DFGGDTVIRADKYVRLTADRPSQQGWISSRVPLTATNWQIELEFEIHGSGNLHGDGFA LWLTKERATQGPVFGSTDRFEGLGIFFDTYKNNRPGVSFPYVMAMMGDGHTTYDQAHD GKANELAGCSARGLRSAPVPTKARLTYFQDKSLSLELQYKSEDSWVECFNLSAEDSNI AIPSVAYLGLSAETGELSDNHDIISLKAENLYSLNRSNDNKGKTTEGRSKGPTRSSIK PVKEKSSWSWFLFKTILFFAVIVGGYFGWTIYRTKARSSRF PEX2_021590 MSSIFGSGSAAPIQTSEEIKAAVMRQLQQEAAMSNARSLIGKIN KHCFKACVPAPGTSLSAKENTCLSSCMEKYIAMWNTTSKTYIARIGVETKRMGGQDAA AIASMATGMPEGGSGILG PEX2_021600 MPSLGGLLKKRRTKDSQDLGKPDTQNHDGSGASMQPASHASPQH TGTGTHENAASIDNIINQEQLKQERVTKGKYSQEDFELQRTLGTGSFGRVHLVQSNHN HRFYAMKVLKKAQVVKMKQIEHTNDERRMLNRVRHPFLVTLWGTWQDSRNLYMVMDFV EGGELFSLLRKSQRFPNPVAKFYAAEVTLALEYLHSHQIIYRDLKPENLLLDRHGHLK ITDFGFAKDVPDITWTLCGTPDYLAPEVVSSKGYNKSVDWWSLGILIFEMLCGFTPFW DSGSPVKIYENILRGKVKYPPYLHADAVDLLSQLITSDLTKRLGNLHGGPSDVKNHAW FAEVTWDRLARKDIDAPYIPPIRGGQGDASQYDKYPEETEHYGQEGEDPYGHLFPDF PEX2_021610 MVLVGYSDSEGSDAEPDTTPVPQISKTKKVGSKAGFQIDRSDSG KIRIAIPDIKPEHPSGEDTEDGPRKKARLGGGGLSGFNAFLPAPKRTLEKKAPVATTR KVFSLKTGAGPGFDRTADAEMKNDYAFEDLVGGAEDTTSAPASSNPDTGSDAPVETMK TPAEVKLQGNPMMFRPLSVGRPQKKRKTTKIAEQPTPSSTAAPKPAQPLQQAPTPTPT PAPAPAPAPPKPKVSLFSLSTDEATSQPAPAPGPSTTYQPLVYNAEGEELSAAGPVLA ESAPIAMEHSTATVSTNPTSSLDSIANDLNLSKAERRQLFGRNAMPSQSQVRTFNTDE EYASNQVLAQGELAGAQHNPVRAIAPGKHTLQQLLNVASSQKEALEESFATGRRNKKE AGSKYGW PEX2_021620 MDRRPVYERTLTESELLEQLPSGISTFIRTASGTQHLHALALGA LNNEFTESIFRLYEPIFVDLAARWLQSDLHADYIHVLAAFAQILPFAPHLRSLASQYA SSQTGPLAALAVSKELTLLQLDQPSIRLLLLALFRLLSFDLETFSPIVSPLQLQSLFQ SQDRVTRYLAVRCFALYMHAADAATEKMVRVNTGTEPVEGEWEGTLIDYRVLGLWEER RWETLGRIIRTERSSRTETSTLALIERTRESFTTKTAEICGVLIPRLKDTPPAPSSVV KTSTTVENLRRIAGSLLGSKPILLVGLPNSGKTSLINDIAATMGQAETMVTLHLNEQT DAKSLLGMYATSSASGSFSWQPGVLTKAAREGRWVLIEDLDRAPSEVMGLILPIIERG ELTIPSRRERIKCAEGFKIIATMKSSYNIAGEEIAPSTSMLGSRLWERVQVKSLPVDE MRDVILQKFSLLESRVPTLMNVYGRVCSAFHGSLAIKGSQGRTPGFRDLIKLCSRLHN RLHRMGAKTGYEPTSEGTEDEIFLDIVDVFLKYLPEKSMQNNLAAVVAEALQISPQRA QYCLNERTPSYTDKNNSLVLGREICNKIKVPSGSALKLAAAASRFAPTRAALTLMEQV AASIQMAEPVLLVGETGIGKTTVIQHLASLMRQKLTVVNLSQQSESTDLLGGFKPVNI RTMAVPMLDEFTQLFELTFSAKKNQKFLSSISKSAASSNWVRLVTLWHEAVRLANGVF NPPNAAAENGDEQPAKKRKLDSPKYQHLRQRWESFATQLGDFEAQVSQGDAKFAFAFV QGKIVRALRNGEWVLLDEVNLASPDTLENIASLLHHGSEGTPSVLLSEAGDVERVFGH PEFRIFGAMNPATDAGKRDLPPGLRSRFTEFYVHSPDSDLDDLLALIQKYLGDLTISD QRAVPDLAQLYMVTKKLSNENKLTDGAGQRPHFSIRTLVRALIYVIDHAHVYGLRRAM FEGFSMSFLTVLSLVSEQALIPLAELHIFGNAKNARSLLGQTPRPPTDGNDYVQFKHY WMRRGPMVPEEQPHYIITPFIEKNLKNLVRASSTRRFPVLLQGPTSAGKTSMIEYLAK VSGNKFVRINNHEHTDLQEYLGSYISSDDGSLRYQEGVLVEALRNGYWIVLDELNLAP SDVLEALNRLLDDNRELFIPETQEVVHPHPNFMLFATQNPAGLYGGRKVLSRAFRNRF LELHFDDIPESELEFILKERSQIAPSFCTRIVAVYRKLSLLRQSNRLFEQKNSFATLR DLFRWALRDADDREQLAVNGYMLLGERVRNPQEKAAVKAVIEEVMKVKLDEDTLYSSA ELEKNAPEMSELPAGIVWTKAMRRLFVLVSKALKNNEPVLLVGETGCGKTQLCQAVAE AFRRELFIVNAHVNLETGDLIGAQRPIRNRAAIEKQLVDDLQMLLHGQLSGASFDDLK QEFTALTAEQRQAKDQLLLQKIEKNAIRCNALFEWSDGSLITAMKTGQFFLLDEISLA DDSVLERLNSVLEPARSILLAEKGPVDSMVVADGGFQFLSTMNPGGDYGKRELSAALR NRMTEIWAPQLSEDEDILPILSQRLTLKEESVAKAMLQFAKWFKVTFQNTSATSLSIR DLLAWVDFVNTCQSADTEFAIVQGAAMVFVDTLGANPAAMLAISLNNLEGNRKSCLDK LSELFNVDASKIYWESTTVSAEPGVLRVGPFALPTAGTADPDPQFTMDAPTTIANSVR IARGLQSSKPILMEGSPGVGKTTLVTALARALGKPLTRINLSEQTDLTDLFGSDVPVE GGDVGQFTWRDAPFLRAMQRGDWVLLDEMNLASQSVLEGLNSCLDHRQQVYIAELDQT FKRHPDFVLFAAQNPHHQGGGRKGLPASFVNRFTVVYADSFTDNDLKTICSRLFPGSP SVQTERLVDFISLLNQAITRDRRLGAIGGPWEVNLRDIQRWLQLADRGNLQIQTKHFL DVVISQRFRSEEDRTVVSQIYDRVFTDSDTGSKSYFHNLTPEYLQVGLGILTRDPLLQ RLAEPNMRILPKDLHMVESLILCIDNSWPSILVGPAGCGKTTLIRKLAAVNGANLVEL ALSADTDTMDLIGGFEQIDHRREVSGFVHDIEMFLRNQLIHCFASGDVSDVVISALHI CEHFQSADISLENVIPSLSSLCQSSSDPAYQQFLERAQNLLNAIQQSDKMKVGFEWTE GVLTQAVQHGNWVILDNANLCNPSVLDRLNSLTEPNGTLILNEQRTEDGSAKVITPHP NFRIFLTMDPRNGELSRAMRNRCTEICFLPSELQDIQPTIGPSYTCESFLYRLRHIWN LDTSLPSSAFEDALEVCLDHLSPADLAYLQQSPSNRVAINSEKNGEEKSSSVLQRYAS LFHDNNQWKPLDVASGEIVLGGARLGLRGMLQPLHPLINEPLIAIAGTSDFRSSLVVL AYLQELRLDIHRLREGLIQADLSAKELKPSQMTRLERSLASERIPALMKDTTQPVAAF LSDCGQALYDYIQSLDQISLQGPVIIAALRTVICLCWDIYRATEVSQVDEGEFQVYLQ MGRKICGSLLDSSPLLKPLQVALSQALDRFQEGWTLTTGLSMQIIWDSWRHVTPISQD KLDSLTELESTVFDFTTLALQTRVELSQLSRVRDSLIDAQRFILLDGADGDVLVQGIK ETVAELSHAVRRSESTEYPYFANDFEALCQYHDISSFFRNPVNDTVIQTVVPLLAGRT ARPLDASNFQSEVPNILHRISLFAGSSSKSESALATGGIVSLSLLDRLTAIGNTKLGQ MDMLEAEKKALSKAITLSSQQITTDQSMELRRTIAKLTAELVACHREFFEPRSAEHLI SVLQAIQNGDLCVEQPPIKVELDQSLPNAHFLKPLAEEALPTLISSLRLQPSSQDQSI RTTGLAAVQLSVILLRLFVPDKTFDPSLGLVVQRKRHSQRLEEYTAKSAAILAFEKSF TSQVSNLRSELLHNEIRDLGSAPPPSLVNRPQQSQLSLLQGEFVNLINSVLKRDPEQM VRSAESAQSQDMIQLLRNNIKQLSSRLSTHYRSYDDITVPVVRFLQLLDLGVFLASSQ PEQSSDIPDIQSLSERTPFLGGIHHPVLAQNDQKAPGTLKNANEMRLQELSALWAAKD ADSTILDTKAGREIIRRVFADFHQLWKAKLEEDREQEAEKGELYRFKGSWEEEEEVNE AELHALFPTFDEGSVEDTEMTDGVGRIDPKSIALRLASLHANIVSNERSGTALTTFVK QSGHLLGSIWSDNKTSFSPMSPQTHLPAVLLLLDDAIGEGADIKQKNYNFYTDSNLAE ARKLVALALSVQARFAQIQTAWPEHAVLADVIQCCVEILQFKHTEPVAKFMTKVEKLH SFVHEWQTVASREYSAVIVYDEITSTLISWRRLELSTWAKLLDIEKERCEDEVSAWWF IAYEALIGAPIMMVEAGEQDMTEHTQNLVLTLEQFFKSTTTGQFARRLQLVANFQALL EVFTTDYPCLKKLVSALDNFLHHYGPFQPGVDKYLTEKRSVLEKDIKEQIQLASWKDV NIVALRESARRSHVKLFKLVRKYRAALGQPVQSILEAGLPESTEEITSMSQVEPVRPT ATFPEAMAICQNDKKLWSTRALRFQKPDGTANNMMNLYSSIPAEFDIAKDLDSFIVSV IESMNEFKSKTPKVLNKDNKDDVQHLKSQKRRLYAETLKQLYEMGVKRNAGSSVIETQ ETVPQVLATAAAFEAGAATSTAVQSADWYFHRLLDLLPKTRLASRNYSEELSNVEVHR SMGSIEHLIFTVRRQRGVISPALTGLGKLKSTLDKVQNLWTAASNSLSQDHASASEER TNLARIVAWLAPILGLAAMIIGVHSKFSGIDASKIVEQLRAKKASFDSLRKSHEALPM LPTGIASNANYEIAQQTRSSLDELAADVKQWSQSRPDLSFALDQITPWVNTNIAALPE VNDENSLSIQAFDSSLLTAIDKMLIGLQNLKDVPSAITFDGLLSRSDEFFSRGIKAVR LTEVTTALEKVLDQLHHLQDHSAAGLSVAAALITSILPIANRYYQICRDLADRFIAVH REICKTSYILTKTFKQVASEGFCSPAEASQDQGKEGQMESGTGLGEGEGAEDISKDIG DDEDLTELAQQENKKEDGDDEMDDSKDAVNMDQEDLEGDAEDRKDEEEENDDSADEGD DDIDEETGSVDDLDPGAVDEKLWDGGNDEQQKDTENEEGKGQSEAEQQAAAQEEKGDK DGEKSEEKQKEGEEEEEETEDEEAPEDEGEAVGREEMDVTDPQAKEEETLELPEEMQL DDGEGKDDNGEDDDMDDMDDDFGPAPEEEGKVEDADDNAGEEDAEAAPEDQVPGEEDE EMADDAEETNEGEAGEEEEEEAGGEDPEEPEQDDFLAQRDENDAAGDNVAPSEAVTGG LGAEQDQNEEKGASGDAQQESGSTDPSANVDQQTGAAKDSEESQPSRDAAGGEDHGPD EPQMQAFKKLGDILEQWHRRQKEILNPSQQEEESQPMPQDTDMADADFEHLKDDEDAA DTQALGQANDDQTQALDQSKGVESDIKPGENDVLPDVSDEQQDAVDNQLEDEMQIDRE TVPTDGESAGAFIPGGQSSHDRADGAQGTEEVDEELDEVDSQLAAIHLSSSLPPLTPQ DEARRLWSHYESATNDLSLSLTEQLRLILAPTMATKLRGDFRTGKRLNIKRIIPYIAS QYKRDKIWMRRSIPSKRNYQIMLAVDDSKSMLESGSGQLAFETLALVAKSLSMLEAGD LCVLGFGNEDHVRVAHEFGKPFSSEAGSQVFQHFSYQQTGTNVRKLIADSIALFREAR WKRSPGSGSADLWQLQLIISDGICEDHDTIRRLVRQALEERIMVVFIIVDAVKGSSIL DLSQASFEADPSSGGEMKLKMKRYLEDFPFPYYLVVRDVRELPSVLATALKQWFAEVV DVSS PEX2_021630 MSGQGSGGEGQSGTHLRADEDNLQPQEVNQPQEVNREAEGLHEP ISFKRKQKQRSRFSLSSLLSPRAGGLDTGFGSPAPQGGQNGDASPLDPYAPIGFNSGA EASKDGAPLDWYVEGPGRRVGYDDFTAIDWIYEYTKERQRKRLLYSSGQGLLGHARRL LDASNVWLVLIATGIAVGIIAASIDIATDWLGDLKSGYCKNGSGGGKFYLNKSFCCWG LDDHSKCLDWTPWGNALGANSSGATYTIGYVFYVVFSVFFAACACFLVRNYAVYARHS GIPEIKTILGGTVIRHFMGPWTLAIKSLGLCLSVASGLWLGKEGPLVHVACCCANILM KPFESLRGNEARKREVLSAAAAAGISVAFGAPIGGVLFSLEQLSYYFPDKTMWQSFVC AMVAAVTLQALNPFRTGKIVLYQVTYTRGWHRFEIIPFIILGIIGGLYGAFLIRLNTR ITKWRRARTSSRPIIEVVVVALITALVNYPNHFMRAQNSELVQSLFSECNSVTYDRFG LCATGSASVGVAIYLVVAALLAFFLASLTFGLEIPAGIILPSVAIGALYGRALGIIVR LWQESYPKAFLFVKCEPDIPCVTPGLYAIIGAAAALGGATRMTLSIVVIMFELTGALT YVIPIMIAVMLSKWCGDIFGKRGIYESWIRLNEYPFLDHRDDTTPPDVSAHRVMTTVD DISIITATGHTIASIRSLLANTTYRGFPVVSDTSSPILLGYITRNELSFALKYSTSPT TRNLSDETQVFFSHQPFADPIDTLDLRPWMDQTPMTLNSNITFLIVLRMFQRLGLRYV LFANKGILQGLLTKKDVWSVLNGVEFRREEALRDNEFQDFENSNEAEEVGLLDGNDTS SLGTTDLPALKKAKRTEDKMMIDPFEVRMRFTTQLQHLSAAVTSSQKAAHYALKYRDL DEDLHSCILEQLERNNMNNRANIMYFIEHLCEMAIKENYLPYVRMMQRDILRVVDSVV PPDGTGAANVKHVRHVLNGLQTKEVLSAETVAEIDAALKDRDSHPSHLDLEQDEGTEG GSKSKSEKPQLRLDKKQIEQRIEEDRERNKRQRESMWAMTGNDLDEYNQMWEELSPIG EDDFIRSREEALERAECVRKHEEFYKNLYGIKE PEX2_021640 MFAHLVTAAKGLFTRQPEEQEFQSAGTSATNSKMATATRRDVAP GIASGKRKAHSASASKNEDQQTKRRRRSDPKATGTDEDATKPTPAEKSSVIGDKAPAG KKIRFDSEEPEPVETQPEEISETPTQDDDDEDDSDDDAPETIDNSAQLLKMKEQAKKQ EAAKQLEEQVKREKRRKLDERRKLQAKSIVKPKEAPTDDMLSESTATIQGTTTQDARR AALPALLPDDILNAEFAIRPPTPPAEDQFAMPKKSNKLRFLDKQDKLPKDINMGDVSI RVLDAPSSKKSSKPVLAPKISKAGRNLKSSMLQKTRTTAKGNGLRQKAGGPSGFVRG PEX2_021650 MAPDAENSPLLPQHQNSASNTSPRANRAPRSVSFNPLTQISTYG STASTSDPTFRPLQAGSPPVQNVNAQGGQPRPGSQPMLSALNSKLRRRNSHGAPFNPI SNAPHAASKIGPQRTTKKAQKLKLLPDPVTEEEEGSDGDFPRDVYSQITRIKDPAARS HAARLGKADRDRIPRVTAYCTANSYRLEGVIKFLKSRSKTRGANPKLYDECVYSPFDY QYEEKQNARLFQDPNGNGHHERRPSERRYSDSAVEVEDNKKTRREDLIDLHDERSHSN DNEQVVVKDTPDIDITIHTPEVFLFDYGTVVIWGMTPAQESRFLSDISKFATSILSPD DTQIENFNFYYAREYQARIYNDFISLRDPRNNMVKLAISHALAQSVKTSLFEDLVSET ITDTAPLPAQIAQTGSVNLTRRQINMQIGELFILRINIHLQGSVLDSPELFWAEPQLE PVYQAVRSYLEMDQRVGLLTERLDVIADLLAVLKDQLTHRHGEYLEWIVIVLIAAEIL VAGINIVVDLYAGVD PEX2_021660 MEASRQPSSIPPANPPSVEIAYKRKCIALKKRLAEVEAENEIMR TRNRRGSQYIQKMRLETCMLLERLTKVTGMADEAKTGAANPELRARAAAMMNPAQGGA GVLLEDDTEGSSDEQPRTPEERPLRVKRSRKSNAPGIDGQDDDLPAQDGHPESADPAG AALPRLAPAPTQESLTHSFRVQTGSGGSADQTPAAAEAGPESGTTPMDVDKEEVKQAK VE PEX2_021670 MATQERKLPLGKIEPNSLKYFLSCGFGGIIACGPTHTAVTPLDL VKCRRQVDPKIYTSNVQAWRTIFSKEGLRGVFFGWSPTFLGYSLQGAGKYGLYEYFKH LYGNQLFPESNRTLVFLGASASAEFFADMALCPMEAIKVRMQTTLPPYASSLREGWGK IVAKEGFGGLYKGLYPLWARQIPYTMTKFATFEETVKLIYRTMGKPKEEYGQLTQTGV SFLGGYIAGIFCAIVSHPADVMVSKLNADRKAGEGAMTAVSRIYGNIGFSGLWNGLPV RIVMLGTLTGFQWLIYDSFKVFLGLPTTGGH PEX2_021680 MRRSTRSNPNNGLTEPSAPEEIRFAHEESPRPNYPVILQHGDTD NTDDNAGDILYRRHAAELQASDLSTDEYTPSQRGLRSPTIQRAEATSRVRGNAVSKGT PATPKDTDEEQPAKRRKSAVGPTKASENATKDTPPKLKNGGLPFNTIWYNSPFEDPEV YRMKDKAHALDTYNDLAEIIARVTEDHSRMKAALLKKGFLPESDDEDSEENVFAMD PEX2_021690 MLPVSQAEDKVLIVFIEKPNAKYSPFKCDEAHPECRNCIIYGRP CPGYRPDAVFRNETKKVERLVKNSANINGHSNTDSQDSSSTSDSSISAVSHRQRASSH GKHHGKATLTLYSPADSSWEERALCYFFDQYTIKADSEDGGHLEYLPPLYARELGRQS GESPSSCLRWAVEATALMTLANARNAPPLMNKARQGYGRALRGLQEALASPANAVKDE TFASVVLLSLYEDISGERNGLFSSHTAGFEFLTNLRGNTLMDHPRGRDMLTFAYAHTY VEILALGDKPRCDIDLVLGMLDINKPTERLILSVSKLCQLFLHMSSSPNYPDQATVES WITAGRECDIELSQWTQTLPDRWLPLVVYSPHGEPLLTYKRISNVVVWNYYRAVRVMV QQLLLGLNCTLTSIKAANKQFSNHQNTSSSEAESESVLDELALRAIIREMTADVCRSI PFALAEVDSLGRPNKSDSPWQMRAAQGYGLLWPLWYILSSGMPTPAQAELIRTVLSRI GSTLGIKLALVLAREAEQRLG PEX2_021700 MNSHRASFSDMGDGSNAHTSDQLDSHNQLSHDPVTTFASTPSRS LSTKTTVTPLPANLLPSAPASPPTPAPSPTPHQRPPTWHSTDDEETSFLLNLRIHFST LSNARKQKLLEGLLDVCDSQQLSFVSSYVGPRLRKDPFQVFPNELCLRVLSFIDDPKT LARASQVSRRWRELLNDDITWKNLCEKHAYSVRRVLEDEGDLVDPFAAHPVDSASGAR TFSGLQRRLTASNGHATESAPDLPRTLSGEWLPPTSFSRRRRTRPVSYRSQFKQKYMV ESAWNKGGRCTQRHITPDQGVVTSLHLTPKYIVVALDNAKIHVYDTNGDNQKTLQGHV MGVWAMVPWDDILVSGGCDREVRVWNMATGAGIHLLRGHTSTVRCLKMSDRNTAISGS RDTTLRIWDLATGTCRNVLVGHQASVRCLAIHGDLVVSGSYDTTARIWSISQGRCLRT LSGHFSQIYAIAFDGRRIATGSLDTSVRIWDPHSGQCHAILQGHTSLVGQLQMRDDTL VTGGSDGSVRVWSLTKMAPIHRLAAHDNSVTSLQFDKTRIVSGGSDGRVKVWNLQTGQ LLRELSTPAEAVWRVAFEDEKAVIMASRSSRTVMEVWSFSPPPEDYDDTAIESALSTP GISSVGDRELAVPTRRRTLCSVPPPSIPLGTDSDALMPDAPSS PEX2_021710 MLRIIGRSFVVGSLPLAFTLSPSQLRLISSIGMGVLVGTSLIVI IPEGIETLYSANSLTRKQHSTRATSIEWQHQAPAVAATFGPNGDNASNLSSDAPVPGL LSERDQLSESQTLYIRSEDSAGETTGKETIHGEEESSPHAWVGIALISGFILMYLVDK LPEFAAPTKNERTPYHISLDNLGSGLRRGSSPSREGGLLDANHASRRGHSFATTTGLV IHAAADGIALGASSSDAGLSFIIFLAIMVHKAPASFGLTSILLKQGLSSRTARAHLLV FSLAAPFGALATFLFVQMMGSSSADEAGTHWRTGMLLLFSGGTFLYVAMHTMQENGPG SSPRELPINGYGDRDQNGSDKSMRDLIASVLGMILPLFLQIGHAH PEX2_021720 MSLISRATHSETTTPFYLYNPSLAVAIVAAVLYTIAFLLTTIQW IWYRAWVWSVMVLSAVMEAIGYIARCVSVQNTLERPVYVLQFSLVILAPVLMAACCYI IFGRILFLVVPQEARTLRLCWVPPRFITPIFVGCDVVALLLQLGGAVMISAVDGKDKD AKDKLNTGKHVAQVGVIIQLVAFGLFAVAAVRFNFTSKRFSGSLDERYEHVGEKEYII GGIVKDKNWPALLRVVNLTTILILVRSIYRLVEFTEGTKGYLSTNEWPLYVFDALCIY PCVALFVYWHPGRYLPYLGFRLPKGAHSSRDSEIRML PEX2_021730 MVAFLSFLVPFLPLVASFVHPGLLVSDSDISRTQKRIKANQDPW TTSWDTLTSLSFSDAKYTPSPVSVVYRGTWDGHEANAQLLWHDVAAAFNLGLRWKISR NTSFADAASNILHAWATTLTAIDGGDDKYLTAGLQGYELANAAELLRDYQPFVDDVLP AVIEMANNIFIPMHYRWLNHEEPSEHNILHFFANWELCNVASAMAMGVLTDNQTVWDF AVDYFKHGDGTGAINNAIVSIVQEPGTGALLGQGQESGRDQGHSALDIQLLGVIGQQA WNQGEDLFAYNDSRILRGTEYFARYNLGNDVPFVDYTNGIVSHTEISSASRGAIRPTW ELLYNHYVMLKRADAPWTTLYLNKTLDYYGGAEGGAGSWGEGSGHYDGLGWGSLLYHM DESDAVAAFSSKSASLTANPTPRVSTTLNWALSATTTTSSVSGQNALVSHSTTTISAT ISTALNTETSASSGIASNSGASQSSCDRLEPVCSQCKRAGKPCGGYRDVPSFMFRDEN DKAARRSAEAKAKTEARRKLEDIAALESDISAPGLRSDRSPSDKLVCRGRGQLILTPL RITAPLSIPLEDQGLRFFVNHFVTRMATGKDRSLHVGTVQPSPHLGAVALDQSSRDAV ISVGLAALSNVNDDRALRILSREKHAMVIQAVREVVENPAQANPDRTFHMIVMLGLYE MVSCTPSQLDSWIVHLDGAAALLKQSIFRRSLANLDLRAHLQFYFVSIVRYFSKRVVS PDLLNWSPDLIPSAPPEILPGAEIVDILVRFAMFDAYVHHQKPDARVVVESALLFEDE LHDWETHLPIDWSFTVKESNTCEYTFHRQYHIYKDMWVSRIFNHYRWARLLVNETIVS HISKMTRPTANDVIQRQQSLDIISCMAVDICAGSASQEGLSAQGVAEDPSHIPLLNGT FMLLFPLGVAGGAAGTPDEVHDWVVETLERIACTMGIRRALQMIPQLKKSVAKWKLDQ KLWNSMHGYK PEX2_021740 MVEPMVMEGDASFHPPKYPKSSLNQNSFFNAYLICSSICAIAGL IVGAVSLHKANELKAFQFSRTITDLDRTFNSTIYAITSNSTLGPACEKAPIELITGQT SIPGQCVTVTTSDTMWVTRLAINCVPIAYKSANCTGTQREIGDITAPYCVAAAGAGML GGDHGDWFESVKLVCS PEX2_021750 MKLTPLVLSLTATTALAGSTWCNRGQYYCGWLLNQSANPPTYQA NSIYLCDNPGGDQSFVKGCPSGCSGYPAQCQC PEX2_021760 MQESLSETTSSGQLCYESNAKKLGGPTCRRFQSIHLKAPAQKLD LIHALEQLAVSERCQLTNDSDSARERLPGKT PEX2_021770 MASEAGWSCDANDAVHVTVIQPGEKKPKTLSTFHPQFTYPIFGD DEQIFGYKGLIIRLRFAAHDLRPHVHISYDEKFKSVGDTAAVDLLDTLKPFVQQEAFT NLQEYEKAVQNDPDAKDFKPPGEFVISYEVDDRKYEVWAGSLADAEVRKILDRMQVLV SLFIEAGTPLDTDDPEWTLDRWRVYFVYEKVTPPTATASSYSIVGYATTYRYWYYQRD QSQSPTVKNNEFPPPEVNISELPARIRIAQFLILPSHHRAGHGTHLYTTIHAACIADP TILELTIEDPNEQFDALRDTADYCLLRPEFLKHNVTLNPDPLGAYSQKKRPRNVPTSA LIPTKLLHDIRKSFKIEPTQFAHVLEMYLLGQIPQSHRLAGGTNLARLLIKKFKAENE DDRRYYWWRMLTKQRLYKKHKELLNELELLDRVEKLDATVQNVEEGYEITLDALEGRL PDNESDEEAEANDQASKAPTGSRDRRVKRKLTVMEDDEEEEEDGTELAKRPRV PEX2_021780 MLPLGLLTAAQGHPMLVELKNGETLNGHLVTCDNFMNLILREVV QTSPEGDRFFRLPEVYIRGNNIKYLRVPEEIVEIVKEQQQNQPQGRRGGRDGDRGRGR GRGGGGGRGGREDGKRDTPGGDGGKVAQKARWNGLIEHGRGVSGSPQADMSRRALVLD GLWYSLCPSFTSLSLSRSTAFPKSKGRNPSLYTLPAISSPSSGSRRCYNTSGGDGFKV PYDAAYHNDRREPREPHDNEYRDVRLSADPTYAGPPTRRKGPLYTRTHKVQHDLLGKT NEGLESRLQYAMDNKPNIRHITQILRLLIRDRHVQPNARHYKALILANTDNERGSPQL VRGLLEEMENNGITADSGTLHGALQVLAVHPDYLLRQEILNKLRDRWLTLSPAGWHFV AAGLLRENQIELALEHVALMERKDIFVENWLHSIIVYTLCDHGELDEVYNVMRARVDQ GHDMTHRLWMHVLTEAGRQGHYPMAHYVWRRMVELGYMHPLIEVCDDVLKMAAKAGDT EMASSVFRYLRESGIPLERTQYEGLVETHVAVGDLPAAFEALSAMHEAQIPLADSSTE RVLAHMIQSKVDRREAWQILKRLKNAKRSIPIDSVRVIADLCEHDAHDDPSVVDDGVG FYKELYTLCPEGADVRVYNALIRMCRTARNRESGMFLVKEMASLGVVPNGVTFESIIL MCLDAGNFRSGFMYFQDLMKRDTRLSPETQAEIREICAGSVDEFAMRLQYHPFIRDDV RKLDEELQQKQKDREANEKAGRHPVPPAVRRLNMSDEQRRDYNKERRKKKRRRLAIER NMREEGWDEWEASGTDNGSTKSE PEX2_021790 MQLTTLLPIVLAGLATARPAALQTQTITDDIIWSISNFTVGCSQ GGCVYEYDIVGRENAMTPKFRTFCSGITSKKVACDDKNITTIVSPAENPDWNVGVTHT WKSLLSDNTLATWFQHGAKNVTVPDQNPIEFVMKPTQEYGIA PEX2_021800 MSSILRQIVAGPRQQHPEAGLDLCYVTDNIIATSGPSATYPQRA YRNPLDSLVNFLDTKHGTDWCIWEFRAEGTGYPDSEVYGRIHHFPWPDHHPPPFAHIP NIMGSMRNWLRRLDEEKEPDSPDTDKNERVAVVHCKAGKGRSGTIACAYLISQEGWKK EDALQRFTDRRMRVGFGNGVSIPSQLRYVGYIDKWANEMGKQYVERPVEILEVHIWGL RDGVKVAVEGYVDEGKKIKCFHLFHRNERTVVEDGGSTSEEQDAGKGHDVTTPNPISN PKMETPLPSSATWSPMVSGSDVSGSSTPQGHSVSAIIFKPNKPVIVPSSDVNIDFERR SKASYTGFAMVTSIAHVWFNPYFEGGAEHDSGVFETEWDAMDGIKGSARKGIRALDKL KVVWRYPAAETVEASAASKGKGKGEKSEDNVPAAGRPISEPKPGDPIHESEPPDWRGL PQGDMKENRRPSRSSSQKSTAASISDKTKELGHAIEKELGLRKQTGDSRGVSLAGSDD ETTTTTDDEGRKVKVSKVHSEDLEGVKTNYPKNGGK PEX2_021810 MGAARATRLWTVPPAALAAYTAGEWSQPRQSRAQFTTSRTRSNN DTESGKSNADADGVGGECKGDGSIWNNIGHKLDEVKQTVGSGEWVDVGSLKDYIIPDW TRFLPATVKKLQQELSLSPGSLADDIWKEAQDPDINPEIFRDAEVRVSGDICDEEREF RQQRQRKVVKALSSYLNIPEEDIHPEDVPVIAMCGSGGGLRALVAGTGSYLAAQEAGL WDCITYTAGVSGSCWLQTLYHSSIAQRDFDKLVDHLKNRLGVHIAFPPAALDLLTTAP TNKYLLSGFVEKLKGDPGADFGLVDIYGLLLAARLLVPRGELGVSDVDFKLSNQRANL IHGAHPLPIYTAVRHEIPVKAIEHSNDQTKKHATPEQVVKESRKEAWFQWFEFTPYEF FCEELNAGIPTWALGRHFKAGRSEVSAENLPIPELRIPGLMGVWGSAFCATLSHYYKE IRPLVRGLAGFGGIDSLIQGKNQDLIKVHPIDPAGIPNYVMGMKDQLPDSCPESIFQN DHLRLMDAGMSNNLPIYPLIRPGRDVDIIVAFDASADVKQENWLSVVDGYAKQRGIKG WPIGAGWPKNSSLKDTENALREPENITEEQLTEKLANAKNHSGNQPADQKPKSGTDLG YCNVWVGTTEERTSNDEPPPSKRLFDASHSEDHSESEFHLMRPDAGIAVIYFPLIPNP SAPDIPPKPKIRPRAAAQSMRQNSSQTKDPELPLAPQPNSIDPDIDDFLSTWNFIYTP EQIDSVVGLAKANFSEGEKQTRRVVRAVYERKKSDRLQKEAAEHRKKMEGFVPL PEX2_021820 MVAPRNTAYAEESAEVEVLYANLEKLNRLTKKIQGSMVRLETGG KVVKEAIGPIYSNTQSLQITNSNIDKVNDAIDRLRQPLDAKNREDGIIRAGPQSSGLT QYLSAMKRVEKALVDLSTTNLRSNQNAITDFNSLLNTGSAKLQELLRGELSQHATPVE PLHYLTKDLPFPSIPEETISHMTPLCSAVGSASIHGSQRGKGDNPALKVYAEVRGPYI ASSLQNLAIASMNTVKRRPTDGPYKQGTNGIGIYSNALEAFITTEHGIIVQMFTGDQQ GLALQATFYPAMGEYSKTLRELNQYIKANLMTDCFLAFEIIEIVTAMSYRIDSKAAEL KSLLMEALRPVRETAKSSLSELLEETKRKAATAPLPPDGGSVPLVEEVMSSLATLTGY SGPLASILTSLGDGNWRAKSSTAGSAPLDVGPDSGTLLSHFILDMIEALMTSLEARGR AFHRSKAALGVFLSNVFCVVDRSIRQSAELARYLGTPDSIARIDTFRKRATSTYLDAW KETSQYLLDVQYTSRGAQRNSSGNVDSSAIVKSLSSKDKDAIKDKFKAFNASFDDMVS RHKTLHMEREVRTSLTRELQTVLEPLYARFYDRYVEIDKGRGKYIKYDKASLSVQLAQ LS PEX2_021830 MVVRPVYPTTVWEGDMVLKLFDRRFATELRQNDEISPWTSDIEQ KYHQFILDGDAAKLVAELAADRDLPGRNFDTWNSSQEETYLHDYMQGLYETETQTYNT LADMQGKEIPRLFSCVTVPSSTPAQNTLLSEYADIPGILLQYIEGFPLTDIATCAPRD SWQSICEEAIRIVNLVGDRGILNEDVKMRSFVVQSRPENQFHVFMMDFALCDFREAYE DETEWEELKARQDEEGAVGFVMQRKLQGGFVYHRSARYRELDEKYKIDG PEX2_021840 MSGSNDVYQTPLNSRYASNEMKYLFSPRKRFSTWRQLWTWLAEA QKELGLPITAEAIEQMKAHELIQDDEFAVAAEEEKRRRHDVMAHVHAFGLVAPAAAGI IHWGATSCYCTDNADLILLRDGLDILIPKLAVVVDKLSQFAQQYKDLPCLGFTHGQPA QLVTVGKRACLWIQDLLMDLRNLERARDDLRFRGVKGTTGTQASFLQIFNGDHAKVES LDELVTEKAGFNSAFIISSQTYSRKIDVDVANAIGSFGATCERIGIDIRHLAMLKEVE EPFEKDQIGSSAMAYKRNPMRSERLCSLGRHLQNLPKDCLDTYSAQWFERSLDDSAIR RISIPELYLTADACLILLNNVSSGFVVYPEVIKRHVNDELPFMATENIIMACVAKGLS RQDAHEEIRVLSHQAADNVKKQGKDNDLIERIRSTPFFQPIIPQLDTLLDASTFVGRA PQQVEKFTSTEVAAAIKPYASTIAKGETSALYV PEX2_021850 MSRRRISHSEMNEALAYPFMQSNGQSNGQYNGQLNGQPPRRGPI EGPNGRRLIRRVTWRSSTYKLMATLWVLAVLYIVWLVRDLFLPSESESKPTPPAQPEQ N PEX2_021860 MWKPSERLMETIRHYASFPATGVSLRQMVQFGDRPSTGTLFRAS QFLSEELPIRLAHRVQDLGELPDGLSEMPSIKKVQDWYAQSFEEIIALPRPTLTQEVK ARLLRPGRMNGGPSKILSETTQNPSVREGQYRSSVTVANGNGVGKAQAAAGRRYFFPA DDRGDWPPELNDYNQRFAKTLQQIKRRHDGVVTTVAQGILEWKRARQRMQIDSTIQSF LDRFYMSRIGIRMLIGQHIALTEQTHVKHPNYVGIICTKTNVRDIALEAIENARFVCE DYYGLFESPKVQLVCKEDLNFMYVPGHLSHMLFETLKNSLRAVVEQHGADKDEFPVTK VIVAEGKEDITIKISDEGGGIPRSSIPLVWTYMYTTVEQTPNLDPDFDKNDFKAPMAG FGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ PEX2_021870 MSGQNIHVISDTESESESDGGMQLDDLDRVDGIPMYDNSEFPNV DGRPMPRPLSEEEEDDDDVWGVNTSNGSDTGRFNGRAQNPLTASYNRAGPNGITEPQT AFEKDARTRSHLRDEKHAALAILMDSELLVTYALASRETIPQTCRRFLAKYLAPNDPE KAEELYDPRFYIAPDGKGGEGSLIRGRYREVIGDINDHNWHKPAHLRALDAKKAKGGG SRAVGASGSSSGANSPRGVSEGGGASLVGRSSGRGPGRSQVRRVEDEDEDL PEX2_021880 MSDHSPDSSEGKTPHIRLNSGRQDPFLQTNGGYAPLTSRTFPRP LTPQGPETPTAVGARGMAVSPYGASNVPESSEFLLPPKLRPTQGQGVSETDRPRSPDR WSAARSSISSISRESTFPLDPFHDSRAPSHAGSEEYDVNTQTVSGKFNIMPTDGLLLF PEDVEKDDYLHNPDPADKDRDCDIWNRRGIMNLGGLAVFTVGLLVLFIGYPLITWLAG FGKHHDGLCHPEDTLCLDVGERPLLENLRMGLIDPDTPKEAHTKKNVNGKEMKLVFSD EFNMPGRTFFEDDDPFFQAVDLWYGVTQDKEWYDPDAVTTAEGTLQLRFDHFENHGLE YRSGMVQSWNKLCFKGGRLEASMSLPGDGHIQGFWPGFWAMGNLARPGYAATTDGMWP YSYHDGCDAGITPNQSSPDGINWLPGMRLPGCACPGSDHPTPGTARSAPEIDVIEGST APLYGDSGPFVGSASQSLQTAPFDLWYLPDYDFAAVYDPRVTQINAYRGGIYQQAMSG LTNLNHRWYNGTEYQTYSFEYTPGAEGEVTWFIGAEKTWTLDGRAIGPNGNVGQRMIP LEPMALIMNMGMADNFAPQNKSIIDYMPAILRFDYVRIYQDPDDESVTCDPPGYETTK YIEKHRKAYDNANFTTWYVSIPDFVFFFGLY PEX2_021890 MSSDDAYMSFLDKANADVSGSAPQQGAGTVKTKTVHSSLSVPKP LQSVDAYYISDTDEPFEPVALKWDGAAKGALPSAEQLSSLISPDTDLSQSISILSPSS FDPKNQYSAALDAVRAAAVEKDSGADQSAVEVKVYRVEQTSTKIEYWVLALHAPESRI VGLRVKAVES PEX2_021900 MSHTQPSPTAGVAPHHAAAHLAAHAQAQAQANGHMSAIPVQGQK GVPSLTTAQKIATLNEQVWLQIGSLTELMGDLEGAMNAYEQALRHNQWSISAMNAISC ILRTKEQFPKAIEYLQNILKLDPSNGETWGSLGHCHLMMDNLQEAYTSYQQALYHLRD PKEPKLWYGIGILYDRYGSLDHAEEAFSQVMRMAPDFEKANEIYFRLGIIYKQQQKFN QSLECFKYIVSDPPRPLTEEDIWFQIGHVHEQQKDFESAQSAYQRVLERDPNHAKVLQ QLGWLYHQQSNAFQSQEKAIQFLEKSVNADNNDAQSWYLLGRCYMSMAKYPKAYEAYQ QAVYRDGRNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCN NQIADALDAYGRAADLDPSNVHIKARLQLLQSQLNAGTAGQQPPTAPAPQPQDVHPQA YQAPGVGAPPAPQWGAPAPIGPPPQAPAPPRQIPDWNRGINELQSQSQAPPPNGLDQR DARIPGAPAQSPRQEPGRAFPDPRGAPRSPKMGDPNAYPPPHTLPQLGNAPGPGHERA PSGGNAFGAPRGALPSGLPVAPPGPPGPPGPNGGPPPPPYQNRPFSPAPEIRPIRDER PSSPGSGYPLQQFHPGPTLPPQVPGGNSIASGAPAPASAATAAEAAARDREDRPTSAM KRGREWEADAGPVKKHANEESRARLDDQSNRRPSPPARLPSPGEMQRRSSSEARREDA RRANENYHPSEAAHHPPTLPSIQDMPPRPSAGPSLPPMAEASAPASNAPPSGPPPSVN TPVKEEVPRPEAPPAHEPPARKMDVDEDYDDEVEEEKKAAAASKGSPNGSAAGNPANG AGNARASTPSKAEPTAVKMSHRKFEAPRHGSLAYLPRKRAARHRGKVKSFPKDDAKKP VHLTASMGYKAGMTTIVRDLDRPGAKMHKKEIVEAVTVIETPPLVAVGVVGYIETPRG LRSLTTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYAKSHAESSGASITRELERIQKYC TVVRVLAHTQIRQTPIKQKKAHLMEIQVNGGSVSDKVDFARNLFEKTIDIDSIFEKDE MIDVIAVTKGHGFSGVTSRWGTTKLPRKTHKGLRKVACIGAWHPNHVQWTVARAGQDG YHHRTSCNHKIFRIGKGTDEGNASTDFDISKKQITPMGGFVHYGEVKNDFVMVKGSVP GVKKRVMTLRKTLYPQTSRRATEKVELKWIDTSSKFGHGAFQTFEEKKAFMGTLKKDL VETV PEX2_021910 MSSPEMSYSPAYYQDQGPHGTTHPRTSIVTPPPATRRSQEQSSI LGHPIGESRPSSPIPSRDVSPRTSGSRAHRVYNNQTLGGPSWPGSDSRETDSVPVGGS TSSGAHKEERAPSSWTDRPSLMSGDNSNPSIIRGRKRAIPDDELVTNEGQDALLMLFR LTIVPFYSFGASLYTIFALVFALLISPFRLCSFSSYLRATTFVSQLCDLLSPVLHIHE RLVCLLPPSAKDRSSSTQSTQWIRSEPDSDQPSVISEPSEVYAVATSILVLVSSPLFS IVILLFAWTAAFFWVFSMVLGNPDGTERKDDGRTAVLGVCKWWRSWLCKARKS PEX2_021920 MRPTQTNGGPNLNISIAAPPIWTYVAGDTIIGSVNRHSHIVAPD AKISVTLIGRTKARLITEGYPSKATYYGDWKLFELPSQTLFRGPIHIPSPSTPADWIN IPFSINIPEAASLGAVRDHLQEESFIPLEAHCIVQHPLPGTFSTKWTGAKVCIEYYLQ AQLRYIRNGSWHIKRAAAPITLGYPTVDAGGIRFALQRCSIVSRVRSQRLLPSMRDVD LSLSQKTQKILGLSSVPGFSFEVVMSVPIAIQLDHLSPIPLIIRVIPQRDGSSCAIWD VVQRVDVTAVKMSILSKVEIVLKPSEESFYGIPSDEHVFVQHLGLEKAFERLESPIVT FAGPSETPVDIGRVLGLVLRHDGLMSWGKRLSPLSSIQPSFVTFNTRVSHSIIWQTSL SIAGETRTVSMTTAVTVYNSASRL PEX2_021930 MASTTTTVDKLFVCPGCQRGGFKSIGAVKAHFESKGHKLACSPC DRSFGNVTALLKHSQKHEKPLDAPDLKTLKSAKPVPKSAKQQKLQDIAELLSANPVKP ASKSVKSTKYPDLSESFVKPAKPSPKTKSQDYPDPLPANSLNPAKSITKKTKLEKSQE LFESLSVKSAKPNSKKTKIEKSLDRSDILPAKPAKPAQKHASNQTPVRAPVRAEAFED LWNKSSEPEHPNASSPATQNANYHVVLEPLEQNLIFRYLSARCHSDTRLVTRGFTFRA GLADVSKRPSKKPPPKTGHFRQVPRSSVGLPKRRAIVLDCEMVQVEAGRRELAFLSAI DFLTGEVLIDNYVQPKSRVVNWDSRFSGVTPGAMNKAVKKGTALFGWEGARSKLWEFM DSETVLIGHSLNNDLDVLGIIHWNIVDSSIITSEAVFYSVHAGEPLNRTWSLKTLTNE LVNYDIQAGRQGHSALEDAHATRDIVIWCLRYPEHLKVWADNARDQEEQRAYERELKR TTEEQAKEQKRQHDMEIKIQMLSRGVNGLDIHDIGLSDDDANDPDHSFVNHEGDDVDY ESVTIEAAAASFF PEX2_021940 MFTDMSGWQFVLGALRNPDHTAEVGRFLLRVLDEPDKLHQHIRK DAGAIVLKIGYGYTIEPHARDPLVDLADKAMEDFSYALLPATWAVEFIPIMRYLPTWF PGARFVKIAQNYNLRAKSFSDVPYAFTKQQISNGRFVPSFLSNLLQNNPVEPGTEEEE IIKWSAGSLYAGGADTTVSSIASFFLAMALFPEAQRKAQEELDTVLGGNQLPQFRDRE NLPYMDALVKEVLRWHPVVPMSVAHTSNQDDTCEGYFIPKGSSVLANIWGFTHDPTVY HDPMTFKPERFLISPEGKLPERDPHMLVFGFGRRACPGRTLADANVFLTVAQALSVFS ISKPIEHGVTQDIPLKFLPGVISHPAPFKVSIQPRSAVHEQLIRELEQHYPWEKSDAE FIPSV PEX2_021950 MPFTTGQENLLRLNPETFDFNIQFEQLFFSIIPSALFIVTSLWR TLYQARKPAVVNAPVFQLIKLGAITTYVGLELSLLILVATGSFHVTNMFIASSVLNLV SALLMITLSVVDHSRSPKPSALLSSYLFLTLFLDVAQARTLFLSSDDKPELTYSSIFV TAIALKTGILLLEAQQKSRWVGWDEKEHSPEETSGIFSLGVFFWLNKIFLDGYSKILT VGDLYPLDSSFNARALHDEFLKNIDYSKLKGDKFGLVKVLIRTLKVPLLVPIPGRLAL LCFTFCQPLFLEKLLDYLSQSELDKNVGYGFIGASLLIYSGLAISMGFYWYFHHRMRI MAKSILATEIFIKATEARIGTGDDSAALTLMSTDMERIDMGFRNLHEIWASMVQAGLA GWMLYTRIGVVFVAPVAVVIACFIGLGVLIHFTGDSQRSWMAGVQKRVGLTATVIASM KNLKLSGLSVAMGDFVQNLRVQELAAGSRFRKIIIIAAILGFIPLLISPPLTFAFTQK TFDASTMFTSLSFLTLLTNPLSQVFQSIPQLVSGLACLGRIQAFLECETRHDFRQVLD DVRRNAEKARADTGTLSDSEPDSADPIVIKSGNFGWEADKFVLRNVSTRVPKSSLTIV VGPVGSGKTTLCKALLGEIPFSEGSVVLGTRYPHVGFCDQTAFLSNGSIKDNVVGFSP HNNERYAEVIKATSLDFDFATLPQGDQTNIGSDGIVLSGGQKQRVSLARALYLHSDLL VLDDIFSGLDADTEEKVFQQVFGPDGLLKQRGSTVVLCTHSIRHLPAADHVIALGNGT IVEQGSFQKLMDSQGYVQRLGLKGSSDDTVSAEKSTPKKTWEESKPEMLQTTMTNTSS IVPDADASRQIGDKTVYKHYFKSMGWFLAGGSLLVGVLFGFFTNFPTVWLTYWTDDIS SEHPTHSYAYYAGIYALFQICGLVTLLALGILILIVSVERAGASLHQDALRTLIQAPL SFFTNTDTGVVTNLFSQDLNLIDTELPNATMNTIFSVFQALGQAAVMLTSSVYLAISY PFLVALLWILQMFYLRTSRQLRLLDLEAKSPLYTHFLDTVKGITTLRAFGFIPDDVDK NTRLIDASQRPAYLLLMIQQWLTLVLDLVVMVIAVVMTTLAVRLHSSSGFAGASLFSL MSFGESISGIVVFYTKLETSIGAIARLKLFNENVKPEDREEEDIVPPIQWPHNGVVEL KGVSASYSAEDQPDNTSKIALRNINLTIKTGERVAICGRTGSGKSSLMALLLKILDPL PETAGNAIIDNTPLHRINRAALRERIIAVPQEAVFLPDGSTFRANLDPSDVSTPEECE VVLVAVDLWKFVQERGGLDAAMSAGTLSAGQRQLMSLGRALLRRFIRARNGSNCGILL LDEVSSSVDHETERVMKDIIRVEFKDYTVIAVSHRLDMIMDFDRVVVMDTGEIVEVGN PSVLAGQAETRFGDLVRAGAK PEX2_021960 MKTYVVFFVLIAFVLRLLTNRFKRGLRDIPGPTIAKWTRLWKLH SVWKGDHHTTAIDLHRKHGYLVRIGPNHVSVSDPTAVPIIYGLNKGFTKTALYPIQSI SWNKKAQVNLFSGRDEKFHREQKRQVANAYSMTSLLELEPAVDSCTRIFASKLGSQAD QKKPIDLGVWLQYYAFDVVGEFVFAKKLGFLEEGRDIDGMIEAIQGMLVYASLCGQIP EMHPLLLGNPLFPIFVPSMESWDKVLQFTLKAMSSRIPLKRDGELKEENKQDGADMLS RWMAVHRENPQKLSTRDVVVHLSTNVFAGSDTTAIALRAVVYLLMQNPDVMSKVHSEI DDASRDGKISDPVSYRESLTHLPFLGAVFKEAMRLHPSVGQALEREVPHGGVTISGKH IPGGTTVGINAWVLHRDSRVFPDPESFIPERWLDSRPEKLKEMEQTFFNFGHGPRSCI GKNISLMEMHKVVPQLLREFEFQMHGPEKEWKTKNVWFVQQEGLICDVVRRNGQKA PEX2_021970 MSLPEFSHDLVEVENDLKATTGRPRPVPDSTKRAQRDALVALND VIKKLNLERTDIENEIIRQRAVLADQMARLSTTNENIQSLQKVWEDMAAGFGLPCKK PEX2_021980 MDWDALCFNIVTLLAGVFVLDYGADKFIDHTVIVGRRLGISQTV IALLTAGAEWEELAVVVSAILQHRSPLALGNVMGSTISNILGAFSLGLLFSPQGLEFD RSAKIYSALLFVVTTLFVVLAFFNQLNQIVGGVLIAIFGLYIVSIVYAIYKGVASPPE LSDSDSGGESSDSDDGEFVHHAPHSETSPLIENEHAPSSIDKGSRRSRSLPYHIFQLI LGFISLSMSGYLLSHSAVTIADSLHLSGTVFGLTVVSFATTLPEKLVAILSGSRGHGG IMAATTAGSNIFLLTLCLGVVVVAGGQVQEADNFVLFELVAVWVSSLVFCVVIFLGLG RSAGVVLLLAYLVFLCLEFTAYRR PEX2_021990 MHEDYYPTDQYSRWDPRGWSVMKKIFLAAGIVVVIVAVVVGAVL GVRANRYPNYSKLNYSLKDNFSGSTFFDNFEYFTAADPTNGFVQYIDRAAATWLNLTS ATDTSAVLKVDTTYSGTEAANGRQSVRVTSNNTYADGLFIFDIIHTPYGCGTWPALWL TDPSNWPEHGEIDVVEATNAGTFGSQSTLHTSKGCSMGVKRKESGSVANTDCYYEAND YTGCGVKGAESTYGPEFNSNGGGVYAMELRDAGIRVWQWVRSKIPSDITSGSPDPSTW GTALADFPSTDCDIGSHFKNQSIIINISLCGDWAGASKYYTTQSNCPSNCTTFVRDNA TSFSTAYWEFGGFKVYQTS PEX2_022000 MIDHSVIFRKVELLVYHVVHGGLFLQEERKQMRPSWDAWVQVTS KRRAILALYLLHWAYSVLHKVPCFDCRDLGFMPAPAAKVLWQAQTEQEWNTRYIHWLS RWSGRGYLQAEFGKIKPGVIMDTRAERWLGEADEFGFMMISIVNAKLALNLIQTHDFE FNMYSPKVGDRVDTLDTPSMIADLDLVEANIKKLMDKLLPTGLDIRPHLKTTKSAILA NKMVKAGAKGGCVAKVSEAEVIAAAGFDDLFITCEIIGPAKVQRLVELYRKHRKIRIV VDSEAGATAIDEALAKAGIDEPISVLIDLDVGLHRTGVLPGDPAMTLAQHVQGLKHLK LIGLHGYEGHLQHLHDKEDRKSQCLQSMETLTNTADVLRKAGFNIEVVTTGGTGTAEF CATVPGVTELQPGSFIFMDTDYRNAVGTFYSNSLTILSTVLSKQGPRSVTIDSGLKSL TTDSGLAECKDPRYTYGVLGDEHGSLSWEEGTPALSVGDRVEMVPSHIDPTVNLHDFY YAHRGGVIEEIWPVDSRGKVQ PEX2_022010 MTVNHIFFWASAAKYTTLRSFYRAILQPIGYTEMIRVKNDALIG YGSDYPYFWLKRLPEDKEPLPTHIAFDAPSREAVDQFYKLALQHGGRDNGGPGIRKEM SRQPYYSAFVIDLDGNNVEAVYLPK PEX2_022020 MSRKAHDISSERLLITGATGYIGFKTLTIALERGYHVRAVVRSE RNINELKEKSTIIAESIDQEQLEFVVIPNFLKSDAIFKSLEGITVIIHLASPLAIEAD DYEVGIVKPTISMVTSVLEAATRVSTIRRVILTSSCVTLIPFEWNINPDSQRLYTVDD VNTSVTGPFSTAMEAYWASKALARVATKAFVNHARPKFDFVNLLPSVVIGPDDRLDAD PTATVDSLLQGTRAAVLAPALTPSLNSSFPYVGTPVHVADVARAHVDAVDGGLVPGNS EYILSSDTPSGVVWDRDVQAACRKFFPEEVASKRLPLEGSLTAIKWRLSARQTEETFG WQFISFEETIKQLVSQYLRLEERPLK PEX2_022030 MYDIILFGATGFTGKLCAHFIAQNHPSTTQWCIAGRSLSRLEAV VKELKELFPDRVPPDIEIIELNASSLEPLIQRTMVVINGIGPFHRFSTPIVAACATLG THYIDFTTEIPWIREVIESYGEVARQSGALLIPGLSTSTPSDIIAWLIANKIQETYST GTGEIISSGKLDIKAMSAGSLNTVLDTFETYGSGWYLSGDSSILSPPPQRMTYKAPWI ARVFGYRYAQELGALATSFTGPGNQAVVYRSASQKPDLYGPDFHFEEYLPATGVLSAV FVHLLTKFLLVLLSIPFIRGLTRKLALRMDSAPDLNQLRHVERAEFRAVGSDSKGAEP RVWASFAREGALYELTALVTCVGARVLLDRKISADMAGKDARGHGGVVTPSFLGMEYV DRLKDAGIKIEVGLL PEX2_022040 MGDRSNFHELPTEPYGIFWQDNFVDAEHEQRLISVFSNELEWPD RPGRISLHYGYSFDYKTFGIDPDIPYKEFPEWLQPLIPTTEDRPPDQVCLQYYPPGAG IPPHVDAHKPYDQLYALSLGAPATMIFRRGEERIEVDLTPRSMMQMSGDSRLHWTHGI RKRKNDTLPDGTVRPRGKRWSITYRWLRDGECECGNLELCDTAQRRNGIEKEKRSLKA LAEQAALKEAVV PEX2_022050 MSSLPPGWTEERLQTITEDDLRQIPEEHIRQIDLDLIPFDNVRA HTIISFAKLFEEQRLSRERKGMPPAPPKDIFKIADDAVVQVVEENGFDDFGFITFRTD YSDDERWDKWDAEYDRRTDLSIERSAGGQKIMDKCLMPRFEDPELHGATHQQIQQSYY GYLETEGVAPGLDVGLCLLADTAAVESMNSDLPWVYALDMNFDHSSEVEEGEYPGYFR VAVDSVIPELYPMLTAMPPAELWAQGDEIWQSAV PEX2_022060 MRYRSFLGAGLTHEEIAYAEIPLVVGLLANTVPAAFCVHFELFP RPKLLEEIREEVE PEX2_022070 MSPLNKYISKLAGANVLIIGGTAGVGYAVAEAVVEYGAASVVLS SSNLDRVSASTSRLRESYPSTACDIVGFACDLKQESLLEFNIEALFNAATSNGKRQLD HVVFTAGDHPNPKPLSQIDFNFIKETGLVRFFAPLLIAKHAMKHMAPGPASSISFTSG ISAYRPYPNWNVLGAYTGSLEGMIRSLAVEMKPVRINLVCLGPVDTDLIARWYSTPEG RKRGIDAIGSTSLTGGIGKVEDVAEAYVYCMKDHNLTGSVMNSNGGLLIV PEX2_022080 MVVVAVAGGSSGLGLTIVEALKAQGKHEVLILSRRENTELGEKL GALVVAIDYRNAESIESALEANNIDTVICTINSQDDLEPERNLILAADKSRTTRRIIP SVFAGFTYPIKYPDSTPVAKAKSEAIKAVQKTSLEYTAFYNGIFLDYYAAPQLKSNIS PWPLFVDILHESAAVPGSGDDLVVFTHSTDIAKFVVLSLDLAEWREESYVLGEKLTWN EFIRLAEGAKGSKFNVIHDSEADMKAGNVSILPSYGKMFQLPEADIKALLSTAGMWFV KKELDLDPTRALNKEFPDIKPMKVKDFLGLSWKCTDPTVLEVKI PEX2_022090 MHLVNVAAFACTVLSVSAFEFPDFVPLHRRQDPGTPAYECHANC GGVITAGRTDGYCDAATFKTELSDCLKCALEFDIWKYYGNSVSKAATACGLDATPVKV SSTSTEASSTSTEASSTSTEAPSTSAEVSTTSAEESSTTTSVSATTTESAEESVTSAV TTGVVTSATGTTVASTSVSPPRVSGSSRPSSLIPSVTPTGSSTASTPSSSPVFNGGVT MSAGNGLVSGAFVGCLIAAFL PEX2_022100 MRNYVALIALSAVGGLCAHGDEASKVMGPAAFLWPPDRLWGAAY DNNAPCGSSTGAVNRTNFPLINGKLALVLQDESWNVQVAISHKSNPTTNDDFEPFING STLADIKPGHECYSVPNPSIDVEEGTNATFQIKYTSDFDTDKNETYYACADVTYIAAS KFTFQVPCFNVTSDEFTDVTTTATTGATATATAASGATKNTGTTSEKSSGLSGGAIAG IVVGSVAGLGLGIALLFFYRRLLQKYRFLRQKASTRNVNWNEEAPQHKVDDEVPIGLR KIR PEX2_022110 MSSNSNAKPFPRPAAGYSQPFWRTQPDVLDKHQTTPELPEETDI LIIGGGYVGASAAYRLLAENPQNPTPRVVLLEARELCSGATGRNALFTERYGIEAALE IVRFEISHLKIVEELVRKEKIDCDLTFTRSYDIYHDEAQLKKVKTFYDFLIEQGLDFM DDVKYLSQAETRETAYVRDAKGGFSFPSGHLWPYKLVAHLIRVAVSHGLNLQTNTPVS AIGETPNANGFWPVTTSRGTINARKIILATNAFTSALAPQYSKAIIPCKGICTQIAAA PGAPHQELSGTYCTRSGPGAAVYQISRNDGTLIIGGAENLFKDNREEWYDNPDDGKLI QVAVDYLDGHMQRNFLGWEDSKAEIKQVWAGVMGYSKDSLPHIGGIPGKPGQFIAAGF HGHGMPVAFLSGKAVADMAQNSVAFEDTGLPRLYKTSLARLDPVHDDTIR PEX2_022120 MEEPPRRPSTLERNRSTQDGAKVQDDGRIEIDLDSKVGREVSKL IPFLHTEEHIQPQPEPSGDTGCSLELNIVIQVVGSRGDVQPFVALGNELQRHGHRVRL ATHGVFESFVRESGLEFYSIGGDPSELMAYMVKNPGLIPQMKSIQDGDIQRKRVMVGQ ILKGCWQSCIEDDSVTKTPFVADAIIANPPSFAHIHCAQALGIPLHMMFTMPWTSTRS FPHPLANLKYSTTEPKMANYLSYGIVEWLTWQGLGDVINDWRKSIDLEPISTTEGPRL AETLKIPFTYCWSPTLMPKPTDWPGHLNVCGFFFRSPPDFTPPADLDAFLQSGPPPVY IGFGSIVIEDPPAMTATLVNAVRSWGGRAIISRGWSNLGDAQSDDQIFYLGDCPHEWL FQKVAAVVHHGGAGTTACGLRFGRPSLIVPFFGDQLFWGNMVASRGIGPMPIPHRSLT ADNLAEAIRFCLHPDTLAAAGNLAREMSEEDGVSAAVASFHRNLPLDKMKCQFINSEP AVWQLKQNGKSPINLSKMAAGILLENSRIDKQGLKTYESKSIFIQTRRWDPITGGTSS LLGMYKDILTASSDVVIRPYKEFSRARQQSEPELVVIESANPESDQSPSAGISRTSGS NRTDEDWRVTGKAVGGSAKSVGRIIAYYYKGVLVDIPGAVNEGLRAVPRLYGEEVKDY DNIRDFKSGVAAASDNFTHGFSHGLTDIFRQPYEGGQKDGIRGAIKGFVKGPIGMGTK AASGALGLVAYPGQGLAKSLHSAIHSKTRKQLLKARLIESEYMARQSAKAQSSCPDVI EAFEAQQKWPGDSASSTNPERASFQ PEX2_022130 MVLDQVRVSTRHTLNCANEATVTALESTFSLGPMDHTVPAMLTI EAILVYRKPKTMPNDNFLPVERLKVAASHLLDYYPHLTGRLQQNPVSQAPEIGRLGTG AELWEAQCPRRLASIALSALSVRILVFNLPDSGNALLPIFHSTMGSVSHNPIFAIQHT RFGCGGVALGIRVHHQVCDATGFIQLIRDLAEIYKQLRDSSPPTLISPPEIYSHFRGM TSPSASQKENAPAFNPPNFCLDPNTMAIPDVPTPPSVYICPLRFHRQDLIALKNAATD PDAQGQTSFTRFELISAYLYQRIYQARIQVLRDKGIAPDPDKLQPLRDFWTTMDMRDS TRLKLPARYFPNAVHCPSTSTSHELLEKGVLWQVAQVIHDAIHSVDMDEVKQQFEWIA AQPNKSHIRFKNMVPNGCFVATQWSRGKTYAGVDFDVAPNGKRIAPSLVSPAFSDGYR VDGLAIIMSTEEELTRRQGSRRSLACADVPCAVDVNLSLDRSVWKVLNSDLDFLALHS PEX2_022140 MVNPSIVIVPGAWHQPAHFQGLIDELAKVNYDAEGVTMPSVGSS PPLPSWEQDALAVRQVIMNKLDAGKDVVVLAHSFGGIAMSEAAKGLGKKEREAQGLKG GIIKLVYMCAMALPEGQTHVGQLVPQTPEEEEIERQRKELEEKFGGLDVSADGAISLP KDRVHLVFYNRCEQKDIDRAIELLDTFPMGPLTVPVTYTAYREIPSTYIVCKNDQALR EPFQRRMIAQGEGCFEVEECDEGHSPFMSNPGRSKRRCVVQSDPDGISDAICANCKRL RRQCTFEFAIAQSSPFSRKRQRRNCGEPSISTTQNEPNDFDTWTFDTTTEEVAGSPNN RFEATSIADQDVLAAWLNLDCDGIVADSVNLFSTNLEPSNSFTGLSSEDIVPEESQLG DQFMDDTSRLVRLHAGQNLESKNHRPSVGLSFNSPIYLLNSGIDAKIFGDRLARIYEA IATASASRFLDYDCNLYATKSRYRLGDSDSGSSNGSAPTSSAMDPIAIAPRFALPVSS QALPYDISLLGSVRFLDHLGNLYGNRLNSTARKKSDETFKAVLRAFSMQWLPSSPSFE ASSAHDHFPRNSKSGEAGGESSLNAFIDAWVRARSLLNDAHHVRSFRVVLATLMFVGI VTPTKIIDREDLVPNHFLDTALQKLSYLNGLVTQYCANLGPSSTYGALAEASLSIVRW TAYIRDTGAALAMDRQCKLPDLWGTTKVLSDKAAVAALAVSQNILELDINVQPICRKA SAETFIVWRQIINIKTAANQTHGTINERSSSIIEAINLSVTAVRGFRYLLVSYAPTNS LPRLLSGNPIIDISLTGYTLVSLVMFWNLGIFLLAEVFKNVTKDLDPSIKHEVGPAVW EYQRDAASCVAQVVECVLNLPAEEAFNLQNGLGAEVPLTAYHVTPSLAVTALQRAIES VIDLQLHSCSVDDVSDDNAKLLIPDGIWDRQIDILTKGLMSLDVTIGGSQTCGVALKE LMHKHGDILSECWTSGFDS PEX2_022150 MAVSFDLLQIPFYGLVAGGIVITWSLRKAVQAFQRWKYARENGC QSPAHSVSHGLFGLGMAMEVARAGPEHRFLELIRGWHRSYGPTFKARILNRKFIFTVE PQNIQTILALKFKDFGISSARIDALRPLMGKGIFGVDGSEWEHARALLRPNFSRTQIH DTQLYENHVAELIERIPRDGSTIDLVPLFLKGTLDTATEFLFGESAHSLRKEESSSGA KFAKSFDAAGHVTAMRFRLGAFGRFYRRKEYNKAIRDTRTYIERFVQKTIDYRVALNS GREVDQEIKRLTESRYIFSYELSKQTLDKTNIADQLVSIMFAGRDTTAGLLSTVFFIL AREPDVWTKLRKEVLALDGKKPSFEDLKSMTYLTWVVNETQRMYPLVPFNIRQANRDT YLPVGGGPDGKSPVYVPKGHEIIYSIYTMQRDKEVFGPDADEFRPERWENLKPGWGYI PFNGGPRICIGQQFALTEAGYTITRIMQSFEAIETRDPNPWTEDLGLTLANANGTKVA LIPVQN PEX2_022160 MDTDTIKGATVERPQSLNLKDSEIPEEIQQDGVQIADAVTTTWT RKHLIIAYTSMWLVYFVNNLNSSLTSNLSAYITSDFSEHSLLTVISVITSVMGAACLM PVAKVLNLFDRTVGFVLMLAIAIMGLIMMAACNNITTYCAAQAFYTVGFTGAIFCIDV ITSDTSSLRDRGLAYALTSSPSMITAFAGSPLSNQFHETNWRWAYGTICIILPIAALP LIVTWEMAKRKADKNGHLQYKERSTRTWGETIWFYVIEFDVIGIFLMIGGTILFLTSF NIAGNTEGQWRSAKIIAMMVVGFCVLVAFVSYERWLAPKPFIPFHLITDRTVIGACLL DITYQISYYCWASYFTSYLQVVYNTSLTQAGYISAIFDFMDPIWLIGCGFLIRATGRF KWLLCAAVPLYLLASGLMIYFRQPGHNIGYMCMCQIFLAVGGGTFILIEQVAVLAAAS HEDYAAMLALLSTFGYLGGAVGSSVSGAIWTNTLPVKLRANLPAELKDQWEDIYESLD VQLSYAVGTPARIAIQDAYAFSQRNMMIAGTAVMGLSIAWVLMMRNIKVHNNKDLSKV LF PEX2_022170 MQIFHIVPAPLLELHHLKISAKIANHGDVWRWEPVEHGLSYLDQ EGGVQWNESDPPLSYMFADHWSPLADDFPYDHFLESPSAPLTLDEAASTSLGALHKIT DAQYDKGSPNEDGAAAADTPSLDPHDGMSDITSTIISTADEEQGSTAANDSIQRPASY GKVPMGVKSSRGSPLKCKWKHCEYSGGFSQMGALLRHIKTKHISPGLYECPEGECRKS FNRKDNLVAHIRNVHRETV PEX2_022180 METRKKYHRISVSSCEEAIDKPFALLMDILKRPNLGNYVRHIEC RTATSRHMDYKQVNSQRDLSNEEMTLVREAVKKGGFTGPQEDRVVNMLMQRMEKTATF SSYLHRESLGTFITQALTAILIVVSPNVVSMALTDPSGMSCNHAIDFPLAQLLRQANA SPENKSYLRNLRDVYVINKNDSTWSDGRFYVPMDFSGCLRLFDNLQSIESVRVDIMEE DPNGNVEFKEKCSNISKISIHNSSVDSLYLANLIWSCKILKEFQYSIGGRASNDGGFA MFNPKAFIKVLCAHKKTLEILDVDAENEIYIFEVADEEERDDQFNQYGSPFESGISDE TCKFYKSIWTYNGSLKEFVALKRLSLGINFLLYLAAGVSGEPYEKREKLDLVDCLPVG LEYLCVRGYQKGQKEEHDEQMDALMTFYKSGASQLKEVKGIDEFIPNAEVVKDPDNDD HLLWSLEEIGYESD PEX2_022190 MTQIFLTGATGYIGGEVLHALQLAHPDYKVAALIRDNGKASKVL AAFPKVRVVLADLDNIEVIEEESRKADIVIHAASNKHLESVKAIAKGLAGRQNAYYIQ VTGVSVLAGPEVDNNSYGEPSDQIFDDLDSVAELRDIIRAYKDRRVVDNYILDLGSGG PKTAIVLPPIIFGTGKGPVNQRSIQVPALARAALLQRVGLYLGRGLSRWGIIHVSDLA NLFVKLVEHAVNATPGLIWNENGLFFAENGFESFKEIALLIAKEAHSLGYIDSPDAVK SMNLDEANVVIPYGSAFLGTNGQGQASRGRKLLGWQPDGESFQNAVREAIIAEAAHL PEX2_022200 MATLAEKLEKIKSPKLQNQHHTAVVLSAVEDTLRDQKADFSPTA YFAALLALLSQSLSASQGIVNKDLATSVVYLLDITTVYVPAPILCSKFSQILEGLAPA LSLPEVEAPLLRPSIGCLESLLIAQDAASWNLPHTQVGPRRAMAGLLSLSVDHRPKVR KRAQEALVKVLKAPPPSPSLDHPGADMCAQSAMKTLGDSITAAHKQKKGRHDAQANSH EPLIIHSLQLIKTIASASGGWPSKKIEPLSELLMNASRSSNEYITMGAFEVFEVIFEG MADEFSSSKLPRLLDAISELKPAQNDSQLLPPWIAVLSRGYDVAAQITPEDTFEKLPD LFTLISGFMASPSSNIRVSASECLISFLHNCIPNSVIVEPSVYDEKTLEKLSRAAVDL LSVKYQAAWKEVFNVCSALFDCFKWRSSPYLVKIVSTIGELRSNGGFHGKKEADAVLA SAIEAMGPEAVLEILPLNIIEQKAGQPGRVWMLPIMRDSVTNTNLRHFRTELVPLSEA LYQKVVNFGAAEKSVEVKIFETLVQQTWGTLPGYCELPLDLEEAFDQTFAELLSNVLY KQTELRVDVCRALQNLVESNQAILSVENDEDDLILQRRITKVAAQKNLTHLGSLASNL LAVLFNVYSQTLPHYRGYILQCINAYLSITPENELNDTFNRVTSMLESSLVTEQETAT KQSAQAQNPSDKMPPTSHTLIDLVIAMSIYLPRSSFSGLFAMAAAILNSSSPNPDQQL IKKAYKLIPRLGTTETGRAALQERSGELQALMLATTDTTPASGRRDRMLAITEMINHL PTSDLHFIPAILSEVVLGCKESNEKARTAAFDLLILLAKRTTDSERNPPGTVIRRSLV PGVADDLPDAPATLEEFFTMVSAGLAGSAPHMVGATVTALSRLFFDFQTQLQPEMLKE LVETVELFLTSNNREIVRSVLGFVKVAVVVLPDETLRPRLNTMVPSLMAWNKEHKGRL RSKVKGIIDRLVRRFGAPLLEAIVGEEDRKLIVNIRKARERSKRKKKEGAEGEDEDEE EKASKPQSGNAFDKAVYGSDSDSSDNSDDGDSDVDVDNVAQIKGRNSKKKAGQSTQYI REMSPEDNPLDLLGSNALASISTTKPSQRFLNTGPGSKKKQRSAKVDADGRLVLGEDG DVVADDVEMSGAGDGGVNAYLAAVSGPDAVRRGQRGKVKMGQSSKKNAGDSMDVDEDD LKQDLRASGSGQSSGRRGLGAPKTSGAPGGGRIEKRRNPGNAPRGGNRSGWGKKR PEX2_022210 MERLSLNESPAPAPRTPQQNQQFAQNALGPAGPQPTGGPPQLPP QMFTTAAQLLDLTDKKLVLILRDGRKLIGVLRSWDQFANLVFQDTVERVYAGQLFADV SRGIFIVRGENVLLLGEVDLDREDDIPPTLTRAPFEEVFELKKKEDAKRKTGDKKRYD ELQALGFEPEHSGEILF PEX2_022220 MSERKPTSPDDPRRGDIDPPLVSRSNRDRHRGRDHRRSSSAQSV SISVSSTSSNSTASSRNPALSQKHPNKKKHPGVVVTTMSSSPVMPELSSASPSPSISS VDTRPGTGVESAYGDRNTDGIYALGDMAPLITTDSVQEPALSRASISSWGTTAAASTS SATPSIPISLTASTEQRTYACLFHMLDCHDSFDDGAEWRTHVFSHFRSHPTPPSARCP LCPNTKFVDGISDPVSSPVLEDGEYARSIDDAVRAPLRDFPSAWDRMLDHVAADHYCL GQTLAGSRPDFELMRYLYGMRVITDAQFKAMQLPPAPSSPAYHRSQDGVRASIGSADE PYCAPYSKRREERMRGQQRGLGVL PEX2_022230 MPSRNGWKWGCLGLLEFSVSSNLRAGHWGLVGLYKGLIWIRLYL LTRNYVYFLFSKELLLFVEDAWSGIQCTGTVICRLVFVGKGSLTLLILGNMMD PEX2_022240 MPPPASSVGFSNLLNPHDTSPDSTPSTPGDVSMASSVSLLPPLM KGVRPATEEVRQDLPRPYKCPLCDRAFHRLEHQTRHIRTHTGEKPHACQFPGCTKRFS RSDELTRHSRIHNNPNSRRSNKAQHLAAAAAAAGQDTGMVNAANMMPPPSKPITRSAP VSQVGSPDVSPPHSFSNYANHMRSNLGPYSRTTERASSGMDINLLATAASQVERDDHM GFHGSRGHQIFGSRHHGTSRGLPSLSAYAISQNMTRSHSNDDDDGYGHRVKRSRPNSP NSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLRPLGASELHLPSIRHLSLQHTPALA PMEPQPEGPNYYSPNQGHMGPTISDIMSKPDGTQRKLPVPQIPKVAVQDMLNPAAGFS SMNSSASNSVAGGDLADRF PEX2_022250 MAPPRQRTTAIVDDSRSEASSGTREYNKATKSRRPAATKEKASV TSAPVVDNQHAEEQPRLPWTDLPLEILHSYRHVHKLPTPSAFSSDYSRLILSQGIGLR SPTSLAARRAQSSSSQNHSHSHRTNTSSDSPSDSTLRRTVGQDRVSKDQFALVVRKHF NSAGLSEQETIARFLYTVREDRRGRQFRLRFQP PEX2_022260 MAQSPMLSCPLKQTNEIDWIQPLKDYIRQGYSEDPEQYSQECAT LNRLRQDMRGVGKDSAIGRDLLYRYYGQLELLDLRFPIDDNHVKIPFTWYDAFTHTST SQFSLAFEKASVIFNISAILSCHAASQSRADDTGLKTAYHSFQASAGMFTYINQNFLH PPSTDLKEDTVKTLIHVTLAQAQEVFFEKQVADQRKPGLLAKLAGQAAFLYAQGAEIM QNFVDKNVFDKVWTVVVQAKAAHMGSVASYYQAVSDSESGSHGIAIARLQMADKQSAT ALSWAKAFPSSPPADTNLTAESGPNLLDLIKHNQTNVQALLATMVKDNDFIYHQPVPN EAGLSPVARIAAAKAIPVSELYQGQDIKQITGPDIFKKLVPEKVTQIASLYSEAQDEL LRVETEKVETARSEMAASLLVLELPGSLDILKGGMNQEILVDEEFRRWCSELAGHNPF RRAFDELQDRKLEVLSQLDQCSKQLDLEESVCEKMRSKYGADWGQQPSSRLNTTLRGD VRSYRDTVNEASASDSQLSSTLRQYESDFDEMRSAGETDEADVLFQRALIKIRSKHSK SKDGVTSPPEGTLLDDVYDEGGASIAEQIAKVEGLLKKLNLVDRERSQLLTDLKVEVH KDDITEVVILNLKTIVGQEKELFRKELEKFLPYQNMLVQANHKQSSLMKELNKIHDNL RQDKRVQSEKLKYETIARQRNSVLARYKKVYEAFHGLSSGIKQARTFYKDMGENVDSL RKNVETFINNRRSEGAQLLSQIERDKGMGKNVDSLRRNGESFANNRDQSSSVTEQEDR EREKLRQLMERLSTEPKSSSILPSTGPAKPPSKVKSPPPPVQTPAYGTTGPSPKPSPR YPPAMPGVPPSHSPAPYGQYMGGGPGAYQAQHFQQGAAAPLSEGYNPMAYPYQTPVSP PPNQQFFSQTPAPYGGYSNSGTPAPGAGPQPSHYMAPQGYIPPPPPPRPQQTTYPPTA GGMYPSGPGGYAQSRQYGHHKTPSQSQPQSGSSADPWAGLNAWK PEX2_022270 MQPSPLLRLGVSGKAVAFHTTSPLAAISDPYKVLGVDKKASAGD IKKAYYGLAKKYHPDTNKDAQAKDKFAEAQTAYELLSDAQKRENYDRYGSAAFDQNGG FNPNAGGSPFSGAGGFHGFGGGFGGGGSGGFGGGFSGDINFEDLFGAFTGGARRGPRG GRNPFQEQILVGEDIEVQTNISFMDAAKGTSQEIVITPLTKCGTCKGDGLKSGAKRSQ CRQCNGTGTRVHIMQGGFQVAATCDACGGAGMSVPRGSECSPCNGNGVIRERKTIKVD IPGGVEDGMRLRVTGEGDSPPTGTAVPPGARTQPGDLYVSIRVAPDHRFSRSGSDILY TASIPLTTAILGGEVTIPTLDNQVKVKVATGTGTGDRITLSGMGMKKLSGRTRGFTPN GDLKVEFKVAMPKYLTGNQRTILEVLADEMNDKTAKRTMNFSKDSPSSASDTANDAAK NEGFLKSVWHRLTEKHGDSSGPSQADNKDTTGSKDSKDTGNKDAGSDASKDSSKKSS PEX2_022280 MLRGQTLPWRAVLHQTPRPLLRRPFLASPRYNATFRSTLNSVRL GGSIPSSSRAFSASSIRRREKPPPEDPKDEPEEKEEHETSRDHKETERAPESRRKGAD SGKNVPSADQVAPARRRDRSSDKERGVEEEIKKEANTSDGKGNSNDTPSPIPPSDGPA DSKPSGASSGGNSGNDDSGKKGKKASGEKALQKPAVPDVYPQVMAIPIAKRPLFPGFY KAITIRDPNVAVAIQDMMKRGQPYVGAFLFKDDNADGDVIEKLDDVYDTGVFAQITAA YPLRGEASGVTAVLYPHRRIKISSLLPPGENTKAATPPPTPTSEDKSTEKRGDVVASF EESAPEPAPKDHYEPTSFLKKHPVSLVNVENLIEEPFDKKNPIIRAVTSEIVNVCKEI ATLNPLFRDQISAFYTDQFPGNLSDEPSKLADFAAAVSAGELHEMQEVLETMNIEERL PKALVVLKKELMNAQLQSKITKDVEAKIQKRQREYWLMEQMKGIKRELGIESDGKDKL VEKFKEKAEKLAMPEVVKKVFDEEINKLAHLEPAASEFNVTRNYLDWLTQIPWGQKSV ENFGVKNAVSVLDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCLVGPPGVGKTSIG KSIARALNRQYYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQTENPLILIDE VDKIGRGHQGDPSSALLELLDPEQNNSFLDHYMDVPVDLSKVLFVCTANVTDTIPRPL LDRMELIELSGYVADEKMAIAERYLAPAAREMTGLKDVDVNLERDAIEELIKSYCRES GVRNLKKQIEKVYRKAAFKIVQDLGEDVMSEEAALTEAGKIAQEESKEHEPTDPAQVP IEPEKSTTEIPRLALKVPDSVHLSIGKGTLKDYVGPPVFTADRLYDRFPPGVTMGLAW TSMGGAALYVECILENALNHNSRPGLEITGNLQNVMKESTHIAYSFAKSVMARQFPEN QFFEKAKVHLHCPEGAVPKDGPSAGITMASALLSLALNHSLEPTVAMTGELTVTGKVL RIGGLREKTVAARRAGATKILFPADNTSDWLELPENIKEGIEGHPVNWYSEVFDLLFP SLDQEAARTIWQKALAKPKKGSQEVDEE PEX2_022290 MAISVPNSCSPTRPAFLDDKGGNPPKQSMWHRLGLRKLWQGRDD HRDTSAGESQDEISPRPSSDNSRAKQDNLTRRLSRKVGVGLPRTTPFKRQSSDLERLA PREPDLRRALSADRRPLSSQRSRSPPPTVGPRQSAPEVQWLGPAPTTTVDDAPEPESE TNDAHESNDISETSNPYPEMTTGNFDAPEEEEDDHTIDLDMELEQRWILNLSMHFRDG SEREKFFVTYAETPNRWRRVTISCDYQDAPPYSLERELKELRYQRDKCARIYESIRES LLAIQFYEGVTNLRLETSDGRLHVHVTEDVNETIPYPPISSIRHLVNAPIIPEDSLHF ESHLSGFVYKINLDGRFYIKKEIPGPDTVDEFLYEINALHALKDRPSVIQVEGIVIDE WQGVVKGLLISYAEKGALVDILYEQRGRTSFARRERWAKQIVQGLCEIHESGYVQGDF TLANIVVDADDNAKIIDINRRGCPVGWEPPEIAAKIESNQRISMYIGVKTDLFQLGMT LWALAMEEDEPERQPRPLRLGDDAKIPDYYRRIVDICLSPTPRHRLSAKDLLSMFPPL PEARVSTPVRSLETIANDSRHLPISNWVQPQPSGLGLTSPGGMIQQDDQYYPQKEFSG NSYGDLQHPIFSESHDLVKRSTITLAESNEDMGFPSKPSSSSTLNHHEPHNHDEYMDF SRHFDDGSSPYVPSDSQEPEATPVYQTQSMGNGFHNHPVGPPAFSDEPVSLETAPMSN QQETTNEPIYNNSVALPSSEITAPLLPPPVSTSLVESQNQEARNRPCPKPANDEGDLE TSALPINPTLRDSGTSNDTLAIPFSTIPSSIKHTKQEVVDQSCAKATDDKGDLESSSL PLSPTFRDSESLFGLQNAPQSVKASPIEPPKQKCADLPCASVETKPKFTEPSPSSSCL SLSELPINPTFRSSGTSIGALGTTSLPTSLSVKPSIQDNVDQPRAQATTYIKPKLTTP SGSTLSQPIPTSPINPTLIRSRPSLELPNAARSVKSSPIEPPKQTHATSSYANTGIKP KFTEPSPSTSTLARSELPISPMFHSSEASINTIRAPSSQGPSRIESPKSEQAITPREN DITPTSSATPSRFSSMHISSLRHTGASIGSLGTPFATSPSVVGIGLLRRPWEKPSANN PDVKPALTMPPEPASSLGNPQPETAISPAKTNPIEPRGNGPSGQSSANTADIKPIRAN PLNSDSNLLGSKLPISPAHQSAKPSSTYAEVEMARPLQGPPSSQAKPSISSQARFSSN PEX2_022300 MSANFEEEDAPPELIDMSAMPADQISVDEEPTARVPITLVTGYL GAGKTTLLNYILTEKHGKKIAVIMNEFGDSMDIEKPMTVNQGGQEVTEWMEVGNGCIC CSVKDSGVMAIESLMERRGTFDYILLETTGLADPGNIAPIFWMDEGLGSSIYLDGIVT LVDAKNITRLLDEPAPEEKAESHDVNHDHGSGPVLSMAHMQISHADVVILNKTDLVTD QELEAVRDRVDSINSVAKIHVTDHSRTPQIEGVVLDLHAYDHLADLDFSKKGHSHMDP AISTTAIVTPPIPADKVNCVDAWLRSVLWDTTFPAPAKSVSSEPQPVDFEVHRLKGIL ILNDGTSRIIQAVRDVFEIRDAEPIDTEDKSKPLQCKIVLIGRGLGSSVEPWQESFES CLVAK PEX2_022310 MTAAGVSPSSSPRLPSPPPFTEVQIGPQSPSVGESFGKDADLLG GAMGENDGSTRRIRPGTKSANMGLPAIPLEQIDSPFQLQEHLKAQYQKLAPQYTKLVE KYDVLVEKYEALMKEYNEQKRKASDKADSKYDMLMEEYNVLLEEYNKENPKEFDEVHR TSEVAKEPTESSEIEIAKEPRKPESEVVNELTNPPNGFGEPAWKYELCRFFTIEANRL VEGFLTENPPCSSQTCPEMRVNDWQYICAGAGHSGAKYCCAIDYCCHTLDWAMNILAL ENTSRLISSNDDKSMKTLEDIFRRLYRFFAHAWFRHPGVFSKVAGHSGLYVFFKTVCV HYNLVKGYTIPLKAGGPDEVAAAQKMEEAEKRKEEAEGKAPKEKPANSNNRFTILRKE GENPFGAAVESQDSALVTSATTRRHKHSPSTGMRVTPIAEDAEDLEETTSLPLRGPLR LSPEPRPISVLEIRTREEPTEPLTDVGNPSEEPVEESQEQPPQEPYQQSSEPPEDQEK IEQVEQTEQTKEPFSKELDTNTESPIEKFVPEDDENTTSSEEANLAEEPKVEIEAAQE PSIAPETKHETEKEES PEX2_022320 MSALKGATAPIYFGSFVVTPQVFHTTPLTFALVNLKPILPGHVL VSPRRVVPRVTDLTPAETSDLFLTVRRVGRMVERVYGATSLNIAIQDGAHAGQSVPHV HAHIIPRKAADLDHAGGTDAVYDLLDGDEGDLGKAFKSSVPTEEGDQPTEVGNGNAAN PGRRSRFPVVDNDARKPRDEEDMRAEAEMLSREMENEPLD PEX2_022330 MTSDTSTWTPETMLSTLPYRPEENSESPVPFFHLIERLKTTKRE GWRRFGINDGESISDHMYRMSIMTMMAPPTLAANINIPRCIKMALIHDMAEALVGDIT PVDGVSKPEKARREASVMDYITTNLLGKVPGCTGGEIKEIFEEYEADESDEAHFVHDI DKMELLLQMVEYERLHKVDLTEFTHVKERIQLKEIKEWADEVVRGRPKKA PEX2_022340 MAATRPVRPKPLDWLAPSHLDVFIRNLKLLYLDQREDWPGISLR ALTLTSQNQRHRIRLIEWALYHLYTVWDPETAQNKLRPFFPPLEPLQSVNLRAALFRC LGELKKNGDLGREIVLRKTMLDDCKGDKFEELLAGFSTAVLRKVVALSADETPLNPAM KLSTTTAMTLTDYQNLLPLILAHQVSLGSAGERHARIREACDRFSQLLDDKKVELTER ANQESVGFVDDMHSKPESLVRELQTNWLGSDEWATALLEGGSQSSTDAFLELPFSTAW MRAKDSNVDSFSGGLKQDLVLDLEARVLLQRSRLHKWHEYNKSLSRERGIDEISTSSP KEPRVLFRDHQSLTVASISKAVRQPGDRGRTLKGPEKYLLSSVNEALSRINGKSRVKP AIFTPEVKTSSKQHFKTSEIVSSPSTVAEDHHAPGHPPDLETSELYPEPKPHPESEHI RSSPPIVRLPPDLPSSDEEPAPEPIKRTHTLAERTRRSMSLLPPVAQEAPRPRRRPRP SFPVNQFVTPRKTSAHSAHSRDEISRASTPQDKLFEEDAEYASVFKSRPRVALSPISS PAVHVSPSFEEESFELDYEDGNESEGFEWGDVDSPLAAPRLRD PEX2_022350 MGQPTFPAGAFDNRDHGVQNVNVNGKNQYNNNSNGTQYNDCIVH ISTNHMSPELEQREDQKKMDDDILRSLAFPEMLSRREGIQRRHGNTCQWILGLEEYKS WMDRSQALLWIKGKPGAGKSTLMEFLYSELKEPQGKDHGIQLEFFFTARGIELQRTPL GMLRSLLNQLFFCDVTVRPLVRAAYQERYEKFGGGERNLEWPQKLLEELLEKAILMSA THQRLTIFVDALDETGEISAQQLADYLHQLNHSANFSQVTLKICFSCRHYPIVDIDQA IEITVEHHNFEDIASYIKDKLPRRVRDQEVWQSLGNELIKQANGVFQWVHIVMPAFHQ RILRRHSAEDIRKWSRTVPSELEEMYRFILEEVMQIENPQEVFLFFQWLSASERPLSI TEMRYALTTKNATIPSSQPWEQIDGFIESDEDMEFKIKALSGGLAEIGFYRHNTVIQV VHQTVDDFLRDKGLLRLKSLVDKSKSDIDNKEMILQCHATIYQSCLIYLYREVSPRCR GREGTPLYLEQVKSPDGFALLVREKTQLVSKRPFLEYTTLNLFVHAERAGCSRTTVNL LSHAEMYKCSHLNAIQDEVKLLREFLGQWLNIHMGLRGTWDEAYRPGTTLLHMAAAAN MVDIMDFLIQNNEKIDQTDDEGQSAFDLAVRHGHTKVAQMLKERGAECDRKSTLGRTP LEEAARRGHIKMIEWLLNNEVTIDARSKKAGSALQAASLSGQRDVVDLLIRAGADVNT QGGKYGNALQAAASVWNDEAVRLLLDTGADVNTQGGEYGNALQAAASTRGAKMVQLLL NAGANVNTQGGRYGNALQAAAWAGGTEVVRLLLDAGAEINTQGGRYGSAIQAAAGMER AEVVQLLLDAGADVNNPGGEYGSALQAAASTGYAEIVRLLLDAGADVNAKGGIYSSTL HAAASKGDAGLVKLLLDAGARFNTPGGEYRNALQAAASKGDAEMVRILLNAGELIDAP QKKQPMVMRKRFPQLIFRGSMND PEX2_022360 MSTPVSLRATNSSDEDVRRPKSGTEDGFLVTSHSHRSFSSVSSS PKSSAYPGPLDPSSSPSYSDPLDNARVDSDGVLPAISHASDRHLQSINENTLRPRSQS IASTEATVVRSRTLSDIYLDPTVKFEYDDVSLLEALVPDARDDADFNVDNNRFAFSPG QLNKMQNPKSLAAFFALGGLQGLSKGLRTDLDTGLSVDEGRLDDFVDFQQATSHLYNT PSIAKSRLQANLAPAVAVNTNDCPSFEDRIHTFSKNRLQARKSPGLLKLFWVAYNDKI IILLTVAAVISLSLGIYETVHGGIGVEWVEGVAICVAILIVTVVTSANDWQKEKQFAK LNKRNNDRDVKAIRSGKPTMISIYNVMVGDVLCLEPGDSIPADGILISGNGIRCDESS VTGESDNIKKTNGYEVWQQVIEGRVNKKLDPFMISGSKVLESVGTYLVTSVGPFSTYG RLMLSLQTSNDPTPLQVKLGHLANWIGYLGSAAAVILFLILLFQFVADLPNHPDQSAT VKSQHFVDILIVAVTVIVVAVPEGLPLAVTLALAFATTRMVKQNNLVRVLRACETMGN ATVICSDKTGTLTQNKMTVIAGIWGSHKSFSRLSEGETRPSSVGMLESFQQLTAPIRD LIVKSVALNSTAFEEGTNGQKEFIGSKTEVALLQLAHDYLGMDLTLERASTETVQLFP FDSARKCMGLVYRLSNGDYRLLVKGASELMIDACSMQIADITTSESLHTQVLSEDDRH HILEAIDLFARGSLRTIGIVYKDYRTWPPLGARTLEDDLHSADFDDVFRDMTWVGVVG IQDPVRPEVPLAIRKCFSAGVQVKMVTGDNISTAIAIALSCGIKTEGGLVMEGHVFRN LSDTELDRVIPQLQVLARSSPEDKRILVERLKNLGETVAVTGDGTNDGPALRTADVGF SMGITGTEVAKEASSIILLDDNFRSIVTAIAWGRTVNDAVAKFLQFQITVNISAVILT FVSSIYSDSNNPVLNAVQLLWVNLIMDTFAALALATDGPTPEILDRKPVPKSAPLFTM NMWKMILGQTVYKLAVIFMLYFSGDQLLSPQLENNDPELRSKQLSTVVFNTFVWMQIF NELNCRRLDNRFNIFEGMFRNYWFLGINTIIIGGQIIIVYIGGQAFRVTRLSGVLWAV CLICALGCIPWSMILRMIPDHNFAFVFNAIVSGLSVVLWPFIKVFRLFGRGFKACFRP IPRFIRWVVSRQFSNHSDDTSLQEPTNSQARDKEVPGFMNGRHHDAPEHHQVPPQISV PSIAITTSP PEX2_022370 MSELSRRPWFNLAPKVSRAKPKSGDHVGSEASKVFQKRSPVPTF PDGVEVLYECPEAAFDICFIHGLTGDRRTTWTAPKNTWTANRQPSPWPQEFLPAEITS RARVLTYGYDAYVLRKSVASSNRLRDHATNLLNNLTTDRASCNASSRPLIFVAHSLGG LVCKMAILLSRNNPDTHLRGLFTSIEGIIFMGTPHKGSWIAEWSKIPAKALGIIKSTN KGLLTVLETENQLIQSLQLDFMNLLRESGNLKVTCFYEELPLPVVGKVVCKESATLDG YNSIGIHANHSDMVKFASKEENGYKSLLGELQRWVNENSVDSGSSATGTGANNDFTID GSPSVFKNYGDGFININNGSATQYNSFGSGNMFNGPIYGLHIPPQQPH PEX2_022380 MTFAEPALNLILGDTINALKKAERNSGDPNLGNAPAIFRETAVR IPSLLTYFEKFKQHLDTTMMVEEFPRSAIRTMEICEENATRVNEIFSGVVGSSNAAAQ YRKVARGDQLEDLMKKILTDAIEMSNITQLAVIRGAEVEELNKALRSFMAMPASLPEN QSAYSFDNSGSGYQNINTSTGNQYNNTGPGNMFTGTIRGLKMSK PEX2_022390 MVKTTYALTLFAAVSLAAPVAQLNARAEKQGSVEHKNLIEDIPI IGHMLAGNHDVKRDEAQPQHHNIIDDLPIIGRILGNAKENQRRDIGRDGISGLPIIGG LFGKHTHTGGENGMNKRRSHGQNYGQNAGQNEGQNAGQNAGQNAGQNAGQNAGQNQGQ NHGQNHGQNKDQNNAQNAGQNGSKGLEHDLESSLSHIPIIGGLFGKDQGKPSHQQIET PAKHLDNREAGHAKGLFGILQSLTSSGPVTKSHKRAEESEQMEPMDHLVEGAVASGME AESSAMPMRRDESAAPKASEGQQGNQKGAKSQEGKESESSGGLLGELMGKDGLGGILK GNANHGVGLFPMRRDAKELETRQAPPIQGSTLAAVLLEGGALGKITNALSGGAMPKPG SSSPTNMSDGSTLGPPNPASGAGPHSGPGLAAEQKKGSGPASE PEX2_022400 MPDMHALFNSASPPKLDLSNAPSQLFQVPASTASTSLYSLSVSR KRPRRDTEKLSSHFECNFTECPMIHPSYRSVSVSGGNDFLASAELDYRPNRYRESFLP PSFDSSDESANLADYNGSRKRSRRDSGIIAPSSDGPNTTTPTGWGQTVIKAVSKVLDL CWTGAFRGFYAGGGQGYDLSSSPVTTLESSWLPSTSPSTEKDMYSPNTFCSTPVPGQY PDDEVDRSWVVVPESSDPSVGSSELASPSLRTRRAFQASSPRRKSAVMPRLAKRVAYS STGLHSPTKGQGGLQSPRLRDTPASADIQRQAAKMRRKEREEDASIQRLNKQLQAMIR EGKEALGTTVIVDDVDMYDDSD PEX2_022410 MAAVKRFFSSPRFAVAGASNDTQKFGYKILAWYHQHSLPVTPLN PRAAQITLPSHAYDTVPSPASLPSPAQTSLSVVTPPAVTLQVLQEAHSVGIPAVWLQP GTFNDQVLEYAHGHFEAVIAGDGGQGSEGWCVLVDGDEGLEAAGVQWTSQRL PEX2_022420 MPPFLPRKRISSEDPPPAKRQARPDNSQAPPPQAPFHLSDSDSD SSSLSDAPDGLLNKDNAPVSEESEDEEEDIDWEDAIDTKSGAITPTVAAPELQDLELT LDQNETHVSDLLDGKKGPSKIERQIRIQTHCMHVQCLLFHNAIRNAWSNDPKVHEILR KKLPEGIHKEVKKWRIASGLELPEPKPEPPKSKKKGKKAQKNKDWSDESERLEPGQPD MSRGDPIITLIKVLVAYWRKQFRISAPGLRKYGYRPVSALETAITDFREEEHDPERHG ERIANLDEFRATAERMQGSRDVGAQLFTALVRALGIEARLVGSLQPLGFGWTKGETYT PPTPPTPSKAVKDPENEEESEGSESETDAAEIKLPAKNKRKQFDKDLPFPIYWTEVAS PVTNEIISVDPLVLSNPVAPFADTDLQANFEPRGAKADKAKQVICYVVAHSSDGTAKE VTTRYLRRRTWPGKTKGFRMPLEKVPVGPRGHYIAFDWFGMVMRGYQRARKSKTAVDK LEETRDLQPNQPEKKKTTQTVDTLQSLRTSAEFVLERFLRREEALRPGAEPVRTFIAG KGARAKEEPVFRRADVLKCLSAESWHKEGRQTKPGAVALKRVPIRAVTLIRKREVDEL HRQTGEKPLQGLYARDQTEYIIPPPIQDGRIPKNEYGNIDCFVPSMVPAGAAHVPLPG TVRVCKKLGIDYAEAVTGFEFGSKMAVPVIQGVVVAAENEDLLRDAWKVEAAEKRKRE ELKAEKKILQTWRKFLFGLRIMERVRDEYGGGDPAADRERDSHNPFAVQKKKREEESD DNGVDEDMPDRESSYNAIDHGGGFLLPGHEDDADDDGLIVEHHDQQLSHAGPSHTDAD DLDSNEPFEPRSPAANSPPVSISSGSDNEVDNGNAEDSEPEYAPRPTRRTRGRGR PEX2_022430 MIPHKLATNLLEREYVLFYEAKITTELLSGLRNSMDATIPIQWP TIQRKKKPYKPYDTFTRGLKTELGETSPLPSHSLSNTSDDEAMKNPPKLRLQARYEEQ GKTHQKISL PEX2_022440 MVHLASVVAIGLTALTGIVSAHPGHDVRAEAAERAEFLKNAPIH SRSLAQCSSRLQRRGQQDRNVARRHMAVKNIRRRLGLQPTSQLLKARSDSALDTSHHS NLTGVEPSTDPDVLFGTDATCILAPDVTQGPYYVTGELVRKNMAESQEGIPLYMDIQL IDTNTCEPIPEIYMDLWHCNSTGVYSGVVASGNGNSGDETNLNTTFLRGIQKSNREGV VQMQTTFPGHYTGRATHIHVLTHPANETTVLPNGTLSGLYSSHSSHVGQIFFDQDLIS AADEISPYSTNTQEVTLNSDDSILSEELDTIDPFMEYVYLGDDLSDGIFAWISVGMDP TVDTTVSPAAYYTEEGGVANENSGAGMGGGGGGAPSGSFSGAAPTSSPTSV PEX2_022450 MPGGAVISGPVDSTRVEAPVTFKAYLMCAFAAFGGIFFGYDSGY ISGVLGMEYFIEHFEHLDPNTTPTNLFVIPSSRKSLIVSILSAGTFFGSLIAGDLADW FGRRITIIAGCAIFIVGVVLQTASTSIGLLVAGRLVAGFGIGFVSAIIILYMSEIAPR KVRGALVSGYQFCITIGLMLASCVNYGTQNRTDSGSYRIPVGLQMLWAVILAIGLFLL PESPRFFIRKGQKDKARTVLARIRGQPEDSHFVEMELNEIDANNQYEQMAIPQGGYFS TWLSCFSGSLWHPNSNLRRTVLGTSLQMMQQWTGVNFIFYFGTTFFKELGTIDDPFLI SMITTIVNVFSTPVSFYTIERYGRRPLLLWGALGMIVCQFIVAIIGVTDGSNTKAVSA MIAFICIYIFFFASTWGPGAWVVIGEIFPLPIRSRGVALSTASNWLWNCIIAVITPYM TDADKGNLGAKVFFIWGSLCTCAFVYTYFLIPETKGLTLEQVDKMMEETTPRTSAKWK PHSTFATDIGLDEKAEHAPSVTQQEV PEX2_022460 MTVEKSIAGAKAPALAQPTTNIVKMSEHDLQKTQDQYDVERKKR LRPDGPTQYVGLTDEPTSNLHSNGNVAMDNNLLQQKVRVLIVGAGFGGLLFAVRLLQS GFLRATEILFVDTAGGFGGTWWWNKYPGLACDVESYTYMPLLEETKYMPSQKYVTGPE LREHANRIADQWNLSERALFQTTVNNLAWKNQDCQWTVHITPHGQSPTAIQSDFVILA TGLLNSPKIPKLDGLDTYKGRVFHTSRWDYDYTGGTPNSPNMQGLQDKTIGFVGTGAS AIQAIPHLAQWAKKVVVFQRTPSSVDWRNNHSTDRTWWAKMVQEGGTGWQRDRMENFN AFPSNENPLPDINLVDDGWTKMQSFSVLIGSPSGLDPNHLTRMHQLDLHRQEAIRRRV ETTVTDQATARVLKPWYPGWCKRPCFSDNFLPVFNRPNVSLVDTDGKGIRTVTDRGIL ADSQEYDLDAIIFGTGYSLGGSADRGDLTVTGRDNQVLQGKWQKGLNSLHGVMTNGFP NLFFPGPYQTGASANQVYVLDQLAVHVAHIISEAGKLTSERNPNVTVARFTVEPSSES ESEWTSKVLMRARALGGVLNCTPGYFNREGLQFNEGEAFLAAHFSIWGEGIKSYVKEI EDWRQTGGLAGLDIQFRHDA PEX2_022470 MKSAAVVLMGSLSTIVAGHGYLVTPDSRTKLGFEAGIDTCPECT ILEPVSSWPDLDVAPVGRSGPCGYNARVSVDYNQPGSDWGKDVVNTYKAGDVIDVVWC VDHNGDHGGMFSYRICQDQAIVDKFLDADYLPTDEEKQAAEACFDEGLLECTGVDGQT CGYSADCGEDEACHRNDWFTCNGFDDTKCQGVDNSELGSCKTTIAGGYTVSKKIKIPE YVSNHTLLSWKWNSFQTGQIYLSCSDIAIQ PEX2_022480 MYNYTQASDAAQSFLAGYRANFNVVPIKANREGLQMIHDTFLHN VQQELGSVHGLIASLAFIPVTKKFLTASRLNGADPMDMSDFMKKLNADVSANLSTLHN VMSPFLYLNYANQEQHVFEGYPEANVKKMQSIRDKYDPNMVFTELISGGWKVAKAFTG GNEA PEX2_022490 MKDGPLQGDDARLAAMGHRPELQRSHSTLSMLGLAFAVLNSWTA LSASLSISLTSGGSTSVIWGLVTAGFCNLCIACSLAEFLSAYPTAGGQYHWVAAIAWP STVPILSWITGWINVAGWVALVATNSLLSSQLIVGVISVLHESYVPQRWHQFLIYIGL TIGSFVINAFMNSILPVIYRGAFIWSIGGFVIVSITCLACAAPNYNSAYFVFCDFVNT TGWPDGVAWLLGLLQGGLGVTAFDAVAHMIEEVPNAEIEGPKIMVTCVGIGVFTGSIF LIVLLFVAGNMEKVTTSAAGPLLQILVDATKSNAGGICLLMLPLVCLVFAIISVMTTS SRMIFAFARDGGLPASRFFAKVHPTLKLPLNALILTVVVVIAFGCIFLGSSSAFNAII SASVVALDLSYGIPIAINCLQGRRSLPERKWKLPNALGWFVDLVSLSYIALTTVLFVF PPSSTVTGSSMNYCIAAFAVIIIISVFQWFVDGSKNFTGPRTDITIDALDTVPAREEM ESSMHEKELSGRG PEX2_022500 MMSQFALPGANKPYRSTRTPSLKPRNGRLLLPLMATIGLGFGAY NYYIAAKSRQELSMLEEQERQARNQQLMDAYGDKNSLHDVQQALDAYNIR PEX2_022510 MATTTPQGRLQGKNAIITGAGGGIGLETSILFAREGANVLMADV SEPALAKALAKVKEVVPSASRVESIRCDVSKESDVQAMVESQDSWGGTDVIFNNAGIM HANDADAIDTPEKIWDLTHNINVKGVWFGSKHAVLSLRRHKKSRGSIINTASVVALVG AATPQLAYTASKGAVLAMTRELAIVHAREGFRFNALCPAPLNTPLLQDWLGDDQAKRF RREVHFPTGRFGEPIEQAHAVVFLASDESSFVNGTDFVVDGGMTKAYVTPEGPATPAP QNNGN PEX2_022520 MSTPADVEVTAANVAEILQNDTKVKVAGVDVDGILRGKLMKKDK FLSIITEGFGFCSVIFGWDQHDATYYKELAISNKENGYRDLVAVPDLRSFRRIPWENN VPFFLISFLDPDTREPVCACPRGLIKTAAAKAEAAGYRAMAGAEYEFYQFRTPGNHAT PEQGASATAAFLQSNPVDALPSVTDGMFGYSITRPLHNQDYYYGIFDACEKFRCDIEG WHTESGPGVYEAALQFGEAKDMADKAGLFKYVVKAFGIKHGITPCFMAKPRQGLPGNS GHMHISLVTADGKNAFIRDTPDPSPLYPDIAHLSDLGRHFLAGLLVGLPDIMPILAPT INSYKRLVENFWAPVTVSWGLEHRAASIRLITPPTASPKATRFEVRVPGADTNAHLVL AAILALGWRGVEKKLEIPVPPLARGEDMGGASDKGVRLAKSLKEAVVTFARPESIARE VFGDLFVEHFAGTREHEVRLWEEAVTDWEMKRYIETV PEX2_022530 MSHVETQLKDVAILGSISNDARKILTKEACAFLALLHRTFNPTR KALLQRRIDRQAEIDKGNLLDFLPQTKHIRENDAWKGAPPAPGLVDRRVEITGPTDRK MVVNALNSDVWTYMADFEDSSAPTWENMVNGQVNLYDAIRRQVDFKQGDKEYKLRTDR TLPTLIARARGWHLEEKHFTVNGEAISGGLFDFGLYFFHNAKELVARGAGPYFYLPKM ESHLEARLWNDVFNLSQDYIGLPRGTIRGTVLIETITAAFEMDEIIYELRDHSSGLNC GRWDYIFSFIKKFRNHPNFVLPDRSDVTMTVPFMDSYVKLLIKTCHRRGVHAMGGMAA QIPIKNDPAANDKAMESVRADKLREVRAGHDGTWVAHPALASIASDVFNTHMPTPNQL FVRREEVNITANDLLNTNVPGKITEEGIRKNLNIGLSYMEGWLRGVGCVPINFLMEDA ATAEVSRSQLWQWTRHNVTTAEGKRVDKAFALRLLQEQADSLAAKAGKGNKYQLAARY FAGQVTGEDYADFLTSLLYNEISSAGSAAKL PEX2_022540 MSPIPTTIDDTPQYKGKFHYVSKNANLQVNPNLYHLPPMSAFGD ALTLPLADLRPSLDADESPYKLDYRSFTARRAPSKLHSAPCSRESWNDPELIRTIYVP EVEDLVKRVTGCKTALVESAVIRNHLHLEIDSHPTEKSGADYTGDDAGPCPRMIGFSD ADGASPAPKVHLDFSPLGARTHIRKYHRNLAICCGTRY PEX2_022550 MVRTAQTSQASAQPSGWIQREYADHEGKIVILGKDANESQFYKP EGGEAYRLQIYSTGPIQQEELKKLYQYFCFNLSQLPFLEIYSCNPADGLACVEHQRRE VAHRKRLHAEQREGEHDESLPPLIPTMRTGFNDQFMSGFCFLLTSKSYLQGSFADNEH GTGPWWISFDRSLPSTVKKLDLIKRLDSPATDLQTFAEWGIAVNPEIRDIDVNITTDQ TEINSDMKDLLRGIYSTFVYGEIDYGLHEPLPPAPSEGTPTLQHIQEVLEQQQQSAEV QSVDLSLLRLTLGPENNTVTVTNSSSGGECDLQYVIYVQFLANVDQEKAALLETTART FTAGVISCLPASKTIYFEFRIPGLSLSSIISAPPNGFDVGASHEFEAGSVMRALPQIR RDVSVHPLPHHFFTVVLDKPAFIQEPSVLFYILWTDPSQYIEPQSTDTVIGTMRSAGI QEAARRLAMLAVEERITESPRRLTREEHRELLSLSPEEYEQKMNF PEX2_022560 MKFSALLSLAPLVAAIPGSKQANNSDVAFGVISARSASPVHLLP LNAGGTYFWLGGHAHTYSPIPGIPDTNQTVIANGHFLDVAVPGGQAIYVDNKGALRFT SPHSAYEPTGSSDGPFEYTPGSSFGHWSFKGHGASGFMACPTTNATISYRRSRRGAAA APKWQVYAALKNATVPTGKVEDCLGFDALTVSVNTTTPVAWEYI PEX2_022570 MASPRAKAGASRSVKSSNPLTRRGYTSLQNPTVEHSTSESSWGQ NDDPDTPSSGDESSEQANIRLLPLTQGRAPDPRPSSSKANGPNPTPIDVPDDERDISK RIKELLEADRTALSDSFATDLEDGYSGRDSLVLSDRYSDDYGIPPDKGLLSKDKNNED GNKGFDWRSLFRLHSWWNIVSMLTIAILVVWFSIRGLPWSSAGAAIDKFEAVPWYPTP LGGTSEQWKESYVKAQEMVRKMTLAEKVNVTTGTGWQMGLCVGNTGPAELVKFPSLCL QDGPLGLRFAQNITAFPAGITTGATWNRELMQTRGFALGKEARLKGVNIILGPSMGPL GMMPAGGRNWEAFGSDPVLQGVAAAETIRGIQRNGVMATAKHFIGNEQEHFRQSFEWG TPTALSSNIDDRALHEVFAWPFAESVRADVASVMCSYQMVNNSYACENSKLINSILKD ELGFQGFVQSDWLAQRSGIHSAIGGLDMSMPGDGLHWTDGVPLWGSELTRAALNTSVP MERLNDMVTRIVASWYHFQQDLWELPAPEGDGGPNFSSWTKERVGHLHEGSPDNDATG VVNKFVDAQGTGEHAHSIVARHIAAEGTVLLKNVNNTLPLLRAQSASNGKYRVGVYGE DAGPGQGPNACADRGCNQGTLASGWGSGAVEFPYLITPWEALQHAWSKDSVDVRAYLT NNVASQDLAEQDLCLVFVNADGGEGFIRSDGIDADRKDLFLQNNGTRLVEGVAKHCGG GQGKTVVIVHSIGPVVMESWIDLPGVYAVLYANLPGQESGNALMDVLFGDVDASGRLP YTIGRSLEDYGPGAQVLYEHPDPSVPQVDLDQGLYIDYRYFDKFNITPRFEFGFGLSY TTFDYSALSVEKLQKKSQWPSKRPKNEVEPPTYNNKQNDPTSSLFPPGFRALRKYIYP YLSTLEGTEPGPYPYPEGYNKRQNPSAAGGGPGGNPSLYEPMLELTIEVTNTGTRKGQ DVIQVYVSFPEDVAEHRGLGWSRESIEFPERVLRNFSKVLLEPGETKNVKMTLTRKDL SYWSVRTQNWVLPIEGKFRIWAGRSSRDLPLVVEF PEX2_022580 MPHTVSPSETPAMPTIVAKGPSGVPPTSEEISSLLNTIFTSDSS QKSLDGAYALTNLLMQGAGCAGLLNYNVLADVRKAAADKKNGAKRESAMLIIGALFER FPREFPLSEAVFLLHDGGIFDIALDALSDKGAVVRDAAQYAIDALFGCLSPEAMVNGL IPALERYLAKGSGKWQGFVGAYSLIEKLAVKAQLGKGTKEEERQKDLLRDAMGKTLKE LIPIVESGMHDLKSEVAKQSCKTMTALTTLLSNDDVAPRIPLLIKTMENPSAQTLQKA IHALSQTTFVAIVTSPVLAMLTPLLARSLNTPATPQETLRQTVVVVENLTKLVHDPAE ARTFLPKLQPGVKSVKETASLPEVRELATRALDVIETAMGDSHLASGSVSKTTPEEVL VVLNAKIQEHGGLGGFGDSKLQDLAKNFIAGMVREDINSRMHDRITGRIDPYLRGLLA DGNTEAVATAVQSHYIAEDEIKYGKPVPEDPNDIEIVNADFSLAYGGMLLLSHTNLRL LKGHRYGLCGRNGAGKSTLMRSIANEKLEGFPPQDVVRTCFVEHNQGEDANLTIFEYV AKDPKIVSQGTDHIRDVLLEFGFTDGPEGRQSQPVGSLSGGWKMKLALARAMLQKADV LLLDEPTNHLDVANVKWLQEYLKAHTEITSLIVSHDSGFLDEVCTDIYHYEGKKLVCY KGNLAEFVKQKPEGKSYYTLSASNVQFKFPPPGILSGIKSVTRAIMRMSNVSYTYPGA PRPSLHDASLSLTLSSRVAIIGGNGAGKSTFIKILTGETIPQGGKVEKHPNLRIGYIK QHALEHVEMHLEKTPSQYLQWRYANGDDREVFMKQTRILTEEDKIQLEKPVDLGDGRG ARRIETLIGRQKWKKSFQYEVKWVGLLPKYNTMISRETLLELGFFKLVQEFDDHEASR EGLGFRVLDPKTITKHFEDIGMDPEIANHNEISGLSGGQKVKVVLAGAMWNNPHLLVL DEPTNFLDRDSLGGLAVAIRDFKGGVVMISHNEEFVGALCPEQLHIADGRVVSRTNNA VSLDRFEDSATNSPSGPGTPATGSAVTSAAPSAVNSGDEGDLKFRAKKKKKMTRAQQK ERETRRRLRYIEWLNSPKGTPKPVDSDDE PEX2_022590 MALSWRSTRHVSKLAQAARPVLSARHYATAEPDLKTTLKEVIPA KRELLKKVKAQGDDVIGDVKIGNVIGGMRGLKAMLWEGSVLDADEGIRFHGKTIKDCQ KELPKGTTGTEMLPEAMFWLLLTGQVPTTGQVRAFSRELAEKSELPSYILDLIKTFPA SMHPMTQLSIAVAALNTGSKFAKAYENGINKADYWEPTFDDSISLLAKIPRVAALVFR PNEIDAVGTQKLDAAQDWSHNFAELLGKGGSEHADFHDLLRLYLALHGDHEGGNVSAH ATHLVGSALSDPFLSYSAGLLGLAGPLHGLAAQEVLRWVNAMQEKIGTNFSDEDVRTY LWDTLKSGRVVPGYGHGVLRKPDPRFEALMDFAATRPEVLANPVFQLVKKNSEIAPGV LTEHGKTKNPYPNVDAASGVLFHHFGFQQPLYYTVAFGVSRALGPLAQLIWDRVLGMP IERPKSINLLGLIKK PEX2_022600 MDIGTAAAALIGGATVAGYLNAKFHIQKDISILATQKHAERQYA NAIGQNQGNPWFVLVQTVKKYPDMICLWTRERSYTYRELQDQACQYAHFFLSQGVKKG DLVALYLQNSTEYIVAWVALWSIGCAPAAINYNLTGAALLHCLKISDATILLVDEDAD CRARVEESHDTITGNLGMKPMTLDSSLKAHISTFPTTLPPKNLSKHIAGEFPAILLYT SGTTGMPKGCAFTMSRLYTNLFIRRDLMGDTPGPAGDRWYSCMPLYHGTAAISMIACL VMGISIAIAPKFSVSRFWKDVRDSESTIFVYVGETARYLLAPPPSPQDREHKVRCMYG NGLRPDIWERFRERFGVAEVGEFFNSTEGVFGLFNYNRGPFTSGSVGHHGLIMRGVLH NVFIPVAIDPETGDILRDSKTGFAVRAPYDQGGEIIVNVPGEEAFQGYWHNDEATNKK FLRDVFKKGDLYYRSGDALRRQSDGRWYFLDRLGDTFRWKSENVATAEVSEVLGQFPG ITEANVYGVRLPNHEGRAGCAAIQISPDARQAFDYSALAKFVRSKLPKYAVPLFLRIV ENPTHIHNHKQNKVPLRDEGVDTALVGTKAPEGKDDRFLWIAPGDESYSPYGEKEWEK LSTGSARL PEX2_022610 MAVKQKVLLLGATGETGTSILKGLQESGNFDIEVLVRPASVNKP SVQRIQEQGIKIWSIDLNESSDLISAFSGVDVLISAIGPQDVMQQKKLLEAAKLAGIK RVVPCAFITVAPPHGAMLLRDEKEEVYNDIKLLGIPYTIIDVGYWYQISFPIVPSGRV DYASAFPNNTIHGDGTVPNILTDLRDIGRFVACIICDDRTLNKYVYTYGDVLSENEIY RIAEDLSGEKIESTPMSIEQIKDGAVQAQAAFSQDPQDPMKRMFLYLAQYRFSKYVRK DNEPAYADYLGYLNARSLYPEFTPTSFREFFAEALAGKVSKVLAYRTNVNSRGELPAL RISDQFVLTEITAICEYFDEVAKGGKSLFGETALERAETRMWLRRMDMEIAQQVIDWF RNDPGTIDFYKGNRIPVPEARVIQKVTINQFLNRLDDELEEKSSSMGTFARAIERGGL LEENGRARKEQSGLVKFPYGIAINLK PEX2_022620 MPELARSIPRSWTSTLKLPKSSFPPRVSAVDRTKYLKRCTDDLY AWQRRERPTDQPFVLHDGPPYANGDLHVGHALNKILKDIICRIQLGRGKRVRYVPGWD CHGLPIELKALQGLRAEDIASGSVSAAVIRTSARKLARQTVKEQMKVFRGCAVMADWE NHWKTMDKQFEMRQLGIFRGMVDRGLIYRKFKPVYWSPSTGTALAEAELEYKDDHIST AALVKFPLVDIPTCLSENPLLRGKDISAVIWTTTPWTLPANAAIAVSESLEYTIVLSE KHGHLLIAQSRFEYLQSMLKEDLSVIVPSILGSELAEQTTYRPLFKGAEARPQPIFAA DFVTADSGSGMVHCAPGHGMDDYEACLARGIPVFAPVNDEGKFTDKAMPLDPTRLAGK PVLGEGNTAVLEWVESCDQLLAQHKYEHKYPYDWRSKLPIIVRATEQWFADVADIRSS ALRALEDVRFVPETGKHRLENFVKNRSEWCISRQRAWGVPIPAIYHRTTGEAVLTKDS VSHIMATIEERGIDAWWTDSADDAAWIPPSLRGEAGAGYRRGTDTMDVWFDSGTSWAE IDVPMEGRSHPADVYLEGTDQHRGWFQSGLLTYISHQLGSGQPTTPRAPFKHLITHGF TLDEHGRKMSKSIGNVMYPQTIMDGTLLPPLKPRKGKGKKQPENPEPVYDALGPDALR MWAASSDYTRDVVIGKQVLQTVNTSLHKFRVTFKLLLGALADFRPENVVPYGQLQLVD RIALKHLSDMVLASQKAYDNFEFYKGVSTMNRWANLEFSAFYMEAIKDRLYTLGEDST SRRAAQTTLFYIYNHLQETLGPITPVLVEETWEHTPESIRTQSEHPLQRIISAPAPEW QDLALNTSYQELTVVHSAIKNLQEQARSKKQLGSSLQSFVHVVLPTNSGLFQQYLSEL PDLFVVSSITLGSHSEALPADIKDAEWQYEETFELGGQPGKVYIYAPQASKCPRCWRY AIPEPVAIENAICDRCDTVIHEANA PEX2_022630 MIQPPFDQFATLTAPATTSSVAPIPTVIPGSEVYQELHDVGKRT LWVVTVLMGISSLVFYTLAARAPLSKRIFHILTSLITTISFIIYLALSTGQGITTKHT IVYESHEHVPDTHTDYIRQVLWLRYVNWALTTPLLFINFALLSGLPGANLLIATVAHL TMLATALFGIFAGHGPERWVWLTLTCISYLVVIHHVGFHAQRAAKSKDAQTRRLFGSI SGSAIAMLALFPISLAAGALALRLSVDTETILFAIQDVFTQGVLGYWLLLSHESASGI ALYMEGFWSHGVGNEGAIRISDEEGA PEX2_022640 MDNLYKRSLREQWDASLMGAPFWEGVTQDTQELVGSEEQALTGQ LPHQSPTQLPSKNPVQTAVTSSPENSPKKKSHFQLSQLASFNSLRLRNTIHSSPAGTD TTTSPEKSPTKSEKSSKKGSLRLPRLPSFKNLSLKRRGPILASTEGIVELQKPTRPSI LSPSTFLPPESPLPPIPNTPRPAFRSLYRGPSAANLDIAPPNPSEGFHPFLTISPSRP RIISFQEWLERESNPHPETVTTPHPARSSRISLMAHLNDGGTWDTIPRTRSVLELNMF FDARKVSPKSLVDLDDDQSVDQGAMVDKATMTDPGIDFSNITPTAMVDKVTMTGFDTE TAATDKATMAELDIGGSATDNLPADKGTAETDVSTECGTNELTTGDHLATEATEDRGA HYSVKKSSEEIRISILTLEQVRPLLEFCNLRVLKLVGMMKSYQPIIWQTVWLNPQLTT LELEMAVGLDINKPVGPSGWAPIKKGWVMNVKSRAAPVYYGQGGGEISRKIGYGEYLD KYCIEKGKMLALCTGFPVPPSLPVKHLTLTGFAVDGDPFGMWFWNLEEVHFKKDCIDC GFWLSRAQRDVRVRHSDEFGVARGEDGPSVAGSTEELGEEVLADLTAAVGGLGASRM PEX2_022650 MSQQQEQKDIWSADLYGEKVAPFVATSTDKVLTWLDPKPTDEIL DIGCGEGPLTARIAPHVKRIVGIDGSPNMIEHFKKAYPHIESHVVDCRLLDQKSDLTT GGFDKVFSNAALHWILRDPKTRSNTTKGCFEALKPGGLLVNESGALANMAEVHSAIIS GLVTQGIPVEQARETSPWWFPSQQVMKELVEGVGFKICLFGEPFLALLPTTEARDAAV KHAVEVLEAVGRQQHDGSFTVNYIRLRFVAQKARVKTP PEX2_022660 MADAAPRGRGGFGSRGDRGGERGRGRGGRRGRRGPKQEEKEWQP VTKLGRLVKAGKITSMEQIYLHSLPVKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQR TRFKAIVLIGDSEGHIGLGIKTSKEVATAIRAAITIAKLAVLPVRRGYWGTNLGEPHS LPVKQSGKCGSVSVRLIPAPRGTGLVASPAVKRLLQLAGVQDAYTSSSGSTKTLENTL KATFVAVVNTYGFLTPNLWAENKLIRSPLEEFGDVLRQGKKY PEX2_022670 MLRWYQSKLAKRPILTASITSAFLFSSGDVLAQQAVDRRGLQKH DFARTGRMALYGGVVFGPAATTWFGILQRHVVLKGTASTTAARVAVDQLFFAPVQLSC FLSSMAIMEGVDPVQRWKAAFVPAYKANLMVWPFVQGVNFTFIPVELRLLFVNVISLG WNCLLSLMNSGEELDL PEX2_022680 MATVAENGTPAVADENSAPVTETQTGDAVTVFHNPDDFNVKHPL MHEWTLWFTKPPSGKGDNWNDLLKEVVTFSSVEEFWGIYNNITPTSELGLKADYHLFK KGVRPEWEDPQNKHGGKWSYQFKDKRSVPIDELWLHAQLAAIGETLENDDDNEVMGVV VNVRKGFYRVGLWTRTVGKSIQGDKHTRTQAQGKEILEAIGQRFKDVLRLNLADVVEF SGHTDSAHSGSTRAKAKYTV PEX2_022690 MASTDREIDTTAAPAYDNEEVTQHVVLNEKAMDADFDKENQITP DGGEPTLSEKTSLRHIAENLPLSAWLVAVVELCERFTYYGMSGLFQNYVQRPRDGSEG RGALGMDHQGATGLTTFFQFWCYVTPILGAIIADQYLGKYKTIVLFCIVYMVGLLVLV CTSIPTALDHGSGIGGFIVAILIIGLGTGGIKSNVAPLIADQYKRKKMAVTTTKKGER VIIDPALTIQRIYMIFYGCINLGSLSLLATPYMELYIDFWPAYLLCLCMFMVGTLTIV LGRKYYVVRPPQGSVITNAFRALWIMIKNRDMDAPKPSWQNEHNGVSAVSWDDHFIDE LKRALVACRVFAFYPIYWVVYGQFSGNFVTQAGQMEGHGIPNDLMQNFDPISIIVFIP ILESCIYPVMRRMKIPFPPITRISLGFIVASLAMMYAAIVQHLIYSAGPCYGQPLCAA SEVDGSAQGNRVHIAIQTPAYVFIGLSEIFASVSGLEYAYTKAPPSMKSFVQSMYLLT NAFGSALAEALTPAAFDPAIMWMFTGLAGASFLCGLVFYAIFRHLNAKEDDMNALDAE DDMPEAPLDTERRESKPE PEX2_022700 MLHTGEIETVKARGNVEKQRVLIEHKYYIPPGDFAQSQLTNNPE TSISSIPPNVTQLANLLATSGANKVGTLPLKGFLDQPDKGRFAFLFTCPEKTQSMPPS SLHELIRQATENKALALELGLRFQIAHFLARTVRMLHVDRWTHKNLSSHSLVFFNDRQ QGTPVFHDPYLVDFEYSRPDSGSSLRLVDNDVQRNLYRRPEIRGLGRPAFSRADFLFY NRYSL PEX2_022710 MNQSGSSRASPSDSRRSRYKTEVKLELNLLVGPRHRDAFNDISK LPDILHDNNIPGPQLIRLDKAPEEFSDTVGKGGQSIVQAPSKPFSDRLLNFGEGSKSE ENVRRSTNFWRRCVMKQLRHGRPGQSHLGQVEFALNELNILYNNKFKSSNAVRREGWG FAWMPWRSDPPLPDYPY PEX2_022720 MSYQSLGDSNSGGYGQYNPYGSQQQSNPYGGQEQQQSYGYGGGY DQAGAAEQGNGGYEMSNINQASSGGPTGILNKCKELNEGINELTKKRETQLVAAQKAL LDSSTGKEDQASRQTLDYIEDEINTALRYLRDQFKRIKETPGSGDSRVQGQVENVSRN LRREIEQYQRTQSDFQKRLEEQVRRRYEIANPEATPEELEQGVQNVLMGQEQSFVVPG TRTRQANDARQATLQRSAAIRKIEQDLIELSHLYNEVAELVQQQEPAVQQIQHGAEET HRNVEQANTKLDSAIQSAKNARRWKWYALIIIIIIIGIVVGVAVGVTEANKSK PEX2_022730 MASNTPLEDVMRDKIAHAFTPSKLEIRNDSHLHAHHAAMEGSVS KETHFQFVPPTTELYTTECLISRSVTIVSDSFESKMQPARHRMVYALFKEEMAREGGL HALQLKTKTPAEEQREKERKEKEKA PEX2_022740 MSGSLLSLISRSNARVCFTPRRTPFSARLLSTLPNTHIFRAIQN HDPESLAVVHSASSRSFTYGSLIADIVRAKDDLEQKAAKAQGQLAGERVAFLAENSYD YVVTLLAIFASDAIALPLSPSFPTAELKYILDNSQAKVLLATEKYADKGMEILREGLE HEPLFAIRNKLTEGASDRESVTLHDLKQPSSGGMMLYTSGTTNRPKGVLIPQSALAAQ ASSLLEAWKYTPDDRLLHLLPLHHIHGVVNAIVAPIVAGSSIEFMYPFNPDKVWKRLA APFLPSSTSKSRITFLTAVPTIYNRLMATFPKLTPELQTAAKEGISPQNLRLNISGSA ALPTPTKTAWTNLSNGNVLLERFGMTEVGMAISCGLDAADRVDGSVGWPLPGVEARLA DLETGAVIPIEEKNANGREREGEIQLRGETIFDHYWGNEKATRESFVQSDDGGRAWFC TGDVATRRVVDGAGSGASGAWAQGPMYFIQGRKSVDIIKTGAEKVSALEVERELLSLP QVTEAAVVGLPSEQWGQKIAAVVVLSPDAAASGRNGQTWGPMDMRRALKGSLASFKIP QEMRVLEAIPRNAMGKVNKKALVKEVFDV PEX2_022750 MQFVRKAEEALTGKKDESHDSTKSSNHGPHSSKLANKLDPRVDS DKDHRAAHTDVTGAGLGSTHGTTTATGTHGTTHGTSGVTGGGAGLGSTHGTTGSTNAG PHSSNIANKADPRVDSDRDNRAAHTGVTGAGLGSTHAPTTTTGTHGSTLGSGTTHGTS GVTATGAGLGSTHGTTGSTNAGPHSSNIANKADPRVDSDRDNRARHEGLTGATTGAGL GSTHATHGSTLGSGTTHGTSGVTGTGTGAGLGSTHGTTGSTNVGPHSSNIANKADPRV DSDRDNRARHEGLTGATTGAGLGSTHGTTTTTGTHGSTLGSGTGTTHAAPIATPGSSS TNAGPHSSNIANKLDPRVDSDRDSNAQHSSLSGTGPGTTTHGTHSRGATAAPTGSAIG GSGLGTTGHHDALAGSSYNTPATGTTTGATGTTAPHSSSIANKLDPRVDTDATHAQSG LHRQI PEX2_022760 MWILSFWIFPVISGCMWIATLVAMLSTWAADGKPIYSTMSDGLT IPYISHIGAEGLKPLFIAGSVVTVVFMDLGLLSERWLRHAGQLARNKGRFDKTCAVGS IFFSIAGALGLILLSIFDTKHHNNLHNGFLAMFIASYVICALLVCLEYIQIGRFYHPQ ARILIVSFAIKALFVVCELGVAIAFGVCMKSGNKKNAAAVCEWVAALIFAFFVFSFVI DLLPSVRTKRHVPQGEKYTRPGVEDRPIDF PEX2_022770 MATEPRRIYADCVRGSPSGVVWGAMLFDYHENIGLTFLLSQQKK SEQDEPSKVPIDGNILLRSQFELHGDIRTYLQKWQDTNPNSMDPVRRSDSKNQPLELP GKSIGTMPNGRDTIDAWGDPNRYQEAMDKEEFEGEHLEQGDLIARLNADGVFNYGIYV RSVHKQKQFYNGNGNWRICSNAELDYVIKGFAPTELVEPLTPWFPDGEAIASQDIQLT PEGGLPRPLGAPLLRMMGNFKTHILKFYRENSQILDNLHDAVADDTEVLHLTLEKLTT TALGIDESELTSVNMFATHQAVRRHPFLIEKDGSSLFSRIYIVQPKRITNIVKQVVDW THEHQAHCVRTTMHKDTPGSKDHPMHRFIAKAQRLIRLSRRLRSPTIMSSVGPSSNRY SPGQDGNPLVFRELPTEKFNADDQKIIEYLQLYSVPATVMPSGTLRSTATHIMRATGM YNTLGLSEASTRLLLQEIGIIAPWENLQPLDQYLMLPGHGISLAKDMELEAIEDSCSK TTADQLQDSMQYLRKDWGDMPVYCVDDVTAQEIDDGISLEPIPGSRNTFWVHVHVANP TAFIGHDNPIIQYAASRLFTTYIPERTYPMLPKSLTEPHFSLAAGRPVLTFSAKMNLR GEMLDSEIKNGTIHNVINLTHKTLRDFFDPDSEEQSESFTIGGAFTEPPIPHGKTIQE SLRPEDKDRFHIMRQLMLAFRQTRRKNGAMDLTPLSPELSVAVQSGDAPMPPYDLQST TGRHYLGDPVIRLNVHSHDPYDVRDQSRYNLVSLIMNLAGHVSGQFCAARNIPVVYDG TWYDPEYGRVTNKNLAHFGGEGFYELSVPLAYSSSTPTKHETLGLDTYVKSTSPLRRY TDVIAHYQIEAALRFEHEHGRQFDALVDSPDPADIDLVDTDLAETGLAETATEITINN TNTTPTSLLPFSKSDVDAHLIYSQPLRRRLRNIDKFSTQHWACMLLFRAFYFSECNLP VTFPCLLRTPRTKARHLDEEYSGVITSLGVNCSVTIPQDFPDKDKLDIFCMVEAHITA IDMATLQVTMEATRFVKPFERKGEWA PEX2_022780 MPSSNGWRAVALSLALVPQAMADICATLKAGGIEIENRISLAYN SDLTEYWSTACGDLKPTCIAAPSSAAEMAQVIKNLHNVDTLFAIKSGGHNPNNGFASI QDGLLVSTKNLDQVDYNPEDHTAIIGPGLSWEEAQKGLEGTGRTIVGGRMGGVGVGGY MLGGGMSFLSTQYGWAANNVLDYEVVLANGTIVHATESENPDLFKSLKGGGNNFGVVT AYTMQTHPQDHKVWGGNYVFTADKAPEILTAVRNFTDEYPDDKAAIIVTFERSLLLDL CILFLFYDGPEPPSGVFDEFTAIKHTSTAKTYDSYYDLLKHNDLFILKGQRYIIATET TPLPNKTVGAAPLQEYYDHFRDVTGSVLGVAGIIGSIAFQPMPKTFSQKAKDRGGDLL DIPSDQPYIIIELDFSYGLSVDDSKIDAATVELYQGMDNLVQKNIDKGLLPDVYRPLF MNDAYYRQDYWGRISPESKALALKTRKAYDPQGFFQKRTSGGWRLRD PEX2_022790 MAPRYGALGATFQLSRLFQACSLIAIIGMVGKFISVIINNNATP PDILIGTISVTCIAAIYCIITAILYTDDILPFLPCAVLDMLLLIALIVVAVIVGKPLS YLKCTTLAELGDKDATSYAFVSRLSSYIASVSGKIDYVSWIGASKAICIETKAIWGLS IGLCILFFFSVICNVCLWLQKKKALAVKSLE PEX2_022800 MPETFTVHYFATASQYTSKNTELLPAPLKLSALFGELEQQYPGI VHKVLSTCGVSLNGEYVDIEEDGEILIQAGGEVAVIPPVSSG PEX2_022810 MSHSSEAIGASTRSLHADDALNLVTDVAPPMHLSTTFRYSNDPT HLVPAADATPADFDPKSHIYSRMSAPNPTRFETILSSLLHGQVISYSTGLSALHGALT LLNPRRISVGDGYHGCHGVIALFTRLTGLQKLPLDCLPEELEKGDVILLETPVNPQGT AFDIASYAAKAHARGAYLIVDSTFAPPGLQEPFQFGADIIMHSGTKYFGGHSDMLCGV LATNRDDWAAQLKTDRLFIGTVMGSMEGWLGVRSLRTLEIRVQRQSENAAHLISWLDT ALHAPNPAPDSDEAAVQAVLGEVLHASLQKVEGDWLKKQMPNGFGPVFSIIMKEMRFA RELPSKLHFFQHATSLGGVETLIEWRTMTDATVDRNLLRISIGLENWEDLKADMARAF RELAK PEX2_022820 MSADDPFSFLPAEQAKPEAKPAKKTHWKTKLFSKDKSKTASHQH QEIADFLSSRPAESTPAPPPNDPRYLPPQNVAAHRLPSSQDISGYPPSKAVTAPAPES APALPRENYAPFNLSDKSTTVPAPIPASAKPSGRKGKGLRVGFSPQTPEVIGEGGDET DSPTIEISRARERSDSRGTQSGNVPASPLDPSRSHLPNLRLDTSLGDGDARSRQAACS PQRDRSDWKPPLMQNPQDADFLRALPSSGSGSRLSFRGDAEASSFAQRVRDKMQAEEG RALHHRYEEDTLSPGAEDDDISPPPSPPSPSSPEPPIKAYNPEYANETATTAGLPHAP SISLSMKSIVDSIRNPPSPSTQRAPANLTPIDPRMPTNLTPGSPGKASPTQAKPPPQD LPASNRTSPPSREVKEPPRSAQPAKFSLRNIANQVGNTAFSEFKEYNARYESSIKLAA EDVKPLVETSLAEWIRAAVWWFLRGKTRLEAYARSRSTSPPTHVKQAVIDLGKALWIN ENIVPSHHELSRYGAMGVDALLAVVSTTGDNELADLLGIHQTLTNHLRSLSMSIKRNN ILVVVVSDEGSPSQVDTSIWLRYPFYAPDVSAVLSGAATRSMLVDTAGKTPGLVHMMP LGDTSRYFSYGSMFVQVCVSSSDDDGQQQYAMPCALTIVRERADWYVFAAITSQSQLV NILIQSDRKQGPTWEDVDWQVRSHSMRVKLPRGFELDVMFKEEDFKTLWNIVKYTQKS ENSLHPEAGETVVFENTLKVFQYMDPGTPKAFPSEPTERCRVRLFERSVTVTEGTGTR NAHRGFRLAVLTSPKVKTLSSIRHILGNGSPIVFGLLRGEDGAPALLLKVTEDGRTRS MLMTFHEVAERTEIHSVLLGMIPREGELKSPEFAINSYCIEQPEDAFTGQPAVKYLQF PAGSVSVIDQEHAYVEHGYGPTILSEHLRAFVATEWGSVTDRINLGPGELKIGLDVQS KTSMSLFRSAQQDMTLSLADNLIRPELAGQLTGFLEKITAKPMIRRLEFPTMQDLHAF ETAVTGFQVLYDGMATSFTISRRRSMVPIYKKWEASLARVQIVRQEKVVQMLAFFGDF QHGTCMNFILKGTDIMESFGRSGKFGIRMVDAKFALPKKDDDPASNFVCLDMPEYPIE HDDLTVTFDTEASRASFKAALPGLVREPSRMGSIRR PEX2_022830 MSSTIMEPPAAVLLDFENCLSKTILESHPLQLQFVPTNVSVKFN LTNPLHPLAITVYGNVSGTVSRTANYPAPDSSDWTNPNWTDGKIQDVSSTNNMYSTLI TDLNVLSFTPFHNLSRFRDSLTQGTLPLGPVFNYNLSDLTTLRAFTIEHDMLSTYRFG TITPLLSVRSGDASALELACVSVSATPDLGAPLKNSIAYVPLVILLFVGIATITAAMY SPWGTTDLFRWTSNYGRDEDVLRLVTPGFADCLQYLQFAVLTGSLSLDYPGFFQPAVS QGAWSTLMFNQSFVSTGMGRDPVVDGLYAINGTYGLDRMNHLVGMASSQDIWPGMMIW VLIILACVTVLFQIAFALRWLYRELANNTDQDLRARNMPFTLGNLIRIVFNFLLLPIV SLSFFQLVIARQSTAYSVALAAVVLIILACFSIWVIRVILTTRPKSHLFDDLPTVLLY GPLYNTYCDDAAAFGIVPIVLNIARGIAIGALQPSGIAQVVMLAICEVVSVLTLLAFR PFSGPTHMNLYHCLFSIVRFLTVILSVIFMPSLTVSDAARGWIGYLILVLHAMVLIFG FFLNAMQTLIEVLARLAGAGGTTRGGLTKVLGMRQLSRRTPRRDLTRQSMGSEAAMLG HGDDRMSSQFDGSRPRSLSGSSGLLLNRAAASDGRASAVYDYPSSQGATHSRATSGGF PTPTSTAYQGAGFPSSPSSGTMMGTNPRDPYYRPPRPGRRTPPGSATLEKGKSPSRGF LKSRASRRAAKTEDDLGDGTMSGRATPVPAYLAAPKDDMELDTPPKPKDYAVREVDFY YGVRGPPLSHSGTRKLKTGPADPTGPVSSATGWFRGLLQGKTKDNAKGFEVVRSARAP PPGLFPRGGDYNDQYQDDTGTPSASHSRNVSTGNVPYQDSDDDQDPGRSSETRPPVLP PLNTSVGGGIELPSRTGSRHTQAPSEQDADATALGLPVVTETLSHNSEVGQHSEPSEH HLQPEGSTRLPFSGSSSPSRERGLSMTSTSRSRSNASSQQTGPRAERPSSMGYVPQHR AHDHIHEASPDEPSFTGSSAELVDEAALGRHER PEX2_022840 MPSHAPPVVDLTGDDPVISSSPSTSTTGAATSTPNPALGGGEEV RLCNPCVPDPNPNPLGYSTVRVHGHRPTHSLSSTMANAYHSSSAQGPSQNSEGRQERR TVGANDRPHFLNEMNAQTRQVPSTTGIARPRRSFSSREGNPPRGPAPDESDFCPVCRR QFLPLSPEQPLEARQAHTRACIEGYLRPTPPSRTSSVQQGSPLPHPPPAARMLPFVAT EKDCLGEDGQAAECTICMEDYEVGQTLARLECLCKFHKHCIVDWFERKMECPVHKLS PEX2_022850 MEIDPQLQHTRDGDPQRRFYMPTRPTMSTGPEYPEPPSHAPLNP YQSRDIHGDGHSHGVGHGETHPSFGMGPDANQSPEPHDATGDAKRSRACEPCRQLKVR CDPDPSHPEGSCKRCAKARRTCVVTAPTRKRQKKTDSRVAELERKIDALTATLQASNS TSALFSGGAGHQSSPPGQRDEQLAGRRWLGGESKIAGSKREHTGEVKDCSGGLLAPRY SRPGSPSAEQIPSHSSRHWRRPVGADSVPPPPPKPEAGNEFADMIDRGIIDYKTASSA FERYIHQMAPEMPFVVFPPGTTMGEVRRNKPYLFLSIIAAAVGVFNHDAQPILVNETY RLIAEQVVVKGQKSLELVQTIMICSIWYLPPDNFDEIKFYSMTHMAAVMAMELGLNRP ISGSRRSFNMIRELIMKKPTGPAFDPDGPEARRTWVGCYYLPVQMSAALRRPHLVTWQ PYMDECLEILETHPDALPSDRNLKWWAKLGSIMEDAGHRFCAEDPGSITTFADSKAWY NIKLFEDRLAQWREEVPRDLYLGPMVHTEHVLNLFVHEPAMSVDYNTGNNSPPQDDLH KSSITAVVDALTTAIRCIHESLDIICAIDVDRLICLPTTSLARTTYPVVSLIKIYSLF MSPDSRIGQILDVQSLKLDYYLDKVIAHYRAAAARDGGRGAAKFGNIMVLLRNWFIKK RDQGDHGRELKEVFSHDQNPSDRRQTRRSHDTPTATSSNPRTQIAPGMTPLHFLSEVA MGDPAHRANTPNSQRAIAGQSYSSNQSASSTVNPMATPSSSSFGPEAPQTSWSSGASY PTNLSSSDSNPLETRGYYQSYPSTGLTQSYPDLPSSAQTQGYPDMSTVAGMQLAPPMG MAPEVGMDPNFGDPWFKLGDMMDDGLITFPLSFDGNFGFF PEX2_022860 MASRGFRSMELSELHKKNPVIRTGPNQLSYGDVKAIKDIYGHST KCIKDTSYVITSGTHYNLADVVDKPDHARKRKVLSAAYALKNLETWEYKVTDKVQRMF KHFDQVCTTPASADVSSGKIAPDQKDLTLDLRAWTNFFTLDAIADIGLSEKLGFLDSG TDVCIAERKDGSTYETNLREALYPTARKQSLIVWNYQWYPVVNKLVNIIPFFNRMQKS ADNWENIMWRRGNERLRRYEAGEKLEDFFQAMMEDKNGNSNNLEWGEIVAECNIMMNA GSVTTAVAIANVMYQLLRNPRTLSKLRVELDAILDEDEVVADYDKVKHLPYLRACLDE SLRIFPPTSHGLPRETPPEGTNILGEWVAGNTTVSMSALVAHRDESVFPNADQYIPER WLGEGGKALQPYLIAFSAGARSCIGRNISYLEQTKAVASMVHRYEFALPHPGWELKRL ETMNLILGDMPVKIWRRDLGAGEA PEX2_022870 MASFTLNIPPELLQELSKYATPTGFADYAALAVLSGIGATYLTK GRLWDKPDPLHHLWFEQPQLKNGGQSGAAEKETRNIAQKLEETSKNIVVFWGSQSGTA EGFAHRLAREISIRWGQEAMTADLSEYDPITIGEIPNAKLAVFIVSTYGEGDPSDNTT ELWSWISKASDVSLSNLRYAAFGLGNSNYKFYNRVVDVVVEGLDNFGAQALLPVGKAN DAEGTTEEDFMSWKEDLFTIFKDKLGFQEAEAKYIPSIKVEEDESLEPIDLHHGEPNN RVEAPKVAALSSAVRTLSISDSRELFTSPNRHCLHMDIDLTSQPELSYKTGDHLAIWP SNPDFEVERLLNVLGISSRRDSPLGIKALDSATKIMIPTPTSSIAIFRYYLEICAPVN RDTVRDLAQFAPTPEAKAYLLALGQDKDAYARLIGHTYINLGRLLQLAYPDRPWDNLP LSYLIETLPHVRPRFYSISSSSVVSPRKPSITAIVSTTPLPDNPSELIHGVTSNYLLA ISQQARSQSHTNGITYHLNGPSGALEGGKVFAHLRRSKFKLPALGKTPLVMVAAGTGI APFRAFLSERCQLLKIGKEVGEMILFFGCRNPNEDYIYREELEEMQAVLGDRLRIVTA FSRLDGTPRQYVQDRVAEFGEDVIRVIDDGGNLYVCGRAGMAREVEKSVGRSMKEAKG WTDDEVNGWSKTIKKKNKWQEDVWG PEX2_022880 MTPHDPNRVASIMLSWHSLDLISCTKLQTLWAGYGQICAIKARA TTSQAAEHLSKLCGVEPGVAGTTYPLILKLISPPRKSGNTADEGHLRKMLSYEVEQYF YSEVVPQLGDDIAIAKCVASTRDMDDMEGSSELSGLMATIMVDLRPGFPVAGEKRGAL NRTQVRAALDWLAGFHSRSRGLLPASLDGYVLPPLEESSRRQSANKDAGSGLWLNGGY TYLATRGTEYASLAQDTDSEWSDALCKVSEQCALSVAEMVALFLTPCGRAIESYIHGD VKSENLFTTSNGEKVAFYDFQYVGLGLGVCDLAKLFTCSVPLHMLVDGNGDLSEELSM CDGERGLLERYRMSLLQDEELEESELYEWGAFKRHWETALVDWCRFQASWGFWGNTEW LEARVRSILNDQEWRDWLYQNIRSRI PEX2_022890 MILLTPYKLFLRRLTKLGDKSGSKCLRCQRSGRECVPAPGKSEE VSFRHGQNPSLRGKGPPRYGESDLAFPDDQVWVETSSDFDFKDETDQTAAEYYVIPVT RQVSTSRSSSEHSTPPSTMDSSMATPNLVVPAYAAGSQLGSRSNSVNSPRAPNTPIGQ PRLSNVTEAFLLRHFQKYLAPWLDAFNPERHFSTDVIERATQSPLLLYACLAVSACHL SRTTNSIAGNVAHGYHERCISIMLPVLDKPEFEIGIDILLSSTVILRFFESISSHSPP NDQQHHLLAGSVYISSHVDSAISGGLASASFWAYVIQDIQFALTYQKCLRLTFAPFDD RLRQWWAAKPALNDGDWTNRAIWLLAETIDFCYNISGRSHDGRLGSPGETALRHRILE WELSRPDTFVPLHVSPPDPGSGKPFPVAWYTSLWHATAIQHICLTKSLMLIRELEFYD RSMLNLEQPVKLRSDLAETLNFMFGIAISADDDPSLRITACHALFACGSWVEDHVVQG LLIDLLRRTERENGWPWGYVHNQVVQAWRPM PEX2_022900 MSLNRISFLEGWERNPAAFLSHPESEDSEPDSYQDLPEGSVSSS FPSTYSRLNFNPYAGPGWNESPEDPHDDRISFLGPSFLGLSPTSTREGRAGSYSAQGL GSAERTVHEDPGTIVARTPWADTTGAYEVSSAKRIAQVFTAVVYCFLAAGVVFGFAAL KPILIREKVYRNLCSQAELEEDVDVCYGQEIRLNLMFTIAAVVTNVSALPVGTILDAY GPRVSGIIGSICLAIGAVLFGTANKLPFDGYIPGYLFLALGGPFVFIPSFHLSNTFPA RSGLILSMLTGAFDASSALFLIFRLLSEHTQGLVSINNFFAAYLIVPVFIIAAQIFIM PTTSYKTAGELVQQAAAQVADEIHDRIDETVNDRNESERQRMNRRLRRQSIVSNIQNL LDDGTASVISGNPINDAIFQTNIDASLDVHTTTRGTHGTATPRSHTQQEQLQPTTPNP NPIAGGIWGVLHGHSALYQLRTPWFVLITAFTVLMMLRINYFVATLRSQYTYLLSAET AAQINTTFDILLPIGGLVSVPFIGTFLDIFQTRTVLFILVVLATTIGVLGCIPHPIAA YGNIILFVLYRPFYYTAVSDYAAKVFGFATFGKVYGLIICLAGVGNFAQAGLDALTLR VWRGDPVPVNVGLTVLVAGVGAGLVGFVAYQTGILEVKRKGQGDQGQDVREREPLLRN GEPGRGYGV PEX2_022910 MASRKKQPSKKKDGIHFVNARPSSEIERLKAQRLVRAHVGRWIS DQTKDRSAGESSNPRARPVRNAVPPLPIIDRAGPGPSSFSIVSRPSSSHRVGNLGQSF VFAHDSRSNQAAYRGLPFPPSQASDSSDSSSSDDASTVTALSSEALAVIRFNDRSSPE RLERNLSGVFDPFATYPAPRNFEPEMINLSERYLTGVVWPGLAPRPQNIIAAANKWFD LSMTDPALFTAFMFGSLCHLRVQWQNNWVPGTVFGPRERRALQLCEMESIKLINQAIR DPDRVVSDAVLLSVICMAHHQAEEKSVQQHRRTPFNPPFPRLQWIDVYGCLPPNMVHI KGLLQLIKMRGGLANIHTEGLAATISFSDIMSCSVLCIHPCFEFWPLADFRIGLSIQE LLGFGPSDVDQGFGRLQAVGATREMAEAFQAAHTYIGIIKASPNSTHDVSLLVDQRNI TQHTLLCLSPATDLLFSNPTHAATYEACRLAALVFGVGVLFPIPAQNTPLNGLARLIQ VILLEQSSTDLWISQSTRIPLLWVLTLGGIAANNTPMRTWFATALGDIARRAGLNSWA IIKSVLGSMLWYDTSCDTAAEALWQESASRYSYAIQ PEX2_022920 MLPPFDYFAYRRIRNQKQAERELRFTGLPHHYHLRIADQDRKII NQPIEELVHDVQASAVSPLDILRTYGKVAKRAQERTNCITELMLPEAESWLESEINLK GPLAGIPVSLKDSIHVKGFDASVGYAAFAGKPILEDGPMVQLLKDAGAVPYAKTALPI TLLSFESDNGLWGQCRNPHVPVYSPGGSTGGEAALLAQGGRIGIGSDVAGSVRVPAAW SGIYSLRCSTGRWPKGGVNTSMPGQEGIPSVFSPMARTLNDLTYFTRAIIRMEPWKYE HTVHPIAWRSEVESEAQNKPLRVGVMTSDGVVPPTPAIERAIATTVAALTEAGHTVSE ITTPPNADPFTGLNLASQLLNSDGCHHFNANLKSFEPSDPGAIQLSRIAHLPRPLRYL YYLYVRYLRRDKVSATLIRDFGPKSSAQLWTLTAQREAFRAVWHKWWDAEPQQFDFIL CPVNATPALPHKAMHDAVSSCGYTFLWNLLDYSAGVLPVGHVDPIRDALTTPYKTALK RLGCDHGIARGAWKHYDSAKMAGLPTAVQVVGRRWQEEHVLGYMAAVEKALEQYNDPQ TGESCRYTLLEID PEX2_022930 MALVRDPYFWKRFSTAVHMDEESKAVEQRTHTKQEPASWLDRER RKSKRSIIWGFVIFFGVVLVIIISVVLWWLAKNNWLQPRSHT PEX2_022940 MMKFSNVDFLVNSLSDLPTFKTHDIIDGDIIFTPHQETNIEDIS ITFQGMTRTEVENMNTHLALPLNRLLKTFLIMELPIYDYFWDTNTLKPGKSYRMPFKF VVPGELPIHACHHQCANHQIQQEHMQLPASLSYRATNSHKVHDMSPEMAEIVYSINFT LWQRNGKAGRSKKIQEATHPVQIIPTRNEHPPIFVPSKNKYYQLQSEKSLSTGVLRHA RGKLAACCIQPPAIQLQSLQPSTVASTSLKIDLRFDPSHLGQLPPTLLAAEFQLRAMT FFGLDAWPDYPDLTDISTWGSRQEFWSEYVALTVNKETKLDWEAQEEGDRTIFTAAIE ACVTLPAHRRYPPTFHSCLVSRVYALKTTMFYRVHGKARGRSSISVSVPVEICAT PEX2_022950 MEELDVFQRIRKYLSRSGTKEDTNSILNQNAVQQCYMMISQMVQ RDPAYYAVLVACRPDQNRKLIHRSPQYQQSTFSQRDNVGLGVPIDHIQSPVQGEGTSD IQSTVIFPTYETAQKIRLVPVFHRQFNMGLQSPLEPKYGDRYKASGEAREIAVQPGDL VMYLPQILGWPTTFSSSNFISLSQIGLDNRLPTVPLEPSERYDTGNCDKAWEQLEPQF HDPRRTAHKYYTAKAGLTEDSWYNVSSAIGRALTGRLDWGSDRATEEMNYILGSHEAM AVEFVAKSRARLAKQFHRLCDSIERGLELDSGMRNPSQFTSRIITDLNPRLRNEQL PEX2_022960 MSEFPLYGRNIPYITTFLIFVVLCVPTALVDNYVGLMVLRLLQG LFGSPCLANGGASMADMYSDVYLPLGLTSWVAAAYGGPALGPILSVFSIAAKGWRWAM WEILWFSAPILVLMAVCLPETSADNILLRRARRLRRVTGNSNLSSQSEIAQKSQTFYK LLIDCLIKPVEIMTKDPAILFANVYTSLVYGIYYSFFEAFPLVYPVKYGIDAQLAALI FLSIVIGCVLAIAVYIVYLYTHLMPDLKANGQRAHEHRLAPALIASFGPPVGLLIFAW TANGNIHWAVSAFGIVLYAGSIFVVLQCVFVYLPLAYPKYAASLFAGNDLSRSGVAFA FILFSRFMFIDLGVDKGVTLLAGLSILGIIGMFVLYFYGANLRARSSFAEHG PEX2_022970 MLGERAWFLPYDPSLPPIHVCEAACTAFATRLRKVLTKSETTSH MPRTQYTPEATLMKIRNPATKWPSLPKARLLVQIVFSQVTRVYHLVLRKSTIDQLEEA YRDENFEDPVLTCKFFAIFALGEVYSARSTPSLECNVPGVAYYVNAMTMIPILPERPS LAHIETLLLLSLYSFFLNRRHSAFLLVGSAMRLGLTVGLNHNIPESKCPDPVQREHRT RLWWAIYVFDRMYGSKVGWPIQISDADIYVDMPSNVPGDIHNDHTSDTEFLIASIELA KITGQVIDRVYSRKRFPESFLQREQKLLISLKEWSCALPPQIRLNRDGPVPMNVISLH LQFNQCIMLASRPILLHALIQATSPESVAEDSAQTNIRQTLKTLSDACIHAARHTHSL IVEEWTNGSVPIFGYFYAHYLFSSALIMVISSLVYPENSNDFALFEAAFEILRAMSSH GNLAATEFFDNLECVKQCLDEMRGPEGGNFHSDVSRAAESSTESLAVIAPNQLGLFGN TTASGISEATVATNHANDMAFLGESMEEFLAQPDVDFLLDPSLTDTVYSWPNLSLWTA PEX2_022980 MASADIHWGTLINSDKSPAPLLEQLCLGIAQLMSTFDTNISTDI TPERIATFYRKVGGNYDPLFLETKGQALSFIYQSLGCFHSLQPSANPYEPPSIPSLLP NGFVRWQTIQLLMDPDEHSVYLQNAVNLWDIPDANGETFPKTIPRDAFPSEPDPEMLE WHEGTLGHITTILVERILYLTKMTTSPVHVGRHQSVAVMRSPIGPATIAITAEITAEN IQHFLHVGLERTDRVFQPREFHPLPHGESVQHIQADETKMSLHATARTVRNPIGTKSD AICHHLPIVVLDATPTKPTPADHSEIYRQQVRADSAAGTKATLHDLANPTLSLRRRYT REITQGIIQEIGIREITQRKIQKTVLDHAQQVSNSANLYSATRPLLQLLQIRLSIDRQ LPHHQERYRDT PEX2_022990 MKTKLIVFTLSLLLSKGLAEEPHPLVTSLGGLRVLHYNNLGPQN NGTSAILAYDPSSYVDATAKCTAIGEKLFPWSSRQNTSQTELGYELNYLIFAKELGAD GYLWLSTEQDSHKNCFALSISHRQIIPKQCREKLPALCTSTPSVTTDLDRAARENTKL TVTSNDYAITGYRDARSFRFLGVPFASPPIDDLRFASPEPYTGPKHIEATSMADSCVQ SPSPLSTVYIGGMSEDCLYLNVFTPLLPHDDTKSTALRPVAVYLYGGGFTKGTASMID YDGGNFASRSDVVVVTLNYRLGALGFLSTGNLTTGSYGIQDQILALKWVQKHISAFGG DPSHVTVFGQSSGGQSVVALISSTAAKGLFSGALVQSANFDLPWFPRALYSKYIAPEV GKAVGCDGNSSETSLLKCLRSVPASMYLDNSTEFQTATQTFSSNIANHFYNITRALSA VEPFMPMVDDTGSGVIDGQFNSLLANNKLPINVPTMFTNVRDEASLYMGILASAQAPL ATLLNATFGETLAQKIISSGAYNVDDSDTNAVLNAASDALTHSQWTCPQAYLLDNSNS AFPSLYEIQIADGHAQTSNMPDICYPNSVYNASCHTSETLLAWGTLNTKTQDVHPYYD DRDILHSQLVHDVFGAFFRERNPNPDLGFLKVRGPAYATTFSIFGGKVGSNSLLGSAE KGFAIEQHTFDERNMTLLGMPPSSTADFENETICAVLRDYGFTFQRARFSD PEX2_023000 MAPSQAQAQKRPTATQLAKIDDFAIPADEEEWHELVARKPGLKK QTIHTIPADWLLSASEATNSQYAMLRTYSPGATTIYAFQEECDQFGFTNQVLDTAAAL LSASTEWCRYIQIIESDDSIDNILATSDRIHDRDRMQGMIAEVGSTTGRARPQRIPEL PDAEDEATVNAATIILLQAISQLAHSNLEWVMNRAHFVCEFKNSKFNTYTDGALRSKS TTNIFAIVEVKKTVRRSEKPSIFVQEACELAGWLMHSSAQMAHFNAHFMLISQDRHQL FVTFVPFDKTYEDYLRIGTNTDAFLVMNTYGPFRTTDPRDMMEFGRIILAAILIVKPV S PEX2_023010 MEPAQVQLARPLVPLLRNGGTTHPSVHNDRVALGYMGHWVSFVQ DVMTLFQSTPMNHQVPINNEFENYVVGSELGLSGRFVRNLCDPVMQALMPLPEMSSVR FADIQALTLSGRIVPDVAFGLVVNPESSASLDGISMVGEFKTPWTVTIHEMQINCPNP NPRLETLIGQVASQMRMACVKYAFLTTYNFTVFIKRASDLSYLLSQPFGYDCQGPSLR EMFVGFCLLSMSDPNYHESSANTAIKLRGIPGLRVSERLYTLRSQELPPPGTPQTITP TSVAVECGTTMPVIVNCVEKMSLPDNQDKAVWLADINGVRRVLKCWVPDLDALFDNEA AVYDRLETAHLSGNYLFPKCIARGQIVCSSLFPAGYAVIMEYREGKPLCDIWHILNAA ERAHVEKECLKAIHALRAISIRLDDPGMHNVLYARESRAVTLLDFEVAAPLTPNTFIP TSYEMNKIFKSGSLSTGEHGG PEX2_023020 MQNHGTHDQKWLRSYTGSQHGEAGSWEAWAAKVICSHTGGQHGE AGSWEAWAAKVICSHTGGQHGEAGSWEAWAAKVICSHTGGQHGEAGSWEAWAARNLYY TEKADIAFIVPAGQTTGVTPFKHDSSTAPEAASPKEMNAQKARPVSLFQHPSTWLSLE HKETSLAAYLGFSHAKELRKALVQFPIMAAWPSFQGPAKNLSKGPVFAILDNKGERNR IAETEDPFGAWPVEGDFPRPSRQSKETSQNNRQNRAAWAILKALALWKEWNLLSEDDR KERNSSAMRDFAANFDVEMPSKVPVTSPAPLFGPLIDHGETELETYNRAWEMMKYLSS LMPKDRDEKGKSLYHQRVVYGLEGGKLVQSSTRIHWMDTQAKEAAMAMSSSREASPPN HDSATAEEEADILLPSVDIHVVWNEYRQFDGSDALACVLEDAKTRGFATPPEDQLLER DPVGFESGESFRDYLRHLLNCGNIRMCVKGFKLTYVSGDTKMKKGIDIRRARWRDTQQ VFRDSDENSDFVITLEVEAIDEEDDEAPLFESDYIFPAVRQMAQERIQYPPAPNEEDQ ALLDPAETTEAIESSFDESLEYAQFIGVSSSCNAPSRNFQSEETKLAYYSGFDVDTDD GRRQWRKETINAITENCTLTRVAPNRLTVASKEELNGLDSANMLTHDEAQDLLEKTDD ADYYTIHQASSGSQERVGPYLDLSLELLMCTEVTGAPGQYLSSLVSPAQTTCQFFHYQ IVAAVGILLKLNGHIHGRALLKACGVEATSNRAKKAIKAAEKLTDLQTYGSIVADSTG FGKTKETLLALLIQCLISKVNKPSMILVPAPLVAQWLKEAKEYWPGLRVILSHGDPTL QKEVGTFRLTSKQMRNKSPPQYLRYIFDQENPDARMVVILSSYETHRERTAELERTEL CPAVSYDPPRYDDSGSEILKDFIEYWVSPNHTSAFNVLVLDEAHRVKNTQTAIWTILS QQRFESNILITATPMFNSIDDLAGLVGLLGARGVDRLKSQFDSDAELAKQSGILEKTP LSKLKEYFQDIPATSPLRLNLLKPSMLRKIAKEEGFSIYTAVAVYFALVLNTVALQRS QASSLRNSNGSLVPLKSSFKKIKFRTAEARLLPTEQQEYETWHYVSAIDYANEAGSPQ QARKDEKKPKEVRLSNTTTIQEVNRRRFGAGARHFRRLEIATCSTKLARFDAFCEKGS LSTKTEQIDNWRKAGARPEYFYRICKDETHLALKKAEQLLKFMASGSPRLRVIFQELL TWKILEPLNPGKWCHHQKLLVVECLPATAWFIELALRALLVGVRTLHAGLSTSDRDTL IHKFNDPESDLKVLIMTYDVGSVGLNLHQACGRVILSAPGKSWNHEAQAAGRCLRITS KFNLTVIRIYTPDSFDTFRFDRQAEKASLQLAVNARQPAIQSLLVKLLQQFQVYVDDF VTSAQGQAMLTEGQSEAKRNNAELAHFIQEACQGHQKASQGKKKKKQPSAGLDELGPS TALNRSDRNRTQPTQLIDDTTRPGADSMELEDERCDPTYVDDSDSENAEMEDLHEMIG TNQDIFQSIIARSTRKFNRMKEIERMLSSRQPSDNLRRLSTLLLLPPNKTWTDEDLND DAHPEYFRFALRLLFDQQRGIAMDQLRIGAGIFLPYNHLSELVRQNISSLREEVEPGS EEHERHLRRLQPRKGDTARSLL PEX2_023030 MAENYGSEYTSSKLTSHGLRFYNWPGAGEQAAEHFGLSHAVIIP ANARRVLIGGQLGIRDNGSIPTDPEEEADIAFEHVERALKAAGLGDDAWEYVYKINTY EVAAEGVPAGIGKAAQKYLKKTRPAWTGVYVAALVMPGLHLEIEVEAYLPN PEX2_023040 MKSTKNPQPVSASHNENVHSLDTPPAHETHTTKGLIVGGKHRNE LSEEQTQHYDSSLLQEVDVDLPLTATKPVQHNGQEFIVLDFVDGDKENPFNWGSGRKA FISTQLCLMTLFIGLATTAYSSGIGGMAKDLGTTELIGKLGLFTFNFTCAIAPLFLAP FCELAGRRVIYIGAYVCFCLMFIGLALGKNIATILVCRALLGLFGCVGTILVGGTFGD MYTPEHRAVPMACFAFIAILGTVGAPIYAGFIDQALGWRWLEGIQGLANIPLGIVITI CLPETRGSVCLAKRAKAIRQATGDERFVTNNDIEAPGLKHMLHNSSVKAVKMLFTEPV VFAFGLWISFAWFLTFLFLSVIPITFQEKRGWNEGISGLPYISLCLGTTIGFGLHFFQ IRKYKSLSANPNIQVAPEARLYGALCGAVWLPIGLFIYSFTQYKGLHWMGPVVGLALI TIGIFFIFESCYSYTADCYGEHSSSAIAGQGFMRNTLGAVSPLFASQFFHNMGSQYAG LLLALVATVLTFIPFILFKFGPALRARSRLASATVNGSD PEX2_023050 MKVTAILFTLMAATAVSASVLDKRDTCGAGYDPAQRRTNSPCQA SNGDRHFCGCDRTGIIMFANIYFRDKVECKGGKWTEIQDCGRNSCHGGTEGGAKC PEX2_023060 MPSHQCHQNISDFNLIAFDQTTRLRADLSSGNLEGIRSTLSELR YSLNDETLQAKAVPLLREALVLKATINASYQTLEVFLAHGWDINAPVDSNTPAALTFS FYDFKLTQWFLAYEADPNRRCYEHTDQPPLSVALEKAPFAIIDLLLDHGASLQHGQVI HYPAMRELDDRLEVLQYLLDCQHPLNDITYQNCGDEYYFHMYSSIGTPLHYAAGKELL DSVTFLVQHGASIEIREPLG PEX2_023070 MRNSFLFASILFCSSKFANFIDGKIVSTPDLFLQSRLCSLARNL AIKAIAEGSRSIETLQAFYLLVCWKDADDDVSYLHSGYAFRILHDLDLEEGGIDGRRM TRQKRTWLALFRQNRQQSLFFLRRGSLNQGDQETPPLGDLNVWLRMPYALPLDFAACC SADLRCIQYRLRHLVQRKSLDMLPCLVELMDADLRSWRFKWLNQLEGEGRQRPEDSPN LKQFLSPGSHHITTLINVWESGVRLNVASSIFRQALMASLSPSVDLNNPPASSTMELD LSTTQEVSLQNLPGLTSSVEGAFGTLRHLMNFPLGDLRLAPDAVLLLAPNAALFLCLL LCLPGNGIIGEAFQKTAVDLIRAIARHIGQSIQSPQDTVALHSAYLESLVELLNSTDQ QELQMEPAFDMANASVGAAELEFNDVSSQPSELAEGISMHNYNVAQNDALLTAGDFSQ NLHMQSLFNLLDSHLFWEMASMPGDANFSS PEX2_023080 METTTTPQCPGEDSPMNSTTPTVADPESMLIHNEHKNVNMVNPL SSGPPEYIKGPAGKLYYLGHTSTWSLTIRLLNLTHGALYKCPFPSTAHHIDSVIYDLK WNGMRSQAVPDIRGLPTIDHALFLINAAKFHTGQIFHLFDEASFMDQLYRFYKNPVEN VHTAGLWLPHFLVIIALGKAFVGAQNRGNMPPGSEFFRAALMMLPDYSFLWKEPSVSA EILCAFALYLQSIDWRTSAHNMVHGYHTDLSTRFTNEQELVRCQNIWWTVYILERQIS VLMGTPLSISDNDINTPLPLFPDSPPKTATLLIHVKLSKAFSRIVNALYRDTGNMEST FVKSTQEVLQRVADVACELRENFPVPDQGALSGISRVSGYLNLLYHQCIMLATRPFLF MLVEIRAKGTDPQTEVPTPIQLLLQICLESAKKTSQILGSLQDQNLLECFLPFDLESA VSAALVVTMASLVDLPLIANRTSYLDLLLSVLDQMIDHGNLIALDKKRKVHQLESLCV KLKDYPSSSLINTSDPLQLEQRNSQDDNLDPSISSDGRDSYLRQNIHAEGSRKDFEEE QEQEDLATDACRWANDISPSRLLEAANMLEGGSLLDWADLPSSSFLFGFVGGE PEX2_023090 MTFLSNVPVSAIPPFSQDVNEKHPTTVTIEDVCSSSDESMRLGN EEKRVNPKSGISEAKQQTFTPLISERAVNQPRPLKVIYIGAGISGILAAIKFREAVPG LDLTIYEKNPELGGTWYENQYPGCACDVPSHVYQLSIESWTGWSKFFSGADEIREYWN RVSQKYDVRKNIKFQNRCVGARWNDTTGKWFVQIFDEKTGSVFEDSADIFMTGTGLLN EWKWPSIPGLQSFRGKLLHSACWDNSYDMTDKNIAVIGAGSSGIQIVPALLDKVKSMD HYVRSKTWISNQLGGKILSSRTNGKGGNFAYTDEERQAWQSNPASYIEYRKGLELELQ TLYSKTQTSSALQASSRAQYQADMERRLKDKPELQEHLIPDFPPLCKRLTPGPGYLEA LTSPKVNVITTDIASIEETGVVTSCGTHRAVDAIVCATGFETNPGGGFPIIGRHGVNL RDKYKHRPETYLGLSTNGFPNFFQSLGPNSFQGAGSLLITMEQVHIYVAEIISRMTYD NIGLVEPKESQVQNFTKFCEKYFERTVYSAECASWYKSSPPGSTLEERKKGRVTALWP GSSLHALEALKKVRWEDYNLSSYDGNDFGWFGNGWVSGEQQHEVDSAGLIGYLDRTEF VDDVDTKGSYDA PEX2_023100 MAVEVRKYHLLPTNLIPNSPRPLLHYKNVLPKNIYSSHCDPGEV WQIFTKNGWKVNWLFRYSDTQLSHYHSNAHECMAVLSGTASIRFGVADMSADMHENTY NSAWEEGGLVLEAEAGDVFIIPAGVAHKTHNTFPKADFALLSPGKGHGIEADDPKKEL SEIKLNGFTMMGAYSGGDWDFIATGGNFERVWAVQKPKYDPVFGSHEMGLCARWHGSN QEPKARL PEX2_023110 MSTVQYPEPVNADGLSYEPGSEERKLLKTALAELENKVAEIPSI INGERIFTGRKSNQVNPWNRYGAPLAVYHEVDAETIKAKAIPGALEARKTWSNTPFKH RAAIYRRAAHLVQSPKYRWKILAATMIGQGKTCGQAEGDCITEVIDTLNFHVHFCAQL YQQQPPKQFESSSSKLDYRALEGFVLAVSPFNFTALGAHIAFTPALLGNVVLWKPSPM AVFSNYILYEIMEEAGLPKGVIQFLPTANPQTVVELALTNPHFAGLHYTGSSAVLRSL HAQIGANVHIYKSFPRIVGESGGKNFHLIHNSCAGDVDWIASAAVRSAYEFQGQKCSA LSRIYVPQSMWDQGSLRVALALEAAKMTHGDDVKQLHHPLGPVCSEGSFERFQRFVQS AKDEGHELIYGGNTDGSKGYFVQPTIFKTKEGGNNSDLMSKELFGPLFTVQTYDDSAP GGFEDVCALIDGTSEYALAGSVFARDRQAIKTADVKLRDSVGMFCINDKSTGAVIGAH PFGGARSSGTNDKANSVNVLLRFSSIRCVKDSYVTDSTTLSACHIPE PEX2_023120 MGININQLTVAIIGCGTLGTAIATGILDPKDEEAFQIKRLICTV GTEPSQTRVENALSDHKSRLTVFTADDNIRTIQEADVVILAFKPFKRADVFAVPGFKE ALQDKIVLSIMAGITIAELNRLTFGENGDDSNSQRSLQSVRAMPNMAARIREAVTLYT ASPVTTKENLELASWVFRQVGEAHQIPEKSFDICAVLVGCAGSLLLLAIDGLLDAAVA EGVRRPEVQNLVVNSAIGMMKLVPAGDHPSVLREKIASPGGCSIRALLELEKLGIRSA FTSAILAAAEKSKKMSSTR PEX2_023130 MSTMLSRSEKFIPPFSGRDSKPATLSPHIYHPVDDFFFFTSSSR PTGLPSADCAIMFVFTRNHLLHVARRRPLRGFAASASISQVIKGKANRAKTNSFCSKN IAYATGGTSLAIAGLLWSGRGEGEDVEDPRDKMALSSVPLSKLLSGWVAFAFCSSPAW VDMSESLYSVLSAIPILDSVTKAVVMETFFNQFLGGETVSECLPKIEALRNEKIGTLL GYNIEAELNGSSKQTQLILEQIQHVLSSIEAQGELARKFWPNTSATGGDSRCWVRIKI TGLLPNPSALYHGSRAILKAREEKGLDKDVPYPGLPHDGDWEAALKSVTDLDRKELLN LRAVLENIATKARQNNVRIVIDAEQTWYQPVIDSLTDELMQRYNSEGPPTCIASFQAY LRRYPQLLDQQIQRADEKGYKLLFKQVRGAYMVTEAARWKEEGREGPGPVWETKSETD ASYNYGVEKTLSTVAEQIQKTGHSRISVVFATHNSISIDRGIELLEKYGMAKRQGDTN KLVVSGETAGSIAFAQLYGMKDDLTNKITGSLTAEGGFPLVVKSMSYGDLKECLPFLA RRATENKAVLAGRGGAIAERVRLGREIRSRLLPFTN PEX2_023140 MNRSKKDQIQDDGHDIDNGAFHDLAPDENPKAPFQAPQDLFNSE EGGDVDFRSVSWQGAAILIAKLQIGLGALSLPSTFHVLGFFPGVLSFVILAVITTVAG YVSGNARQYYPHMHSIGDAAELLFGKGARELIGAIYYIYLALVAGAGMLTTSVALNAL SDHGACTMAFLAVACGSAFIVGTGFRSLEKVAWISWIGVAGIIFAIWITAIACLARDR PAGAPPGPFNLDIRVLPKANFTQAMAAVSTQLFALGASGTFFSVAAEMKEPHKFTRAL LCGQSFIVITNIAISSIMYGKIGQYLVSPALGSAGVLIKKISYGIAFPGLLVTAILWS HIAGKYWFVRILRGTRHLQSNTVTHWAVWVGSMTITVVFGFIIVGVVPFFDDFLSLVG ALFNPVFTNVFPGLMVLFYLGEQPIIASERVQSVETAKTISPMIWLPIAFKAYRNGWK QTLALAGGIFLIVSGLFIMIGGTYSSILSIQASYDDGSVSRVFSCGDNS PEX2_023150 MLGRLEGKIALVSGSAQGFGRGILETFVREGALVLGLDLQATDG PVDGYSEDVAYQIKANVAEEASWIKALETSISKFGKAPSIVVHNAGWSYPNKSGLDVT VEEFDRLFNVNVRSIYLASKVLVPEMKKNGPGSTVVISSENAIRPGATQTWYNATKAG VSSATKSMALEFARDQLRFNTICPTSGNTPLLNKFAGIADGPVPAEIIKAKCESIPIG RLVEPSDVANVALFLAEPASSIISGVEILVDGARCV PEX2_023160 MIHRAQYNQAVALGPGNHLFDLMQRVNSLHCTENGEFNLSPLII SAAVHIWHDLDDWHPAGTDLINERGNLYESYKFALFIWTYIIVHPDDIGGEKVQDALH YAMFNISEVEGPDLVPLVIIPLFLSGLAAIRPVDRDMVSEQYDRVESYSEHGSVTLSR DIVRSSWEKHDDGIERSWDWRRWRGSSLSS PEX2_023170 MAFSLLPLEIKIIILGYLIAEAEEEDRLKLAPFAAVNREWQEVF EKCIFEHINLSTRARLAHFNRRSWVRTRSLVQSIDLTVELERYYDHGDAHCRYENEDE QRRNNKIFTASIQSLFDTLSKWPRRDGKVFAVYIETQSPDDKSAQPPEAWEERRLRAR ESHDFLDKRFERSWLQFDENLVGVRCASVPLINCLVVTGGQIRRDDLRKIEPVSCSLI MEKLPRLHDLTLYLDDRSTWNPELRYRHRKGLLSKEGSYIITYKLTANLDFADSIPRW PRSLTCLTLDFPFDEPSDEFYPPPTLTVEGNPDPLSSRLHELSQRLRELHADRGVFGR EVFWPLVTLDKDKDNTELPTWSELKRMTLSYVPITPGGTRMFEKKEPEQILTYQDPTI DLTIYEEMDNTDLDWVPPEQRRNRWFRRKPNHEMFNQYFLAVGKAALRMPKLIIMKVE VVECINMLGFRYEVRDGVAKVTWLCDWDLQAFEISNDVLQIWEKVAYQHTGRDLELKV DGY PEX2_023180 MSEVTKLPGVEPTATQGPVVPRDEGPDANYKAKAEALNRAIQTI GMGRYQWQLFVVIGFGWASDNLWPTVTSLILPAVSNEFHPSKAPLLTLAQNIGLLVGA VFWGFGCDVFGRRWAFNLTIGITAVFGLIAAGSPNFAAICVFAALWSIGVGGNLPVDS AIFLEFLPGSHQYLLTILSIDWALAQVVATLIGWPLLGYRTCGSAEGCTKADNMGWRW FMIAMGGIAMIMFVGRFVFFTIFESPKYLMGKGRNEQAVEVVHEVARRNGKTSDLTRT EVDALDQGEIQHFTAADIVRQRLETVQFDHIRALFDTPKRAWATTLIILVWAFIGLGF PLYNAFLPYIQQSRGAEFGDGSTYITYRNSLIIAVMGIPGCLLGGVLVELPGFGRKGA LCSSTAITGAFLLASTTATTSNALLGWNCAFNFMSSLMYAVLYAYTPEIFLTKDRGTG NALTASANRIFGIMAPIIAMFADLTTAAPVYVSGALFIAAGILVLFMPYESRGKASL PEX2_023190 MSNEQNPGLLGGLGDTLGKTVGGVTNTLGSTVGGLGSTVGTATS GLGQTVSGATEGVGNTAKSAGQGVQSGVSSLGGQKQTGDNPLGLNR PEX2_023200 MWRIFGSGTTAKEDTPRGLPASWYRSEAMYQLERRAIFSKRWML LTHSSRFKKAGDYLSFTIANFSFFLIQDRDGTINSFHNVCRHRAFPVVQSPSGTTSIL SCKYHGWSYGLKGNLAKAPRFETVPAFDKTQHSLYPIHVHVDKAGFVWVNLQAGETDV KWEDDYEKVDEEPRMQDFDFAAEFKFDHYWEMELNANWKGVIENYNECYHCATSHPLI NGVSDLPKYRVEPKARYMEHHIFNKEGSDSQFRRAITYFYPTTSVTVTDKFFYIQRMI PVSATSSKIENEVYRHRDATDEEFENINAFYRQVLDEDKELCVGTQENLSAGVFTNGE LHPSKEKGPIHFQSSVRKMVMEHRQNEEKQGGSEIWPATPNAQLSKNSAEEERFCSQL ESSTCMAKPELAW PEX2_023210 MLTRHRRLSHTDGIEYSDLAVNNPSSIAKRDGESCNSFGTRELD GTQEPSSTITEDGFSLSPHLHTLDELGTQNGTIAFPPEVDVMGTAAENTASQTFPWNE NDNLDFLWNDSRPFSEVLPASFFDTNLSLVDISQQYQVPLPEQRQSSERVGHGQQSTN DSLGINSGHELQAQAGPSLPEPRHFFTEPNADHENHLPAAEEEDDPSQNRHLKGNFAP SISPWKLTPSEYAALSNDIKELALVLPSSFSLPSRHTLSRFLEGFFRGYHDHMPFLHT PSFSPSSLGTELILSLAAVGAFYRFEHAKGYMIYHAARKLINWRLDQRDGLVISNLAK TSPGYTGPSNMRGHPQSSATSNGHQAAHCDSSRKTPKLLLRLLQGLIVLMTLASWGDR SVVGNSIAISGQVAVLVREIGISTTDGGHDIPSWEEWVEQEERRRTLWVAYAQFNLQS IAFNLPPMILNQEVLLSLPSCGEEWNSPNSKEWRHMRKFHTPDSRNFQQALEQLLQGH QIHEPVAISAFANYVLIHGLLQEIFFARNTTSLTEQSTGSLPMSFVQALESALRAWQS SWEATYESTLDPLSPRGPMGFNATALVRLAYIRLNANTGPHRQLSTRNPDEIAHGLID GRPAVSERSPFLDRAVLQCIHALSIPVRSGIQFTARTQMLNWSMQHSLCNLECVFLLN QWLQEIARCIELFGVQKLRDDERKLLSMIYTVIREADITELSDWANDDADAVRHLAAC TARLWAETFGGFHIFDISQVIGESVTIIADILDSRMASST PEX2_023220 MSSHTVLRHTTRDSWNNIQTYVEPRPTVGKHELLIKVRSVALNY RDIAIATSKYPFPVKDNVVPGSDASGDVVEVGEGVTGFLQGDKVIAAFDPATLYGPLK TWQTGLGGPTDGVLREYITIPAQSVVKIPESSTLSYAQWASVVCTGVTAWNCLYGNMP LKPGQTVLFQGTGGVSITGLVLAKAAGAITIITSSSDEKLAYVKEKYGVDHVINYKKT PDWAAEAQKITGGQGVDFILENGGSGTMKQSMEAIAYGGIISSIGFLSLASQDEMPDV ALLALGKGAVVRGIMVGSKEMLENAVRFIGNQNLQIPVEKVFKFGRDEVIKALDFMTG GQHIGKVCIDF PEX2_023230 MGSELHPVLGFPVSAEIVSVSLIDCGARLDLPAEHFLSPNIEGH ERLRIPSYSFLINHPSKGRVLFDLSLRKDIQNLAPIMANHINNPSIGWKVSVPQDVPD TLIANGIELHEIKSIFWSHQHFDHIGDLSKFPSSTELVVGPGFTDAYTPGYPDNPDSP VKSADLKGRRVNELDFDNDQESISIGRFKAFDWFEDGSFYLLDVPGHSAGHICGFARV KPDSFILMGGDCAHHPGEFRPSKIAPIPKHLTPLHVAVHSKQTTVCPGNMAEKISTNS DIERSPIYKAAAIFTYDVDKCQWSVEGIHELDACENVLVIIAHDGGILPVLQQANGKE SSFIFPKGELTGWQENDLKEAVKWLFLSDLAVVS PEX2_023240 MAPQIPIKEQDIRSTSCQLKVVIVGAGLAGLGSAISCALAGHDV EVLEATREIKEVGAGIQVLPNSSRVLQHWGLEKALTPHMTIPRVCNFLGWKGNHISSL DFHASESNYPGTWYRDFHRADLQRCLVDRALELGVRITCNARVADVTVCEDGTTATAT TADGRQWVGDLVIGADGVFGKLTEGLLGRVDPPVKTGDLAYRLLLSTEEMKKDPDLAE LVTDPQVNYWLGPDAHAVNYVLRGGELFNMVLLVPDDIPEESLASTIEGNVEEMCALF KDWDPRIPKLLKLCESVQKWRLCIRFGDFDWTHPSGAFVMLGDAVHATLPYLASGAGM SFEDGAVLGECLSRLPNSPGISKTSAEFLTAKQHALAVFQECRKQRTKMIVERGNIQQ YLYHLHDGAEQEERDQKMQMVPTPEGEALAWRDPGLAPKLLGYDHIADVDRRWGKSIG TSVVESRL PEX2_023250 MSEGKEHHYTFSLKGRTALVTGGARGCGLAFARGLAEEGADVAV FDVVSPEDGFHDIEKDCGVRTAYYKWVDCTFGGSIANNSRVDVSSQESLQEGFASFQK DFNNSLDICVPCAGINRHLPFLEFTYKDHQDLLSINVLGLYFTAQLAAKQMIANKTKH GSIVLVASMASHIAVRSQLCSAYCGTKGAVRSMCPAIAKELAEYGIRVNSISPGFVRT EMTAAFPHLLDGWKSEAMNGRIAEPEDIMGACVFLASDASSYMTGSDIVVDDHEGYRI GPVDASTTVVVVGAGPSGLMLACNLVRFGIAVVILDDRPDKTSTGKADGMQPKTIETF RQLRLADPLLKNGARVYDISFWESTANESLKRTGRKTHYPEHVGASDPYILLAHQGMV EEVMIDDMEARGVFVTRNSKFVSCSRVDGTTQLDIVYEDVTTNTPHKIRADYLVGCDG ARSKVRSFIPDAELEGELTNAAWGVLDGVIDTDFPDLWSKVALRSHSAGSILWIPREK GMTRLYVELSSTDGERVEKSKATTEYVMSRAREAMNPFKLEWKTVEWFGTYVVGQRVA KRFMDSEAQIFIAGDAGHCHSALAAQGANTSMHDSFNLAWKLNLLVRGLAKPSLLNTY EEERQKIAYDLINFDVEHCKAFAAGDAELAKNFDDNIRFISGLGAEYGPGMLTQAPTT TSRLQPGMLQLPAKVTRYIDANLVDIQLDVPLLGQFKIYIFVPDVRRSGASLDNVCQQ LQSILAGVNVQADQSYAKHPRGHSPSDEFVQAQRYTAVSSAFTFAMVTQSAQSEFEIA HLPEILQTSRWTLYVDNIGGPSCTEKWFGDLNRDKIGIAVVRPDGYVGAIDTWDFEQV GVIGQWVQDYFSFMV PEX2_023260 MGSIAITDPLFESVRLGALTLKHRVILAPLTRMRAGKESEGVYV PNELNVEYYSQRASEGGFMLTEATPISRLAAGYPGVPGIFTSSQIAGWKKVTDAVHAK GGYIYCQLWHVGRATVSSLIEGKEVLGASEIPIAGNALDGSEYAASPPRAMTVEEIQD TVKEYAAAAKRAREAGFDGVEVHGANGYLLDQFLHDNVNTRTDEYGGSIEKRSRIILE VLKAVTAEIGADRVGIRLSPYNYFQDTRDSNPNANWLWLCSKIAELPEEFRPAYVHMV EPRFDEVMDEAAKIDSLASDKPSLDVFRPTLKKSGISLLAAGSFNAENAGAKLAAGGA DAVVFGRYFISNPDLPRRLKEGLPLTPYDRTTFYGADPAAKGYTDYPFYTE PEX2_023270 MSELKPSEMAKVPDPEVNIAESTTYTDPEAERSYVRKIDFIVLP TLCLMYFFDCMDRSNLANAKTDGLDKDLNLKGNDYSLLILLFYIPFGLFDLPWNLLIK RFSGRLMLSIMSFVWGVLALCQCAAKDFGSLLAIRIILGVFEAGFFAGATFYLTLFYK RGEMGFRLAIMQSFAVLASAFSGLISFGAFQINNPAVKGWQWLFIIEGSMTLLTGAVA FFLLPDGVRSAWFLSDRERAAATARLLRDTSSEVNTPFDLKSAFETWSDWKFPVWCLI TFTYPVAYATAMNFFPLIVQRLGYSVIKTNLWTVAPNLVGAVFLLCVAKSSDYFRERT LHIVFSLTVSLIGMIILIAIDVQSNKGVAYFACFLMAAGSYIPSCLVHAWHNNNNVHE NSRAANTGFFVGLGNIAGVLSAGTFRTQYAPKYLPTLIATCCCNAVCIVLVLGLGGWM RMENHRRDSKQGLTLREDQTDTSQFKEGEKSPEWSKSFTRAEHLRRHALNHEQARNGY TCERCSVHFQRSDLLARHMERHEKRDLEAGGPGQGTLKTRKRTRRAEDGSIIVRPSQR QLRTAAAARSPISANSSSQEPELRDEEEYFHDGVPLSPPGSGTDPTSISMDDTDPFLA SLVPAGPFEQYVEPMPGQFDAADGSFGVELNGIGDYFGMDTATDFNLPFAATSNYNWL FDVSSLDDAFHQFNMPLGFDTAPMFEQFDSNPAEVMEKYDGPSALLMASIMDRGEMFG ETNSPAVPSLPDLDWMSGAATLDPNPPTNLPRISEEARKGILAIVSHAHPTSLDGHPT VLDSPLLTLPALQAYCDMFFDRFNTTYPLIHQHTFDPSTAPPVFLASILFMGATYSTR EAHQLAVEVHDVLRSQLLCHPDFSPQADLWVLQTMLLIDCFGKMRAGPKQRERAQLFH CVLIKMIRRSNCCGIRDLSNVDQSGDLDQLWRQTMEEEQRKRVAIHCFMWDTQHAVLF SQSLSWGASSAREWSQHAFREENRPFLTVLKGYITPAAVARPRDLNVFARIVVLHGLM SVSADLKRRDQTTLRSETPERVGAWTPRMGRSYDLWKVDFDADCLAIKLAQTASPRQF TGLKISGYALYHAAYLALNVEVLDLQIVAGACQILGRTVTEADQARSLRNITRWLQEE SGPSCTTARQAASLLQDAVLSLHDWDQADSFHFPWCLYLCTLACWVFHRGPNLTSEEN RVNTDLSSLIVMLTNCPSLPELAALSGKYCPRPLAAAMAKQLATVRWAVVHDAMKVLM RLS PEX2_023280 MSVPSAPPILDFSPFYGKDSDAKSKLIEEVRKCCHYNGFFQITG HRVPLDLQRRVMNCSRRFFDLPLEEKLKIDKNLTTFNRGYELLRSQMLEVGTGPELKE GLYIGEEIPEDHPYYLEKKLNSGPNQWPPTVPNKEEFETTTMEYYHAVFDLAKDVLGV LAQTLGVESSFFDPLTAGAVATMRYLHYPAQPQDTDEKLNRGIGAHTDFGCITLLLQD EVDGLQVLDAPSGQWLDVKPVPGAYVVNLGNLFMRMANDKYKSNTHRVINKSGRERYS IPFFFSGNPDYVCECLPNCREEGEPAKYGPITVQDMVTASYKESYGRAEAFKKDLDAQ KADVIPAVAAVGA PEX2_023290 MDEIQVDKKQSQPAESSTPDYEVAKLTTIADYEVEEFYGSSTTQ AYRLKSELVGKCMEEIGMGWFQWKLFVVTGFGWIVDNFASQGIGSVQPPIKQEFSGIV QVSYSSVAYYVGLILGASFWGLSSDLIGRKPAFNSTLLIAGVFLCAAAGSMNFIAFSA LWAVIGTAAGGNVPVDSMIFLEFVPGSHQYLLTALSAWWNLSQLIVSLISWVFLAHFS CPTDATPETCSRSQNMGWRYTLITLGGLALTFTFVRIFVFKLPETPRYLLSQGRDQDA VNAINYVAKQNGKPEPLTLEMLQAIDAQFGNMPSEEGESKKMTAKEIVKENMQAFRGE HYRALFATRKLGRQTLIIWAIWLTVGIAYPLFFAFLPSYLATKFTEHSSLSLTYRNYC ITSAVGIVGPLSAAVSVNTRMGRRWMMGISAAVTGVFLFAYVGVNTPSADLAFSCITS LLGNFEYAIMYAFTPESFPAPHRGTGTGTAAALLRFGGLIASIISSQTGFTTAPIYAS AALWIVVGKFKLANFHEVTRILDSHPGGTQAILRWGGRDATEEFDMIHPSETLKDIEQ SFMGTVEPQESPVTDSTPEEITQASLSTLLNLDEIEAAATKVISKKAWGYYFSAADDK ISKYLNTQAYRSILFRPRIFVDCRTCELETNLLGHKVGLPIFVSPTAMARLGHPAGEK GIAEGCRSFGGLQIIANNSSLSPEEIVTNAPPTQIFGWQLYVQLNRQLSENMLARVNW LDRIKFIVLTLDAPVSGKREDDERINIQANTAASVSTQLFAGTDPSLTWAETLRWLSQ HTTKPIVLKGIQTHEDATLAAQYAPLVRGIVLSNHGGRSLDTAPPAVHTLLELRRYCP DVFDKVEVWVDGGIRRGTDAVKALCLGAKAVGIGRPALWGLAAGGVDGVERTLQILSD EMKTCMRLLGVKEVGDLGPQHINTAILQQQIYGGTSHLGLMKGKL PEX2_023300 MGKKRVLVSYGVDIDAVAGWLGSYGGEDSVSDISRGLWAGHVGT PRVLKLFEKYNIKATWFIPGHTLDTFPEECAMVRDAGHEIGLHGYSHENPCDLSTEQQ RDILDKTYKMLTDFCGKPPRGIVAPWWEASAEMVELLLAYGIEYDHSMSHEDCQMYWL RTGDTWTKIDYKQKAETWMKPLVRGNTTGLVEIPGSWYIDDLPPMMFIKNSANSHGWV NPRDVEDIWKDHFDYFYREYDEFVFPMTIHPDVSGRPHVLLMHERLIEYINSHEGVEW VTFEQMCDEFKGKNQPPPGALMPAAPGAIAKAQAETEAQK PEX2_023310 MRSFIAITALLAGLTQAAPNHQDRTNYNLNALAQARGKQWFGTA ADIPGTGETTDAAYLKILKNQFGEITPANALKFMYTEQEQNKFNFTDGDYFMDLAERY DSKVRCHNLVWMSQVSDFVTSKTWTAKELTGVMKNHIFKTVQHFGKRCASWDVVNEAI NDDGTFSSSVWYDTIGEEYFYLAFQYAQQALAQIGASDVQLYYNDYGIESPGTKAEAV LGLVKNLRKRGIRIDGVGLESHFIVGQTPSLANQLATKKAYIKADLDVIVTELDIRFA EKPYYTADVQKQQAADYYLTVQSCLQSGRRCLGVVVWDFYDKYSWVPETFAGQGGATL LNDTLEAKPAYYAVVEALQGKKCTVC PEX2_023320 MAIAWVLTRRTAPLRISRRCVFSKSLSTNPDKSPIDQPLHICPL DAEPLHCYRQGGYHPVSLGEYLRFGRYKVLHKLG PEX2_023330 MLHTEFIEILGKPDIIGYVRRSDGQDIEPGIPKYIVRPSSYRTP SLDWARSIKMIDFGQSFSQTTISQTLHTPLALRAPEVIFQDRIDCRVDLWSMGCMLFE LFVGQPPFDTLLITPIILVGQMQEIASDDLTERWNKIWETMKGDDDEPTDNAVPNLQE WLEELYFDSPQSPDLTREDIVKLGQIIGSLLRFEPSARASAKQVLDDPWFDE PEX2_023340 MPESQPNPTGSDEPSEVPFGKWDSFSWEEFPAWEGTKAIMFRSP DGKRVAGAFRESATATMTYPCDEFSYVTAGWIKAHVHGGETFTLKIGDCVYFTKGQTV DFECSEDYANVSVFLGSEPVTIV PEX2_023350 MNLSIERSPMIKFRLHIIIGASVFIIFILLIARIANSGTPKTRT NVWGIAVIASNDGQAQKHT PEX2_023360 MVGQALTSVAIVGGGPGGLGTAIALSELPFLKVTLYEKNTEPRE AGAGISLSTNAWRVLDLLGASDGVKGGSKSNTHQRNAYTGKVLNVTEHPENSAADSRG AIRARRTRLQSALLSRVPEGLIQFDKKVVSLENLSGRGVRLIFQDQTEAIADIVVGAD GLHSVVRKTLLPDHQLHFTGNSAFRVLVPKSHLAHIPDITTTTSWWWGEAGHVYLSDV DDEEEIKDPLFEITIRSYREPEVSGKAVFWGIPATNEKVGSRVEKYDQRLRDAISAVA EGEWREFATFAGPRLDKITYWDKVALIGDSSHPLSGAFGSGATFAMEDGWILARALEL TQLASRPARDALEIFDQIRSPYYTKMYQHLDEIRDKTQKLQAEEKNFDVLLQAKIDSF LYGDKDFIYQNDIKKVWGDYLAAQQARL PEX2_023370 MMNYGGHPFHPQQSQQPQQQQHQGVQPQHPLGPQPQRQHAQSPL LGAGPPLPQHGSPFPGNKAIFRGQPQQNFAASQSPDMRKMTPTSGSLGGYPTSSSFGQ FPGQFAAQSSPDLMSRGPDPMGLQNLQRGFNPMAHLRPQPGTAGLTHGHPMNVPSPQQ GMNRLPHAGMQQRDGHTSASPSMATPSMFALNQQRQAQPQSQPQSPQQTHQQLQQQIQ QQQFQQQRLEQQQRMEQQKQIQQQRLEQQQRLEQQQRLEQQKELEQQKLDEQKILEQQ QQQQRLEQQRLEQQKQHQQRVEQQRLEHQQKQQLEQQRLQKLQHQTQAHNPYQQQSPF SQHTQPPFHPSQYQQHQAQSQHQYAAHQTQFSQPQQTQFQQSPHQAQFQHYQAPHSGA VPSSQPAAPVVPTATESPVMKTDESSPAPKKKAKPKKAAPAQTQTVQAQPHAQPQLNA PMAIPSALPTQVNGQGQIPAVTAVGGDQIATPPAKRRRGRPRKEEVAARLAAQAAQAA QNGESIPGVPQPGQASFTANMTPTAPGSAANMTTKGTPILGPDGTPLKRKRGRPRKAD QIAWAAATGQPLPPPKPRKPASAKPKAPGTGRPRGRPRKADVAAAAAAAAAAAASGDQ LQAEVNPQSGEGTDTATAMNRATSSIDEDAHKRPGPTPPQMLQGQSLPQQQNLHMFQQ NQASQAQANQHIGQPQVQHMHQQQNQHMSSQPGSHLPHTQSLAQQPGQPLSMPHMQMH HHSPIHPPRPLPHQPYLQSPMQQQMQHPMSSESPVHGMPGQARRPPIPQRSQAPPPPA PQHEHQHTFQVQMQPQPT PEX2_023380 MPTNFPTFTLRSRQRAAETLQARNQLIINPTSYEFLGIDCRLLE LDDDGKHLHPPSSDPSAPTFFEPRGGSWVAPVVPNLKHVNRLRAFTEGWESIQEHPLV QDLYAQASHVSSGLEWMFFQFRRQFYPPPGDARVPPNLRQLSKWLESGDIVPSVFARR FYAAIWGSIRWSPGNVFVPKTLLYPLGVPPHIMITILIGEEPSEELFREEIMTLTAAM ITRLEGEEFLECNIIPVMAITIFGHMKARVIEANSSHQGLMIKKSQLFDFSTNELANK SMNILLGFMCADLVGDPREPILPTNIVESPQEADKVEKLKGGTLRKMFGERCPQVGPD AVSDFPIGAGG PEX2_023390 MVPSITTLEHGSSIIPINTWRLSKKAPPPIPQAFVDLPLTLSES HILEAANSQYLEYLDPELTEGLDIESVLVVCWLLVVHGFSPVRTMYLEFHPSSNLCYI DGKSQGIDSYALEFSSERPLKDIVRDFCRIKAGISPTDPDEGWTSDERNHLTSAVRYA GDGPKLQKAPVSSNSNIPNPKLMLNVTNENGKLHASLAFSSPEMSKEFATSLLHSLNK VVASIYRSPESSLGDLDLCSDLDLILVQKYTKEVADSHEVLLHDMALEHARLTPNAPA ICSWDGNFTYRELDDLTSRLAFYLTNIGVGPETFVLSCFEKSSFAIIARLAILKAGGA YISIDATDPPIFLDSVINRVNAKIMLTSPEYASNYGSLISNVISITGDMLKELPTGPL SSTVQPGNACLILFTSGSTGQPKGIIQEHRSYATAIRDYNKVLGLGRHSRVFQFDDYA FDISNNDYLTALAAGGCCCVPTPEKSISGLIENINITKANMSFMTPTIAIQLSHKDVP SLELLCVGGEPMSNDLLMKWGPHVKLVNQYGMGEAATFCAYNDNPKAGHNAVVGKSGS GAIWIANPSSPERPVPVGAVGEILIEGPHLARGYLDDLCQKPDVGFLQTVPRWIADLH PSRAQSSRIYRSGDLGRYRHDGTVEHMGRKDTLLKLNGGRVESTEVEYVLRKTLSPGD LTVVDMLGEIDGTDDPILVAFVYLADNPSNLIPGVSDHEMSFLPITNRVRVNSLVEAM QNEVYTTLPKHMMPSLFLLVDRIPRTRSNKLDRRKLHKIAQEWYMENR PEX2_023400 MDCKPAITTVSLGSCKFHSIADKIHEAARNGFKGIELFIDDLEA LARDISPTPAALAPSRDSMLAAAHRIRQQCDKLNIVILNLQPLRFYEGLVNRKKRNQI LDEVLPIWMDALEILGADTILVPSNFLPEDPATGLPQTAGDFGIIVEDLRELAARGAQ HKHSIKFAYEALAWGTHINTWEKSWEIVQAVNRPNLGLALDTFNIAGAIYADPTTEDG RVADTAEADLSASLKRMASTLDVSKVFIVQIADGERLRQPLHTGHPFYVAGQPSRLSW SRNCRLFLCEPERGGFLPVLSILKTILDLGWTGYLAYEVFSRSLADSDHRTPALHAER GKKSWQQLCKLAETQTNHSESPSLSSFRLLGMRLLENAVHEVEAGVDIGLSFGGIVI PEX2_023410 MTEGTLAPVPASDEYYDLGSFGHSITTTSADAQIWFNRGLIWVY SFNHMEGAYCFEQAIAHDPACAMAYWGLAYAVGPNYNKPWETFDHGDLHTCVQRGYDA LQQAKKHVANATPLEQALIDAIQSRFPTNQPATDYPALNEGYAAAMKPVYDQFGTDLD VATLYADALMNMAPWALWDLFTGKANPKAPTMEAQVVLEKALAQEEDGAYLNPGLLHL YIHFIEMSPTPERGLNVADHLRDLVPDAGHVRHMPTHLDILIGDWRRSIASNQKSTLA DDKYFRRSGAKNFYTFYRLHDYHSLIYAAMFAGKSKVALEAVTRMESTVPEEVLRIQS PPMADWLEQFMSIRIHVMVRFGMWDELKVKELPHDQSLYSGTTAMTHYARGIAFAATG DVASAKAEQQLFHQAWDRVPETRRAYNGKIIDVLEVAGAMLEGEIEYRCANYDKAFEA LRRAIDYEDKLPYSEPWSWMQPVRHAYAALLMEQGHLEEAAKTYRADLGMDTSVIRPR RHPNNVWSLQGYHECLVRLGKMDEAAVIEKPTKLALAVADVSIKTSCFCGLDNSQSPQ LFDECSSNGKCC PEX2_023420 MLGPCSSSEEYFKAHIRLILDLIIQESYVNCPVDAFLIHRFLPE TAPEIVSRNDLDDGKFYLKHADEKGDQILVDNDFNITGIIDWEWAQADSKSAAFNSLI VLLPMADYCEGADHIGEDEVFFAECFEEKGYPDLWDIVRNGRLLHRFQFCCGYDLDDW DGSIGLFFGLLKILGIEGDSSRETWKAEAL PEX2_023430 MDNTENQNDTTFDDYDWMPLNAASAPQHPYPTPISFSPTQAPTQ AFSQYMSAPPPSAGSTTPKVAIPRLAGSDALLHGRRRSARACEPCRQRKIKCDGIRPS CGQCAYHNHSCAYEDVKRVRDQKRLGSLVKRVDKYEALLRELEVEVDPPTARKIKKAL KSKSGKPPRKPRNNFEADDSSTSMGSLDAIDQVDEDLDRNEVTRAAGFFGKNSEVNWI RKLESSVEMKSPQDHVPNHFHMENHRKPTSIQQQQQSLEKQIPTSMMDYHLDSLDIPF IEPCDPLAVPPRELADRYFDAYLTNVHPIFSAIRKMTFISQYQKFFNNASTPPRKWLA ILNMIFAIGCRYCRLIDHPQSTGEEDLLYLTRARHLGLHSNVLFEHTDLQQIQLELLV AVYLLCLGQVNRASKFSNMALRSALSLGINLRLIDDRTKDAAKETRGRLWWSIYSLEH LITSMTSRASCVGEGLCSVPPPLPYEEETFDQHDAKYLLQDPALREAQLRFTLFEAPG QHHTPAWVTSCPPCQSLFFYFLVDLTLITHALMNKVYSIEGLRKGPSQVEYHVQRFSL QMDRWLSKLPLFYQFILPNTTPSHINHLQLNDLSAPFLRERVCLAMNYYSARITLCRP CLSHIHQSPRHATLVGESTARGKLRYEMATHCLQASCALISVLPEDPDMTWLARAAPW WSILHFLMQATTALLLGLSYCSTQHRSTSPDRANSLLSPATLSISQGVYPPLLEKDLS TTIAATKKALFWLHATAFVDPAARRAFLLCDGIIRKIASGLGIDLSEWPDGSSFEGLM EGRSRGSSGNGNGDWGDSSGSNGYDSGSGMEALEELVDFEGGVF PEX2_023440 MTMTMIGKTTMYMTKMVVHPPRKVRVLCSGTSPCANCQTFKRVC IFDESLDQRRRVAAKRTADELSYHRDLLSDLFKLVREANEPDALHLLTIIRHNAPADE IRAYINETLASLTSSTSDSTSTAHKQETVAKLEDMRQLINVEGTGPTFRRKVMDIHYL CDSAPCEVPAAPWTRVTADADLVSHLVSLYFAWDWPFTAVLDKEVFVRHMARGVLGSG FCSPFLVNAVLSNACYFSEFSEAYVVPGDISSKGCDFLAEAERLSGEESSQPSLAGLQ GTLLMYERYSMSREDDLGYIMLHQAIRMGEALGLVGSTGPRMASGELSQDMDISCKRT AWGLFNVDTMVHTSFLRPSLIDHVNMARLDINQSEDQTLWQPYPTHRDPRPSFFSQYF DEACNLSTIARDISRSMFADHRSNTALGPIHRQSREDLYDRIRRWHELLPEDFDVRLP CETENKILIPNRMRYNALIINLFSCISEDEVPPIPFEPKTPESPPRHTPETKYNPREV TQRAARDIAALTRLHRREFGVSRAHQFAMYAINLALFTMLEEDSFDVLDEDFLTLASA FSIVASRSALGRNLFHIFRQSVRAKAQGHRIREASSVPDELKDLVDEASKERGCSRFD EYADGLEKLNQKDKYHGIGEGDGKGLQDYPGLGLSDMLDRYESLSLGKDDVLVERYRP AGC PEX2_023450 MATLAPPFGPELTRETSNQAFENASTSVAASQMRNALNALGDSV TDPNEKKRFEAEMDNFFALFRRFLNDKAKGNAVNWDKIAPPQPSQVVGYDELGADASV EFLNKLAVVKLNGGLGTSMGCVGPKSVIEVREGMSFLDLSVRQIEHLNRSFNVNVPFV LMNSFNTDQDTQSIIKKYEGHNVDIITFNQSRYPRIIKDSLLPAPKSFDSPLQDWYPP GHGDVFESLYNSGTLDKLIERGIEYIFLSNADNLGAVVDLRILQHMVDSKAEYIMELT DKTKADVKGGTIIDSDGKVRLLEIAQVPKEHVNEFKSIKKFKYFNTNNIWLNVQAIKR VVEENELEMEIIPNEKSIPADKKGEADQAIYQLETAVGAAIRHFKNAHGVNVPRRRFL PVKTCSDLMVVKSDLYRLEHGQLVMDPNRFGGVPVIKLGSDFKKVSDFQKRITSIPRI VELDHLTITGPVNLGRNVTLKGTVIIVASEGSTIDIPPGSVLENCVVQGSLRILEH PEX2_023460 MASADAEKTEMKMEGTIEAAQELHKDPQSHVNPDLIEEKVVKEA QQAGAAAYQFDPNASPEEKANAAKGRLPPDFHHPHKPTGVAVITDKTDGKADYDLPPP ESANAILAEEATRESAGKPLDEDLRWARDRTGWAPKFVDEKLAATLANEGTLLDHETF LEGRIPDKFFGDWYHNSGIIIFACLASWMVAVLGGGVGWVLMVMAACSTYYRTSIRRV RRNFRDDISREMSKQRLETDTESLEWINSFLVKFWPIYAPVLCDTIINTVDQVLSTST PAFLDSLRLKTFVLGTKPPRLEHVKTYPKTDPDTVIMDWKFSFTPNDITDLTARQTKD KINPKVVLEVRVGKGVLSKGLDVIVEDMACSGLMRVKVKLQIPFPHIERVDVCFLDKP ELDYVCKPLGGDHLGFDINFIPGLESFIKDQIHANLQPMMYDPNVFPIEIAKMLAGNP VDQAIGVVAITLHGAQQLKNPDKFSGTPDPYAVVSLNNRNELGRTKTIHDTDSPRWNE TIYVIITSFSDALSIAAYDWNEYRKDKEMGVASFALDKLEQEPTHEGIYLEVQASGRH RGAIHADIRFFPVLEGRKNEAGEAEPAPEVNTGIAQFTVEQAKDLDGSKSLVGKLNPY GVLLLNGKEIHITKKLKRTNNPIFQNASKEFLVTDRKNARLGLILKDDRDIMQDPIIG RYQIKMNDMLKMMERGQQWFHLHGAKDGRVKLTLQWKPVALGGIGSAGYIDPIGVMRF HFKRASNLRNLEAMGKSDPYARVLLSGVTRGRTVTFRNNLNPEWDEVVYVPIRSAREK LTVEVMDEETINKDRTLGWCDLNASDFVRETESGEYEIDDEKQDITSSLKISGGAAKG ELHYNVSFFPSVPVVNPEDEVEEEDESSELPATPGPDATEFPKKALHAKSASVDSKAS KALSNGAAPITNGATNGEPATNGRTSLETTTSRPITGTPSETASVRSVKSIPRTFVSA DDLPKYESGFIVFKFHEGQLAVPNVQLEVLMDDYMFPAYVSSKIHTTTAKFSDVGEAF VRELEFSKITLRLVNKDDPKDSSEEHTVAKLTGDTLPTLMRMLYTPTELVLRSPSGEV SKVTVSARYIPTEMKLDPKESINNMGTLRVDVHDAAELPAADRNGFSDPFCKFRLDEE TVFKTKVQKKTLHPAWNEYFETPIKSRIGAKFHVDVYDWDFGDKADFLGATPIDLETL EPFQAKEVTLPLDGKSGAIRLSLLFKPTYVIRARQGSSTFSGTFAVPGKIVGAPVKGV GFVGGNVVRGASFLKHGLMSRIHKDKNDDNASILNSIEEPDSVKGDRLTPAATLVDTT PSPITPQKEHSRNRSTASQFGDRLSVFGGGGSGEKGSANITVVSAANFPTDANLRVIV RVQGSKGAKDVHKTKAHKNSSGTVNFDDSFRVSNTTADAQYQVRVVDHATFGSDATLG EAPFFVDDQGSVAGQDKAITVGEGVVTIRSSFVLSDGGLRPTTSHSTAGTPEPNEGAG SPDSRKMPRRSFLSKRSVSGV PEX2_023470 MADALKAEGNKAFSAKDYSTAVEKFSQAIAIEPENHILYSNRSA VYTAQNEYQKALEDAEKAIVIKPDWSKGHVRKGAAYRGLGDLLAAHDAYDEALQIEPG NAQAKSGLEATQRAINAEATADGVSGDPTGGLGGMFNDPALFQKLANNPKTASLLGDA EFMAKLQKIQQNPNSVGEEIRDPRFLQVMSVLLGIDMNFGGEGAEPPAPAANPSTSQP KKEPAPEPEPVDEEAVAKKQAKEAADAEKKIGNDFYKKKQLDEAIEHYTKAWELYKDI TYLNNIGAAKFEKGDYEGTIETCQNAVEEGRDLRADFKLMAKAYTRIGSSYEKLGDLA KAIDFYNKSLMEHRTPDTLTKLRNAEKTKSTTEKNAYIDAGEAEKARELGQQKFQEGD WPGAVDAFTEMTKRAPEDPRGYSNRAAALIKLMAFPGAVQDCDEAIKRDSKFIRAYMR KGAALIAMKEYNRALDTFTEAADHDDGKHAREIEQQQQKCLDAQFSARAGETEEQTMA RIQNDPEIMAVLQDPVMQSILQQAKSDPAALQEHMKNSQVRMKIQKLMAAGVIRMGR PEX2_023480 MASAGSQVAELASKLYDACVNEFDPDHLFYQNDFLNLKVIPKND VALLLECTQSLVNQNLFRLLQKDGKLTWKLIDREDAEKLRNLTPDEAMVYNVIHSTER TGIWVRHIGNRTNLHKSILDRCLKALEGKNYIKSLHNVKYPSRKMYMLAGLAPSEEVT GGAWFTDGVLDANFINSVAGYIEYTVSRKSWYEVPASDRKNKRIKTASGKADVKSDEK TYLPYPHGYAGYPTVAQITLAVNESGITPVRLGEESVVQLLEMLCYDNKLVALGNGEW YRSLKSPEAVKANQSRKPPVDGEDLSVANKHLVKNGMTEAPCGQCPVFRLCAPGGAVS PETCEYFDPWLSNGLGF PEX2_023490 MAHGAEDCATVLEQFVHDVANLPAEINHLMEEIQAKDKVMQECR ATINSRDSSIQKFIKLNGSLTPNPKEEPYSKTILQNMDKSHELQNEKIQLSEKACVLL DRQIKRLDVRIRDLVNEGLLTNDPPLPSLFDSKNKYRNPPKIFLPDSTPTDSPAYSTP LNPTSGNTNPILAAAQRLNQSTTPRAAGSAAQLGQTTARSSAPATPAAAAVVHLQQRQ RESSAGAVDSKRRRLNPSLNTLPVASSNLRQSSMGPGTPKPGTPAGSRAGSVGPRASI GIKKALTKKVAPHQQVKKLKTASGKPIKRSSSASGRIKASKKSPNGEGDEDDDSMLSS ADTSDSDKSDGAADEDVEDEDEDEGNEDSKVYCTCRTVSHGDMVACDNDECPYEWFHW KCVGLTREPVGTWYCDECRRTLGK PEX2_023500 MPSFQMAKNKRQSEHTSRTSTLTHRPKTLEPSYRINTFTVLQSD PICRKWPVILRTIMRKMDHTGVCVVTCVRRGRGPGPKDSTITVLVVCNSEKPPSHREN SIARIRELLDKNYLSGVAVEFVQGYFARGGTSLSGRELDRRAVQMLSVLGQSLALKDA HNSGTLGGFLELKMPGQDYITVGLTCFHCVNPSEKGLDPKPLTRVRLWRQNGIAPDDD MRTRLQLEHPSSSAIKEKVRSLEKEIRELEGDKEYIQLCKLVSEGLQGQLGRRTDQIF LNMSKTLSDLKGFLQDIKRFQAAKRASFGPVYAASGFRATASTNGKASNLDWALIEVP HDRVGENKTPDGYYLKDSPKPAKLDDVPLFIHGQRSGYCKGKNHRLESAKLEHEIEDG KPITRNTFEYSIFPQYGIHFSEGGDSGALVLTEDHVVVGMLFGGVVSGSDLKPMFSYW TPIEVLIEDIKNITKATNVRLKMNRPGTSP PEX2_023510 MPEASTGPRSEFPPLVIRGKHPQENCELQPVAIFYSPTVTSLDL EAKANSHSSSDEVPDSGSAFASDNSSPDSSDSSSDDESDTFSSNGSNSSSPNSSSPDS LHTTFPDSNILKPSDLFDDGFEENVESQLRAAWDTSSQSDSDAETVILCNPTARSDSD TVSTKNFDPEESGTVSGDFIQGDPRAEKSDTSDSASDNGSDSSSQEFSDTFSPTGPRS HSPASSTSSQDSLDIDDDEPNLSEGSHYICAYASPVHDGHRIRTSCDRNDDTGIWHVE PDGFINEAKRAGGPYLCSFPVKMQKLKPGDPLSDVLEDFVLIQKILASLDEHNVHPIS MKLRECEHEKDLFNWTPTLIFSAIRNTFDDSWILACRQIWKHFSDAGLGQVNIEISDP EMYTRSLWPIQKSDPVWSVHEELQRRMMAEIDLTDMCAIMTIHVGMTQDREKMPLAVF MVVRYQSTRDWRDTRDLLVGILDDLNLPMVGVVITKGETLRG PEX2_023520 MDLSIEIDPTYHDHTRDGYVDSDFASETTSLASAVYRGVFENGR RYQTVREGASWFPSDEQQFESLEAGHLLCILLDANTDNPLFQAPLKDPKQILDIGTGR GNWAIDVADMFPDATVRGVDLFPPPVDWIPPNCIMEVDDVLQEWTWRQPFDLIHMRQL IGAFTPFEWDCVFAQCYKNLAPGGWIEQIEGDPRIHCSDSSMSPDSRIVQFTEAVFRA AQEWNHPIDTLDTMRAAMERAGFVDIHEKNYRWPVGPWARDPTLKEVGRLHYHQWTAG MEGWGMYFLTKFGAPKPWAKEEVLVLLARVRKELQDPQIHMWQYARRVWGRKPTVEED EEKEVKVKVKSEEVDVKDSGI PEX2_023530 MAAVQLKFSLRTSSNVKTVHLVGSWDNYQRQIPLSSEDKPGAWV GKFRFQTSMLQLGGRYWYYYMMDGYHVSHDPAVEYTVEPTTGRKLNILDVPGGKPTSR YSAPKASRGNIATGRALSPSRIQHPKPTKHYASRQLREADFAPTVDDLTRRFAGSRMS DEYSYSNSPPSSAGSSLSSRSSSGSTSPSSLSSMSEPPVHCRCERYGITRKGDRVKLD CGGARCGYVESSDDSCSESEYESDEEYCRARNAVRRQGIVVRR PEX2_023540 MADYDETYEEDFYDEADEGITSEDCWTVITSFFDSKGLVSQQLD SFDEFISSTMQELVEEQGQVVLDQTLPPSEDEVEPIVVRRYELKFGTVMLSRPSVTEG DGATTIMLPQEARLRNLTYASPLYLGVTKKIMEGRERMGKPNDDDDNDGEADTKTQGT YLDWETKQLPQEQMKEETVFIGKMPIMLKSKYCILKDLNDASLYAWNECPYDSGGYFV INGSEKVLIAQERSAGNIVQVFKKAPPSPTPYIAEIRSAVEKGSRMLSSLTLKLFAKG DSAKGGFGPTIRSTLPYVKADIPIVVVFRALGVVSDEDILNHICYDRNDTPMLEMLKP CIEEGFVIQDREVALDFIAKRGSSQSNLNHDRRVRYARDIMQKELLPHISQSEGSETR KAFFLGYMVHRLLQCALGRRDVDDRDHFGKKRLDLAGPLLASLFRTLFTRVTKDLQRY VQRCVETNREIYLNIGIKASTLTGGLKYALATGNWGEQKKAASAKAGVSQVLSRYTFS SSLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQACGLVKNLALMCYITV GTPAEPIVDFMIQRNMEVLEEFEPQVTPNATKVFVNGVWVGIHRDPSHLVTTMQNLRR RNMISHEVSLIRDIREREFKIFTDTGRVCRPLFVIDNDPKSENSGGLVLNKEHIRKLE ADKDLPPDLGPEERREQYFGWDGLVRSGAVEYVDAEEEETIMIVMTPEDLEISRQLQA GYALPEDETSDPNKRVRSILSQRAHTWTHCEIHPSMILGVCASIIPFPDHNQSPRNTY QSAMGKQAMGVFLTNFSQRMETMANILYYPQKPLATTRSMEFLRFRELPAGQNAIVAI ATYSGYNQEDSVIMNQSSIDRGLFRSLFYRTYADTEKMVGLQVVERFEKPMRIDTLGM RKGTYDKLDEDGIVAPGVRVSGEDIIIGKTAPLAADAEELGQRTKAHTKIDVSTPLRS TENGIVDQVLVSTGNDDLKFVKVRMRTTKIPQIGDKFASRHGQKGTIGITYRQEDMPF TREGVVPDLIINPHAIPSRMTIAHLIECQLSKVSALRGFEGDATPFTDVTVDSVSRLL REHGYQSRGFEVMFNGHTGRKMVAQVFLGPTYYQRLRHMVDDKIHARARGPTQILTRQ PVEGRARDGGLRFGEMERDCMIAHGASAFLKERLFDVSDPFRVHICDDCGLMTPVAKL KKGLFECRLCNNKHRISQVHIPYAAKLLFQELASMNIAARMFTDRSGVSVR PEX2_023550 MRNKKSARRVGVGAAPRESPNALQRPPVSFRKWGWQEVKKSETD AKSKADKLGGMNERLPDNIPMKGLISRRLDASQQGASPNRVAHT PEX2_023560 MKNVDELFKTPSNTGSAKRKFEPVQDPNEVYKSAKLDTSGDVRS KGKAPMVEDEIDDGEAGPELPPDFEEDVPDDEEGRFFGGGMEHKTAQAMEYIDQQEED EAPPEKFDSAWVRRFALNFEKKISKNAELRAKFESDPQKFMTSEADLDTEIKGLSILS EHSELYEEFAKMGCVASLTSLLSHENADIAIDVVQIISELTDEDVEAEQEQWDALVNA MMEADLIELLAQNLSRLDEGSETDRAGVYYVLGVMENLASQTSHAEKIGQDAAILPWI LSRIQQKEAAVSQNKQYAAEILAILLQSTPKNRTKFIELDGIDILLQLLSAYRKRDPA KDSDEEEYVENLFDALICLVEEDAGKEKFVEAEGVELALIMLKEGKFSKPRALRVLDH ALGGVGGAPACERLVEAAGLKTVFGMFLKKQEGQTIEHFLGIFASLLRLLPGGSATRI RTLAKFMEKDYARIEKLVNLRREYAARLLPVEQAIEKERRTFDKEEQELMAGEWLSRR LDVGLFSLQTIDVILAWLIAEDDGVKRKIVSLLADRDEDLSLIRGTLQEQIEGLGEDE PGHTDLKDMLSTLLQFV PEX2_023570 MAPNQRIVPSGSRPQKGFVSTLYDEATNPENKTIVRSIVIFGAG IAFLHSSFGEFLLPP PEX2_023580 MRALEVMSSTSLKRKVAESSQEPIAKRHHLYGYDPHSPWLHQSA SPGIWRTRGNPGVQHSMPLSNMVSAVQDLIDPDFDPLTAMLDDEPRFLKPLPARIAPE DLEFLRFRGALSIPETGLRDELLRCYIQWVHSFMPVLNLQEFLRCVAENDPEGNVSIL LFQAVMFVGTAFVDLKHLQDAGYSTRKSARNAFFTRLRLLYSLDCEEDRIEILQTLLL MTYWADSENSPQRDIWDWMGVCNTQAQSIGLNKDPASGEIDIRTRRLRSRLWWCLYSR DRLIAMGMRRPLQVNDGTSSVPMLKLDDFDFEPFSPYVVAKFYCRQLQDVSHQKRLAT MFIEKVKLCQCIGRVIFAQYTPSQRQFGATDRTTVTLTPRQASESEFARCGQKLDSWL SALPKDAQFIPKSGKNFHDGEDVLLLHGAMLRMLYHATSSALHRPWANSSKDQSKSRT DWRDAARTKMNDAAAGITHIIQGLNHLNLTRFLPQSGVTVILPAAVAHLTNTMSDDPA VRESSVDNFHRCIKVLHCLKDIYPAAGQEFANIEAAIKMQAGASSTFFQVMEYNLDAP ATSLATRKPSNTNSISQAQPAPPASVTETPKSRAQTASTQQNMHQRKPSMEPTHEPAP PLFPNVFDQYTDLGIIDNNPFNYLSIDIDSFPDFQTPPNPMKSNNPDKPYMSPPPQDQ DTIDWAQELFQDTDLNLYSNTDAFRKPEKTTPPLDQDQNLDDPFSFTLRDTDDIRSVH SRHQSMNDGRPEITGDLDRDLGFQSGDDMF PEX2_023590 MTGQIIRQVRRRSYADPKERIPPGVEEVISRGSCHVGRLNDKLV LKYASDFNDPSFLMSIKVENRILQIIGPHPRIIESKGLAEDGLILKYYPNGTLRNYIK NHPCTTLERRLEWCKQLVDTLSFVHFKRVIHCDICLHNVLLDDNFDIILADFQGLVIS SEDGRTLLDGLTRECAKSYMPRQHLYSASYRTDLFAVGSAMYHLIAGHEVFPELDSFD DEKIINARFMNGEFPNNDYVASHIVERCWRGQYASAAEISVDISQVLSATKAVDEALK LLGVEVVEEQQNVEKVEEYQDDGEEDGEYEEDEEYEDEDEVL PEX2_023600 MGQDMSPPQGVTSLLDTDLYKLTMQCAVFKYFPDVYVTYGYRNR TPHMKLQRGAYKWLLEQMDKLAVIRVTAEEREWLRQNCPYLNDEYLAFLETFHLRPSE HIKIKFIPEQDTGSDNDEGNVEYIVEGLWLDTILYEIPLLALTSQAYFMFTDKDWDYE NQEEKAYQKGCTLLQNGCVFSEFGSRRRRDYHTQDLVMKGLCRAAEEGKKQGWPGVMT GTSNVHFAMKYNKGAVGTVAHEWYMTIAAITDDYQKANELALSYWLGCFGEGVLGIAL TDTFGTPAFLDAFSKPISVPNQKGDIKPNTITYAQSYAGVRQDSGDPTFFVKMVRDFY DSQGITDTKTVVFSDSLDIEHCLEYKEIAEKAGFNPTFGVGTFFTNDFINKSTGQKSK PLNIVIKIATANGSPAVKLSDNLGKNMGDSAKVQEVKMKLGYVEQEWEEGDESRRWTK QA PEX2_023610 MATDPYLHLVEVLSQFDNANKYQPFEVINTSYKGLNEGRSHINA DILIPRTILSKQELSKYPVMIRIHGGFLVTGSSHYPVWFANWTLDYAISTGAIIVAPD YRLLPEVSGKEILEDMDDFWHWVHTPQFAEIIKDASGGHIIPDLDRLLVVGESAGGYL AMQLALSHPSEIRAVIGAYPVLDVKSRFYTEAYSKPILGVPNLPNEIIEEHISAMRCA PSPTAVTGANPPDRLKLAFSVFQNGRLLEFLGSEDYLFPLTRVESLASTGNLKLPPTF IFHGKQDSAVPFEGSQRFYDLVRERAPDAIIKFYGGDGDHGFDFATTLETDWMKDGLE IISTAWLR PEX2_023620 MPVNPFFVNWSGPDLEMAYASPVIVLEKGARKSSYVRHMAKEAQ PLRRFRDEDGKASSDGRTRRLFCSSDDDGSFTDEGFINHDFRVGGPNLCPLPAKTYEI KEDHPLYPVFEVLKRRMDGPIGRILDGFGIDDDYVEFVGRTETKFPDGCPKLTVLISA KRTVMDGVWLNAARAIRASLLSLRETEKNANAKKLLENMVVEILENTDDHTINREAQD NPATVLILAYPWSNTDWKGFRDDVVKVLDRACLPMVAVEISTACNGEEKEPRVEVGLD SLHGLNRLYQTIAPTLDDDVWGTLSGFIELLGLPDVPDGRWTPFGLTCYHCVKPNPER EGISYDKLTSRILQDKNYLAGEDRQKRRQLFRPMEVDEPQYNKQVEHLRDLRLLTLEL EEKMKNPYSEFGNVFAASGFKLPDGKKATDIGSLDSRRPFAGRPVWAYGFRQGLTWGL TNGLQEPAFLMSRENGYTETIVIHRDVVLGLNGKPFANKGDSGTFVFTGDGILLGMMY GGRRGANSNVNYIMRTVDLLQDIKERTGAKDIRLRQ PEX2_023630 MKALFYLMIPTAIAVSTLRYTQSPTHVGIFDVLKQEFASINFFP GDDAFVKEVQVPWAAQTWVEPSCIFAPGNAKQLSFAFKQIASLGSQFAMRGGGHMPVA SSHSISKGVLISRTNLDMLHLSKDKSILSIGPGWRWNDAFQFLKGTGRSVVGARSTHV GVPGYLLGGGISFYSYEYGLGSTNGNVKSYECVLADGKIVRATASNRYSDLFWALQAG GNSLCLVTRFELKTVHSPLTMIASPSYSSNASSEYIDSVFNYAINGHADPKGVVLPVI QYTSGASAPSYSATLIYNGNNTSPHILQDFLAGLVTPVNLNASNPLSPMSLGQYSRLE TPVFEKGGQTYGRRQRFHLLPILAKRDAMQLVHDKYFEGARSHFNNTPGVGTGLAFNP ITKHFLAAINAEPGALQGFDETPAL PEX2_023640 MLATHWLPAARLNINQARKFSLLSTHATFPATMYRYQLERKATL YDVTQDETRHRKDAVSVSTDGLVHATISKSSPYSNGPIFMPNSRLMQQMLRFDFARYQ EEIGDGKCPMDPTVISVPRGTPIPSALVLWREGVSRFSLQPSSPMEIEKLNDILSEFY EKSATVVGAEEWIENHPYRESFADENEKGWMV PEX2_023650 MPEIEYPPVLPKLIGETITTCSWKPLDSDIYPPRDWVVIEKLSE RQTPITPKDFANGMGPAYTAGKYLCHLAGAGNEKKQAFMRIYKQIPLAGTEIDNVKSR GEQASKPRKHLELNALKHLTENRCSATPRLLGYETGKQNKNDCVPGGYIMYIVWEKVQ GDSLDPMEFWSLPNNQRESIRAKFKAAYLEVLELGVELSLTTASKIILDKTTGDVKIS GFRRAHEISPEKTWDDYFFVMFFLALNSLVRYNYFPITAEDIKVHSNGWMW PEX2_023660 MEKDMNNTNMEKDMEKDMEKVMDLDKSQDPFAGEEVGGVRYRTM KWWNCAILMIAQSVSLGVLSLPSSMAVLGLVPGCIIIVGIGALTTYTGYVVGQFKLRY PQVHNMADAAEILFGPWGREITNVAQVVCFIFLMGAHVLTFSIMMNTLTDHGACTIIF CLVGTVLCFVLTLSRRLEEVSYLGIISSLSIFTAVVITMIAVGIEAPDPRAYAITHPT LMNGFSATLNIVLAYSGHMSFFSFQSELADPNDYPKALIVFQATDTSLYLVTALVIYR YAGPNVLSPALLSASELISKIAFGISIGTIVIAGVVICHVGAKCIYVRMFRGTNMMSE RSFRSYGTWVLITFLMWVIAWLIANAIPVFNDLLNMIAAAFCSWFSFGLEGLFWLKMN RGQYLASKRKMALTALNVFLVALCCLICGMGLWATGTSIRINAQSAHKPFSCASNA PEX2_023670 MMTWYKENGTDNPGFISRSWWEGAALFLACLNYWHATNDTTYNE EVSIAMQHQGGAGGDYMPSWAIGIGNDDQMFWGLAAITAAEYNFPNRPTGVTWLTLAE GVFNDQKDPDGKGWDTTICGGGLRWQKELWQSGYTMKNAVSNGGFFMLAARLAWFTQE KGYSTWAEKVWNWATTVNLVNDTLWTVADSVRQGTGGPDGCSLPDNTRWTYNYGVFAS GAAYMYAYTNDTKWLNITNNLVDAIFTTFFLPQHGGVITDWECEASGRCYLDANGPLF KGLTVSWLADIAMIIPSMKDKILPKLQVSAEGAANSCTGNNDNLCGNRWYGGLIIKTS LDCNRRKRDK PEX2_023680 MAPLPPQFEIRVLGPEHEEWARAICIHTNLFHSPLWPVVYPENK VQRAYQAFRHSHYLIKHQIDSGKSLGIFDKEYQYKRPESAATGGKLYWNLDDENVTES DLAEQMDFPLVSIAMAYDGIDEIDIDGIKPLIAVLPLFETVYHGLGELDQRDPASWKP TARGQVLMRNATNTVQSYTGHGLMRLLAEEMMRRSAAEGYRGIQIESLSGAVQKVWSN PPAPFKGTIIAQFHTTTYEEKNASGEVVYPFRPASVNVSKIFVDLRAE PEX2_023690 MKFSKDLGRGVGIFAMLAVADAALHDQTIKIPQGKLQGAAAFNS SNAPSTISNWKDISVWKGIPFAGTTAGEHRWRPPQPAPSWNGTYKATSFGPSCPSQSA GDGYSEDCLSINIWSSATSVDAKLPVMFWSYAAGGESSQSTYDGAGMAGKDIIFVSYN YRTGPLGWLTLPELENETGTTGNYGLLDQIAALKWVHENIAAFGGDPEAITAAGQSFG SGASYHILNSPLSKGLIKGVIAESGLKDPYDPNMVGYGSDYRNMTWSMDFGNIFYESL NVSSLAELRAMDLSTVLTGTGTSAFTGFDPVLNNHSIPHKYIRSLEEGAANNVPILVG NNKDEDGIDLTTSYNVTQYEEEIISSYGDYAAQLLALHPANTTATATDAYNAILRAGY CTSTWSFANRWSKTSDQPIYYYLWSYYPSSSGATHGSEVVYALGNLYAQSSSYSATDY EVAEKMSSYWANFVKTQNPNKGGSSSNGTLPYWGPNVPSQNSQFDLGTSWKKIPITSS IKEKEVLLKYFKSATPSPC PEX2_023700 MRCKYTTAAICGMVPIVSGTLYNSVIETKYGGVQGYPAFNVSNT GNLTHWKDITVWKGIPFAATTGGQNRWKAPQMASAWNGTLDAKNWGNVCPSATDGNND YTIDEDCLNLNIWSPANSTDAKLPVVMWSYPALSTAADALFDGGGMADQGIVFVNYNY RTGSFGWLASPELTEEFGNGQNTSGNWGMLDQFAALKWIKANIAHFGGDPDHITVMGQ SAGSAATQHILNSPLTKGLITGAIIQSGVRDPRDPLCTSLAEAYTTLDSSYSTASSYL ESLNVSSIAEARELPMASLVTNFLSSTYDFSATLDYYAIPDTYYNTLKNRLAQDVPVV TGNTKDESGATYGLDISMSEYLTNLNETYNDVWAQRFLRLYPAHDNSTASGAYNSQFT DRSKVGTWLWSQMWSKAYNSLVYNYYWDHAPPGQDQGAYHESEINYVLNNLYATDKPW TVEDYAIAKKMNGYWANFIKNGNPNGDGLTPWLAVNSTQLVQHVGNRWGQTPVAWSKK VDLFKSWFSTLKKY PEX2_023710 MRSFVTLPLCLGAVAASNLRTVYQFASNDTWLENLAIRSNGNIL ATEIGPPANLLSFDPREKHPQKKLIKEFTSVLGLSGITEGAHDVFYVTGANTTSDNIS DPPKNATHIWEVNFNEHGNSPKIKLLCRPTAPTGFNGLATFNETILLASASYQDAIFA VDTSTGRTWEAIKQESLMSSINGIKVSDGFVYWTANSALYRAELYPNVTAGTGQLIFQ GSAFDDFVIAPSGFALNSTYDSNYNFAYLTTSAGNTIEQVIFTRNGTAIGGETVAGSE DSTEVAEPTGVFFGRGDDQLNRIYVTTGGGSGVNVDVNGTETAVGAQVLEIQLS PEX2_023720 MSFQVPYESSPPETPEKDRSRSLWSNVSTTPAGPPPSTASSFIP HSTSNINGGSRINSFSRNDSAFSTNQNSFSRNDFSNSVFTKSGNINTNDSIFGSSFGS TDYAMPKQTKAPSTKLKGNSSLRFGVPNGGGFGDSMGFGQSNGFASSQMSDFNEDQED RMEPEEEELEQEEEGEEDMDMSTQDRSKNFNFLDSAFGNPFESQPSMGAQRKPIYSNP SDAKRPKLDEHWAHQSPVRKTNLAPKKPSAMPSILHNLAARSRIALVKEPSEMILKTE DALGKLSDELKAAEFKHVDFDAVLAKVSKELAENWQTCADESGIDRPYGAHSGIGPGE QAPNLVKATFLTSLLLQIHHPSRETPPTANINPMRTGAAGMVKAMTRPPIPKPLPQVL LGWLSENHASQTKDLQALKYVEPNPTASSNFWDITIASVLRGRLADAADVLRSADFNY ARSALEDGLPQTGYRGAQLQNIQRCVNKALQILESCPGTQSEDWEVTGLDWSQYRKRV LSAVTDLEEFAEGEERDESVVPVPENRFQAVNFGLSTFNPNKNPISFAQSARMAESRV PWSIYQSLRSIYRIILGDPSAIKAKSQDWVEATIALTAWWDGEDDSGSNMDFGATSSS DNFQDFLRSRGPKNHVRAVDRNMRGAYLDRLELALSHTTSDASDNADFRPNTLSSVEV GLASVFEGNVQGVLELVQTWSLCVAAAVAEVASLGGWFESGSSQKTLPGLSENDLMVL SYGQDNKSPARHLHKDDILHSYAFGLYDRSVIEDEHSSREGWELAIEVLSRFDDANQM KKAVSEIVDKLPLESPDQLDKLVVLCSELELEDQGRRVSERFGDMLTSKSENFGLALV CYARAQSRRKVKSVVDLLISYSLVQSRAYPATADLDEQLRSLMKEPKACLSSIAQVDE EAARILQFYLSGYATLRRFYEIRDEEFELQEGQRPRYKPLARRRAAAQALAAVIGSAA DNIYGGLYDPDRDSAVQVDGLLALLGEACAFVNRESPNTITSAFFLGTNPQPEPTAVL SVSQQFTILSAIEDLETVTPRVYAQCEECFRSTLLEYQSSTRGGSDGPPSPRALLKKS VSNFSASSFAFIGNDMLESARTRSGSGSGPTSVGSSGVLVPAPGDKAADRGWDWRAGL SETAKGEDILQMLRLGLARGLSHGAMGSI PEX2_023730 MNPAAQYLITHSFWRHNYDVSTTNKTHLFHIANSSLTPGKPDLT FHSSPDSSGPVVGVWDPGRPNKLDWEYLHKQGFTKRIYWFRMQLDNGTKQTFTWKRTH SLGSGYENHKLVEESSQTVVAVFSSGGNFSKTTGSLDIYSKLGPRFYLMTLISGIALV EKCDESRPSCTNCANRHSECEYGSSTSLLWANEGSDSGQAGDSASGLRSPSSSTTGAG AAESLGILTQLGSENAITSAGSALNLNDLELMMQWCNATFQTLSRNERTDPIWRTIVP EEALSHPFLMHGILALSSLHLARTGPEPTHRASYLNRAVAHQNQALALFRELLGDVNE SNAKAMFAFAGIVVIYTFAFPHTPGPQDPWDCVDDLYQVLVLTRGVQQVIHAPRDFTS FLVDGSFGPILQVEEVRGPMPKDTTAMLKQLREANKLCGARDPNHELQIYEGSIANLE EMLSWCYSGMRANTIAGRWAIRLHPRFMELLRERDPLALVMLAHYGVLLHYLKHRWCF DEWCVRVSKAVWAILDDQWRPLIEWAMKEILGVNFLEQVDT PEX2_023740 MSSHPGWIAYEYYPSMGAAVLFIVLFAVVTLMHTFHLFRTRTWF FIPLMIGGYFELVGYIGRAMSSKQSPDWTIGPYVMQSTLLLIAPALFAASIYMELGRI IVMVKGEQFALIRVTWMTKIFVAGDVLSFLMQASGAGIMVTGSNSTNPSSSVSTGQNV IIGGLIVQIVFFGFFLISAVIFQKRMGAHSEGRAVADQYPWRKHMWALQSSSVLVLIR SVIRVVEYAQGSHGYLMEHEVFIYVFDGLLMFSMMVIFVIIHPSEVNYLLGRGKVVTA MGGLKVMEGSNAV PEX2_023750 MSSLTEQDSFKGWVAHDAKSPLTYTTYIPKPFTPTDVEISISHC GICGTDIHTLRSGWGPTDYPCVVGHEIIGTVTHLGSAVESDSAPNAIRLGDRVGVGAQ SGACLRADCEACADGEESYCARMTGTYNGRYSDKSKSYGGFAEKWRGPAHFVFRIPDS LPSAEAAPLLCAGVTVFAPLRKYGVGPGKAVGIVGVGGLGHLGILFAKALGADRVVAI SRSSGKKANAVGGLGADGFIATGEEKGWAKKYSRSLDVILCTVSAHDMPFAQYLRLLK RDGTFVQIGAPEDALPPLMAWSLIQKGVKVTGSNIGSPGDIRAMLALAAEKRVLPWIQ KRDMVDVNQALVDMHEGKARYRYVLQNGSKQAKL PEX2_023760 MESHNLQAASTYVNNILLARGLLKGGRAIDFADPENEDGGIDGT MARVINLVNDLVLRRDRESEHRESLSTTVRTLQATETEQTTEIGKLKMKTSELTRSLA LAEAQERTLKSNMSRAEANIRSFKEQVQRMKSTVQQVRAQCANDIRKRDLEMQKLKSH LAERQRGKREGLTVTTININPASDRSRLLASGGERVHDPGYSLKQETTDFLTELCQHL SDENDTLIELARSTVHTLKDLQGLTQAENGKGENEQPGMAMSVGAQKSSSGPVTSLPT SCEELSVHMESVLEHLRTLLTNPSFVPLEEVEVRDEEIKRLREGWVKMESRWKQAVTM MGGWQRRLADGGDSVQADELKRGMNLDLSINSTEGISMQSLPESNPIPTILEDQDEEG DMSEPEERVPDPEMLNPPKMRSKIRKVPERPDRVLRERSENPMTKRPRKVSFTPGLQG SPCVDTTDDDTLKIKAYKTETVTRRPTRRKTETKEPHQLKPNESLSVHQKLAAVEDEA RAAQNELKERESRKRSRPDKASRPANRRRSTLTTDELDDLMGVAR PEX2_023770 MASRPGTRIVRGVTSKKKADIEQAAALQRAGQNAYKVGDLQGAI ESFTQALAANKEDTGILDNRAATYCKLKLYSQARADARAMVKLAPNDDRGYLRLAKVL CLDGNFDKARDIYEYALQKLPADHPGRNVLEQLLKKLQDKLAGGNRRDPFTILPLEIA ELTLRRFSFKQIVAILRVCKGWSRFLGGLYSLWMHVDLTGARSRVPWTSVRNYIHRSR AQLTNATITNLVPSTTPKVLDMLSRCPQLEHLELMVPHDQPKEFYLKIKDFRRLKSIA CGADISLSHACVGSILSTLSKLEKAAFLRVWDNPTRGPRPTSTWPQNLPNMKSLTIAS SQENPQGLSFPDILPGLGSSVYPNLEELRLVWDPARSQSYRFDPVQEEEHLPPLRILD LRGAAVQHNFYSILPTSLETLRLLSGSIENPHVGGNLLTPGENELPNLTTLIFNDTPW LNRSTLSLFLLHSKAPLRTLHVNLCHNINSDDFINILNDPDGANPELKELTDVGVACM AGMDDWGVGNLSAVLPNLKILDLSQTRITGCTIRMFADARNDESAVEKLDGLIIKGCD EVSRDAIDYGRQMGLTIVT PEX2_023780 MTRTQVPYPSPATLKRFPHIHDDPSSISHSLDPFTITTKTGFLP YETAPVELPEAFSALLSLVNRLPVVRLDGKPGLLATYELGPAVKAELTDLTDEVEKLV LADGSHDRFTIAAVFRDYTFLASSYLLEPCWEHWNKDPEGGYGLGRDVLPQSVARPMY RCAEILDLPPFMSYAASYALFNYTVADPAKGLSEYSNLRLIRSFEKGLDPKSSEAGFI LTHVDMVKETGPLIGGVLKIVDSLEQNGSRQEINDGFREILKSMEKIEASMEDMWGNS KPSEYLSFRVFIFGITNQSMFPNGVVYEGCEDNKPLYFRGESGANDSIIPLLDHLCEI PMPETPLTKILHEFRAYRPLPHREFLTYIREKSEEIGVQKYAIQDSETAVLFLRTLNH IRSFRWRHWLFAREYIIRRTPHPTATGGSPIVTWLPNQLSAVMELMVKIYDDNLAPKD GVAVVNGNEDLVASHRKQVEPMMELVRDQKEKLAREVERWCQERGV PEX2_023790 MMPYPNLPMEWLFNYWEITPETLVAMALDPDAPHNRDWNGRTFI NTGFIIAQQSPRTHELFEAWENCPNETRYPGCGRWGGEWPHEQSAFGSHVRYDFNRSE DIRVLSCAEANGCPEVAATGCAGELVRHYWGDKSSLPAGAGDAVLQYFMPQLHGAFYH NSRTVVVNRTERVFA PEX2_023800 MYLHITVAGFICAMWAVGPTFELTKTSLLSQEIIISGILIYNEK SGIYAVMPAMIQQQMAFKQRPLNIILIVISVIGIFWVTFKSYKVLSIPQSDDAPSNKL QRVAKVSMLYGETNHMYERALQSHERHGKRWGYPMHILRQDISVGFWNKPSYLLSLVI NELTKPAGERMEWLMWVDADSIILNNDMPVEIFLPPSDLKDIHLVATQDQNGLNTGIM FLHVHPWMINFLTETMGYPIYLPQIDLGRSADQESMRRVLNKTTGGTDGQGYADGVSY LPRPWINTYEWDWAYEGKRGDLLVHFPGLEERRWPHMAKWLNIVETTPQKWNLPLEET GYINKTTTYWSQIRSAKESLKSAEKKLQSGEAMSGNTTKKAISALKNALREKSDNMEL VQQRLQDLNALIEMA PEX2_023810 MSRASKFTLAATGLATMGTVWFVHWAQEADRAAMHKGVERDMEK QRIRLERQADFEMQKTLEQEYLKLQTVHSTTDDSNTTSQTTGS PEX2_023820 MSAQNSAGIQTLLDAEREAQKIVQKDRTQRIRDAKSEAQKEIEE YRSQKEQEYKKFEGEHSSGYKVSEAEADKEAEVKLQEIKEAGKKQGDKVVADLIRVTT DVKPEVPEKIKA PEX2_023830 MHSTGLQLHAIHTARLPRPSLDPRLEDHGKVIVDEYSIIRDKYA APKYPVVLAHGLLGFDELRLAGPYLPGVQYWRGIKEALTARGIEVITATVPPSSSIEA RAEQLARNIEAGAQGKDVNIIAHSMGGLDSRYMISHIRPEKFKVVSLTTIASPHRGSS VADYVFDQIGADRLPQIYYALNRLKVETGAFSQLTRKYMTETFNPNTPDMDDVRYFSY GAAVEPSIWSAFRLSHRVLAEIEGPNDGLVSVSSSRWGGDAGYKGTLMGVSHLDLINW TNRLKWLVGEVTGNKRKFNAIAFYLDIAATALPPLILRSPPTNRESPARARAPAAMSA NIVLDCRHTHYTNLDFLKGKVVLQLPTEAAIGAIQVKLECESRTRLSGPKNPQNVHSE KKRTELEVHKILYKVATVFPTPGVMQNGSPAPTYTFAAGSYEYPFEFKFPFNNSCSTT NSMLTNLKFSGLKVEVAKDTNRHVRKTLPPSLSGFPGVADIKYFVKATVIRPQFYKEN IRSIVPLNFLPIEPPRVGNPNEETYARRQHQFSKIQIPYKKKSIFSRGSSAPAPPESY AEPPRVSVDARLPNPSILTCNEPVPLRLIAKRLTEGPDLIFLQMLQVELISFTKILAH DLNRTEINSWVIMSRSNMDVPLGSPEDPVGTDWTIDPTLWNRYPLPNSVAPSFETCNI ERSYELEIRLGLTYGTQPQLIVLPLRMPVRVFSGIAPPQALLDAMAAASLQPSSSKMK PQPSLPTEVGNNRPPIPPRPNGEPVPVNSGDAYDDAPPSYEDTMGDHLSPVDGPRREY HPPDASSQSTVESGADSKSAAQPGKALEDGPVAGGSSSSAPRRGNRDSTESFDMLPTT PPESQYGSPPASPARRPASMMKLPRNTVDEESPPQYEPVAENQLNASQAVQRQPSRNN LRPMNLGVPNRKPVPRGRNP PEX2_023840 MASLLEYLTDLEGTEVTLDAVTEGSLHFPAQTWVIVKKLEENPC RLTQKDVTDGMGISDTFAKFLCRPAGPGNETKLAFMRIHQQVPIAGTEFKKTSVRAGQ AVDEPGNRELIALKSFMRFGCEVVPRRFL PEX2_023850 MRLHLVISRHGLPVTRILWTTTSSTSVLGEYGTQRPASTAAVAS SRTPNIAFSNGGYTVAQLLEDVNEVVPLETEPNVFDTEFSGQWGLEDYVVEVAGSECL HFMEVDGLLRDGDEVVIRALQLADLRTRRLCGRHQITAEGKHLIDGVPFGSPFLKRTT STRPAITIPPRKKRRTVFSGWEHAPEFVAEEAHADEEGDGEWLPPPNTGFGKELSIMP AEHEESMATVIRHPVDHTAESDSEANMSEVSEIEEDELESELQALKEDFEEASQFVDI RNQTQNASGHSLRATSVVNRPTSKGSLAAASSLSSKRSRADESSPRTSKAVRFNKGDE QEGQDMPDLPQPPQVPQVGGSAAETSDSDSYDSSEASSDSDSEEDSDNDSSDVESSSE VEPAAEAPDSDSSSSSEESDSSDSDSDSEEEAAPPVEKVQQPVSVGAPGEGSIRTKKS NNRIKLRRRLSKLKELGALPEKAGFDALREWENRHGGWHTPEQYLNPEPFVQPQQPVE PEPEPEPVESSSKRQKREKKEQEQKEFEARRQKLLRDLASGNGVDVSETSEKENVPPA ASTVGQSLVSEEVPGKDQPEQEPSNRRTLDIASSRRMLFGSLGMRTPKTKEDEEETRR KLAAKASACGPRHKPSKRDVQEETDQMQEDEDASDIDWQNKLVIRAVECLYPQVDMTA PPYPFVQRWDQEANALIRELKGPNKKRKRKQRVQVYEEYEEQEEYDENGNYYGHDEQY QGGDDQTNHEGHWEGEAAPYYEGHYAGEAEGDQINYDDAPEPEKATLDDLPAIPADIT SVPDLTESEAKVGAIIAFRQLDMSKATNWQPQMSEYRVAEVRSVKDNGVINVLLAKRD RKPPSASSEWDEPRQFSKFEVPDLANDEGEDDGYRELAFAELSDPKLLQAAVQSGAEF EDQNNTREGSIVSVVQESVPNAQPALSDEMYLDDTTFVTIGNEVSRLDSPRESPGMPD EPIQQTPGRGVPQIQVQRGSDERSITPPIPSPSFTGFHSARSWQQMTPGVELSRELEG HTLIGGETPSAVVNRDEDPSVLSYASANQSFADDPEDQEMLGHQEHDRQVVNENLAPP GESGDSGPQSGSLLSTIDRNGNDGASDSESLFVKQDTQRGNDNATPTSSSESWRELLN RLRNGPSEPGDSLVTSPADNNEDNNDNNNSEGGNSEGDVSEGNQSPERLEDSVQHSYL DLNFSPLDSPRASTSRSPNPPMSAQQDSQSQKQASFPSAFETESPPASTRSKLSQRVH ESEPASQIPASQASEVIDLTSSPRESPEPGISNSHPSQRSKSAVYGKSQRDKDIPRSS GRPPRASTGRLQHMVEVSISPPSQKKRRSSRKF PEX2_023860 MSQEYKLKDITSFASLNSLDKIEAEIEGIEDGKVLLVKLDDKVH ALSPRCTHYGAPLKNGVVTGDGRLTCPWHGACYNVTTGDVEDAPAPNALNKFEVFEKE DGVYILAKEEDVKAGQRNPVVKCSVSQPKEKVVVVGGGSGTLGLIQALRELKYPGSIT IISQEPDLIIDRTKLSKALITDPAKIQWRPQEWYAEAAIETITDEVTAVDFEQKSIST KSGQNISYTKLVLATGGVPRRLPLQGFKGELSNIFTLRTIQDVESILAAAGSEKRNIV VIGSSFIGMEVGNALSKSHNVTIVGMETSPMERVMGTQVGRIFQSNLEKAGIKFNLSA SVSHAAPSPSNTKSVGAVHLKDGTTLPADLVILGVGVRPATDFLRDNKSITLEKDGSI RTTSHFLVPGLQDSVFAVGDIATYPYHGPGADAAGSPVRIEHWNVAQNAGRAAARAIV HAQRGPLSSLKYKAFIPVFWSALGAQLRYCGNPVNGYDDVILQEKGEAKFVAFYTSGE TVVAVATMGVDPVMVKSAELMRVGKMLGKGEIVGGADVLAVKA PEX2_023870 MAIDAMEIDNLPLRTADAERKRKSKKDASAASPSKKRKQSSSSK ATPHQLQDSPPESPFTLTTATLYLPLSPISISPTHALASLLAEHLSPLLLTYFPPLKG VVLAYSNASISSKPPAHPRASSDLNPQPLTLATTADEYGVLYVYLTATFLVFRPKRGQ TLDGWVNVQSEGFLGAVVFNLFSVGVERKRLPSNWKWVPPGEEAETPALTDDDSGSDK DMADFDAEKECFKPATLSAEEIAIDGEEEDESAHTGYFQSVSGHRVNGSVRFRVVDVD VIPGSERDRGFLSIEGTMLSVEEEERLLESERQGQSLPPSFFSSPQKVSGSIPVMNVP AASAVQELSTVDVHLEASPKKEKKEKKEKKTEKTEKKEKKSKSSKSKSKDE PEX2_023880 MASLLPFFGWAVLPNYATSFLQSVYYGITIRAGEPKPLPQTPRY ERHRRRIFIFVITSYLLYTLYETFYQVQVAGDYYQALGVSPFADERAIKSRFRRLAAQ YHPDKVGLDSGSDAYFLYLRQAQETLVDPVKRFAYDRFGTDMLGWGEQKTMRDFLMTS LVKSIVPQYIGGFVTTLVLNWLWWANWGRYWRFYTFAAMLTLELGLITHPQAVFMPTS YLPVALQAWLPKNSFYLLPFQILTLARRASIMLHIFISQAAPPTAKVAGNGNGDRISP QTVQRIRELIQLTRSTDMEATRTLQMGLAPFRGDRESVSTLRRGMKEGLILGGVRSSP EVQRAVAQVVERRKAEGDSKRD PEX2_023890 MPAATPNGRSRAGAKNSSNSLMVVLKLSGDALQQFASPKIKFSQ NNTPKIKSNDNSSPASSAELLPVRPSSADNGSDADAISTPATGATADDTPRRKGVPGP KPGNKRTKEQTDPTAKSRGRPGPKKKPRLDEGDATKIPAAQRLGPKANTGAINAGLRA LDRTGAACRKWERKPLQLRSFTGIMWQLPSWRTPGMSKSDDSINGKVAAMESGDSDTK PMLHAPGTDTANGSSAVPSEKSNSGDGDVTPASHLVEPSSPAIAMTA PEX2_023900 MVDAYCHARTEPLNLVAPVPKRNQNCGPPKPEANKHKRRNGGLD FVASFGGRPANIMADAPFPPSGGAQAPTSYPSISSIPRRSSYASVVSGNTFSPPISSS FAHLLNDSHPIFYPPSDSRVYRAPFGVDAADMHMNSAWRNTGSTESLPPWSRKYAGFL RSAEFPHGLGLGDTPAFLTPSYLRNSRYIARLETAHRAKIAAQQRDPSSATSNPPLSA SASNVHLPRIAPSHRGMTHDLIEREPPAPADPLMPLPSQWSSVDKFSGLELSNENFDV RYTGPMHKHDHEAAALRADHPMPPQCGIYYFEVKIESKPKEGMIGIGFSSPKASVERL PGWETESWAYHGDDGKSFFGESQGQGRAYGPTFGAGDTVGCGVNFSTGSAFFTKNGVF LGNAFHDLRNTNLYPSVGMKKLPPVHLKTNFGQEPFVFDIDGMVKQERGNVLSEINKT STDSLQPPLDESTLLQELVAQFLAHDGYVDTARAFAQEVATETLALQNGRNEPLKKYE VEEDREAINRNKIRSAILDGDIDKALKHTKAYYSNVLEDHPQIHFKLRCRKFLEMMRR SNELSAATVAKRRRSTSSNSHEHAVFDQEMELDDGDGDAWAADGMDTEEPEVVAQFNQ LLTEAVQYGQQLRADYPTDENGGDKKLLDDIFSLVAYPDPKRSVHGHYLDAEGRVAVA EELNSAILVSLGKSSAAALERLYQQTEVLVNEISEDGGAGAFINVRDDILL PEX2_023910 MATFHDYTAKAACFEASSLTFALQALLHRHESYMAEAEEDRHRL VDSIDNLEREKQEVQAENARIIEENRSLLEQLDSLNQAVAESDNHVKSLTVTLEKTES ELRRLTAAATRAAELEAQLALMEVEQSKLQESLVSVHEDEKSAVQRWRQAETTLRDLH DQVDRIEKEAREERDRHTDLVQRMERKRAVERELDNAAGRLKGAAAASELNRNAGGTN VVSRFVKDILQDNANLQVGIMELRDMLQSSNEEVQNLREQVISHQPLAGVDEEDNFQP RHSTTLSEELRAKEERRVSQELHIHHHFHTPSPALATKKEKATLNRRLKKRRPALGSP VAMHSAMRSQSPRRALHRSQSSGSSMSTILSQTSVSIPPHSSSRRWSSQSHAPDSLAS SPRSAFRTSSIFDRVERGADFSQPTSPESAVFSSPLMQTRNLKGLDIPHQSMGGFDNH DAPDYLSAYEDDCYGRQDFSNLGDNATREPAIPEESEPSPSAPYFSPMGEPLTTTDDL FTMHVQPSSLRRSSSHDSLLSIAGMDIHTPTSRHKRMGDWHPGMRIPRRILSPSVQLL STPPVISAPAITADRAKSSEHTSQALLASMAAVNQTKSDTASIISTDTASTGSTSTLG PRKATTLGRRMGGWVLGRWGVAPVSADSESQDPTDSPTPSVASSPSTSTSTPKLVDPL ALRFRHPGVNQKGPIMGLRPPPPAPISIHAQGIDEDLLRESLAENTL PEX2_023920 MIDSIDKLAAQYFQLVELQNLALPPGPVLIQPAVQAALYERMFN ENAVFSIPPDSYRSRVLKQIISRIEESITNPEEDEINNDLMESWSTLVSQPKPSALQQ AQQLSLVKYTAPTSNAGISPERTVTTSESRGLILSAGTTGNRTWEAALHLGSFLASET GEALVRGKRVIELGAGTGFLSLVCARHLGVRSVVVTDREPALIDNIRDCIKHNLQGRE SIPIYPAVWEWGTPLVREGDLAGLGPDEGEEGTGLRFDVALGADLIYDTDLVPLLLST VRDLFENYHIKEFIIAATLRNEDTFRTFLNACETNSFDVETLPFESTPSEDQTGFFHS TSIPIRTYRISRTK PEX2_023930 MADSPVTLRTRKFIRNPLLARKQMVVDVLHPNRPNVSKDELREK LADLYKSNKDQVSVFGFRTQYGGGKSTGFALVYDSSEALKKFEPHYRLVRIGAASKIE KASRQQRMFLFVSLRHGDYQSQNIMLTPFFSPTGKQRKNRSKKFRGVAKVKGPKKSKD PEX2_023940 MTSSLLKPLAVFSRQSQSQQYIKSIKNLGNAWRTLPDEPCTESE RTTVIQTTFDFILKITTESDGLIVEMTTLEPPPPEPQSTPPRHYRIFPEYGTDFIWRA VEDITEDVQGYTESQDELVSFPPSVLEMYDAWVNQWSTNWEKRIQDTQDYHAPVFSDR IEQVAWNVAGYMLAWRIVLGPGVGSIEYKAGSTNYLLAQGNELTETERFLEDQIELLA MGAEGLP PEX2_023950 MRVAKQERSLVVERDEKPRKQRKRALVACNRCRKRKIKCNGDLN TGLACSSCRSVGATECQYIRVNSLAPEDAAREAARLYANKGQGRSILMGSPQMRAPYQ REEYELDIQSNFSRQLVGMDHSYDDQATNYHGQTSPGYMLSSTSGAMLDYGTAWGNRT WDLHGRPTNGEFFEEQSNMNQAAYGFVLPGHGMSTEMPQSTGAMTAYADVVDRTLPTP PTCRSQPQSNINLSTLPDGLSGMTLAADPKGWNPRYATSPDGRTVAMSTVSSNGLYNV SPSSRIKSNDSEDGSSDLVFGYIPMSTAEDPSPLPTASSSSSMTSSAANPPYPALDTI ENTLVGEYNTDARLGRTFSRDAGVGQRLLTLTSNCAPDVYGYAGSERRKSRGVVEGDL RCSAPTLVNGLPYTRVRHADSAVALPYGFSADGLSDYGRSVDSLHRSPISPLGHQGAY PEX2_023960 MSALLETSLGDIVIDLLVDDAPKACDNFLKLCKLKYYNFSPIHS VQKNFTFQTGDPLGPDAPESDGGSSVWGLLDGPTRRTFPLQVSRKLKHLERGTVSMAT VPAKNDPDQRIAASQFIITLGDNLDYLDGKAVIFGKVVEGFDVLEKINESFIDDRGRP LKDIRIRHTTVLDDPFDDPPNLVEPPESPVPSKAQLATVRIADDEDLDDDMDEEAMDK LRREREARAQALTLEMVGDLPFADVKPPENILFVCKLNPVTQDEDLNLIFSRFGTILS CEVIRDKRTGDSLQYAFIEFEEQKDCEQAYFKMQGVLIDDHRIHVDFSQSVSKLSESW RNSTVTKRRERGGFGGVADLEAKRQYRELDDAPVDEDDASLLGRLRQIVLAFVEIEGV EAPVEMGGIDTVVDPIVGVHNGIHEGIHGENEAATITVNDDSIQISPIPQNK PEX2_023970 MASVIKDAVSNVLGKLQGTAPEVPREPSAEEFQALQKKYTDAGQ GHVFAFVEELTPVEKSQLFHQLSNFDPTRINELADKALNPPPAEQGAVTLEPLPEVAS ASILDSDPSDIQKWYEAGLKEVAANKVAVVLMAGGQGTRLGSSAPKGCFDIGLLSEKS LFQLQAQRILKLQSLIGGQKVVIPWYIMTSGPTRKPTEEFFEKNNYFGLDKSNVMIFE QGVLPCISNDGKILLETKGKAAVAPDGNGGIYQALVVSGVREDMRRRGIEHIHLYGVD NCLVKVADPVFIGFSASKNVDIATKVVRKRNATESVGLILLKNGKPDVVEYSEIDKET SEAKDPKQPDVLKYRAANIVNHYYSFQFLESIENWVHQLPHHVARKKIACVDTETGNL VKPEKPNGIKLEQFVFDVFPMTPLEKFATLEVHRHDEFSPLKNARGTGEDDPDTSRAD IMAQGQRWVEAAGGIVITDGEAVGVEVSPLISYAGENLEFLKGREIKAPAILEREE PEX2_023980 MMLSRRACYKCGTIGHYAEVCSSTERLCYNCKQPGHESSACPLP RTTETKQCYNCQGLGHVQADCPTLRLNGGANGRCYNCSQPGHLARNCTNPTAPGAGAP PTGPAAGRGAGGARGGFQGGFRGGFSGYPRAATCYKCGGPNHFARDCQAQAMKCYACG KLGHISRDCTAPNGGPLSSAGKVCYKCAQAGHISRDCPTNEGAAAPAAAAATTEAVPV AAAPVVAAAPAVVAVETAPEANTVPAAAPTTAVA PEX2_023990 MPGSVGSDEFDDDEDFILDIDGIQAHGIGAADITKLKANGFYTI ASVHGATRKTLLKIKGFSEVKVEKVKEAIQKCLPTASGFITAMELHHQRKKVVRISTG SKQFDSILNGGFQSMSISEVFGEFRCGKTQLSHTMSVVAQLPKESGGAAGRVAYIDTE GTFRPERIAQIAERFGIDPDTAQENISYARALNSEHQLELLNTLSQAFASGEYRLLVI DSIMNCFRVDYCGRGELADRQQKLNQFLMKLAHMAEEFNVCVLMTNQVQSDPGANALF SGADGRKPVGGHVLAHASTTRVLLRKGRGDERVAKIQDSPDCAEREAIYIITNGGIND PDKA PEX2_024000 MKVESVQVEGYTTLPVQLPSTPLFPKPATHYLYLRPHEPRIPDP DSTRSLFIVNVPIDTTEAHLRHFFGTQLAAGRVEKVQFEDVQTKKRAAATTTETNLTQ SKKKRKRVTADDFERYLDDITLPSTWDRKLQKSGAHAVVIFADKPSMESSLKAATKAA KRGTTIVWGEGLPTDRIPVLGLNRYVAHERMQYPDRGTLLRAVNEFMTTFTQVSEARK REDHKRLAVPDEDGFVTVSHGPKLNSVAREEEMRELVEKQKKKGEGLEDFYRFQSREK RKERQNQLLRRFDEDKKKLAEIKARKGKIRPE PEX2_024010 MSPASIRNEQDTDSDSDVEFEDVPISLPNRSRNREDTDIAITIR SNPQPQWIPTLSLPQQRSQPIPSGSQEETQLRGQISTGIERVTYRKMKSDMGMDAPDT PASERRYDGFRELAADVEGLIDMLWASATPAIQTEALITLAGLTQTSLPAFPFDAPPT LNILHKLDSVFAALCTGTHPLTDAVLPGAQPGQSLVTETQKVRIRSLAERTRYQVFSC LANSSERGNATATLANGHGGGYGDVDVDNEDEESGDEVDEPWMLEATRVYEKSLMLLA EQDPEVEAGMDEFNCL PEX2_024020 MSYNGYENFPQQDPAAAGAPAPVDTTMTGQADPSAAQFQGPPPG EPNSAPVPQQGADGKTTLWMGELEPWIDENFIRNLWFQMGEQVNVKMIRDKFSGRSNA GYCFVDFASPAAAAKALSLNGTPMPNTNRVFKLNWATGGGLADRSRDDRGPEYSIFVG DLGPEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSDENDQQRALTEMQ GVYCGNRPMRISTATPKNKGPGVGGNGGAAMGMPGPAGMYPPMGGPPMPFYGAPQPMN QFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQRHAAEM AINQMQGYPIGNSRVRLSWGRSQNNSGPAGSPYRPAPPPPPMYPSMGMPPAHQYGGFA PMKVSDHPGSPIPDQM PEX2_024030 MRYRNWDVLLFPEGSKVPIQEFKTQCFVTKDKDSPYLHSAVFLG HHAHHPESAVFNQLPVLTTFIPSLPKDSPFQVSVHSWEKPRPSVQIESIMEPEDVLLF EVRIFIDGVFAAGSIYGQRTIWPQIMVHAGLDRDGNQDTLRFPPFHPEILQQKHWDAG DSQGRIKIVIAEGFSRPNRSPPFERYKHVIAFSFQHAPLDVLEFSDIAWPNPNMWLAM PNASRYGSAARYGTSKVVGDGAHGHSPSKPCRPEHRITITANTSSQSSSNAATYSGSA SSTYNAWTPNRGFPIPSMQWNGYPEPRWDPHDAYIVEPVMDAFVDDRAWRQRGARSSR EDIPMPDYASTGSTSSRAISSSMTGMSYEHSKQPSINSCLEDEQYNDLIQTLTPTKAP PVGTRAPSNTPSTATTAISASKLSAAAEARSAGYNTRSRRASALREISQPSTRDVSGS SEPKNLTPSKIGASPSDKVRSKKETQKETQKEASQDTPKAQSKSKVCNSKDDENAQDE NESVF PEX2_024040 MGNIDTSQYEVQFPTADPVHFLLSFPTISFFFLSFFFLSFIFFL LLHLKQSSNKCRPFFLSFLFSVVFFSFFLLYTLPVLSDQTQIPLYPRPACVTGTGHRS EQSGNGTGTKRNQLKRPRNFTTLLVVAVGVGVGVLMGCDRIDIGFGYSPASRLQEFRC RYGYAFHVIFWSQNMDPNSYMDNVLRPPSTFQSPVSSIGGCTCRHIA PEX2_024050 MNVGMNPGAGGPVGGVPMINNGSTASRNDGTMNNPEIMINNLNT YIYDYFLKRGYHDCARALLQDENIKLNTDNNPKTSPGNRRDGDVNGMDPDAMMTDGKD GEKIKIPDDLPRPNIPNESPSSFLLDWFSLFWEFFWAQRKKGNSNDIRLYLQHNQNVM RLREQQHNQFMRQQPMMPGQMNQLRRQNGMVPPNLQKTVLQNNTTGLSQQQQQMAQYQ KTQHLQMMQQMQRDPDMEMGGHRPQSPASADNAPSPSKRPRLEGSVNGQQLAPNGRGQ GQGMPGQPNPQAIMMQNGMQRGMTPAQFQQFQGQAAQQKSMQVYAQNLALHHSRSASN SQGIPNGGMMNPGVMANQGDLVPMPDGQGMYPMGGGPEYYGANGQLAQVRPGGLQTPG SLQTPGSQNGNHALQDYQMQLMLLEQQNKRRLMMARQEQDSMARADGQPPMPGQGVLP PGTSPGSRAGASPNPSDQMKRGTPKMPPTGLPGSPSAGDMSQRGSPGSMNLNGGPIPP EMAAQFFPGPNMRPPSSNPAFTGAQMGQPIPANAGQRMPSGQWQPGQPQMPPQHSPAN QPQAGTPQERSAMPPPSAPPVTGPNVGRGQPVSPQTAGNAPPTPQQTTKAPPKGKKDA KDTRKRPNKKQAAAAAASAAAGATPSTEAAEPPTPSTPVTPQHPSSFNPKNGANGANG PAQAAQSTAPPQTMVQQPPDQQQSFTDLSIPDASAFNLDFSALENPDILENFDFDTFL NTDADATGFGFDPSISYSADGVETGAGDGL PEX2_024060 MRRTRVDKVNAELVTLTYGTIVAQLCQDYDSNYQDVNKQLDKMG YNIGMRLIEDFLAKSGVGRCANFRETADMIAKVGFKIFLNVTPTVTNWTSDNTQFSLI FEDNPLADFVELPDDGRAQDELWFSNILCGVLRGSLEMVQMQIEAHFVSDVLRGDDTT EMRVSLVRYIEDEMPPEEE PEX2_024070 MVAFVHRTQPAHRRGQSRLITNQFFYLSKFTEVLAVTMSGEKRP APEGFGTSHQLVVKRNKAAENAGTELVKGSSQNGALIQSVPRTSGLDAPIMELTGHSG EVFAVRFDPTAQHIASGSMDRSILLWNTYGQCENYGQLTGHRGAVLDLQWSRDSRALF SASADMTLGSWDVETGERVRRHVGHEEIINCLDISKRGQELLVSGSDDGSIGIWDPRQ KDALDYLQTELPITAVALSEAGNEIYSGGIDNTIHAWDIRKKAIVYSMAGHTDTITSL QISPDSQTLLSNSHDSTVRTWDIRPFAPANRQVKTYDGAPVGLEKNLIRASWDPKGDK IAAGSGDRSVVVWETKTGKLLYKLPGHKGTVNDVRFSPNDEPIIVSCSSDRNLVLGEL GK PEX2_024080 MFDQQYTPELPYYSKVDSVADTTITYRMLYLEPNVQPESEKWQS RIYRCCIPLLILILIDMAVYIEAPHASNAVGGTA PEX2_024090 MFFHGFESYLQYAFPEDELRALTCGPLVRDEKNPEHNDVLGNYS LTLIDSLSTLAILSSSPDSGERALAHFQDGVEGFVRLYGDGSDGPAGQGERSRGFDLD SKVHLFETVIRGLGGLLSAHLFAVGELPIIGYEPSEREAAFASAWDKSMFIKSDHGIR WSNGFVYDGQLLRLAVDLAHRLLPAFYTETGLPYPRVNLRHGIPFYENAPLNLNTRDA EGKRKSKNAASEPAETCSAGAGSLVLELTVLSRLTGDGRYEDLGKRAFWAVWNRRSDI GLLGFGIDVESGKWVGPWAGIGAGIDSFYEYAVKAHVLLSEGERLPFNSNSPWAALDS YYTPLTDYQHTAEAFRQVWEESHTAINRHLFRGEGYQHPHLIVGDVITGATRAFWIDS LSAFYPGVLALDGKLDEAIQIHLLTTAIWTRYSGIPERWNVATGDIDNGMPWYGGRPE FIESNYYIYRATQDPWYLHVGEMVLSDLKRRCWTKCGWAGIRNVLTGELIDRMESFFI GETAKYLYLLFDQDHPLNKIDAPWVFNTEGHPLIIPKKSASAPRQQRNSSQSPDMAGG VCQIIPSPFFGVSSTASRTDIFHAANLARLDLMPDRGVAAGPILQDSPGHQSVFVSDL SSPTNYTFYPWTLPPQLVPFNATSAPMAVRPTLDISFPSLPGGVNMGPGALERVRDGV LVKTIGGLRLSMVQDVQLESPTTTTGEEEDGYRVQVINNVPLGKDEKVYLSRQVTFDV VDPYDPNFTRVRDNAMLDLVIDVLPEPSRRRNDSAHPRDEETQPESPHPPEPRLAGHS PASSVDDAAIVDSPDSTVRAMLSSLMHSVSSLLHNEPTEPAPLRLTLPAAISSGIGAS PLPDVEDAVTMSLLGNPSTDRLSWSSIYFAGDLCDEGIPREVAHNHEVLVIKRGGCTF SEKLRNIPAYRPTRSALKLVVVVSYDGIPPPGFHGKKREPTDQSESRSALAAVRAEPT LIRPHLDEAQMTASGIPRNYLISMVMVGGGDETYELLRHASGIGVKRRYSMRSRGVPI TNLHIV PEX2_024100 MTPNKLAISSISISQYPGHFLDQKIRAASQAGIAGIEIVYNDLL TYSKTQNISIHTAAQEIHTVCQETNLQVLSLAPFENYEGSTTPLKERLTLAQHWLEIA RLLHAPYLQVPSIFTADCSRDEKTIISDLQQLSDLASSNKPIVSIAYEPLSWGTNCST WESALSIVQRVDRSNFGLCLDTFHEGTRVWGDNSSPTGVQMDAETKLRDSLRRFVRDC PLEKIFYVQLSDAERFEPPYSLAHPWALPGEAKEFTWSKHARPFPLEVEFGGYLPVVE IARAWIVEVGFEGWVSMEVFDRRMRDGRVNPETASRRAVESWRKVQVEMEGKSRL PEX2_024110 MWKEYAKADSRYMTMEPFVLCMESITAFAWGPLCYFVSWMIVTA SPHRHPTQLIVSMGQFYGDILYYGTSMLEEYYHGVSYSRPETFYYWGYFIFLNSFWIV IPAFCMYQSYSAMVGVSQKSIIHTKKTIIDTPESPLFANSLLAIFDSTSFQVAVLGLL TLLVLTYSLSLFDFIWTRRSQRPGKPAPTLPYHLPGLYHGFDLGWSMASFLANTVRRW GYISPIGVRAGPFKFTMIVNPSHIKTILRSSRSLTNRPMMAFVMEKWFRTPKEYLHFY AAHDPSASIPGEKPEHMHDFQVKTSLKYLSGKHLLAMSERYLAVLKSRLVALEIPTDS WIEISDFYAWLQSQVTPSVIEAMMGSRLLEMYPDIVEDFWEFEHQVANYSRGLPRWII PSAYETRDRLLANIKAWNRLANRESDYTQHGGEGPEWDEYFGSKFIKAREDYLRKYGM DEDSIASENLALLFGANANAVPAVFWYIFESFKDLELQENLKTELQDCLKPETGDLDI PKLSTKPLLQSTYAEVLRLRVTTSTIRTNEDANFRLGPDYTIGKNMIMTIFSSVTAYN RQAWEATRPETVTIPLDEFWPERFVRKDKIDANFSLEGLTECWMPYGGGHRMCPGRHF AKNEIIGTLGVLLELFECELVDVKQAERVKPDTRWVPYGTLPPTKKLAVRLRRRTE PEX2_024120 MRADPTTLQPTERHTQVPIPSITKLLQYPKYLYFAYGSNLSPSQ MKTRCRINPTHSATPLAIATLPQWRWLICEAGYANVLPPPGLRVANQDSEIAHKIPVS GSEDAVYGVLYQMDLGDEGILDGYEGVDTSAADAGAGDGVPISVRPRVQGDGSYNKWF VEADVVTWLDGAEGVRAGLEDGEGKVPVLVYVDENCVRLAGPKFEYIARMNRAIRESV DLGVPAGWVEEVMRKFIPKE PEX2_024130 MSSTLPDASKVGLPASDATSAAELASLKVALRAALRQFPDFPSP GILFEDILPIFADPSLHEALIRSLELHIIANYGGQKPDVIVGLEARGFLMGPSLALRL GASFVPVRKQGKLPGPCETQGYEKEYGQDFFQMQADSIKPGQKVLVIDDIIATGGSAK AGGELIQKMGGELLGFIFLLELEFLHGRDKLPAPVYTLLSGQA PEX2_024140 MSPAVITEMQPDLHHAQLEAVGTAFDALLLTVYRLTHRQNELFQ HMDNVFKEYTDVIEILPPQDRHRAFDVQNKLLVQQEEYRQGLVHSKPRVEEQPLNSMD VIKTLVAHQNVDENTLSAIKNGVKGCKSLLRSQDSLSQISSNSCILAQAPAPAIALEK DFTTNGTQGNLHCPFSKPNKTQSTNASEAPSGKNSAPKVQIEATCGHEHLDPIKAELE DRRSSHTPSAPSSKGGCPVSRCPIRFLDQHSPEEIAEYVERHKHEIPRSHAICVKRYQ RNPQDMRQLDAKYGGLTSMIAGLGVKHQAFLPDRHTNGEGHSSHSASSERVEKWAENV DTSTPSAQDEENEENNENNEEDKNEDDNRQSHFDRPLREVRVGESPSRPWGISVPVTH LPPASAPFSGSASIPTSPNPQADKLDKPTDAEVLDAKPAGGCPFGHGKPKSEAVKSEM ETPRSDKRPENNGIATPNKSGCPFGHNKSKTEVPKPETKTPWTGEWPKTWPKDQAVTD QVPDPQVAPTAPVSEDSTTKPDIPSTFSTPSHITFNGPMFFGYSAEETANLLKQFGNL GKS PEX2_024150 MSANISIISAKDACPPAGPYSQAIRANGQIFVSGQIPADSSANL VEGNIGAKTQVCCDNIKAILTAAGSSVDKIVKVNVFLTDMANFAEMNATYEKFFVHKP ARSCVAVHQLPKGVPVEIECIALE PEX2_024160 MSTASTQSRGVNRRINSLQNEGRHSRNSSLSRRRPLSANVAYSH AIRVAYLAYLLNPRSRRIQNAPVAPARPKRSVTMHDLMSDFSLVRDSKSTRIPHGFVS ELEKRLTGVLMGKEKRKEYQDHLVVRTFAVFLNVLKEDSFRKRMEKDRRAEDLLLIFY SNAVKEMGKGKEQDDDSWKFMVDRHVALFVRLLALTLKDHEWSKDRPELANRLTVLEN KLLLQDQDLMQTNGPTSTTESVGVLSYNVKDMPLVQHVAKIFGMTTSEAQAEIDKHKS TWTEKAALQDLKTYQAHLNLQTHKTLSRDDFESDEGYDGWKKSEGPDLSQMMLAIMQS NPDLAKSTPGSLPQFNSSHENPDLSRTSSGRPDRTSYVIDQPLDLSGLSLEQSDESDT YTFIPSDPRSLYKYILAQTLTHDLRDREFEATQAKSDVPSMKLLSKQSTEMLNEICHR WRIPPFSRVVMFLEVAQAKFVDNEIDLTTLDSAFTFVKEIPSGENRKRISFAPSTVFD RRRWTVHDLQTMQMLLSKLHEALLRELYEAMMDCFEAKPRPLGPIMYILDTHVQMDPN YIEDPEDINRFSTYVQEGLAEKATTKYQSLLSQLIPMEQEAWDPDHVIQLGDAISKLA LKIQKRYRNNPEIMGVNPYTTLCHNVLPMFAEDVHEMIIRILDQAKARGEEIAIDDGF DLYKQLATIRRLFHNTHEDAPFPFHVEGLLQDFVWRWLRLTDQKITDWVSQATRQDPF AIRSELAPEDDRHSVSVIDIFRSFNQVVENMVQLEWDDDLQYAKFMTHLSNSIGKGVA TYCDSLEKMFTREMDRLTPEQEAALNQTTQEKLMQFAKDTWTNKEKIEPFQFSSESLV KLNNIEYALAQLDKLESDINVDGCAEVIAKNTPPQLKKVRKSTTYVFTIKVVEAEDLK SCDIGGGSDPYVVLTDEYQKRIAKTRIIYNNLNPRWEDAVDLTTQGPLNIIATIWDWD AVGDHDYVGRTSIKLDPVHFSDFLPREYWLDLDTQGRLLLRVSMEGERDDIQFYFGKA FRTLKRTERDMTRKITEKLSAYISHCLSRRTLKSLLSRGLSISSVSSFLNRNRAQPAP AGPTPADVENALTPLFNYFNDNFAIMNKTLTPEAMKMVMARLWKEVLATIESLLVPPL SDKPSHQKPLTIQEVDIVSRWLVLLLNFFHAVDDETGEANGVPIDILKSPKYHEIQSL NFFYFEPTEHLIRTSERMASATVNRQQAARNRNSAPVHLGATGAGYGGLGLPAARRAK SIMLSRNLGTMRKAKEEKRREAQADPNDDMILRILRMRPEAAGYLRDRSRQKERLAAA AAADAIVKQSLMAGAGSRMSGIIPRGR PEX2_024170 MLSQRILARRLPQVAARAIAPRASFSQIPALRAAAVDDPLQNNN YPNPPAVKRAHRDPHGGWWDAQEKRNFGEPVHEDNEILGVFSPEQYTHVTAGKGFFHL GCFVVAFLGLVGVVSLNYPDKPSVPKAYVDGLEKELGGPNALPAHKSGDKL PEX2_024180 MQNGTPNGPSPQDERVTPVSDLTPLNGSVIESSSDSAPHESIPS EPKDVEAVHQPEEPPSKKRRLTDSSSSQRSTPRPPSPPWKKAGYEGPTSFLSEGKRRS SRTNAVPIEFQPGSDKRHTRGAQNKNVGKSARDGSKPATSSPLSVTQSRADVNGKLGG KATANGSPRAPSRAAANKPPRISQTPAPKSSHSRTKSRSSGTLPRPSGANANGTSSRS LRDRPSLSNLSQEVNGASDDVNMDDKELEQGSLKIPKLRIKLNKPVLPIRHPGHIPQK KYASFREWVYQNDTANILSEDEATIEARKRHEVMTAAEPGGLLSAQVCSAYITEQQEE PPPQYSHQDHLVSHALYFQKLLDKEHKRHRQTAKLFAQWCADAYRKRHKNPEDILREQ QEEMRGKRKQLSKDLQKMFDLTRADIDRVRLARWEEERKMEDQQALDRAIKKSTMLFE KRRSEILGELPSDAPVTSDDEDNISGFSSGPGEEDESNMSDSESGTDDETNVDDDATL TAEELRLKYANLPTEDYTDRMSIASGSTAMTNPNESLDPEAVLDDLNSHATPEDNQLD DVDSVLMDDSDASTDMDDDMGDSDEEEEDLSDEESDEDDETRSGLLGFFSKNETPVSK DGEEASAVGHEQDIDIKSASEGEDDIDFEDQDEVSLVPIRPTTSEGTSTEGTPLHIAN ASEASPATGAETPAVDTPMEEELAEAEAAAEDAATADVVHDINQLHEVESTKLETEGA TPADIAADIDQPQSMEVDHVHDSRISGDMSSEPSPGTFATKPSEPESVSSLEPAIEKA LQISHSPAPGLQTPIPQILRGTLREYQHYGLDWLAGLYNNRINGILADEMGLGKTIQT IALLAHLAVDHGIWGPHLVVVPTSVMLNWEMEFKKWCPGFKIMTYYGNQEERKQKRRG WTDDNAWNVLITSYQLVLQDQMSLKRKDWHYMILDEAHNIKNFRSQRWQALLTFKSRA RLLLTGTPLQNNLTELWSLLFFLMPSDGTNGGVDGFADLKDFSEWFRRPVEQILEHGR ETMDDEAKGVITKLHTVLRPYLLRRLKADVEKQMPGKYEHVVYCRLSKRQRYLYDGFM SMAQTKETLASGNFLSIIHCLMQLRKVCNHPDLFETRQISTSFAMPRSVAMDFNIKES LVRRRLLFEHPLTKIDLDFLNLAPVSREDISRRLADDSIRLMAIGPFKTLRERQYNRT NWEMGFDGSNMQTILESLENACRKRRMAELERCLYFESKRHGRRPIYGSSLIEFLRAG TKEHALSNAPLRKRSMADWLSSRSSVLASMILTVEERALEMHGYVQRFACVTPAAVAA GMNEAALTPVETRLLTNNRPNPPYDPFHEARMRLSIAFPDKRLLQYDCGKLQRLDKLL RDLKAGGHRALIFTQMTKMLDILEQFLNIHGHRYLRLDGTTKVESRQMLTERFNSDPR ILAFILSSRSGGLGINLTGADTVIFYDLDWNPAMDKQCQDRCHRIGQTRDVHIYRFVS EYTIESNILRKANQKRMLDDVIIQEGEFTTDYFTKLSAQELPEPDERDGQDEASAAMD RVLGNRVGGTRVFEAAEDKEDLDAAKNAQKEQEHADDGDFEEHSASHGTPAQAGTPLA VEDGVEPVPLHVSDPDAEFQPGHIDDYLLRFMEWNMRDEPLVLPADKSKKKSKKGKEH HLKRRR PEX2_024190 MVSRPWSTSSCECQSIWQIDRRRSARVLNSGFIELHVGNIPSSF SPPLKRDFSYQSSLRSTHYLSNGRHGKI PEX2_024200 MKSPLSFFISNDHFFAKGLRRQLEDQYGPFTWASSVVFCADTGL KLKCNVATPRNSHAYANGILLFDEGKTLAVADTLYGTVSLYDVHADTKLLAKKQTIVL GASPDNMSESKNGDLIVAVVPDLRSILPRAFGNGPLNYSSPVEATVLRLVKTNNFTPE IIFWDDGNQISILTGNALDSTGRKLVAGGVWERWFLVLLESHDERSFNEFTAPAIELQ RLDDKSPFEGSSLEKDEKTTLQSFLIITIITGVTFISVSGTGILTTALPRISTDINLD RDLIFWPASVYALSAGCTLLAFGSVADVVGSKRMWLIGSGASCPLILACGLARTGNQF IIFRALLGLFVAMCLPTSMSLVTASFPPGRKRNIAFAATGMGQPLGYALGLILGGVLA STIGWRWGFYITAILDAVLFVSSIFILPSDTDSKKLSKITWHNLAYNIDWIGVVILAL AFGLLSYVLAMVTVSYKNISKPQYIVLLIISLLLLPVFSLWVRWQVKRQKPALIPNAL WRNLPFLFICVAMFFTWAAFNSFQYISTLFFQDVQNLSALQASIRFLPMAGVGVLTNI IAAHLVSKVNVNMLLGISAVITAVSPILMAVASPEWTYWTAAFIAMTLSPINGDVLWT VSSLIICRAFPDNSQALAGSVFNTISQLGNSVGLAVTAVIATSVAAHEDGSATGTSTS QTMSELLEGYRAAYWTIFAGMVVVCLVSSLGLRKVGKVGIKQD PEX2_024210 MPTQFGSPIYKGHQSGFDSSAVAILRAAGALIFGKTTTTEFTVS NSGPDTTNPHNPNRTPGGSSCGSAAAVADYQVPLSLGSQTGGSVIRPASFTGVFAMKP TYNAILIEGQKTFAPTFDTLGFFARSVEDLQLLADAFALVDDKTPGEIPLKEVSVAVM KTPMWPEAGPGSIAAMNKAAAILKSRGAQVEEVTFPTEVNDYVVLKGSQKVILGGEAQ ASFLREYWIDKANLAAEICDLVENTANYTNKERMEAFDAYARMRNAVNNLAENYSVIL APSAVDEAPLGLDDMGSAAFNTMWTASDFLKLHLVHHSLTLQQGFHMAVIHIPAFIGA NGMPVGISLVAPRFRDQHLLKVNKILGDALMAEGGWKV PEX2_024220 MDPISFALALGGIPGMFTSCVECCRYIQFGRDFEKDFGMTLCKL EACELRLTRWGTAMGIEGPDSRLRADLYGKEQIKSAYHWLMEIEKAFNIATETSARFK STAKPEKLLLLDTDTEIAKANNSLNGLHLTMRNIIDGQLLREVTDGEDEVLKDMLQME LQQRTIHVGEIQVKDDFVGQIGDNVELASQASNIEIKVVGGSGRAMLHIGSNIASGKT IYDQGQRKNYQP PEX2_024230 MSLENSSPSTNIRTVEANHQSIVHIGNNNNYNYINLFVPGIVLS TLPNASEVAFDSHHNQYEANCLQDTRAELLRDIKAWVEGPDESCVFWLPGMAGTGKST IARTIAKTYYGRDQLGGSFFFSKGGGDISKADKLVTTLAQQLASAIPLTEPYIYEGIK KLGNVMKHSLHRQWEALVIGPLSKLNSSPSMLSSSTVSPSTVLFVIDALDECGDENDI RVIPQILTTYLSLSNIRLRIFITSRPETPIRSNVHRIREAGHKVVALHDIPSDLVDRD IRIFFEKELSTIREERGFDHDWPGEVVTRRLVENSFGLFIWASTACRFIREGNRFVTK RINKLINVNRLNNGPGKHLDKIYTTVLQDSIPQNSDGEGERDEEEEEGFYLILRQVLG SVLILCSPLSMESLSKLLVQPLGNIKDTLADLHPIVNIPDLISDTIRLHHPTFRDFLL DRNRCTDANFWVDTKEVHKTLAKQCMYLMSRMLKSNICGLKSPDTLVKDVDRDLINQC IPPELQYACLYWVQHCNKGGMHLCDGDSFHVFFRVHFLHWLEAINLIGKSDEMGSIIR LYHSILVPADNLHQTSFVKDARRYMFAFHNVIKKAPLQAYMALMFIPPTNGLKYHFQY PMLPWIQHTKIAEPNVPKAKDEFNYVSDLAFTHDSTRIASGSNFEAVRLWNIAMRAKL WKYEGAMDKVSSVAISPDGMTLAAGSDDWTVMAWEIGTRRLLYSLKAHSGWVNSVVFS PDSKLLASGSMDATVALWNAETGQLVKRIHNQLSCVNSAAFSPDGSLIATGSLDNAVR LWDIFSKAEEPRMILDGHSGCINCVRFSGDGRRIVTGSDDMIIKIWDAVTGAECGTLR GHTKKVMAVAFSVDACLIASGSEDKTVRIWDANDSNTIQVLRVHTSGINSVLFSPNNK VLASSSFDDKVHLWDTVTWAPLGELEDFEEDLNSGALATQWPNVPAWGESLQSPRKFE GHSQKVTCVLFSSDSEWLASGSEDATIKLWQKGEGYRQLEGHSDGIKHLIFSPDSRLL ASASSDKTVRLWETRTGMASHTLEGHSTGVTLILFSSNGRLLASCSNTTTRLWNPKAG IELRSLEGHSAAVNGLAFSPDNGFIASCSMDTTILLWDLDVNSEPLAFRGHSGPVESI AFSSDGALLVSCSEDTTIRLWKMSGATCIIIDGNTLPISRVAFSPDSQLVVSCTIDGT ISL PEX2_024240 MKIAIIGGGPGGLGAALELVKLPFVCWNLYEKKPQISETGGGIS LQSHTWRLLERNGAARNINATDIFQSAEGLIEQRRNGRSGELLSKKFNPDNIPLHHQT SRLVRAKLQSALLQNADQSRIHSSKRLVAMEQCPDRRVRILFDDGFVDEVDLVIAADG IRSVVRKFCFPDHTLRWNGQFVYRTIVSQAEVKKISEIPWAPVFWKNTSGLYVYTCPL GDADFEVTTRIRPPQEGQEPVSWGQPFDLHTILHEYNDFCPPIRKLLCLAAKEKTQEF ALFFGPRLKRIVNHGNIAFVGDASYPLQGNFGAGAGFALEDVCTLAKTLYWAWSRKRP LVDALELFNSIRVPHYERLFKVVENFASIKAALRAEGLPIDEEISERVKRVSLASESW MYYYEIDKVVDEAIREAENSVKGVPQS PEX2_024250 MHDTLEAYIDGKIHPLIRSRIWPRILVCGYYARNAPNVYISPIE KREKRFNWHRPIAVIVDDMTLEIRCFPGRDYVQHYALLIAYHLNLNSIKAPDSVVEYL LPSSEACMDILSQSNLRFMGAVDTVILGYVDHLATNEDYIWETGTDAPDQLFAWKRFY LADGSVIAFVGSMMSLWGDIIGNTVRTMRTQNNISSVLYMGKAGSLRTQDVPNQVLVT GELSRVDGKLLSWESPLRTTLSTMKIEHLCQGTHITVPSPLIETEEWLANNKQDADWV DCEVGYLAEACQDNNIQFGYLHFISDNVAQKYIYNLATERQQAVISARKGIWDKVRQV LATHLGIEDLGGSMQGHYCKI PEX2_024260 MTANDSVNIEYWRWTVPPVTASPVLGPPQRLMPGDHNLPEVKDL NQTELLREDKAGIMPKVEDILKVDGSGELCRELVKKFFLPEFFFRRLGWNANGMFGSA ENLSQTDKESSYDLRDWKKEPFLMLESALSIVVDQCEEDLWSFQKPVRDIEKTRGQEV FKQTSSFDNDETFEFLVKRYTRLHELSRHVIHISETMDAASSNLGAIVRDHDLWTRSN TPSSAATKRLSKALLLRENMIHNLNFRAKAFVGRMDNEIKCASNFVAVADSNISKGIL KQTRNEGKVLSDTVSALTLLFLPSTFISGFFGMNFFTLESNQTTQKLQWETHPKIWIF FVCAIPITILGFFIFMIEFNLVVWIQDMQAFIRDIFCQGTGPDPENPSPRSSIPSDKP RRSYRSFGFSRRASLRPTP PEX2_024270 MGLGVLEDTKLANVPGTSDIYEQNSDANDQTPGISGLKCDCSGK QPIILVPQPSNDPNDPLNWPLWRRDMILAILSFVTILCTTLSSILAANTVTIADYEEI TFTAAALLTGYHLCGVGVAGILIVPTARVWGKRHLFLIGHVLMIISCIWAGGVALAPF EALVNACVGDLYFVHERGKRMAVSNVALFGAAFLTPVVVGKITKSMGWQWSFYFVAIF LGASLPLMFFFVPETAFRRSDYLNTDFKRSSGHGESMESHVSLSGASTDDTKELRSGS NDLNGSSKAEAAITVPEKHSFAQSLKLFDGRKTDESFFKLLLRPFPLFFHPGIFWACL IQGVVIGWAVFVGVVLAIVFLGPPMWFEEDKTGYLYTGAFIGSVVGLILSGLLSDSIN KLMIRLNHGKYEPEFRILLVIPQLIFCGIGLYGFGWTADNVMHYGWLLPDVFFAFLIV GMVMGAVAASLYVVDAHREIAIEAFTCMLVFKNMFSFVLTFFAYKWFAHGGIKHTMII IGSIQVGICLLSIPMYVFGKWNRSFFARHDILEMLHLR PEX2_024280 MSSVSPSKEHDLDPETQSGEEQDHIDKDHHDAQGHQGEFEVKEQ DRWLPIANVARIMKLALPDNAKIAKEAKECMQECVSEYISFITSEASEKCQQEKRKTV NGEDILFAMTSLGFENYAEALKIYLSKYRETQSARGDNQRPPSAGYGAGGPVGGQAGG APGLARPTGFPSAEGTNPMSNLNLDPSEQDASAYGYPPIVGQPHNGAGGESY PEX2_024290 MKFTITFALAALLTTAAAQGLGDLPDCSKSCATGSIPQNCGIDF KCVCESKSFLADVACCIADKCSKADQDTEHVQWTDTLFFATATIKVAKSICARGGVTD LPAEVICSSSKSSSSSGTSTSSSSNSTETGTKSSTETSGATKTSDSTTVTGTKASTTT SNSASSSVSSASAAATSTGVAAFTHKDTSLLAAAGAAAAFAVLI PEX2_024300 MVLISSKTLIQAHAVFLVVVAGYLVKSPEVITDCDLVFMMGEAL KIDFPSLSSPQQSPFTFCAILIFVEAFVDLVLLSNIPFHEALDEALPYIRPLRNSNLP AEDLQVLQGLPEYITKSLTIYWSVWIAVAACRFAVYAGIAFFIYQGRGDHLASSYTSA AAIGGLDRLKNRVVFSFAFFEMMFWFWNFATLREERQERLTKLLEDAREN PEX2_024310 MTDPDPTFNTERHVKYYLRCLKTYLPSAYTSNDSNRMLLAYLTL SGLDVLGVLQSKTTPEERQGYIDWLYHCQVPSGGFRGFPGTDFGPENRNKDNEAWDPA NVPATFFALVNLLILGDDLSRVKRLECLEWLPKVQRADGSFGELVGPGGSVGGPRDLR YCCCAAGIRYVLRGRNETGLEGVPDIDVPGFVSFIEACQTYDGGMAESPFCESHSGHT YCAVGSLDFLRRTSNDVKSLPLLSTGSNQFEALITWLASRQTAQLEEPEEDEDDEQLE TTETESLDDRVRGLPNVKPLEADTISCAGFNGRCNKYADTCYSFWNGATLVMLDQYSV VDEVRNRRYLLEKTQHLVGGFGKGPGDPPDLLHSYFGMVSLAFQGEAGLSPVDPTMGS SERTVRHLESLPWWQS PEX2_024320 MPPRTRAGVQSQKQKEKLANSYNELLEEFSSKDLRTVGNYTLGR LIGKGSFGKVYLASHKLTNGSKVVLKSSSKEDTNLVREIHHHRQFLHPHIARLYEVVV TESLVWLVLEYCPGDELYNYLLRHGPLPVEKVKRIFTQLVGAVAYVHSKSCVHRDLKL ENIMLDKQENVKLCDFGFTREYEGKASYLQTFCGTICYSAPEMLKGEKYAGEKVDVWS LGIILYALLAGELPYDDDDDQITKKRILSDEPTFNDKFTDDAKALINLLLSKRPLIRP SLDEILAHPFLSEHAPEQLAILKIPRPSPFTTPLEKTTLQRMKSAGVNIDEVIENVLA QRCDPLAGWWALLIEKEQRKEKKRERKRHEREIESKNIRRLSAASSRLEKRSSALMEV EEEGSGLRDRGRRDRRSLPSQLAVPDLPALPEPLPVFPIEVTTPPLPLDKDSIRSHNS TRRRPVPPPKDRRRSRPSMLHVSASQPELTQHQSIFRRRPSRRHQYPILSQLASLKHW FVESAKRARSPHAKSTGSRKFLSDKLSPAKSQDTGKKPADLSSPASEVAGEEVVTPTQ IKRISNASSLAPSSASYRQNRHSYPRQPRPLNTGHSSHRNSLSPSPITPRGSYRRSSA GLRGRKSTSSSVSSIRSIHHARAHSKASSISSNSVDTISTPTARASKSPHSSIKVLPT TPGASSRFPSNIRLVRGPGGAPRELGDLSQMSSSFNEAVPGPLLYSPSSSLVFARRKR SLFKGPMIHTTNLMVSGGVVNSPVPGQPVNTSPDIIAGRPVARKSQIIEEEEDIEGIE EEIEEVDEFDEPGTPSDFVTPDEHPADETGSPSSGRTPFAPIQNLETSPLRPPRSSSL RSPSDTAEKIGIAE PEX2_024330 MDSSPLTGLLACPQEVLDNILAEVRPKFASIAAVTRVCRKLYSA ATPSLYQSVVIWQPVNVDRFARIIKDKPHLIPFIRRLQVHYHDIPDAGEDQPHMFQLL DLIGFQPTIAKLVNLESLVMKADCLPRLEQPHLFRRPEILPNLLSCVLGHDSSVWELW KIKPVYAALIHPSLENLTLTHCAIKAHTQNRHKNGPLHPTPLKRLWLLNCRIGATRLA EIMKYPRALKHITFKCEARGFQTDIHRIQTRQRYVEAIKLQSSSLESLDLDIYLPWGK RTNLTSFSLLRDLTITPSHLAGNPTDDDYPEYSSVPMIGLLPPSLEHLTFRDSISRFR LYDSSRLERNSHIHEDFHLRDVYQLVARGELPHLSRFTCVLAPPFPADDSETAESGMA NISLQTYALKAEMFDATRTFTQAFHELGVLLDISQTDHSISMPENDEFKCPHELSSYR AEPTRYTFW PEX2_024340 MGVKEFLKSRSGLRVDNRTTTSAATLTLRQSLWPLTLVTTLFFL WGFAYGLLDTLNSHFQKTLHIDRGRSAGLQAAYFGAYPLASLGYANWILRNYGYKTVF IFGLCLYGIGALCMWPAGLNQSFGGFCGATFVIGSGLGSLETAANPYLTVCGPPRYSE IRINFAQAFNAIGTVVGPVLGSYVFFTETEDDVSALQRVQWVYLAIGVFVFLLAGVFF LSNIPEVTDEDMAFQVAQTHVDEQDKPFWKQYKLFHATLAQFTYTGAQVAIAGYFINY VKDTWPGTPNATAAKYLAGAQGAFAVGRFLGSGIMKFVRARWVFLVYLSCTVAFLAAS VTQKKQVGVAMLFMTLFFESVCFPTIMALGIRGLGRHYKRGSGFIVGGVCGGAVVPPI LGHVADMRNNTGFAFIVPTMFMVIAWTYAIAVNFVPSYTNTVDKIGESDVGIDSQSTK DEEAVMGSHSIDETVDEKHQAVHVER PEX2_024350 MENAFLRTPAESLEHFKVSEQTGLSPNAVLKSRQQYGPNSIAEE PPTPMWELILEQFKDQLVLILLGSAAVSFVLALFEESEGWTAFVDPAVILTILILNAV VGVTQESSAEKAISALQEYSANEAKVIRDGITRKVKAEDLVPGDVIQISVGDRVPADC RLLAVQSNSFRVDQAILTGESESVAKETRAIKDEQAVKQDQINMLFSGTTIVNGHATA LVVLTGSSTAIGDIHESITSQISEPTPLKKKLNDFGDMLAKVITVICVLVWVINYENF NDPAFGGWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAQKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVEKIVYLTSSGTGFEEIDVEGTTFTPEGKLTQNG KVVENLAVSSSTIAQLTEVSALCNAATLAHDVKTGAFSTIGEPTEGALRTLVEKIGST DIALNQRLYRIPVSERLHAASAHYESRLPLKATYEFSRDRKSMSVLVGEGKEQKLLVK GAPESILDRCSHVIQGANGSRVPVTKDHLKLLSEGVVEYGNRGLRVMAIASVNDISGN PLLKNATTTEDYTKLEQNMTLIGLVGMLDPPRPEVADSIKKCHAAGIRVIVITGDNRN TAESICRSIGVFGTDEDLTGKSYTGREFDGLSVSEQVKAVQTASLFSRTEPSHKSKLV DLLQSLNHVVAMTGDGVNDAPALKKADIGVAMGTGTDVAKMASDMVLADDNFATIAVA VEEGRSIYSNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPA TALSFNPPDNDVMNRPPRRRDEALVGGWLLFRYMVVGIYVGAATVFGYVWWFVYNPAG PGITFWQLSHYHKCASQFPEIGCEMFSNDMGKSASTVSLSILVVIEMLNAMNALSSSE SLLTFFLGNNPMLIYAITLSMLLHFAILYIPFLQNLFSILPMDWNEWQAVLVISAPVI LIDEVLKFAERRLYNTKAVNPVHQNGAAGPYLPGYLPEQELIGV PEX2_024360 MGSERENKTFLARLCEQAERYDEMVTFMKEVANIGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEENKGSEEHVSIIRDYRQKIETELEQVCQDVL DVLDEALIPKAETGESKVFYYKMKGDYHRYLAEFASGPKRKGAATAAHEAYKNATDVA QTELTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSEGTEGESAAKEEKPEEEAAAPAAATEAAAPAEEKPEEAKPA ETEA PEX2_024370 MPPSKWDEEEEESSAPPPIVAAPRRRFDDEEEEDVLDSWDAAED SEVEREKAKKAAEAEAKAKATAAANKKSKAQRIEELREQRRREEGSGDSESEDDADRR ARLRKTEQEADLAHAEDLFGSVDVKPNKGAPKAVVVSDSADPTNAIDLSAMPLFKPVT KAQFTRLTETLAPLLALHSKKPHYSLWAQDFTRKLVNDLPSAEIKKIASALTTASNEK MREERASDKGNKKSKAAKTKISLNASRDSQVDHTNYDGGDDLGDDDFM PEX2_024380 MPISKIHARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EAVELRDGDKTKWGGKGVLNAVKNVNDVIGPALIKENIDVKDQAKVDEFLNKLDGTPN KGKLGANAILGVSLAVAKAAAAEKGVPLYAHISDLAGTKKPFVLPVPFQNVLNGGSHA GGRLAFQEFMIVPDTAPTFSEALRQGSEVYQKLKTLAKKKYGQSAGNVGDEGGVAPDI QTAEEALDLITDAIEQAGYTGQIKIAMDVASSEFYKEDAKKYDLDFKNPESDPTKWLT YEQLADLYKSLAAKYPIVSIEDPFAEDDWEAWSYFYKTSDFQIVGDDLTVTNPLRIKK AIELKSCNSLLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTIADIAVGL RAGQIKTGAPARSERLAKLNQILRIEEELGANAIYAGEKFRTAVNL PEX2_024390 MISHGRRAGSWKLPRQGAIALFNHHIHPFRIHNLTRNTEFISEL QFSFGYHLDSSTLGESIVRPSFIMSDPERGQALEDYKKSLLELREWEAKLKTLRLGIK DLQREFDVSEDNIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKSKM KQGTRVALDMTTLTIMRMLPREVDPMVYNMSLEDPGSVNFAGIGGLNDQIRELREVIE LPLKNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYI GESARLIREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDG FDYLGKTKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHTSGVQQEG EIDFESVVKMSDGLNGADLRNVVTEAGLFAIKDYRDAINQDDFNKAVRKVAEAKKLEG KLEYQKL PEX2_024400 MAYQPSRSPFAPAQQDAFYGNPRSGLRGYGLSNHPIPLSNKVNG YFEKDRTLPLYKDKPFFSPRRTGPRRRWRPFLNVLGVCTVLFVLYYNFYLRTWSGLQS NDKGVELWKWAQTLEDGKSSGELSDWTARREKVRDAFIVSWEGYEKNAWGYDQYRPVS NVNQEDTSGGLGWMIVDSLDTLMIMNLTSKVHRARQWISTSLNYDQDRDVNTFETTIR MLGGLLSAHYLSTQYPDLAPLNDDDVGAAGEDLYIEKAADLAERLLGAFESPSGIPWS NVNLNTSEGVVVHSDEGTTSISEAGSIQLEFKYLAKLTGEAEYWKLVERTMKLVDLQK PQDGLVRTAIHPDSGAFKGESITLGSKADSYYEYLIKQYLQTSEQEPVYKEMWDEALR GIRKHLVSFTKNSKLMVIGERPQGLDQDLSPRMDHLVCFMPGTIALGATGGQPLAQAR KSADWTQQREDEILMSKELMKTCWAMHQATATGLAAEISHFVLDSPPVMMADKYPDPV TKPNRASKPEVLRGISQSLEAQSDASEPWRADIDIHPNDRHNLQRPETVESLFYLYRI TGDDIYRQWGWEIFKSFIKHTAVVEKKSTSRIPTSTNTAPVFRIKGFTSLGNADAVPP SKRDNMESYWMAETLKYFYLLFSDRDFISLEDHVFNTEAHPFPRFKPSGELKTGWERA PRQ PEX2_024410 MKFSSYLAAAAIMSGVQASPHPAPQQQQLLGAPSSLSQPSHSQA DLDDIISSSPVLSLHRDLVKIESVSGNEHDVGLFVAQFLEARNFTVVKQEVPPVKGQE NTKTRYNIYAIPKSYTQPPSILLTSHIDTVPPFIPYSVHHPEDSTTTFDPENLILAGR GSVDAKGSVAAQIFATLETLDSQPDAKLGLLFVVGEEIGGDGMKVYSDSFLNSKNALK TVIFGEPTEAALVAGHKGMLGFKVLAHGSAAHSGYPWLGKSAVSAILPALSRVDVLGD IPVEEGGLPASPKYGPTTLNIGVIRAGVATNVVPSEAWADVAVRLAAGTPAEARDIVQ RAVDEAVRDAEAEVVVDFVSHGESYPPQDLDVDVDGFNVTTVNYGTDVPNLAVGPGVK RYLYGPGSIFVAHGDNEALTIRQIKEAVVGYKKLIEAALEREEKDEVAV PEX2_024420 MESYRRRLLARTVDDRAETNPNQRFAVIPQGSEISNGFQDLSIK DLARTVNFMCWWIESIIGPAESPETLTYMGSNDIRYFIFILACQKTGYQAFLPSTRNS DEGYVHLLKATNCTKFFFSEERQTRTLEIQGLISALEIFQVPTMKKILGDESGLHHYS YTKSYPDAENDITCILHSSGTTGMPKPVYLTNGYFMTIDFSSHLPRPPGRQPSMFHNL DQTDLVLATAPFFHLLGLLASVYSVWFEVPALVSPDKPLSVDHMIELLRTAHPTVAFC VPSILVDMSYSEKALACLKELKSIYFGGAPLFHETGEILRKYTQLISITGSSEAAVIP ALVPEDPANWGYFEWNEAYGVDMHDVGEGIFEKVISRQENARGFKGIFHTYPDINVYR TNDLYTPHPTNPHLWKFYGRKDDVIVLSNGEKFTPVGMETIIEGHPLVRKAVIVGQSR FQAGLLVEPSHGGPGMDAKAFVEEIWPTVQVANQTIAAHGRVMKNRIWLAVKTKPFKT TPKGSVQRHAVLRDYRKEIDAMYAEDPEDDLNQCLPETLDHESVTEYIRQTITRVLEK PKIANAQNLYSAGLDSLMTFHVSRVLQKGIQLCQPDGKAGAIDAQMIYRNPTIDRLSQ AIMAILDGKPQAGIPRAEKIQGLVEKYTSDLPAREVYPQSGLHSPSTVILTGSTGSLG TYLLHSLLSSDSITKVYCLNRSDAESRQKRGFGEKGLHLDASNWKDKVEFLQASFGEP RFGLNESKYEELLDSVDTIVHNAWKVDFNHSVDSLEDTHIQGVRRFIDFSLSSRSNAH FHFISSISTVGAWTPEMGASIPEVPMEDIAVVLPQGYGESKYIAERICLEASQRSHIP TSVYRVGQIAGPTTARGQWNPQEWLPTIIATSKVMGKIPNRLGSTAVDWVPVDTVSNI IVEIINTRHRDSSEARCAVFHLTNPERTLWLSLIPAIQRQYAVEPVAFSAWIADLESI TNPSSADIASKPALKLLDFYRGLQDEGSALSVALDVRRAKEASVSMRALGPISSSLMR NWLQQWKF PEX2_024430 MYKLSQIIDATRESLDAADSPTRQPHSTLTINTSAPASPAISLF SAAGHNRVSSSVSSLVYSMESPSRSHLTGVKEEECTRDSLEDHYFQHFDHGMSAEESY FAPVHNSDSYDLSVTSMETPPSPKKRRSDSVSIKGVSRINSHISTMSARWKTKRSSSG AEGDVFPDDLRSRANSTASSALASPIVSSMPMSRVDSIPPSPARTIFEERASESGARP IDITRANSLSQDDNDVQKATTPLLPPFMGDDPTSIAASRVQSPLQSPSVADANEPHYN YNVTSDPRFMGVLPSPPLSSKPSVASFNRPRASTVRTVSGDVTPLVLSDPNDEWANKL GHANFTIMPEPYDPVVCDLGNFQQVRADWDIARCNFAKHLVRTGEHYGVTSNIYKLTI EKWESINCEWATQHGTMLEQLSAIDGVALTLTQSNIHPCQQVRIPRLHDNDKFPEMGD EDIVGPMTVAPATEIAGSCRSEKKRNFFRFLQDLVSRP PEX2_024440 MAEGAAKRSASPTQAISPPPLRRKVETTVTKRSAANFFTPASQK KPEPITWRVIGTSVIIGKQITGNAVPPTEKQRRIAGFDLDSTLIKTKSGNVFPKSATD WQWWNAKVPGRLKELNAEGFQVVIFSNQKKISVQKDIKGGRSDSKSLSNFKEKMTAVM AELDFPVSVYAATTDPEYRKPRIGMWREFLDDYDLDVAGVDLPASVFVGDAAGRPGDH SAVDRGLATNIGMPFKTPEEFFLGQITEPATMGFDPLSFVKTDLEEPAKPFTRKHPLE LVIFCGSPGAGKSTFYWNHLEPLGYERVNQDLLKTRPKCLKVAREHLEAKKSVAVDNT NADPETRAHWTSLAKEFNIPVRCVQFISTPDLCRHNNAVRASNKELNPESRTSLPGIA FGDFGRRFRAPTLDEGFDDIIPVEFQFHGSEEAKGLWGQYWV PEX2_024450 MHEQSLFASVPVHQHHELLQQLAGLTAMQPRHCLERRLIFKAFR KPGMAGRTGASQDLQQGDLQRLNKMLNGNMYYTQVVGPVSESDFGSTTPVDQDTQMAG MDDSKPYGSSSSPSSYDYERQPWKLEFRDIPEAGTRSAVTSRLMSSATLPRGDVVQAM NAWGYHFTTEYVVEGDMFIHNDIAIFLHRVLHYPSGDELSLPRRQLPALKDMTLFEKS GSYVLQAFIIVQDGSNQETMKTASQHLFGLREQLKSAVVLEQADRLSLDTRAK PEX2_024460 MRVTSILSGTARTPLIRFVGKRSVPKSIDHSPRVHPASPTGSLP DSFATYRAKAQQHGPLGRSSFNSTIGGTSGAALGPIKPQGGEFFDRAELPARFGRLPW TQAEIDAIETGGASMFA PEX2_024470 MTISRVGVGNANASPTSALLLHILQDISGRIATICFAHRVGTAL EPECKTYRLAADVFNDIAMILDCLSPGVPAGPARVIVLSTAGVLRALCGVAGGSSKAS LSAHFAKWGNLAELNAKDSSQETVISLFGMLVGSVVISHITSFSTTWLILLILLAMHL SMNYIAVRAVQMTSLNRQRANIIFSALLASDKSLALDLDLDLDPTAPTPSKKEWKILT PAQVAKHERIFHRDGALQWTQHSGTHTITHHLGSAQIGVSMSTFLGGSGSGSTLSSFL RGSVSGSTSFQRTLPLQRLAAVFADEFYILFLVPSEAAGESVKWHASILLKRGCAVEN QLKAWAHALLAARVLARITMGSSVDAVLTVVEGTLALLNTDKRFERYLTGLSGAGWDV DIAALETRGGRRIEL PEX2_024480 MLKKKTLAPSLFFRNHTPTATPELSPTSSDSDSEEDMESSGSRP VSLAISSGAFSMRPTLNEVLANIAPPPYTLSAFMAYLSQNHCLETLEFTMEANRYRDS YYALAERVGSAAMESECPETQHLRMLWKRLLTAYIFPGSPREINLSSEVRDALLQYKN VAAPPVPETLDSAVKRIHDLMEESIFLPFVNSHTTSPSMGPSDPFMGPDDFMSLSSTS LDEHPMKRVRSRVSKRISPQSSTKDLPATGHNRSTMSLGAVHAIGKRTSGALVNTSTN GESAYLSLTDDSASPQSSPTAEEPMTPPTTPPASEPHLPIHSPRLRTENPWKKMGMKL GFKKRSTGGSSGSSRDPKILGLDD PEX2_024490 MASQDSYALKVGERILVTGANGFIGSHIVDVLLSLGYIVRGTVR SEKPWLNELFESKYGAGKFETVNLPTLDDKDALVAALDSVSGIVHVASDTSFGPDPNI INYVVAATEAVLEAAAQVPSIKRVVLTSSSVSAVARYPGEGSIELTQDTWNETAIQAA WDKNTPAEAKGFLVYVASKAEGERAAYNWVKKNNPSFTFNSVLPDFTMGEILHKNIGS TGALTLQLLEGNDMLINAVVSQYYVDVKDLARLHAIALLDPSVKSERIFGLAAPLIWK EVIDCLRELRPASSEKLVKNPPGAREGYVNIVAPTRSKELLDSFFGQADWTSLKESLY AGITSAGL PEX2_024500 MSFYPQGWTHDRLLNASGKDLMALSDTQRTTLFDGLKATHGEDG FREIMQEMSRRYRARVEAAKSEETKQQERELLAPFIQTLNSVFRSPEPEDWGKWGFVV FRTTPYGGEHETQWAEFRRRWDTVIEEGLAPYRGSLTKVDRAIDLLEFQWVEQPELEG VDAAEVARRFNEMALPQGLATSACLMVTPASMESVLSSPLPSSAPRRKRQKIPFIVSV SQGMSAPRLPALLGLGDEDIAGAEFKGYLNVAVETALQEFYPIVALQMMDLHTLTTKF RHENDIWCSSDRWGVHHYEEL PEX2_024510 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSTKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPSTKAANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAIKDLCDSYPEEFNKYLSYVRNLGFEDTPDYDYLR DILTQALKNAGEVEDGEYDWMKLNNGRGWDYKSYPSQAHLHNQHQGSSGRDLHARELR NSQRPGVTADRLNAAQPPPPSPAKVGAGKTRDRPSAGGMPPKRQSGGVEASTPAASTQ AQFQNSNANLPSRNGSPGNPGLSNQQSLGAPGAPESPPTFTQKLMKALCCGR PEX2_024520 MAFASINSTGAPIAAIEEAFATEPLLKKRVYDAIGTTPQHKPLL EDLAKYTSSLLARTVNTTLPSRPPAADGPAAKKRKLQNGDSGETAQASVNLKDANAPI QFYVQDVSFSTPQRKKLTLEITAGHKYLRARNQATKEIEFGVSMDKIRHALCLPVPEK TQKQFNFCIIPEYADGITPPPEGTAASEAMVFTIADGPAKAAFSGTGEQLGHGPGESA EAFIRKILNENMPHTNVVRPDEKQFVSAMPEAHRKGEKAYHVKAFRGSKEGYLFLLST GILFAFKKPLLFFSFATVDSVSYTSVLQRTFNLNVMARPANGSEEDIQEFEFSMIDQD NFSGIDTYIKRHGLQDASLAEARRAKVYNVNKAGGEDGAEPTAEAAGEDESELQKAQR ELEDQEDEEEEDYNPDSDDSEGSGSSSEDDDEDDDDDAGQDSDEDMVENELGSEAEDT PENH PEX2_024530 MVGLGILEMFTGGRAATDDDIIHIDRDHVAPRTPSIFDPRVRNS KAETTFGHCWTTTLNMQRVIQRTASARKQALKKTNKAHERQELLERVGIRRARKDFGG ALSSQFQTARKNRWEDWEKGPLAPMRDSGLQRTTYGGQDASILHPPRLPKHEQRRHVL FAEGDRVCVVRGRDQGKINVIQQVNRDSETVLIKGINMADVIIPEWAKDRMGHTGDTQ PQSFPVSFDDIRHVIPLEDTKTGKMQNVIVQHAYAAGPYTERSEHSKLPRFTRYVSGL DVEIPWPLEEEPVITDGEMDTTRMAVEASTFTPTLEQPPMPSTVIDELRNKYSRFRTR HDPEYLKEKMKEDYRKEYRKTVSMMTPKTDAKNLKIAQLAEARKANLDANGNGILTPD AINFINNHIQTERLQKSKTKKSKSKQAA PEX2_024540 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDNKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRDDRKTIDELAKTSQRPVSQDQGEEVRKKIGAYKYLECS ARTNEGVREVFEAATRAALLKAVKGKGGRKQGKGCLIL PEX2_024550 MPNRRVEVASINQLKSIEKKNPELEHLGDGEGKPFSVAPVVQFG QRRDSPSLVEFPLCHRFLSPAVISPYAKSLIRLLLFSLPPLLHLFLCCSLCMVQSIST PEX2_024560 MSTSTPDPTSDASVFQPLPLLPVWCDLQTPSNDAMTESDHDSVV PAQLSYLAIYNPTLGPTDETIADQIVFYTSKSSYARRLDGSTAEGEENERLRQIGLAQ GMVNFASNFSAGKTLEYVETDKSRIVLLELEKDWWIVASIDLTRIPAERSSGVSDSPA FHYSSREMGPSPLLIQQLRRAHSEFLLHHDFKLDGILHQVGRSTFCLFLERFWEKFAW NWELLLTGNPIVEIYNGIKLSAGGELGIGVGEEEWGSGEREVLEDFVTRTDGLVDLVV SRFGDPSPQFEGSTALSGSNDQSRWLGADNDPRPSDGVVFTGVGALSRHSLAHVSHWM ECIYRFGDAAYGVGRDPTSLRRRKPRKQRGRQIPEDTPQPSTPDHNFKPGIPRPLITA APQPLPKVVEKNQTETRNEASPLRSEQNSENLGFPTEAVMKYLTLGYGSSWSFSPKST STPPESSTPMRDESNSNISTPRNQPSSAIQSPQPGLKHRNSTGNSPSGRFVLGPRDDL EKLDDLEEGSPEPESENGKPKTRIVHRTLHLHLADGRDTTPTKLQVVIYVNQPFMFTF LFDPQTPALSSPSLYSSMHHQLGPLQKPLLLSTSPTMAASRIAMSETSPDPNKRFSSR TQPVYDLVYDPSNLTIRSSIPNIPSMSTTSISGQPTNSPARSPSPSPSQSSTQSPWSR VESLAIHHRLLSTYTDTRSRPQELERTSKTHRGWWVVWVRIPHSPTQTPTALSTTSSS VALSSIANNDDSFPGNQSQAYPAPPPQEAFLVRKASDYISPASHGRVSSGARFFRDLG GASSSAGLTGSSRGADMAPSKLVEGLGMDARRYIEGLLSLNR PEX2_024570 MWIIPGPGSLRFCKRPLVLRGLVFRSYATKTSDPLRILFCGSDE FSIAHLKALHAYHLKQPERISSIDVVCRPGKRVGRGLKQIREVPLKAAASALSLPIHE IDTFTGWTPPTSPKGHINLVIAVSFGLFVPPRILNGAKYGGLNVHPSLLPNFRGPAPL HHTLLAGETTTGVTVQTLHLKHFDHGVIISQTPAPGFDIPNPESCTVPELVDFVAPKG AQMLVESIEKGLFVPPIENSGTVQTGKLKHAPKIIPEDRHINWANWTLAEINRRNRVI GTLWSKALCASNPADGPLSFQHKRVILTDTEEVDPPKGCDSFSILPGLPFTNASHPVE PKKSRQLYVFTVDGKVLRINRMKVEGEQDAEGLRAAIKARMFGDRAFQYEGRDFTPFR NSLV PEX2_024580 MPYSLSGRNVLVTGGSRGLGALSAEKFASEGSNVAINYISSKEI ADKLASDLATKYGVKTVAIQGDAGVKEDCVNAVKTTIEKLGGLDIIISNAGWTKMTNF GDLDATDDDDWDKCWSVNVKSSLYLFKAALPTFNANPEGGVFLITASIAGVLPTGSSL PYAVSKAASIHLMKCLAKSQGAKVRVNAILPGLLLTEWGQGFPPDKIESWTNATTLKR APEVEDCADMFVTLAKNASITGQAVQIDSGFGI PEX2_024590 MPFSLASLSFWDLLLWCFTLAITLGASILLVVFISLTISQNINP SKCRPRGSLTHVLVVLGSGGHTAEMLYMLERMKFNPQIYTYRTYLVSSGDNFSADKAK DFETQHVQNSQGTHNSNYTIVTVPRARRVHQSYLTAPFSTLQCFWACLNVLRGLHPDQ KLPKEYSSLYPDLILTNGPATAVCVVAGAKLIRFFLFLTKCAALCLGLRTLSVFTSTP KLRTIYIESWARVSSLSTSGVLLLPLADRFLVQWPAQAGRRAWWGMKKTQYAGWLVI PEX2_024600 MASLFSKRLTCFYCGQRSARSHRGPVRNFRCEHCEADNFFDEKG EITDPPAVVTNAEVYAPGASESPFESTDFGGSQSFCAKCARNQHLFTSSLASYFPPSD DPTDSEYERGYEQFRKSLEDRYPQVCESCEPIVKSRIRRAGYEAKSDHLRRMMDQSRA NRESRQARNRSWRSLLLLVGALAYWASIAGQLAWNLISVSTLSQTSPNFDDLPDTGRP PMAPNSVISCVNQSIRLQRIPSECSPDLAPTAGLALIAGALSLWWNPKLRMKIDGMPG KFRGLAEYYQAQLIVMVVRCVFWAVIKDPSTSGLEPKLIPAFHALMMVFTILSVLFSR YIVSYCSRPLVNWSDNSWETQFESPKVPSSAPVESPVSTRITRSGGTPKANMSGLQQR FPINKLAQPQSPSPVEQSLTVASNLTENDGMDWSPSITHNLRPTVTRRDQPSVLDGPH PFQGQIPAAPMPPAWKLRTQTSTKPIEQVIQPNPFHRSPIQPQGQWQQRRADAEPVFK EPKFFPPHDHDTSTGLETLFDRAFNFQSDAAQGPGWSQQPQNKGLRFDETQSYLFYGC LRLGLLVSFISAWTISQNHQVLIPGNYVEIAALGAASLVAGFALITMVKRPLVQWNGM EILISITELGVAVHMGAHLPTVSLNRDYFDRYGKLLLVFMAAQETMNVLSFYNKARLE SQQSLGSVSPQPQPQSPHLSQSGALDWPASQSSSKSTPEPRVSSPPVHRSFGSQPSAP PLSFGDTEAASSFSSALPSVPQYGLTSSRTVHSFPAANENTFNQDRNPHSFTMESLKQ IEPLSDYERDSDTETVATTTTAATNFTNRNIRYGNNPGLGSNAFYSPRRNGLGSGMGG LSLDDDPTPRRMTRSQTQHGLLGRRPTNYVR PEX2_024610 MSWLLKKIVHNEAMKEDPKEIYGWRVFMLACSACFGGMLFGMDS GIIGGVLTMPGFKKTYGLENISKVAAANLSANIVSTLQAGCFFGALVASPIAEKWGRR LSLIGAAVVAVLGIVLQTAASGHIEAMYIGRLITGFGVGAASMINPLYVAENAPRAIR GGLTGLYQLFITMGIMLAFWINYGSLLNIEGPAMYLVPLAMQGLPAVLLFFGMLMCNE SPRWLAKQDRWEEARATLSTVRNLPSDHPYVEEEFAAIATQLEQERALVAGSGFWDLM KEMWLIPGNRKRAIISIVLMICQQMTGTNAINYYAPQIFQNLGVTGNSTNLFATGVYG IVKMVSCGVFLIFVADSLGRRRSLLWTSIAQGLAMMYIGLYVRIAPPVEGAPVIPAGY VALVCIFLFAAFFQFGWGPVCWIYVSEIPTARLRSLNVSFGAATQWLFNFVVARAVPN MLATVGDNGYGTYLIFSCFCFSMCVFVWFFIPETKGLSLEKMDELFGVSQLLEHKNAD EERASIGDGVDKSSQVHVEKVN PEX2_024620 MSIHANGRTPSQAFSKSPFRTRSDLQEACRAILDPLVPLFTPGG TRVKVGTSTTRFDEGGAQIEGYARPLWGLASLLGGGYEYPEAERWRRGIINGTDPEHP EFWGHIEDMDQRMVEMCPIGFALAVAPHVFWDPLTEKQRGNVGTWLASINAREMPNTN WLWFRVFANLGLRKNGAEYSLSRIEADMDHLDTFHVGGGWSNDGPKSHHQMDYYSGSF AIQFLQLLYSKLAGDFDPERAERYRQRAREFAKDFVHYFDPSGSAIPFGRSMTYRFAM VGFWGALGFAGVELPEPLTWGVVRGIVLRHFRWWSTQHDMFNNDGTLSLGYSYANMYL TENYNSPGSPYWCCLSFTPLALPETHSFWSAEEESYPSSSLPEVVALKYPKHIAVRRG GHSFLLSSGQACHYPLKATQAKYGKFAYSSAFGYSVPTGGYQLEQHAPDSMLALSDDG GDIWQTRRLALDARFEEPEPNGQPILVSGWKPWPDVNVETILIPPTEETENWHLRAHH VRTGRALQTSEGAFAIYGCRSYNGRTLGPMTGDDSEGTMHDSRSGLVVSSAGAVGIAE LHSAIERAGRIVLADPNSNIIHGRTLLPSLSVDLAAGQNCWFVSAVYAVPDHQRDWKA AWEKKPVIPEWLQKRMECTDH PEX2_024630 MLALRGCSSSSALWNNPLKHCWIRHNSSYPAHRFAELASRPSSL HQIYQSLSTDPYVNLSIEHFLLENAPADSSILFLYANRPCVVIGRNQNPWLETDLRAL HNDRRNGTTGEDEAAVFVRRRSGGGAVFHDEGNLNYSVICPRTSFTRDKHAEMVVRAL HEVGATNTSVNERHDIVMARAEGMQNDPNEPLTRKVSGSAFKLTRHRALHHGTCLLDS PNIHDLGRYLRSSARPYIQAKGVESVRSPVGNISAALADSFFSMQGVVQSVIEQFARL YEVHPDAVLRAQRAHANEPEVFAGDSWVAGTVGEVQGEQVPAIGKGIAELRSLEWKYT QTPRFTFSTYPIEEDPRERPPLPSSLPSSTRAFLRLQHGAIVESHISVSSDEPTASDQ ASRVHLALNGQKLHEITLTRWTEILKQGLGETGGVDDAVVKELARFLGGLLGG PEX2_024640 MSTWGQYFRVTTYGESHCRSVGCIVDGCPPGLELTEADIQPQMT RRRPGQSALTTPRDEKDRVEIQSGTEFGVTLGTPIAMVVRNEDQRPKDYGGSTMDLYP RPSHADFTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKFLSLAHNIEIVAFVSSV GNEHLFPPTPEHPSPSTNPEFLKLIETIDRKTVDSFAPIRCPETAAAERMTKVIEKFR DNHDSIGGTVTCVIRNVPVGLGEPCFDKLEAKLGHAMLSIPATKGFEIGSGFGGCEVP GSIHNDPFIVDQTADTPRLTTKTNNSGGIQGGISNGASIYFRVAFKPAATIGQAQTTT TYNGEEGVLEAKGRHDPCVTPRAVPIVEAMSSLVVMDALMAQYAREGAKNLLPRKPQT ILTNPATAPKAAPSS PEX2_024650 MKHRKAKAFLLALLALVDPSTAIPYTPSSVFLSPQHNDSLAYIL RPSSTGETEFVSLNLSSSIDAENLSYQTLLKRTPFSTSDQNSSFVAAINDQGLITVYS GNCHSASDNPVLWRFHPNNKSSVGNGTWDRLPVITSDSKTAVNYLAAGFTYSASHNED ESSMYAFGGMCPFANSTDETWISAANYSQSTVVLGPSPYYNTKFTAATTGKRAPPVAE AGMAVVPLQPTYAAGSTGKQQDFLFIGGHTREAFLNMSQLAIFSLPQQSWSFVSATSN STPKTELTVRDTVSIEPRSGHTAVLSEDGSKVFVFGGWVGDMTVPAEPQFAVLDLAEG FGGATEWIWTAPSFEGLGIAKGAGIFGHGAAMLPGGVMMISGGYNIPKSSSKRASATA QSNSQVYLYNVTSSSWVTSYRNPATSSSNASSSSHKLSSSQKAGLGVGLGIGCPAAMA IVWCGWNYYRKRRVKGKRDSQLRELALGAERAHFWGRDCPTQASSIRSSAISEKRDVP VYPWSANRSQTTRPNWKNQGEGTAERTGLLMDPTSPVKTTRPPVIPPVNNRPFSYRNS EYRRSDATGDIHPIDEREEDEAMFREHLMATIPAETGPTVKTAESEDPFSDTPYATPR STIFGVGLGPFYSRRKDIGSMDAESPTKSEHTGTNLSDHSAFSFASSQPVGKVNQARG VLVDRPLSWGSGRQSLEYIAAASTHSDPEGVAPSEKSVSADSYSTAQTNMSHRQSEND SLLFDALDTTTPSSPSKLPRESKPKASDWVINTMRRALTMTRRSPDSQLYSDASSDST VNRASGIDRRSTLIGSGQQSTASGPSTPRRAVSASAELFRRKQGAKDWNVRKRVSDDV FNTARSTRDDLFVGAPGYLGNEAGFGDDEEDVHDWDLEGAAEGRRVQTTFTVPREKLR VVNATAGDIDSLSERSVSWGTGNRRVST PEX2_024660 MVDNPNPGNFHNRPHEEVENIARKGGQSSHHSGFASMDANKQAA TLHVENLSQEAREPRRQVGKEVDPPISPKNKDDDLIAWQGLHCG PEX2_024670 MSRVAQRRGITTSIAMTPRITDTIKKDHREIESYYGKIITSKDE DEQTRFQNLFTWELARHSIGEELVVYPLFEKLLSDGVAMANKDRNQHLKVKTQLKAFQ NMTPSDTQFVPTIRELMENLSEHIKEEESDDLPKLEQALSQEDSEGCSKSFGRTKMFV PSHSHPSTPDKPPYETAVGLLTAPMDHLADLFQYAAMILAGLPYTL PEX2_024680 MACITIFSINGLTKPTLLQDPYDDTLDLNPCDDWSTSNDPKERR RRQNRLNQRAYRKRKQLREHGKYGSQNHYWPDAIEEQPVIASRPPNPPSQSSIKQPAW RLRKDEALIFLENFSKSAYQSYILNTPSTDHLITLRKVNVFRAFVHNLSVLGIAAERG CMPYDSISQFNTDGASKIDHTKLPVGLQPTQVQRKILHHPWLDFFPFPGMRDNLISAG DFDEDQLCADIMGFWDLSDESCGLFVWGEPSDPKSWEVSEAFVKKWPWAFRNNFSRSG RPSWDTIFALGQFGTQNITNLVLDSVSKHAPQVKRVVITSSFAAMMDITKGNWPDHVY SEADWNPIPYEVAAASDAYGAVSYSTAKALAERAAWDFVQEVKPQFDIATIMPPMIYG PNLNATVDLANLNTSSADIYRLISPQSKSSDLVPDMFWNYVDVRDVAEAHLRAYEVPK AGGERFFICNPETFTYIARPINRRAQKVALVVLNE PEX2_024690 MGNAPPTITEKNCPDQTGKAVGLKVFILTGSNTGLGALLAEILY SRNAKVYVAARTTSKSRGDNQGHQIPQQKFQRRFTTASKAEKGSVRVVWLASQAEKLY APKNGIDMSNLDYHTPVNERITYGASKAGNILYASEYAKRFGNSGVVSVSADPGNLKT DLYRNVPWWQMWIANMILKEPIFGAYTELYAGLSSDISLANNGAFVEPWGKIDKPRKD VASSLKGKSEGGSGIAAQFWGWTENEVASF PEX2_024700 MTEIRSSQAGLEDPDQLARNGHVSKNHKRNISRSDESSQLPSAS NEPESRPPSKSSAEQPIITDVSEAAATFRQAFPGLYGARTPSNRSSSSSLQALNEGIV VDVRSESGSIGRSTQRTSRHSDHQLPVYPDQSYASLQSQVHPTWQPPHLIDPDPLPRH SYARGIRTAGNTPLSSPGLFSMRHSRMGTPTASDDDISMGSSLLHPSHLQPPKETHTA EVDRDMITGNKTINQYEILEELGRGEHGKVKLGQHVATKQKVAIKIVQRYSTRRRLGK LGNPEDKVKKEVAILKKARHPNVVSLLEVIDDPNRQKVYIVLEYVENGEIVWRKKGLR EIVHVDKLRLEREKNGIPDTPAFLEESHQFIRTAQHLRRQRERARERIEAQAADAQQG PIPAWSLEHGAESSEEEDDEGGLPIRRSSSRSVAVTDDSSPSFTQDPCLNAVEGSMYG AYSDYPFERRSSTTSSSFGYAPSEPEWSAEGDDMAFVPCLTIAETRSAFRDSVLGLEY LHYQGIIHRDIKPANLLVTSTHRVKISDFGVSYLGRPMRDEEEEQVGETDATELDDAR ELSKTVGTPAFYAPELCYTGDDFVETIGSIPKITGAVDVWSLGVTLYGMIFGRLPFVS DDGYSMFQTIVKKEVFIPRKRLVPVMDEASDSNQWNLRNDDELVYEDIDDELYDLLRR LLTKDPIKRITLKEIKHHPWTLHGLPNPRIWIEETDPGYQSKGKRIEVSNEEVTSAVS KVPFIQRVRSNVAKWFGSGRSKDKESRKRTSSTTPSTETLSSAASNSTFGKTSRDSRR SSLRGDEELFRPLKFGNQGHPLAQSVTASPVDEGDWASYFDAVQPAAAKLAAATKVAT PPPIVTPRPGPPRRAKSSMSTSESAKTVKPSSFDTPLTVRPFSIIEALGPTNLGSLFS GATRRASRGSRSRRTSPSGETRSLHGDGERPSAGPSIAVSNASAHGQVRTPGLWHEEE HPSAEPVAPSHANRCIRPPQLEDTSPAESLSLTRDALLRRRRSDIEASEGGTLRVDYA MYSTESLLLPPINPPTNQGCPDNTNNGLAASTSATISSSSADDFTSGMSQSASHPSIP SVVSNASSLSGDGYSVKGGDLDEVPSMLRTGETLKPPRPNSPRSSEEDESRYYCDDED EDESEDEGLVIGKRKPPPKAAASDIPS PEX2_024710 MLPYLFLAAAQLFASTSAAITYKGVDISSLLIEEGKGISYKNLA GTPEKLETILSASGINSVRQRVWVNPSDGSYDLDYNVKLAKRVQAQGMTTFLDLHYSD TWADPSAQSAPSAWSKTDIGVLAGQVYDYTLKVCNTFADNKLDIEIVSIGNEIRSGLA WPLGGTSSYSNIARILHSAAWGVKDSKLATTPKILIHLDNGWDWGAQSWFYKQVLASG SALLSTDFDYIGVSYYPFFSADATLASLKTSLANLHSTYNKETLVVETNWPVTCPNPA TAFPSDLKDIPFSVAGQQTFLNRLGDAVKSVGGLGIYYWEPAWVDNAGLGSSCDDNLF FSWSNDQARASLNTLGSL PEX2_024720 MASHDQNHGTVYCPGDIKNRSVSPSPHGQQYHDPNSGGLGVDPS MAAYSTNPSFHNPDGSIGGAEAYGYPNYLAGAPSTQTLSPTEQSYGPTINTNNLPISQ SFETSLVNQLEHSSTGLRSMQGDENFSNMLNSNHPELDFSLYQNHSPNSTSTPEYDSS LLLDPQRHQRQSINQAINPADLVGPISNTSVSSHPSSQDQQHSSPGPMSPPGSTPGAY YTPRHSRHTSLDPATAAYLSAQSGPDWQSVMNNVSFQGHRRAPSEVSEVSSANHSPYL SQHEFDGIENNASPSLAPQSDPSLYDNGLGMESFTLSEQHQQGISPLHSPYISPRLMP QQGVEMVPNNSYLTQFPSAPTDMYGIPQEDTMNMGQLNNIPGDIGQASQMAPPSINVE FAPPLRSPNFDPSKSTADFDSLSPPAMRSRVRSKSDPYHPSSRPRSPATSATSLEPRA PVTPRSLSPVGSVGSHSRSSPSSRDPSPSRSNRRLSTSSIENRNYILDLADPQRPGAA PGEPKRIQKHPATFQCNLCPKRFTRAYNLRSHLRTHTDERPFVCTVCGKAFARQHDRK RHEGLHSGEKKFVCRGELSRSGQWGCGRRFARADALGRHFRSEAGRVCIKPLLDEESQ ERDRVLLEHQQQQQQSPTSHLQPIPQPLVMPNMPGMDGQSTGNFILPAALLAQYPALQ TLQWDQISAVGDDPGEIGGRSSFDASSGGEFGFDEDDSGMGGGYGNNQGSMYGVNNSG QMMGVNPGDPGYSDQKWTG PEX2_024730 MVSQLVWQRVSASRGMATRLSSQRLFARGLATEASSSRMPPYPK LVRNLEQVRRVLGSDRALTLAEKILYAHLDNAEESLLTGTNNGRDIRGKANLKLKPDR VAMQDASAQMALLQFMSCGLPSTAVPASIHCDHMIVGERGADTDLPASIKGNSEVFDF LESAAKRYGIEFWPPGAGIIHQSVLENYAAPGLMMLGTDSHTPNGGGLGAIAIGVGGA DAVDALVDAPWELKAPKILGVRLEGKLSGWASPKDIILSLAGKLTVRGGTGYILEYHG PGVETLSCTGMATCCNMGAEVGATTSVFPFSPSMVPYLQSTHRGHVAEAAAEVAAAGP SSLLRADTKAEYDQLVTINLSELEPHINGPFTPDFSVPLSKFADTVREKKWPETFGAG LIGSCTNSSYEDMTRAEDLVKQATAAGLKPKADFFITPGSEQIRATLDRDQTLNTFSE AGGIVLANACGPCIGQWKRTDGVPKGEDNAIFTSYNRNFPGRNDGNRQTMNFLASPEL VTALAYSGSTTFNPMTDSLTAPDGSTFKFQPPSGFDLPSAGFEEGNPNFLPTAAVPDA SSEVIVSPTSDRLALLEPFAPFPEGDLSGLQVLYKVKGQCTTDTISAAGPWLKYKGHL PNISANTLIGAVNAATGETNVAYDEAGKQYGIPELAEAWKERGISWLVVAEDNYGEGS AREHAALQPRYLGGRVIVSKSFARIHETNLKKQGVVPLTFANREDYDRIDACDKVDTV GLYDVLQAGGQGDIKLRVTKQKTGEVFDVPVQHTFSKDQCAFILAGSALNLLAKKASS PEX2_024740 MESSGNPPSYEETTQVSNSMITKFISQLETARSCKNLLHVLLSD NGLSPDDKCKAMEKTDEIPALEQEKETMCLEDRLRLQDSHKLAQSVCYCYNIRYASKY KVNRQHEHSQVKECTSTGIYLLSYGKIARGEEVAVGGRVDVAKDHERSMGLTQKGCIT GAIALQRAPVV PEX2_024750 MESPAILVPLDPREQPILENLLRTRDALLLIKRDKSSYIKSRDV LPLYEEVIAEVEKLNSVRKEQDRRLVHNRLDYILDDCFQLISLLFLTVGKNNEAPAVY SLATTIQRLLDHLEEAGFYSSKDLNSITKTLESTRETLERGRNAYSPALLTLLESRLE QCEQSLAKLQKGLAVLAPPLAQTHETLVSILRSTSAVNTRTKFSASEVNALREQLKKI EKTAKDGNFVDDEGSVLAGQDGLKALLQRCWRWTEIVLEREGKIDERFRDQYERLLEI RNQLDRLSVTQAWSLRETDLFVYQRKLDRIDEARVNGNFVDAEGQPADLHAQRTLLYL IRRSYAYIYALLISSEPVSEALLPVYNQLQTLRRCLIEVKESGGVANSRELYPYSMKL NSIDNMRVDGKFYVGPDIPEGQGSVNNLLAECYDLVWELRAAVVDGDEES PEX2_024760 MSTAELACSYAALILADDGIEVSADKIQTLITAAKVQEVEPIWA TIFARALEGKDIKDLLTNVGSAGPAAAGAATGGAAAAAPAEAKAEEKEEEKEESDEDM GFGLFD PEX2_024770 MEQGPIPLTAREAASGLLGSVSMTCWFFLLVPQLIENYRNGNSE AISLLFVCVWFIGDAANLAGGLLSGLVPVIVAIAVYFCIADGVLIAQCLYYKVRNSRP EPFHRRRSSTETPDPTTPLLGRRFSDSLASSESRRRSSGSLRRYHASGRRESEVEDTL AKIVEENDYGRKAWVKNFASVVGIFLIGMAGWTMAWQTGMWAPAPLENNNGSEQATGG LVLGYFSAVCYLGARLPQIYKNYSEKSCEGLSLLFFILSLLGNLTYGAGILFHSTEKE YFLTNLPWLIGSLGTMVEDAVIFFQFRIYAVQDPRLSAIS PEX2_024780 MSSRAIRKLQKLREQEQQREADLQDESSEDEAPRPAKPKFNAFD LLNAENEDEEEDEEEIEEEPRAQTPEPEPTPIKSKKSNKKKRKKAAKTSDPANTPPSK SNEADLDEIDRALKELSTGGHSSVSTGVSTRYIPEASFPKTTSELLGIEPKFLNATNE MRRLFGNVVLENFDQQADNGTGRRRDRNRQMVDLAQALTGRYSPASRGQSLAGVTLRR NVLMQGKDEWPRAPSGGLGMETVEKLPSGETLYRLVHNTAYQDVQRQFDLCVESMDPQ RLIGHLQYNPYHISTLLQVSEIAKHQGDHAVSADLMERALFNIGRSVHSSFANRLKEG EARLDFIHAENRELWLVGWRYITNLAMKGTWRTAYEWAKLLLSLDDSDPYCMRLLIDH LALRGREYAQFVQLCTQTRFSRDWANLPNIQCSLVIAYLRLNKPQECRRQLQLAMSRY PWIFSRLAQELDVQHVPKQIWGKMPPTGTDELLTELYIARAKDLWNTPEAISLIMEVA DSISGVEPNDPPEITLDIARHVVLSDIAKVTTHLPNHFVAGRLSSSDPLPPYESEAYK QQSEPTPIYAAPAGGGENWLQDLLGQINHDARPPNVASDDEEPIGFENEDETTEHFDI PHSRPPLRDPAALEGWLTREGLQTLRIFLSQYGVDRGNWSEVVVDYAPLTNYLEAIAA ISETTRQRLLDGTIKDSLGDFAVSMLEDELAIMRGE PEX2_024790 MHRRNLSLAVISTVVASGAWYAYQGDTVKSAATQIRGFASSAIN SAYAEGPAESTRRALLVSGDQFYTATLSGDQPLAKHTDDSDRQVLEMLTPEQATQKLR KNEESYLVNRGKGVVRYDVVQVPSNSPIEDDHAEKIVEIPASVAAVSNGEPSSDWMFW GVFDGHSGWTTSAKLRNVLISYVARELNTTYKAASADPSLLVPTSEAIDAAIKKGFVR LDNDIVHESFKEVLKSKSRRVAAELLAPALSGSCALLSFYDSQSKDLKVAVTGDSRAV LGRRGPSGKWTATALSEDQTGGTPSEIKRLREEHPGEPYVTKNGRILGQLEPSRAFGD AFYKWSRDVQDTIKAKFFGRTPHPMLKTPPYVTAEPIITTTKIDPSKGDFVVMATDGL WEMLSNEEVVGLVGQWVEQQQSAAAGTGSKAWLQSWFGFDSQKKLPVETATDGSTEGQ RRPIRQQQYDISGAATRFVVEDKNAATHLVRNAMGGKDKDMVCALLTLPSPYSRRYRD DVTVEVIFFGQGPDSRTVEVNQEASASEQPPKSKL PEX2_024800 MDSLRGMSGNNSGFIAWLSSFIESPQDPASAAQSLHLTIEPTLA NEGALWFPDLLAGDPTGVLPICLTASILGNVLIGWKVSPWRQISLLPKTEMYKQITFT GLRAFVIVLTCYIGFTSFVQGMPTALMLYWITSTNVATLQTWILDNKVFSPVNSGQFI QKPIAFEKPGDKDPFQLKNLR PEX2_024810 MALLSKAILAILVLTATAVLLPKVTGVLLGLVFRGVGWLIWRRT RSRREYVIARARSEEEELRASRPKGSTTSPSRNGAEDEDWEKVDSSGASGVQTTTGSE SSGGISEGNQRPEDWDGIIGFFHPFCNAGGGGERVLWEAIRATQKRWPKAICAIYTGD HEVNKAKMLERVENRFNIHLHAPTVVLLYLTTRKYVVSSSYPYMTLLGQSLGSLVVAY DAFTLLVPDVFIDTMGYAFTLALCKWLFPTVPVGAYVHYPTISTDMLASLDDKSGVQG INSGAGKGWKGKAKRRYWEIFAKLYGWVGRQIDVVMCNSSWTAGHIRKLWGTGKETSN SSGDGTASSPAVVFPPTAVTELESSIAVDEESEKTRQPVLLYIAQFRPEKNHPLVLRS FARFLQERTNNPAYEGQPSPRLVLIGSVRHSSPDETHIYNLRLLAHELRIRDQTTFLC DASWPVMLSHLRTASVGVNAMWNEHFGICVVEYQAAGLICVTHDSGGPREDIVVDLGD GATGFRAETEEQFAASFEAALALPEAEKLAMRQRARRSAQRFTEEEFSRKWLDQVQKL VKASKV PEX2_024820 MAEEGASPRELVVEACRRDQPHLIEQVVNEMEGKTNEQVAQFFN EVTDSMGNHALHICAQYGSYDTMDALFDIQFFECDPLTRLDKDTPLHIAVRYANDKDA ELGEAMIKMMCEAGCDPRVRNKHGQKPAELVFNNNEIKKTLQQTEYIMAEGIQNTGNG ADSDGGEASDSD PEX2_024830 MLVFCPNCSNALTISKAESSTRFPAGVNRFECRVCPYEAPLDKN YFEKKDMKQKEVDDVFGGKEEFANADSVGTQCPAESCNGERAYFFQLQIRSADEPMTT FLKCTSCGARWREN PEX2_024840 MGKEERNHINIVVIGHVDSGKSTTTGHMIYKCGGIDQRTIEKFE KEAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFQTAKYEVTVIDAPGHRDFIKN MITGTSQADCAILIIASGTGEFEAGISKDGQTREHALLAFTLGVKQLIVALNKMDTCK WSEDRYNEIVKETSNFIKKVGYNPKSVPFVPISGFNGDNMLEPSTNCPWYKGWEKETK AGKSTGKTLIEAIDAIENPVRPSNKPLRLPLQDVYKISGIGTVPVGRVETGIITPGMI VTFAPANVTTEVKSVEMHHQQLKAGNPGDNVGFNVKNVSVKEVRRGNVASDSKNDPAA ACDSFNAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFAELLEKIDRRTGKATETSPKF IKSGDAAIVKMVPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKSVEKNAGGAGKV TKAAAKAGKK PEX2_024850 MPLNRKRRNDAGSETESTPSARRRRRQSEEPDSSSAPESDDDGP SAPTSTDAMVKKMVRLAIASEYSRLPIRRSDISAKVLGEQGSREFKLVFSQAQRELKQ RFGMEMTELPAREKVTITQRRAAQKIEKPSSANKSWIVTSTLPLPYRSPDILIPTKAP SLYIESTYTGLYSFIIAVILLNGGSLAEQKLDRYLARTNAEVGTPVDPTDKLLQRLCK EGYIIKTREMDGGEEVIEYVLGPRGKIEVGTSGVAGLVRTVYGKEKGDHAGLTQLQKE ELEDFEGKLGRSLGIEPATVQASGVDGASDVDGDARVNGDEEEREAPRSSGPRRSSRR TQEEGEEEDSGSEEYEEE PEX2_024860 MGEPVVNGEKHYSQFLDHLTSYPIISDSISYYKGSPYGAKSLEF ADQGYTRLAKPVLPYFSTPYSYVAPYLARADSLGDKGLTQIDTRFPIIKEDTQKLRGS IYNRASLPVRVAGDVKHHVSDIYGSEYKKCGGDGVFASGKAFVTTSLVLSQESLAWVS TFLQSKKEEAKEVVNEKNSH PEX2_024870 MPFHLKRIREDAREEWMDNEVHRYYNMPMIIVRAKPHILMHCNV CRDTASLLKTPVSIDKWINAEYFIWEMSARLADSGVNPQWTKFFEEQYKEKWVQIQDE FESIADLPERFLHKVPVPPFQVAEVRIMAHKSPCYWRLRANTGRHMIYTSFWHLWKWI LKAGSRTVGPFDVALRLPSHTPVWEDPVDPWNMSLSNGPPGIVSREDVSYLRWDGACS DSAPLDFTCLSGGV PEX2_024880 MKFMKVGRVAIITRGRYAGKKVVIVQPVDAGSKSHPFSYAIVAG IERYPLKVTRGMGKKLVDRRSRIKPFIKVVNYNHLMPTRYTLELEGLKGTVTNDTFKE VSQREDAKKTIKKALEDRYTSGKNRWFFTALRF PEX2_024890 MGDFKQMLKRGLRRWDSSDPERAPPPLPMNPRSMSPMSPATRSN VSPNIQAVAAKFTERPSEHAPSSYTTNPMPLKSSSPERSLVKGQHHKRMQSLQPEDTR QDARSDFMNYLESRSPERPLRATIIEAAKPRDPTKSISSPALRQDADREMNYSVSSRY LSKPILGESTPPSATMLALQNMQLPLEPDPPSPSKPPKLIAAPFEPQPHHTKNTSMDS LSNQIHSLTDIASSLQREMMNLSRRSKDNATDLVSLKAATNARDEDIRKSLKELSSHL KTKYLDADATRFDFSNLFKGGDGPNPKDPDSPVSKRSYSVPRMPSPNPFAFDRDSCVP PAPISDGSAALALLEKVLREMATKEGEEKLLELVEEIRSRPAPTGSDKDADNKVTVML EEILNLVKEDPSSKALVRSFSMADNLDNMQSGDSSPERTRSGSMRSVDPETVRALDLS NSDKGDASSPNADEVLEILRSVKNSVVEGGGMTNGVKSLVRELRGEVLGMGRELGRRL DEIESSRANDEPEEQPRAAGPEEISAIVNRSLDDLKEQLAATINESRQQSSDLSEFRS TMNSAAIYSVVKKALDELELPQPQPESRGAEMDREDILETVREAWETYKPEIEFNNFG LERDEILTCLEEGLKSYQPQHEQAVTYDQVLAAVEDGMQKFIPPQIEQPPSISRDEVI LTIRECLEKQPDPVSRSLDEEHVGHLHSMRDEILDAVSKAMTSHNEGSRSLDEEDINH LYSIRDEILDAVTGTMAANNMQPKSLDEDHVNHLYSVRDEIIDAVTGAVTSHAAASKS VDEDHASHLTSLRDEILQAVAGAMEKNSTGSKQLDEDHVQHMYAIRDEILEAITGTIA ISSTEPKSLDEDHLNHLYSIRDEIIEAVIGAMANHSTSRSLDEDNVGQLNSIRDEILG ALAGSMAQSTLSKDSYDSGLGRDEIVSAVSDGLEAHFTAAKEMDEPRISRHDVINAVN EAFNAQQSALTLAHTNISRDEILNAIAEGIESSMNAQQSALSTNVQQTVSRDEIFSAI VDAIEHSRSSLSNNEQPSVSRDEILGAILEGMQDSSNRQEPNISRDEILNAIADGIEN SNNSSSRALTSLGQEGQDQQSSPSRDEIFNAIVEGIEHSHASLGINGQPSASREEIMS AIAEGIEASTISQQSALSTNVQEPSISREEILTAIAEGIQNGNSVTREIELNQDDLME AITSGLNEAITTANTNVGGEMTERLQTLFEGMKEEFKQYSAAGGRDTEQVLDAIKDGL DIVRKELETYVVTAADLSGKDEVIDTVKEGFRLLQADMEKTITDTSLLNAPRGIPDTP ELLDAMEKEFEHLRGTITTLLLRNNATEDKDEILDAIREAADRHNNDAGDEVVNTIKH EFESLRERMEMSVVRAEPGAEKDEIISALREHFDKLREDASLKDGQENEVANTIKQEF ETLRERMEMSVVRAEPGAEKDEIISALHEHFDKLREETSLKDSQENEVVNAIKQEFES LRERMEMSVVRAEPGTEKDEIISALHEHFDKLREETSLKDSQENEVVNAIKQEFESLR ERMEMSVVRAEPGTEKDEIISALHEHFDKLREDTSFKDGQENEVVNTIKQEFESLRER MEMSVVRAEPGTEKDEIISALHEHFDILREETSRRDGNESTLSGTSELLDAFTGGIDS IRDDLKKLLEKPTEFDSSEILDTIRDGLADLKLEMDSLRVSNKELDDASTARGGELIL ANEPSIGPDIEGLKVLITQLHDKVEAIENTPRAAEDTEDALKRSHLDEVLLALHEIQL AMGEMSTQQSAGNADTARKQDTEILEQLLLSTKTQIDELVFPSPDQVATPEHIAALET MIREAKDSIAEFSSRVEAEAPTKSEIGTLEGLIKDVWVILDEMKSKTNDVEEEEDPER LLKSDLQTVEAMIFEVKTQVDELKLPDVDTLPTKTDIQELSTLVCDFREQMETNNELT AQGFDARKVEHGGLAEKIDEAKFVVGELGDELKSKLDGSTEGLTELKQLLEALAITAE SFTTVENIKELTDLINREFERSRGEEDAVKVEKEERDAATMVKHDETRAAIILELGTK IDEKISEVLTKYEDAHTSLHLKFSETEERDLAHTESLTSTRSLAEDIKLVIGAMGDSV NETCERLSVDTKALMEQVDQSRQQMENIHNDVRSHQEQSQAENERAAAATDRVESKLL EFHPRILESVQEILTIVSQHYDHSQKSAEDFKSELSALPANIPAMLPAPPPPEPVRTL AIEDTPLHSKIDDLLEHAKNNKVHEVLSTLLDHSKNDQLHEKLDRALDQGSGSNDQIY EKLNELLDHATNGSGPVHEKLDALLSRPLNPPESDHSLTQMTKLDEMHRDIMENSRRM NEMFAAQSVLVAEDTERKRREAEEAAVALERRVSQREQVEGELIGLHEEKDSMLNMIH RLRAEKEDLIKQNNKLSKDLSGLETALEIRHEEMRQMEDRADGLEKRILEGVLDHART VLLGRSNSSHAMNLKRNRSTRSTRSSRTGARSPSVASTASTAKDPKDSRSLLGNGVGM ALKRMPTSLQAGTVAVQPNIGKERRILSLSHVTGNRGVDRQVSAKSGITNLKRSHSVK SNTLRKTSWAGPTSVADKENQPFHEEDELASEAEDASQQRKTSYAASNTPTDRKTSYA ESNLGTETERSYAPSNAGTDRRTNYAASNAGTERKTSYSGSIVDSAVTDATDQRRVSG VSSTNSYSVAESSITECDHEDHHDDISIDGSESEDDAQTAREDGEHSGDEHQNEDHEM SRQIREANEAAEAEVLKLLAPSNNSGLGADVAV PEX2_024900 MLDPFPPPPEWLRSFTEPYAIQLSSPTLADHIHEVIAAFAFYLF IHAVLSPWLSPILFPQSYNKLTPRTKLNWDIHVVSFVQSIVINAAALWVMYTDQERSS MTSGERVFGYTGACGLIQALAVGYFVYDLIVSIVHVRMFGIGMLFHAISALWVFSLGF RPFLNYFAPTFILYELSSPFLNIHWFLDKVNMTGSRAQWYNGMALLSSFFACRLVWGT WQTVVVYGDMWNALQQTWSAAAAPLSEAMSVNANVFYPARDGSLCVNEACVLANAEIT KFKEYTAGGVPTWLVVTYVGSNLILNFLNFFWFSKMVETVLKRFRTPAESAAAGEKKG KKEPVSAEKAAQLKEDIVRDVVLEAASKLEQQETAIMQGDLSLLQEQVSSAVDSGLGE ELRKRKSELASKVPLSGA PEX2_024910 MSSKVSPRIQEVRDLVKEDPSKAATSYQKILSEGPGSTEASSRD YEQALIGLGELHRDAKKPQEIADLIKTSRDTFSSFAKAKTAKLVRQLLDLISEIPNTL ELQGNVIQSCIEWAIAERRSFLRQALQARLVAIYMQKQSYYDALTLINSLLRELKRLD DKLMLVEVQLLESRVYHALGNQAKARAALTAARTSAASVYTPPNLQAGLDMQSGMLHA EDKDFTTSYSYFIEALEGYSSLDEGDLATATLQYMLLCKIMLNLVDDVTQLQGSKQAQ KYASPRLEAMKAVARAHANRSLEEYEKALSDYRYELGSDVFIRNHLRRLYDAMLEQNL IKVIEPFSRVELDHIAKMVGLDTQQVERKLSQMILDKVIIGVLDQGSGCLIVYDETER DQAYDAALETIEKLGNVNRKFCPLDYVVRDRPKRVKRDGQKNRDLASPTSRIFPPPIR HPPTTVSVLNFSIMQAPMQQHYSRAMPPNAQRSPAAPRRPPGPGGAVPVPMPQHAVAP QYMASRPMPHPNDAALRRSRKPTDRNLPDGIEDVIIGEGVQQYKNLRDLEKRLDAAVV RKRLDIQDSINKTVKKYRTMRIWISNTVENQPWQGPGNNPGSGRYKVRIEGRLLDDET DPTVPDEDEKDEDAMDHDGAEEDKAKKSESKSQPQRFSHFFKAITIDFDKPVSAIPDE VKPVNWSKPNTHSNTPAPPSAEFDSLQFSRASQDNLNVTISLVRDEIPERYKLSKELA EVLDVEEETRSGIVLGIWDYIRAMELQEDEEKRQVRCDHRLRSIFGREQMFFPQIPES VGPHTSPMEPIKLPYTIRVDEEFHGDPTPTIYDIQVAVEDPLRTKMMALTQNPAYTSG LRQISSLDDQVALIVQALTHSRARHSFYTALSKDPANFVRRWISSQRRDLETIMGEAT RGGGEDGSGPEFRYGGVDGPWDSEVAKEAVRYMLAKPEAAAAR PEX2_024920 MKIKALSRSTASQQAPGSAVVRQSRNLDPTQHPFERAREYTRAL NAIKMERMFAAPFLAQMGNGHVDGVYSMAKDPGSLERFASGSGDGVVKVWDLTTQGEV WNTQGHENMVKGLCWTPERKLLSCASDKTIKLWDPYNSSSEAPPMATYLGNSAFTSVT HHRTLPSFAAASGVISIYDLSRPSSTPSQTLHWPTSVDTITSVAFNQTETSILASTAM DRSVIMYDLRTSQPVHKTTLRLASNAISWNPMEAFNFAVANEDHNAYIFDMRKMDRAL NVLKDHVAAVMDVDFSPTGEELVTASYDRTIRLWNRSTGHSRDIYHTKRMQRVFSATF TPDNKYVLTGSDDGNVRLWRAKASDRSGVKTARQRSKLEYDQALIKRYSHMPQIRRIK RQRHVPKPIKKAGEIKREELNAIKRREENVRKHTKKSNLAPRTHEREKMILASEQ PEX2_024930 MSLPNPQFLKTTAKVPHPTSGSTNPHANLLTIDTLINFLSKSLL NPFIAWIFVLCLRAQVTPSTDPVWILAVSYATALTVLFVARVINQRAAHGIPRTVDFE HEVVVITGGASGLGLLITQMYSMRGASVAVLDIKDVPEHSREEVFGEGVVYITCDVAE RGALEVAKEKILKELGTPTIVINCAAARINGLSLLDLPADAFEKTIRTNLLAAFHLYQ VFLPGIIASESGGTLVTVSSVLGQLSAAGLSDYAASKAGLSALHRTIEAEIRGNPLIK TLLVEVGQMSTPLFDWVRAPNHFFAPVLEPVEVAREMVTAIDSGRGGVIRLPIYAKLV NWYAVLPATVQRVARYLSGIDAAVTQSRQTSGKTD PEX2_024940 MAEVLGTVSAVLAIAETSLKASSALHKLFTTIKDAPREIMVLDR DISVFNSLVISLQAALNSEDVMVIVKRDIEIDELLEILLLPMTNCKEVCEEIHKKLQS FRVSLPSSEGTLDIEPPRRFQLKFAKWHFAKKDVYALLARFQASKGIFSDAMGTLTLL LTFRIHSVMSKGQPKDQFINQVNGIQRFDDDAGSAFLRYTKMTQEVYLDLNTMPLPAR HAKLKGPQHAKSLLDAVRNDALASVEMMLGSIDVDTQDPLTGRTALSITAELGNLQMT KLLLSHGANVNIRQYSLSKQQGEGFEGCAIVRSGRFPLHWAVVGDHIEIVKLLLQHRA NPNARNSAGRPVLQEACFKNNPEMAKILLEAGADVNGINLHSGWAPIHECSNGKSIDL LYVLLDYGALLDVPVFDCYYPDAYPIHWTTRLGEGNTLKILLASGADPNALMAEDISC LHLAAARGWVDGIFSLLEKGASINAQDLCLRETPLHKAARNCEMSAIRVLCMNGADTT LKNIDGLTYQEVLACAQQIPDNWRVDVMRGSFCSRY PEX2_024950 MALMKTRDVTIHSVFLVYRKLLEHIERSNRKLRRKVTPWKKDMY SALLVARQKLKEYYEKTYRDHGFLYGTGTLLAPQYKLSAFDDRESSTCHEDTSKRYCE YLRACFTQYQQQSPELLFRTVQRSSTLHSSELDRLLEPLDASMLDEGTEYDEVDQYLK EANVPVTSQIYWKEHESKFPVLSRLARDLLSVPATGADRSETTRSIARAATLSVHIYA LREAAEETEATTLQLQVQPQISMPPSDEVMASRGGIRTPRYEVLIHTVRNWYIR PEX2_024960 MATSRINPNRPSIDLPPSHSQNNSRSTSLERIPGSIYQQLDPLL SNLSPESTLQALTSTDAVPSNEQAAHDILSRSISQVSPSERALGIRAAVAAQNLNLWY KEVQSWDWPNQRDAKVGIGFIPPSESHSEQNPIPSGLLAPPSNTQASYYGSLLANVVE RYERRADEIRDGMDDLNVEELKEHVLNAHIPSRSRPSSATSCVSVPPPLSYVQLSDFT AVITATILRALPYLSRLTALLTTWEVRIFVLRQIPGLLRELSLTRSALDSSLQALRTP HSSASDPSKSSDASLAVDHVKLESAVVAVGRRMDRVLDALEGRPDSLPEKWIDDLEAI ESDFAVWVVEADKYKVRNAWLRSKAESEMAEMRAADLQRAESLKETRQDLISDTAVSE PSDDLPSNQEHAIEHEPELQCALELPSGDSRPTGPQLVEQSTMPLEQTPLPADCVEGD ASPNLRLLTSLSKSPIEEKQEDLTPVVVAEDLDTPTQSHFPPAPTIGREPFPALAHTP CAIDRTLENKENIPPPGFQQLDGPVSSPTQASTRPSPLSERSDLAEDSFVQESTVPEK EVQLPEVVVSASVSAAEELNAFADSKDAPHDTVADEKHNNSDEEEKQASPHTKSTVLT PEPKSISSPAAQGPEFLSGPREQNQCDTPASATISPVLTPNRSEIRVPKSRPSGRASQ IPMAVASPKSISNRTPIKSHPPQNEGKAEPCQRTVRKPLQSPIKLSKFRPGKPDLDKD GTVVHNITHRRRTSTGSVGSLLSDHSSLISSPEVPEPRTDSSNVTPIGTSSHPGSIHP PSHGDYTLREDRLRRLENQKPDLRISFQQSRTVSLPLERFINERLGLGLGSESAPGVA SVKPSRTRTRSVTSADFPNPPKTRSKITFQDTSAASIPPVPRLPTGRHQLSRGKSTSD LNSQNEMAKIAEGNKKTFGMNSARRAMEHLLQPKSVRWRQRLTAHPSLESLGVKRQEL SYVEEHESELTDFGFRPSSPTKHSKQPRDQLDEKINSILNSLPGHIHMVDSNHEGDTS SSSSSLDRRVRYRSESPTGLARSNTPAPSLTLMPASRRRHSHAYKTEDSCVKLYHLHH GGQSAPTKLFVRTVGEEGQRVMVRVGGGWADLGEYLREYVIHHGRRKVSETPRVEVQG IKTRSSPSYTSPGTMLTPATSYLASGRATPSRPPSVLSARPPSSLTVHKKRRASTASD VMGSRAVTTGHISSSTSSPPTIAPLPSSTGRRLSVSSGYSVGDAHSPNNTATTSTTNE SRSTPLGLAGPKPRARYESMSPEGEAWVADVLQKTRRSSSHNPPQFALSMPPNHDSDD RFEIGDGSVVGHFLPKVRSVGDIGSIGTSRRVVLKGLGSRRP PEX2_024970 MQGFRYRPELMEGLPEELTKELKKYEDWFWLDPPKLKTISDHFA KELEKGLTVEGQEKGRILTVDLGGTNIRVCDVCLSAGKRDFEQRQRKYKLPEEVKTTT KDALWGFIADRIKSFLSESHNDTSASDSNPIPLAFTFSFPVEQKSIRSGILQRWTKNF NVSGVVGHDVVPQLEEELAKRNVPVKLVALINDTAGTLIASRYRDPQVKIGSIFSTGC NAAYMEECRLIPKLRDSALPEDATVIINTEYGSFDNERKVLPLTPFDRQIDNESAHPG RQIYEKMVAGLYIGEMLRLVMLKMHEAGLLFKGQDVSRLRTANSLETSFLSTVEMDMS ESLTDMKEEFMDSLNLELSLDELKACRLLIGLIAMRAARLYACGIAAICKKKAIRQCH VGVDGSVFSKYSMLKGRVTQGLRDIFGWDENRLDMIALNSAEDGSGVGAALVASLTLD PKLTASLSSLGKVCWMRLEYEVIRFTIIPDQGTQVWASIPVGTIFDDTTYELESNSDA INIEVNISVLNRALRSAWGSTHTQLRLTKKDKTPLLALTVLASEWTEGNIALATNNDA DGFGDDSNPEAPADTTGDRGPRERQTWITQEIPIKILHESAVEGLHEPHCPDPEVHII LPNLAQLKSISDRFTKLASTDSKSRQPGVMAPEAPGMHSVSFSGPGANTAATALSTNS SPKLELSANMHGSLRLAIATDELRIASVWSDLVNPPLDPAQMSQEQMSQLPSELNRKR EASEGFESGWAKVRIDGKDWSRVLSIGRLSPKVVACEFFAGGVIGIAVRWCKY PEX2_024980 MCWNLNYNFYFYFPKFQVFKDWNELLDIVIKTGACQKPCKKKPP VPENSSKQRNARPENSKRRRVIYIVPGVRALDSMDEVAPKNSKDQAMCKSKASDDVQ PEX2_024990 MAHQATDNDLESWNPALRPENNNSSATMSSIQGSLSDPIAADEP KDKSLDDIVSPQSEDFSAWDMSDEVDAIQPQYTSLDVLVNNASPVVDQAVCLDTDSID KKSQKPDENIEAAHTTIAPSIENADTALNPTLPETQPTQPVQTEAPPDQSGAEASNSF FTTIDGPASVQTETFDTVPAVALEQETTESTFVRDATPSIEEQTTAPETTSETLKHEY TTQPTPTEELFPQADEGNTYSEDPWATFEKGLSNTLPSSEEATPLNKTSHTIPEFVST STQIQGSDEPKFVEEAVRPSPEETAFTGATFDEERTDIFNSTENTIASTENGEADLVS RSINVESENTDPPAPIVEAFTPTNEAHPDSAAADVYTATQDQPFWTGESSGEVDSGED DFFNQLNSQTKPIFAPPEAESRFEEGVPLLDESTPASPQRPLEEDKSIDNVFSNDEDD ADGFFSSAPKLEDNNGDEDFFGSTSKEEAEEQPVVHFARKSTSQVLESASFALDSPVS DASAAAQFDDALKAASLEPQTTADPSEEELAARWEAELADSPDDDLAARWEAALDDDD ILLETEAVQPASAQEYIDQSHIQPTHNGLSAGFNSPLQTPQAVSQPRPVSNIYTPHQP STGDLLQGVPLPGTAPPATGAMPSYFSQPPQNPVATRGESFAERSKEGYKSPYDLPDD ISRPRKPVATHKPVPPTVTSMLPPPPPGVSGVPIPAAGFSTPGAPPSSVSAPPAPKNF YEELPLGPPRSRPASSGRYTPNPQAVSTMTGSSLPPPQVQYPPAALPPPQHIALPIQS TLQPPEQLDPYASLASSAPAGPPAIARYSPQPPGLQAPPKPPSLPRYSPAPPPTSSVR NRYASQPLNVPGQNLPFQPRTSSPLAHHEKISYQPDQSHKPPSLEPAINLSPPRGQGA SFGQFPPSAPQYLNGPASLPRRESAGSPVQPSPPQSRYAPQEYLNEFAQRLAPAQEHA PPAPATNVYMSPPPSDIQMGPLRRSQTQSPGRDLTSPRSYGQNIDAIQRPASVQVSGS PTKTVNPYASVQATSQTRAPTQYLEFVVPNDGQEHDPLERWKGAPIFKFGFGGSIASC FPRHIPRYSAGQVAPMIQPTLGEPKISQLSEWLPSADSIVQHPGPLKAKSKKKDLIAW LSNKIAAFENSDLLSYEQVQSDTRKRQEEKTLLWKVVKLLVENDGNLESSPEIQKSLR QIIFPNLPNPDADGTYTSSLAASGGFASSEMPSQPDALDAQWLEELRLHLICGDREKA VWSAVDRRLWGHAMIISSTMDKSISKQVAQEFVRREVRSKSANTESLAALYEIFAGNI EESIDELVPPSARAGLQLISKADGQGSTKNALEGLDKWRDTLGLVLSNRSSEDHQALF SLGRLLTSYGRTDAAHICYIFSRAAVFGGPDDPKANITLLGADHQRCPSSLMDEDAIL LTEAYEYATSVLGNSPTAHMPHLLAFKILNARCLVDQGRNLEAQGYCDAVAAALKATT RPSPYYHQHLFGEVEELSARLRQTTSDSGSWISRPSMEKVSGSMWARFNSFVVGDDND AASTGSGKGGEHAEFGPFANVAGTPTISRSPSVSDIYGSYPGAAAGAQPIPTSGASKY HPSSQYAPNASPEQFKGRSSMDSQRSASYFPPVGQRRSSQELSPSIDQQMSYGAPVYG SPNATGYQATPPQSSYVPLAPVEEAMSPTEAPPAAQPMVNGLFYQPPGLSATSSESPY YQGPPGMPASTSDSESPYAPPVAGSSYEPVSYEPISAGVDMGYAAQDDDLPSPAEQPK KQSIMDDDDDDLAARAAAIQKAENDRKTNEAFAKAAEEDGMYQQRLSLSSLANLSSAA AKKDAQQSGKKGWFGGWFGGAKKEENNSGGGPIRAKLGEESSFYYDKDLKKWVNKKDP GSSTPVHATPPPPRGFAPPSRTASSGSIPPNGAPPMPPMSVSGSRPQSSSTAAPPFLS SSPAFSGLAPRSVSTGAAMPTPPGSSSGPPPRPSSSLTHASSIDDLIGAPQARKGNTV RGKKKGRYVDVMAQ PEX2_025000 MPSSSDYLHPLDHPDAPDAFSDSDDDLDLEELDPGTTSPQQPRE SHDYTGRKRSYGPGIALRNLRVGAASRWRRSASGHADLEDDMDALLENGEEQALRDSH ASSRNLEDDDAPLLDRRTSTRDFNDEPPRKGSRLRFPIFKTPAFLQGTSIRFGSSDND PENQPPREVLVGQHQAAKYPANVVSNAKYTPWSFLPRTLYNEFSFFFNIYFLCVALSQ VIPVLRIGYMSSYIAPLAFVVSISLGKEALDDIGRRRRDAEANAEEYCTVALGRSNAI EVIKKSRDLKVGDVLKVRKNQRLPADVVILKSISNDSGTPRHSLTEEPVPGASSDLLE PHQGPSEPTADTTSKSGESVENSPAGDTFIRTDQLDGETDWKLRLPSMLSQSLPLSDL SRLQITASAPDKRVNEFVGTIGLGPPTGFYDAHVDKSSVPPNNEGTPRPVENQSAPLT IDNTAWANTVLASNTITYAVVIYTGSQTRASLSTSASRSKTGLLELEINNLTKILCVL TFTLSIILVGLERFQPTNDKKWYVAIMIYLILFSTIIPMSLRVNLDMAKSVYGRFIER DKDIPGTVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMELKKIHVGTVSYANEAMDEVA SFIRQAFAFSGNTLITPSTAFGNQVGHAAAPRTRREIGSRVRDIILALALCHNVTPTT EEEDGRKVTSYQASSPDEIAIIRYTEEVGLKLAYRDRQSIVLESTDSKQVVVRARILD IFPFTSDSKRMGIIVQFQHDENMLESGKDDSEIWFYQKGADTVMTTIVAANDWLDEET TNMAREGLRTLVVGRKRISAQQYQDFSTKYKQASLSFQGRDAGMAKVVSEYLEQDLEL SGVTGVEDRLQRDVKSSLELLRNAGVKIWMLTGDKVETARCVAISAKLVSRGQYIHTV TKVTDKSTAQEALDFLRNKTDCCLLIDGESLNLMLGQFKTAFISVAVLLPAVVACRCS PTQKAEIADLIRQHTKKRVCCIGDGGNDVSMIQAADVGIGIVGKEGRQASLAADFSIT QFHHITKLLVWHGRNSYKRSAKLAQFIMHRGLIISVCQTMYSIASHFDPKGLFINWLM VGYATVYTNAPVFSLAFDRDVDERLANLYPELYKELKTGKSLSYRSFFGWVLISVYQG AVIQGLSQILLDTISGPRLISLSFTALVLNELGMVATAITTWHPVMIFCLIGTLLVYA GSVPFLGEYFDLSYVITLDWLWRVFAVLAVSLVPVWAGKMIKQSWHPPSYRKVRG PEX2_025010 MSDEIVWQVINQQFCSYKLKTTKDQNFCRNEYNVSGLCNRQSCP LANSRYATVRSDPETGVMYLYMKTVERAHMPSKWWERVRLSSNYAKALEQVDERLIYW PKFLTHKCKQRLTRLTQVSIRMKNIAKEDERLGERVVPKLAPKVRRREETRERKAESA AKVERAIERELIERLRSGAYGDRPLNVEEGIWKKVLRGLERAGDGERDVDMDDGEELE EEEEEGVGEVEYVSDLDEEEDLEDMEDWLGVDSADSSDYDDDESEDDDSEDDEEASED EKKPKPSAKRKHVAPQTKPRKKGPRIEIEYETEGAGKDQVMA PEX2_025020 MSSYFTSLTSGSAISGLGSRLTNLRRAITLGDEGDDPENEDCSH ISNALRAYYTENGRPFPPWLPQDPKGPAPAPSRQIATSQLPSGSYNQGPANSGRSGGL GDLWGDSPSSQGSIPQTASLRRGRGGTAPPLGSANSAPPGPAITLSQSHSPAGSGSPS PAGARPLPSQRAGSSQSAQTRPILDRVGSGGGSAQDRLRARLQGGRSPSPNVDPSVRK PVGMSGRR PEX2_025030 MKAKKQPGDALWCRDWPSRDPGSEVCTPYFPMCGEKLGNQDSLG RSDQVEKKAKKEDAVSPGGKHRTIIGNMQCTAGVQQGLECRETSLRDSRRIVGHQSLC RPFQGTQQPIYGI PEX2_025040 MADLKDVEAPQNTHSEDKDAAAFEMDDVKDPKLQNKRNETVMDA ELLQLEEQVHSLPRSRFEMTLSNPVIFTYILVAFASMGGMLSGLDQSLISGASLYLPI DLGLSSADNSLVNAGMPLGAVFGALLSPANEYLGRRMAIIVSCILYTIGAALAAGAIN FGMVFAGRFILGAGVGLEGGTVPVYIAECGEVLGYAIAAMFLSVEGNWRYILGSSLVF STILFVGMLFLPESHRFLMHKGRVIEAYAVWKMICGFNDFEAKDEFLVMLQAVTAESQ PEX2_025050 MIYASIMVFLGQFTSVNAVMYYMSKLMQAIGFGDKDAVFMSPVG GGALLIGAIPAVLYMERFGRRYWANAMLPGFFIGLVLVGVGYTIDADKHPSAAQGVYL TGIVLYMGFFGSYACLTWVVPSEVFPRTCRIGLTLGFYGGIAVLGWVYQIIFMPETKN KSLEEINEIFSLRTAVIAKRNLKQTAQVIRDLSRFRLKKVFSPEPYN PEX2_025060 MGSKLDFLLFGNCSIEAPTSEELDKISQYLPLLKAFHKSLKTRL KETWDFGTAFEDLVKQDTTVWKSLGVDRSPHFVQPSGSINSPLAIHLFNPTFFVADSQ SKVTDDPTNPTICLLHKCGLSSNHCFMFDEICRRERTDDCLLFYIEDLRRPHRNFIQK VRENMSAAVEICFGEEVFKEIFKTTRLVRFPLWGMFKSVRLWIDLGGSNSSSMKRFII QAYHPQFFCRPGRFDKTSSEFFETYGRTQDLAILMATQLAGIQHQIKTKPRFFEFHFV RGQYSRLSAKQDMERQEHLREALDAFRVAFPKTFEEFELRQSRRKEEKDLFKGLKDIS SGTLVPMHTHPNGPISEQEQIFRLNARNDKLRLIITSFDKVVKDMDLFHNEESDDYVE SEIFNFEDISTPLRLWLYSQDGLKVHGLPITNRETLELSFSLLDKGRRAHLNKFSTEY LARMVGIMYIRKITRTESGFKQVKAIPGEPGKLIRLKCKGCQRPVLDDAFPFFVAELR SCYLIEVVRSAQKGGNGCGQNGCKGKPGLVPANHMVQDHTRMETRAISQTKRRKENWK SPLCRTGKDLEGCAETVRVRCRGYGQGSQKIECGRERDYDTPEWTIHSPARLVQPRLK CDCDGKEKDHYFEPVDKGIDMVSLTSLRKIYQGFLDARCNLADYPKLPEIIFDLEPNG DPKKKPRTYRQRFEYLKNATMALIGSR PEX2_025070 MSIPQNLAAWLTKAGSPLQVGDAPLPTAGPGELVIKNAAIAINP LDCHMQDSGVFVQQWPAIFGCDIAGEVYEVGKDVERFKRGSRVIGHAINLTSGRSQDG AFALYTVIPANKAAILPDEISFTDGVVVPFALEAAVCVLSLKEPGVAMPGVATPALGL PYPSLQDVPSSGKTLVVYGGSSSVGSMTTQLATAAGIYVISITGAHNFEFSKRCGAGQ VFDRNDTSLVDKVGDAVRKSGGEFVGIFDAISTPGTYAHDIAILGQLDGGHLACVHPP PPATDLPANVNAGMIFAVNNIATPVWEEYVTPALQSGKLQCLPLPLVVGKGLEHIQSA LEKSKAGVSATKLVVEL PEX2_025080 MSDPTEFMRLASPNERRTISREDVGYYNALIIAAVYEIASENVD VNSAQSFLAPLRHCIGKYPYLNVVVRDKHTEKPAYEAVSSIDLHDHVSIIHEDEASRN GETEKFEEILPAILDRPWPADIPPWRIVVLPLVSPRDSTVKRCFIAFAFSHALGDGMV GVAFHRTFLDAWRQTAGVEEKASFLVAPPSQTLPPPFDTPERLPISWKFLLEPLIAVY LPKFVAKMLGLRASASTLDAGTWIGSPMFFDPAATLKSRVRIIEIEAPLVQKALQTSR SHGTKLTATMHQMIVRALSSAIPSPDVTNFVSGTPVDMRASIGTPSLTWGLFVSGHYE VHPRVPIATEPALSEEMWAAASSMSQNLAECGARLQDQAIGLLRYVPSIRNWTLSKIG QQRDSSYELSNLLAFDNMGDGADQKCKVVKMVFSQPGNVTSAPLVFNIISVKEGSLTC TTEKLTNLPFYPTLSTPSSLHEDCNYEKPATFSASHTLGHTTCEEVRSTRNKSNESFM RDFYFHHWSLGCKWESREEEGIPIFYIMAGGKSYDPVLVQPDPPGPPQNHVASRDETN SLEDLLRNDPFTYGPAPKGNVVASVTPRTLVDNLHSERSFNIDPEDDGSQSSQEMTSQ PSDPTDPSNLPLPVSRPPSTRRPRRGDRMVDPTQLGHHSIDETSPPSYRKILENAGKV LEHIKNGSVGKTSRHIRTAITYYDYFDNSISRPRRIDIPMRIESLRHVPQDVQQRLIL VEDLSEPMIEELGENFSINPEFFEEHLLNSGYAGGKYDSPPARNWSTASFEKSYMSFK WIRPVYQLPTFFSSGDLEDLLEDQITHFTRDQSVTTKIFTNIFRPAWGLWTDPTKTVR MKRMCGLEERVSIWRKKLIGQDTEIVIVILDPLPAISEIHRYRASNGSQGWDDSDYAL YHSSMVEEIPQRVRKQRTLARSLNWIIGGKRRTNNKLEKKLEVLNTVIIEQIAPRQTV SVDLDCVFQTPQSMADLEEQLSETKSTKSEVCESLGTQLAPSSLVKPLLRIIKQDTVT LLNQLRQVLDEINIEILDDTKMEDRLGLWRQIINRAQRELPELRSSMEPFIEFLLKLH PLNSPVEIAAVRVEVTQDIYELWKDIDHIIDRLQRTSASLTSNMGLLDSRRSIDEAHS VARLTELAFIFVPMSFAASVFGMEIEPFANPVPISNFFAVAIAVTLFAYLMRVTMQSH WLIDLKEIVKHDVRRYAARNGQTVQPRSLPMVLILRSIATRLGTRIASICKWGAKKTC LIAKKIWAVFGFIISFVLLNGVASGIPIALLWTRDLDSGIRDAVSIAIAFIVIVTVGV PFWFRSTPKFRNALPDLIMNRVGRTPFWVRMTLIYLIFTAIFIAVPLALIWTRPLATD IKTGLTMGVVMIMIVLMVIVNLLGPLGRRYFDFISTYRSL PEX2_025090 MSQSRLFKPLKIGGMGVKHRIGMAPLTRFRATEDRVPTLLMKEY YSQRAAVPGTLIITEGTFISATCGGFPHAPGLWREDQVSAWRIVTDEVHRKGCFIFCQ LFAMGRAADVDVARKEGVDIVAPSAIPIEEGDVVPRAMTTDEIKQTIQDFVNASKNAI QAGFDGVEIHGANGYLLDQFIQEVSNNRDDEYGGNVENRSRLLDDVIKAVVHAIGPER VGLRLSPWSTFQGMRMQNPIPQFTDVISKARQMDIAYLHLVESRVSGSQDYDGHDSLD FAYDLWDGPYLIAGGYTSDEARKLVDEKHPDKDIMVIFGRHFVSNPDLIFRIQKGLEL NAYKRDTFYVFESAVGYSDYPFSKEYLASGTNV PEX2_025100 MSAIQVGQSFKGPSIPPYLMINVVFSGDRFLFIPIKNSNDGRTK RLARSHAVSHGLRNKRRLQQSSGHNFHVLCPGNNHGPSARKEKRDEALIAPPASVAVG SSDAFQMLAAESPNIRALSNRGLDDNALLNAVMLTFSFTVTAGMTSPECLEYQNEALR SIRQRMSSLDRAATESTIGAILLLAGIEVTFIIKVRLGMPRQVQLHMGAIQSILDVCQ RKGVYLSDDIKRAIFCLTLADRSDLNGSVTTGSSRVVDHTTFSELQWRRDASHPDIFL LPPGFQNCSYLLGQDFVEILKDVFALQCIRESKILGKEEVMPMAHIDNHQASVQSRLV NLPVFSPISECCHQAAYLCSTMLRCKIWRTSTIPSHLSLQLLCKLQSASNNPVLNDSP DLLAWLLHIGGAFAPVGTIRQGYVRLLHLNHSRFRWLYTSWPELLVILKQFIWSDKAF LSQVKAFWEEYTL PEX2_025110 MAPRLLNKICLITGTGGSMGRAAALKFAQEGAKIVGCDINAVAD AATIEAVRELGGEMISMSSCDLTKRENCEQLVNLAIETYGRIDVLYNNAAMAHLSWID DGKDDDWYKTIDQELSLVYLLTRVAWPHLKESGASIINVGSANGWIAIRSVPGIAHTA AKAGVISMTRQLAMEGRAHGIRANSISPGLIQTLQTAPLLEDPEWTSDVMQKIMIGRI GQPEEIAAVASFLASDESSYITAADIRVDGGMTAW PEX2_025120 MGDTPLASLSLTHVHYNPEDQLSFASAWLALIPQALCVSYATLI WSTREVEVILMFVGQLGCEAVNFVLKRLIKEERPKEMFGKGYGMPSSHAQFMTFFSVY LTFFLLFRHSQASASSYPNVAVLLRVLVMLALCIGAAGVAASRVYLNYHTPRQVLAGC AAGFVCASGWFVVTSLLRSSGLVEWAMETTVSRLVRIRDLVVSEDLAEAGWQRWESQR LKRRGLSKKSENTTIQQVKSPMMDVPSDPPEATMQEHHIVKADEVDQTGTDISPVSDD QLMEEVAEGLRQEQAEQAAPAPETAPSAPEKSMKRPELRREGSAPPPPMQPPPPAPVQ ENTDRGASSLSLSELRKLVGEMPKIEQPAYAFEYADAQSFPDELDEWFQYNAFDRVML MGTKATFNKKWLTFYQRRQRDTPGVSWLDASKDLRRSFVARMLEDLQLTEAPARLEAL ETICYVVTGVWGLSGGRVAPDYPSDGNSESAAETPLLKSMQIQCIEENVSLLQECSGV TALIQYMCRLFDKTRSAFGSDSSDIDYERINPGDIAAPEREANLVLTSLYFAVEVGRR QQARDPQCSSIRDALTSSNPSLLVSIVEIIARLRWDESANIPLTRILLLLWKSLLLVF GGTDDLKRAKEVLEPAVSSEEDDSKRRTPFLHASPLDYHLFRQEITSKYPAYNPPPLV VPLELENNSILPPLPHNAAKMNSSSGIFCGVAPSISGGNGSILQQAVHIATPAPSPPP SPAGPGGKAGKKQNYQTNQNFPFMYPPLDDSSNRIGGKGTTELQDTLVGKRWEGSDVP ASIIEAGKLFSTHVKMTRAMRQLWQEREHFMQYDRGWNSEDAAHFPDNISDDLPDDFE HLDLSGDEEKAEPKPKPVEKETDDPDIQRRLDAVDSFYTNTLSHLQSITIVFLKIILT NVSAVVNQAASQTTQGMSHSINGSSQNLLSDASIDELDNIRLREITGKAVSGTLLLLL KWFKRSHILKFEYMTQLLLDSNYIPLILKMFAHQDVDQTVAHKNDREDLSFFHFCQSN TDFPQETEDDSCGDTESEDEAMPPPIARHRSDPTANGSMPGLSEEESLADILNGPARP EVDELGYPTAPLPKEPIKVFSFRSFFSAINYLHVMQKITRNKAHRCLLLVQYKSSNIL RKGLKIPDPHLRFYTLKLFKSQVPYCGRKWRQSNMRVITAIYLYCRPELRDDWLAGSD VDAEVEEALPLEQALRGLTHWWHLRQYKDVMGGDEGASMMEEERDFFVRELESMGWGA AEEILGGACEEEVTAPATQGNEWEGVPLPMEGWS PEX2_025130 MTELDFPEDDRSVELSSIAAIFPEIQIDPSSPFKAVLDLPVAPS APTSIWFQQPLDVAAAAITPPTSLDESKGELDQPPIKDIHLLSHLPPLNLEIELPEGY PAEKPPIVHLKTIPSWLPSSVIDQLSSDCHRLWEECGKDLVVFTYIDHLQQLAETTFN IQDSSGEVCLSRDLKIGLLDYNKKAEREKFEQGTFECGVCLEPKKGTVCYRLLRCSHV FCIKCLQDFYNSCITEGDVDNVKCMAPDCEYNKRPAVAPRDGQTPPRKKRDRTLGPSE LLQIPLTPEMVQRYAFLKRKKKIEADKTTVYCPRQWCQGAARSKRHPKPEDPMSDDLD SSDEEDGSEPRSEVQDGELPPMAERVSICEDCNYAFCCVCKKGWHGELVRCFPRRDGE PTEEEKATEEYLRLYTTPCPTCNVPCQKQMGCNHMRCFQCDTHFCYLCSAWLCADNPY RHFNDEKGQCFNRLWDLEGGDGINPDGAEALHRIPDALLEFDDDPAVVAIHEETDESD DDRPAFEFDNDEDDLHRHPPPPAPVPPQVNRGGGRPGQRDHAAARAAAAERQAQARAM AEVRNRNARRQARRPVRWAEAPPQRLPAQAGDPRHPVQWAEVPEEPLADEEGPIRWEA IAIRPGRAQAHPGLQRFLDLVQNDREDEWDSDEMDDDF PEX2_025140 MEECLMPEQSPLQHLQATRVIALASAVATGAPDAADAGQNISSL WTSAKCDQNSIENATVAFVDRWYLAGVPGAWNTMLDEWQSGAKDGMYRNLAFPEFVSY YFHGPEQWNCKDTGSVPCSSVVQCKDVDHPAGYLILNAFSSIHQLHSKMYDALNTAMI EIQSKIGELSSIFAPQSDDTQIILAIFDALTTMMGFSVSAFFSVVEKDVARAASGIST SRVESVRPRARGSGGDHGDSGSGTAKTVTFKDPLMTKKKMEEALRGKQFSFDGKAYAN DMTYQIYGITATWIRNNAPQVHDKLGAQNSLSSALGDIFAAWKDTEASYLKNIFSGEE SSLNDLEILIDDGKMNAMPNRLDEDQMSKDLQAVLYGQLMPTAWKTASQNAGAHPIIL KTDFSCDNKAAEIPEISDYNIMTDADSASTRVCWREKLVFLVNVNEWDFFNQVHFTPL PGVDSSNLSGSKWGGITIDDIVASAMTGYENAGYKNGYKNGYKSPGTDEIEMDENADQ YGKRIRYPGFFNIPVCEGLAKTKQAIVSNHQANSPFWPCDSPENFNNAGTTIHVNKGW ITANGDTPVCSTFKVDDPGNGDTLSATLYGQFDGDNTAEFSVKATCKITFSWPKSYGD IYYGEDNCMYDGESQAIPDADGKPVCCIKDNNLTDMVTNPYVVGGASQTRALAIPEIV ISILQQMDMRTLFVAQSVCHAWAETIRKSRSLQEALFFIPASEKSETGTRVSNPMLAR AFPTNDLRGASAGTEIEDIELCDFDLTKSADKRERYLRPEASWRRMLTQQPPVFTIGR FSKGVGPMGLGWSQERAARQDEGLRMGTLFGLLVCLTRNEWNRWWIAICLGGAKPVNA PVEIVSPNTSLVTDRINKDWRDMIADFDLVLVTDSGFACTGDDSDDDCEKSSYEIVWE EICETYSKFGLTVGKLEMETYNKGFVMWD PEX2_025150 MSATGAQLGSSCGFATRISLRWVPEVPEETTDTIVLSVGEWFVD LRMDKKSGDIDWAMAGTRIEENPKEIPLKVTFTRELDSLNEIGIKDVGNFSPRPDGTD LESGEMPRADLPGAPMTTFEEVWQELPFREGPEGAKKGISWILESDDAPLALGEQEGE VTINKIFIGRIWGTYLAFQQTQTHSSQKDESGVWSVKRSGSEVSARREEWSSKWEEKY VVGPDGAAVPSMKNGYEGEGVGKWRIPGEKVVIQGKSFIVRAFEEIQ PEX2_025160 MSSPPPLAKETGPSDAERKYPRYRPQVHSLNVETEEERAALSQR RNLLFTAQVDNIYVWIPSGPRQLLGSQPEMIIHPVMKEPNAAGYIDRSRPHTINHIIV DDLGVDEVLLLATDSGNVTGYNVEAIFSAINRSAKYGGKRPFDAREVKPFFAEHVQLS AWGLATHKFARLIAVSSNTGLITVFAFALMDVVSEGDGTSPNSSVTSNMGDSDVLENT WVYIETAPSMEELKKDMPHHRTRNLRLSYKGHFDNIPCVSFANFELDPNGLWMTSTDI LNRVFVWRVWDSLSPVNTSSYDCPRSDQEQRGWFVLPLHPRRVQQHRLKFDACGCEPK PSTMNGRMIFDVSRAADYVENRTIIASEKVAAEERATISRLFLPADIFTPGDGVNTET RPQPSSSQHNHEASEAPSPTTAHDTIVISTESPQDYEVAPPRQSIRMRRGIANLIEEE NEQWGTDCDVDVDILRSFVRHPCNPRFFPILHFSGDNITLDPYPLDHGLRTLCRSPLE SMYLPELGMVIAASQAGGVAIITLTWQEEIGHTFRLDWLLPFPNQDGDDGGPPQPFMG IAASPMPGFEIPPDVPCIPRDVNPKDRLSFNHRLLNPDKDEHSATNLPEFGASSPSVP DLNPSPEDPNLTIPETHAYASDIYQPHETWHGYHPSRHYRLILLFCDLTVMSYEFWHD WRG PEX2_025170 MMDLVAGVRKEGSRGGRNEFKWSDVQSSAYRENYLGHSVMAPVG RWQQNRDLNWYAKGDEDEEERIRKEREELQRVKEAEEEAMALALGLPVPPKASENANM VPLGGPNRKKTTARVTDIDASEPEARDGKDDMTEATILHVTRTGGIAGTMTIESAITA AIVTDPAHDLVVEITTDDAQSLVLGVDPIAKTRNTRNDDGWSAATRRLNAGDTPNADE TEMTMIAAIELQTRDIN PEX2_025180 MSATGDRREIVIVGGGIIGCCSAYYLTRHPSYDPARHKITLIEA SEIAGGASGKAGGMLAQWAFPSNLVGLSYKLHAELAKEHDGINRWGYREVNCGQLVVR GRALAENTAGKTGDGSTESLQKRSAAAMSKLRSAKIPEDLDWIEPELLRAYESMSGPG ETAQVHPYLFTTSIAKLAQEKGADIILGQVTDITRSKSSVESVTYTNKTSGETQSIPA TDVLVAAGPWTNTILPEVPISAMRAHSVVIQPKKPVSAYCLFTNIEIPADFNPEKKSR PTVAAPEIYARPDATVYACGDGDRTVPLPKTTADVEVDQERCQEIIDHVGSISDELRD GEVRTRQACYLPNCDSGAGPLVGLTDVKGLYIAAGHTCWGIQNAPGTGKLMSEIVFDG KAKSANIGSLDPREFIISALLTSLLCATGIVASEDPNDVSILIREAGRASNESLLWGP YKSNLYFGVRPRIPKSLTAGLVWGKVDDYANSQQNFRHTCEQNEGMAGYGWDEYDIRK GGRETIHDAGNSLDLTIDFIKVPGGQHGGSWGFRVKGTPREGADPDQPISMIFYSTLE GFGHLGADPSSSESTGVEGNVKLEGYSTELGDFTIDVTTGPETNSHPRYNHPSSTDKP LDRSIVASVAFPEEQLWQAKGIFFTQLKAAVDEALEEYGKENVPPPAQLFTIKHKPGQ GNAHLVQKVFTGAFEFDVLFSSGSAPEPLTSETLTKEIDDASASFSETFDQLLPPQAP FNSPKYSSFSKAMLSNLIGGIGFFHGDDVVDRSANPAYEEENEGFWEETAEARAAVQP VIEGPKELFTCVPSRPFFPRGFLWDEGFHLMPVIEYDTDLALEIIKSWFHLMDEDGWI AREQILGQEARSKVPQEFTVQYPHYANPPTLFMALEAFMDKVKDSSNKSTESGSLDNQ DATASLRSATVRHPELSEAYLRSFYPLLKKHYNWYRNTQRGDIKSYDREAFSTKEGYR WRGRSVQHILTSGIDDYPRAQPPHPGELHVDLISWMGMMTRAMRRIAENLGEEEDAEE FAYYETAITRNIDDLHWDEKEQTFCDATIDEYEESVHVCHKGYISIFPFLTGMLGPDS PRLKAVLDLIGDPEELWSDYGIRSLSKKDKFYETDENYWRSPVWININYLVLKNLYNI ATVSGPQQEQAREMYTDLRKNLVENVFREWKKTGFAWEQYNPDSGNGQRTQHFTGWTS MVVNMMSMPDLAGYEKTTHDEL PEX2_025190 MAEPPAKRARRVDSSAMWDSNDKRPRAPEQESDRERRRSPAPRD DRRDGRYRSRSRERKDGRRERSWSRDRRDRDRRDGDRDTRGAQDRKRSTSRERNQDRR GNAPKSVKFRENSRSRSPHRNGTKARSPPRGPKSDRKDPRSRDDGRKATGAQPGPDRH EDEMDVDFNEDADEDEMEAQMRKAMGFSRFRTTKNTKIPGNNIYGVRKEKKIEYRQYM NRQGGFNRPLSPSR PEX2_025200 MSTQTKPWKYSLQPSPHLDGTNIPTTAFPLQTETIQSLGLQSAQ FEVGCLQDLEEPDGLSPLILGYARWIMSVSDQSRIAFWIMAEDAESTVKYAIVIAQRT AEHEALTWEVYEATLEGTDNVEFGMRLVEAAEVEVDLPTSIRVLLCIDLQSSNGNLTY RGELEQGINISSFDLLKYYMQQPEGKYSSATFWRKELAEFVGCSERSFPTISCDRLIA STGNTPVLTQTLTMGVSWDQLETLAQEFHLKSPVSVVRAAFACILAEYLESDRVILGD RPSANPKDSGSVALVPVLLSDDDTANNLFCRVDGFTARAATFPNLPLEELREILRVPS GGTPYNALFTHHFDTLSCENLETTSHETLMPCLGAPMHLNFQRSEQGVLICTLSVRGD LMDAPHLELVLQQINALTAVISSEHAQSIRDLTQSFPNNLLSVHSPSASDQLQQAPLL SPAHWVDHWASINPAWSALEIIEKISEDETVSQTWTYDELSQTSNQLCAWLKAHGWRN QSIAVCLSRSFMAYALVLAIWKSGNCYVPVAEDLPEARQIFLLADSGAMAFFTDKSVA KTIVPPDNCLVIDIEDPELLEDTWVIEPATEFDPKPTDDCYLLYTSGSTGTPKGVLVS RGNLSAFTEAQSEYICRDVPDTLKLKGTGSYLAHASRAFDVHICEMVLGWRHGLRLVT GPRTMLLDNLYLVLSRLRISHAGFVPSLLEHAGISAEQVPDLRYLGVGGEKISETIIE RFVGKPSIALVNAYGPTEVTIGMTSHTVTPRSTVRNIGTAVGNITIHVLEPDSTRYVK RGQAGELCVTGDLVANGYHRRPDAGGFTDLNGQQMYRTGDIVRLMANNCVEYLGRRDS QAKIRGQRLELEEVSVAVRRCADFPVNVTSIVTPSPITKRPQLVSFISPSSNRPEDTG TQTTFLKDKYQTWVPKILARCRIELPAYMVPSILLTVSSIPIQISGKADNRRLVALYE SIPMSDLLLESGEIAIQLPQTAMEPDTTPLTIEEEEVRDILCSQLQIEQSSITRATNI FQLGIDSLASLGVAAKMRKAGYVCAASDVLSNPTIVRLACLPRTHKSGEGPRRDLFSD ESDEASRKMKELDQVFRMSQKQFAGSCISVIRPCLPLQESLVSNSVGSPVPLYVNHIM CRLGPDITLPALKMAFEDLIQESEILRTCFHVADDRIVQVVLKPRAVSLPWTEVPVSD EGTARDLFNSVQTGIASNLVRQIETKPPLHLLAATSATKEGSGWLMLSIHHSIFDGAS MDVFLGRLHRHYTGETAMTPVDLTPLYHYFATNDGKQAEQFWTQYLADCLPGIVTSHE GTDSSYEIVTKKLSFTLSKISKFASDNSTTASMVLETAWAITLAKHLNQRDIIYGRVM NGRGIPVGSVESMLIPLVTTIPGRFQLPSGESRVVDQIKTHTEAIIGSLPYQHTPLRD IQRYASASGPLFNSMFSYLASGPRSSADNMLLEMDSAMSVDYPLALEIKAESDPDTVT LRLRIASDHSSSDQGHALVDAISTLVEDLLSDGDVIVDAGTISQQQRKQEPKWDETQW SESEITIRGAVAEITGISELQVSKNVSFFALGIDSVISIHLARRLQESGLKVSSSDIL RYPSIGTLHKSLDNTIAVSDVPLVDETTPDQGLSVDLFDAHDSIVETYHCMPLQTAMI GQCLSSEGKEYVHHHAVELPNAVDFEKMISAWQNVVEQVDILRTSFHRPHASREFHAA VHRSAVIQWSHEDVASLPVAVEQISQRAAYPNIKNFDRPPWKITFLTEASQKLMVVTM HHCLYDGFSLPMLFACVEKYYHGHQSHVGSFAPVARKIASTQESSVRFWTDSVAGYQY PQLQSPPAPTSTTSVQWAETKLESSVATLQHQCGSLNVTLQTVALLAFGRSLALRLGQ RDVVFGHVVSGRGFDVDPTASVIGPLFNTVPFRLKLDSSQSIRSALRDIQMFCIDSQP HHHAPLSLIQKRWRLTTNGDNSSLFNAIFTFNKSQAPTQDSFFQPYEFSRKPDVPHHP LNVEFDQTEKSLVIRATSRDFLTNDELHTWLHNLARGIEDIVLSENKPVLNFPSGLSD LPLVATGPHQDEEKPVDTLVLGQNVQILRKILSDVTQISMETIQEGNSIFALGVDSIL ALDVSSRCREAGLMVSVSDILQGRTIRGIAMLVAGKPTQPRGSPKIVKPDNFVVKSDP KFNALDILGLSEDNIEAYMPCLSGQLFYISAWLQSKRQLWEFTFAFNSRIKLDPEQVQ NAWLQLQRRHEILRTSFAAVSSNEVLQVVQKASKTSGEVQVYNEQSTGDLNTLVQDLV HRIARNPSDLFTPPARLHLIQHPDSDVLILTLHHALYDAWAITILVKELEALYQGEDL APPCEFSSFITSTLQAANSESAQSYWEGVLEMGQRTILGPGSASHESNLHIRSSERIF SRKLREIQVQCRRLEVSAPSLMLLAVGRSLARTAGISHPTFGLFQSGRSSEYPRIQDM AGPTVNMLPLVVPDALTSPTPQALVAMQHDLVQRNLHDQTDLRTLCEKMKAFGNELQF NVIVNIVWGQLNGSAAEQDNDSIFTPFPLNSPIDSEFEEPPVGKTSVDHFDWKCLPGV GAIYLEVSSNERDDALLWKVEYTSDLISDDQAELFLNTLEKEMDNIGEA PEX2_025210 MFKTDSGKAELKEAQERVDGIVENGPSGVQKAEAVVLAWSKNTV FAIYAWIWVCFFMLAFHSSIGTNVIFNAYANFNTAPQISTAAILATVVGGVVKLPIAK ILNIWGRAEALTIFTGLFLLGIIILASCDGPNGFAAGYVLYWVGYNAIYLILDVFIAD TSGLRNRAFAFAFSSTPFICTAFTGPLAAKSFLSMTTWRWAYGAFSIIFPFVLLPLAV VFKFYEIKAKKLGLYHKAESNRTWSQSVVHYVHEFDIVGAALLMATFILLLLPFSLAI YGRAEYGSATFIAMLVIGFCLFFVFVAWENFCARKQFIQYDLLKQRTVLGACLLSALL NLGYAAWDLYFLNFVMVVYDLSVSDAGYMNQIYNIGSCFIAPVFGLYIRQTKHFKNAC LFFGLPLMLLGSGLMVHFRGQDDGIGYIVMCQIFIAFAGGILVIGQDMAVMSSADHDG VPMMLSILGLFASLGGAAGNTVASAIYANVFPSTLIANLPAEAQANWTDIYLGGYLKQ MNYPMGSEIRTAINLAWGDTQKYSCIATTAVVALGLPCIAIWRNVNVGDKKQVPGTVL PEX2_025220 MQTSETVDGDGLDKKTATGPGQLFMKPASMLVSKLKELKTAFSF IRLLFSLNYTKFDVLLVTVGTFFAIAAGVPEPLLGIVLGQLINELNEVSCSATVYDPS SVRKKVLYLIYITIFNFAAIYIYAACWALVSERIARRYRKAYFRSVIRQEAAFHDSLP SGQVISRLVSDIETLQSGTSEKVGIYIATLSYFVTAYVVAFIKVPIIAGILIAVIPCF FAMALVGGRLASRYGTRVGKQVDLATSIASSSLSHLKIVHAFNAQKRLEDLFSSHLIQ SRKDALKKAAVHATQMGTLFFIVYSSNALAYWKGAHLIADSVDGLNSGVSIGAVYTVI FILIDATFIFSQVSPYMHVFSAATSASRRLLEVIHRDSSIDGTSLIGETSVNLSEQEI IFKDVHFTYPARAEVPVLQGLNLSIPPKKHTAFVGPSGGGKSTVVALLERFYDPLSGT ISVGEQDFQDLNVASLRSQMGFVQQESQLLDRSIVENIAYGLVGSAEHEDLTEVILDM RLADIVARIQAGCSEAEALEDCDPRIAEIFRQVKLAASRANALSFIESLAFGVASPVG TSGGQLSGGQRQRIALATALVREPKVLILDEATAALDSMSEQLIQAALLTLSGTTTIV SIAHRLAAVRDADQVIVIQNGRAVESGSPQTLLESGGAYATMISQQRLANSGMDSSED TLSEAKSSLTLNREGPILAEKGQSVYMAEEEKPAETNAESNTALAKEEPKPSKFLTAK ICFAFIRPNILLILLGLVMSIIIGASYSSNAILFGNTVGGLSPCKGTANIRHSGNLFG LMFFVVGIVELFANVIGGCAYGWAADQTLYRIRMNSLRSLLSQTMTWHSAEGRSPGVL IAYITGDASAISGLTGTTIGLLLATAVNLFAGVIIAFIVAWKISIVLVPTIPVLLVAG FMKLRVQGQFAERHKKAFSRATEITVEALGNLRFVAGFSLENQLYREFLSSIQKPYKN TTKAIAWGNFWLAWAFSVSNLISALAYWWGSKQIASGLYSQTQFFIVLPALLFSTQSC GQMLALAPDVSKAGKAASRVVDLVKTNSAEEEFSGGNYTQKMMKMEMPDTDPEANMSS GATSSEKSQGPVGAQLHEVCFSYPKSSHIPVLSGLNIDFKPGNFYALVGPSGSGKSTI FNMLERFYQPTSGSVTINEQNINRILSTAFRDDIALVPQENVLFEGTLAFNIALGARP GYTATQADIEEACKLAQIHDVIMTLPDGYETFCTHDGKQFSGGQRQRLSIARALIRKP GLLLLDESTSALDGESERKIQDALSNLAGKTTIIAIAHRLKTIYRADQIFLIQDGHCA DHGTHAELVERSEMYRESVLHQSLAT PEX2_025230 MRGTVPVLQPGSFQKQVDLADTSLHAYCFVTAFCAFVVTQTGFA VEHASLEFSRDFCTKDYRNSLIEEATEARKHIDPFTDPVRQSIIIAFLLYGCHIGLGN QRHAYYFLRESTTLYTASALQSQASSAQVDDDDPSLAGKLFWLLVVSERAHAIRRHRP ITLQVTPESPQLEDDPLSTPLEDDPIANSSAIGFRCLVNLYSLFDEGFLGQWNGTNAT CSIESLVRLEERIQSAVPADLDLPDILMADLRVSQQWLRIMIWQLSTTAGFLSTNPTH ECMDFRYPLLIAQDLCFATWKLSRQSMETHGIGLIEKIFEVACTLIDVMACLSAAGLR SSGFKLGPQDYLKHFSTLIHDLPGGRRRFLPLLLTKIGQTLPSMVDPITVHLKLQPSP LAPSSTSEESSISAIAEDAGSTEQPSTELLSETENWMNGNFNYAEMSRIGEKTPEIDE AFLQYSSYFP PEX2_025240 MSPHATQDTAVPTGTNNWWKEATVYQVYPASFYDSNGDGWGDIP GVVKKVDYLQSLGVDVVWLSPMYDSPMHDMGYDISDYENVLPAYGTVQDVENLVEACH SRGMKLILDLVVNHSSDQHAWFQESRSSKDNEKRDWYFWRPPRYDEAGNRMPPSNYRG YFAGSTWTWDEKTEEYYLHLYAKEQPDLNWDNKATREAIYNSAVRFWLDKGVDGFRVD TVNKYSKHTDFPDAAITDPKSYIQPAIGMWCNGPRIHEFLREMYDESFAPYGDVMTVG ELANTPDPKNVLEYVGASAKELSMVFHLDIGHIGMGPSLEEKYIFTPWKLTKMKSIVE KWQTFIEGTDGWTTAFCENHDNGRSVSRFGSDAPEFREISAKMLALMMVTMTGTLFIY QGQEIGMINAPREWPIEEYKDIEGLGYYREAEQRSASGVDLTRKEEIMDGLRILARDH SRLPMQWDDSEHAGFTTGKPWMRAHDLYGEINVKKQQKDTSSVLSFWKDVLRLRKEYR ELFIHGAFEVLDYENQETFCFVKSRNHERALVVLNFTDRSQPFTQIEKTAGMKLLVGN YSDSSLENLKPFEGRVYI PEX2_025250 MVSMDEASARMDGKVSPILAEGRQAARKEQSMTLWQALRLYPKA VGWSVLLSSTLIMEGYDLALLSSMYASPAFNQKFGEQSASGKWAVPASWQSGLSNGAR CGEVIGLLINGLVSERLGYRKTMVCALSTITAVIFLFFFAVNVKMLLVAEILAGIPWG IFQTLPAAYASEVCPVVLRPYLTTFINMCWVFGQFVAIGVNRACISRDDQWAWRIPFG VQWVWPIPIIIGCLFAPESPWWHVRQGNVEGARRALLRLTSSNDPNFDPEETIAMIQH TNELEKSLSSGTTYWDCFKGTNLRRTEVVCLVWLVQTLCGQNLMGYFAYFCVQAGLPT VQSFNLSLGQYGLGVLGTIGSWFLMSYAGRRTIHISGLASLFVLLIITGSLSFAPGDN NAAKWAIGVMLIIFTFCYDISVGPVTYSLVSELSSTRLKAKTIVLARCLYNISNIVVN VLTNYQLNSTAWDWGARCAYFWAGTCLASLVWAFFRLPEPKGRTYEELDLLFERGVPA RKFATTIVDPYEGEATEVHEAHADKD PEX2_025260 MPGFNVKLNCQPDRNVIQNWYHGFPNALDDNELQESYLNDLVTH REVLMMRVVNTITDKPQWDQKVFDKNITTKWRDEIAQSGQDVTPKMMDWIIEELQWKA NILKETGHVCVFDVGVIKSDTAVSKELQQSLKEAVKPLEDMPEKDYHPGSDNKVVDLV HPSLFPVIYGQTRVLPDRVIGLDDCLGSVGQGDLVPVPSSQNCGPILNSRHHYHSEEQ ICVFSDKFQWLPCDVEIIDDARCRIVSYINNAHPVHHKPLYEVVEKIIAQAIPLWNRS LSVILEPRMEYTNVEYGEHPEPEPVQPEGDDHDEDEFWELHEQWESTRPIIPPEPGSF QPRKEIFSANLREQFPGKKLQIIVKLANITLSPDNPEYEGGSWHIEGQLNERICATAI YYYDSENITDNSLAFRQRGMKYMLEIGYEQGQFEFLQAVFGFDDQVNGSGNNRDITQD LGAVACKEGRLLTFPNTVQHRVSPFSLVDPSKPGHRKILALFLVDPHRRIISSANVPP QQEDWGYERQDAVNQALASLPAELRDIVQDDLDPVMTMEKAKEYRLELMKERGHRSGK HNQHFETGDFNLCEH PEX2_025270 MAPINLSHRRTHNLLLISKLLSLRDTASPLTLVLDSLEQPATPL LKEYIRRAKLSKVHVTLIAFETLKKPDGVDAFVSTRRKSPADIVKEVSAVYQPVAASN PSRRRLILIDSINPLLNSKRVDPGFHLPSFLGSFIAPSLPSAKVDASLVVTYHQDVPE LPQQSPYSPPPISVLTYLATSIITLHSFSHVLAQKAARDRSLAPPVFGLDEEQEGVLL GRLDKLAGTGKAEGIVIELEHRRKSGRGVLEWYLLPPASRYSPQHLKEIVTLLDDNVL YNPPMERDPSAGDEEPTSTFELGLTDRQRREREGVVLPYFDAQHNDGPGEGGRILYDM GEEDDFDEEEDEI PEX2_025280 MLAASVDDEQAESELSEIKGQAKMIFRRLNRNSAPQASIESGQY SLHYTIQDDICFLCICDKSYPRKLAFTYLADLASEFTTTYTSSQYLSPTLRPYAFVEF DTFIQRTKKLYQDSRASQNLDKLNDELRDVTKVMTKNIEDLLYRGDSLERMGELSGRL REDSKKYRKAAVRINWELLLKQVGLYFAPDFPWTIG PEX2_025290 MAPRKRDTKAVERSRAAEPRRSGRAAASSANVAEQSGLAMSTPK SETSSRARTPRRPAVRGKWSEEQLLTSDKSLLVDLDLVKLLARPEAWNCLEESDKRDI LALLPPDVHPEAQATSDDPDAKIQPIPDSFIRYSNNWRDGVRQFQLDLQNGRYDPEWL HQAQEARERRENGEFDDFKEREYEQFWGQKQRVDSHAPAGESARVKMGTLLDEGVIQL GDIWKFYYVYGRGPGRVVIEKEVRVLGRNGVKLTFAIPPGERVFLRSNFDKAEPVSTP EKGEEDAKVDFDTPPEPVASSWSDKQSKYEDPESKLINATSNGDQMKLGTDQAPQEVP PPSNDEVNLEIDQAPQEIPASSNDEEIKLDNRQAPHEIPTSSTDEMNLETETVTHLAV PPSNVEKSQQEIPFSVIIFSPGGTRNQKPKRTIPEPEPQPPVKRKRGRPRKNPPKQPK TEPPREAEMSQEIAVSQRYDPFMDIVAAKIEENCKAAAEASHPKPSQPESPLSVLSST PELPELLALELSTSVEESSIANTNGKGDQAELLELSPAQFDTPTDSVEALAEAKQDEI NKPPNTEQQKDPVPAPSQTTTNEPDEIIVHNLPSPQALVHKILQIDGRMPNGRTANAW KEIRCYRNNQDMGSLFDVRETWFLQHGRLE PEX2_025300 MDNNPPFDARAQFPSHNEPRVWLITAGDSPIGLSVARQVLAHGD YAFLGLAHSALERDERRRGEFDAFLVEVEHHGDGWAQRIKTVPLDIRMMGQCQAVVAD AVATFGRVDILLCSLIGTVEELSASTQTMNLVRDQFEVNYFGPLNIIKATLPHMRKER AGHVMIISGITAHIGTPGLGMYCAAGWALEGFCDSLAYEIAPFNVKLTILQCSIEIGI LTNLVTSVPPIFPAYSPANNQAPLFRGILNHLIPQLPDASISSDSPVTPSRATDENAR VSSIENGPFSAPEVVSMHPPLSSAHLEVLVSETVYAITSIAGHENPPSRHIVGQEGVA SVKEKLKTVSEELEDFIQASFAVDVAADSDPMPALSGTNDGP PEX2_025310 MSEQRPRRQEESNSGFKGALQGLGIFLLAQFAINQYMGNKDKAD TPSVNTGGIPAFADRPDPSEIAERSALPEVIAPIWPSDGAVDLSVYVTPSIIVPNFKS PDSILVLDEKNFTLGNYSDTREIDTTIKVPKQVQQNGTLWAHFFLARTGYPLDPAAKD YNTADAVHFLRPLNQYLPKKKVKKLKNLLSGPEDEQDQEEDNTPDVSTMSYYHPNFTL SLIPDSGTQKLAQMNPAVRQYVQLERTGARDASGKNGWYYPIAFVNTFWQLKTHMTEL NSTVETVPLHITLNNMANWKFNILSSVDEGSKQSSRQAAYGKPPPGGGDGTEWEMVKE ILLDTNIWLLCTTGVVTVFHMLFETLAFKNDIAHWRKKKDIVGTSVRTILANVFMQAV IFLYLMDNSDNTSWMILASQGFGILLEFWKITKTVDVRLRPPPANSWFSFLPYVIVFE DKHKLSETEEKTKEYDEIAFRYLYILAVPLLLAYAAYSLVYNTHKSWYSYVIQTLVGS VYAYGFLMMVPSLYINYRLKSVAHMPGKALTYKFLNTFIDDLFAFTVKMPWLHRLATL RDDVIFFVWLYQGWKYKVDYKRVNEFGQGGDSDEEEEPTPAIEGDKEEVATQSSSKAT SKSSTRKRK PEX2_025320 MDSDTGSNQPSGLLDAVEHLEAVAFVPPKQRYTDASLLAKTIAS NAYESGIPQPVLARLLKILTAKNNLDQGTVTTLIKNLYPQERIAAKNITQVVCCLGPS KNKPSPATQALLLRWLILAYDIFEDRTHLAKLYAVLFNYLDMISLRKPLCHLLSLLTR RKHVKPFRIQALMELIQTAGGEDKELISLLRIFKNYYPEIILGEFGGSRRNALFFKHP DPEWSSHVKVLQDQNMERVQAGQGSSFQVVHRGTVKRSRIEVVIPTLQTSRVSHKHTS LEELRDVGHFVERLDKIELPNQIISTLGDAMGQKYLHLVQSELAHHRLNEWLRSFLED KLETLRDEEDDDPETLSYVLDFVVGYASYTKDLPSSIRSFLKSYLKTWNGKDNLDHVF RLLQYIPIEPFASLRGELLLPLESAVLDESLRSRTALLGFYSALINQWGVKLRSQLDT IEESIHLSQIIVHVELLASSILEFSVEDEDKKSKPATASVLDFYRTLSELFSHAPQDA RFRLTLPHAQTVYTLAFTPSVAVISTLNSILAVYKSTFEASLNSQVLQAQNSPAYGTD LVGRFNGYVMDMCNVLWRNRALNTEDPNALGCLVPAPTTTALTNYIKDLSEAARHYDR ESAFHINLTSIFSLSHHAAFSNLSAACFADLEEDQQVADHRPKLRKPVTQKVLQTLEK DGGAKITWQEYRVHMLDWLDAIGCRGTGILMRSTMKALRKE PEX2_025330 MALRVSRLLQQPRWIDSACHECRSFSTSSAILSGHNKWSTIKHD KARNDKAKSRERQIVGKEIASATQMWGPDTKYNPRLALALSNAKRASIPKIIIEAAIA RGQGLSVSGQALESVTIEAMLPGSVAAVVECQTDQKARVLQDVRYMIKDNGGIVTPTT FLFERKGRVVMEKKDGANVDDYLDQAIEAGAADITTDDKGRLVILTDPSETKTVGETF AKLSGLTIEELEIFWDPNRDSMVEVKDEEQVKDLEDLLSALREDPSVQDIYLNSIEKF PEX2_025340 MSASDTVPAPSPPQNGVPELDIPKLHALPSEQQELYMLTFTSDL VQHISGLEAAEVTSQQKDLKKELFKILNLPSPTITRVLRNNLGRCFGAILSKGDRGIL FETITDLLAILNAGKSEAELKFKFAAAHCLGEVFATAGDSAFMQSNVATSGALKLLKS ASNHTGLRGALFAVMRKIVVGIGVPIDEGTARDIWKQARNAAISDKSTFVQVNACRCL EQLVATTPFFDNTHDFEHLKTLVWKVIDSPAAPVRHAIAAVLGRALIKLHATDAHITT TPKPKSKKSKRMSKKPGVGLGDEDEAEMSESSAPKKTDSRLYFLLPDLLRQLSSQYLK STTSNRSRAGICICYKYVVRNLGDKIVEERYGQIANNLLVELLNHPTVTYNRFRLLMT RRFIKSILEDTIGQELLRENSQLNATTWLINDILKDYPQVIQERREPSKYTLTSAVSA LSSLISSLGSAFSVQADSCRDALLQVLPHPSYTVQVHVAHCLRNFVLASPHQLLSCVT ICMNSLNREIGQLGTPRQSPRRCVGYANGLSAMLSTSRLQPLYGAVDVFARVFTQATD LLKTSSTSELRVASIQIQVAWILIGGLMPLGPSFVKTHLSQLMLLWKNALPKHLGKEN AAQPGTVETSFLTHVRECALGSLLVFMEFNSKLITTDGAKRIAAMLQNTVEFLDNLPK MKAMEDLSQRLHPSMQLQDYSTMVRRRVLQCFTKLVHVHPPSHGDIISQSSLLSLAIS SFADPDAQYKQLESSISGSAGQFDGLWDLCDNYGFGVTGLSRDYIRATLSGTQEDDKS PGWSAIDSVDQVVDDTLTFPICQASEHDAILLYSLRDGDKLAVDPPTTGVVNSAIDLF SVALALHSSKIQESSVEQIATFLTSPGLQRNPGRKAALVVNISVALLHTLKVAVKETD FVPGKLNPSTDKILQELLQKFVIDADPVVRTIGVEALGRLCDSAGNACTNTQVNWLVD TIVENREPNARAGCAAALGCIHAQIGGMAAGLHLKTIVGVLMSLCNDPHPVVHFWALG GLERVANSAGLTFSPFVSGSLGMLAQLYNADTHNEEAAALATSNIEISFSTPVVISRC VDSLINVLGPDLQDIAKTRNLILTLLRQFQLEENQALVTESSKCLDHFSMYASSYVDI AAYVKRLQTELRASNFLMRDVAVRGLNNLMKRDASSVMQTVGQSLEDDIWLAFDEAPN NKSLKSMIQDWLQQTALTETEMWIQRCQNIMTKTRHKAEPVPTTAVQNTAADIPDDEV AGFASAAGEGQGDAANESISGQELLKWQTRNFAMSCLSELLATVQEAILPDSAIPAEL ALQKNVGDIVRMAFSASTANVIELRVWGLKIIDQVLTMFGKTPDPDFAEASLLEQYQA QIGSALTPAFAADSSAELASEAINVSATFIATGIVTNVDRMGRILKLLVLGLENFAKN PETTEIGDLKGLNSNARVMVKLALFSAWARLQIASIDQEYLTQVVQPYIAKLTPLWLS SLQEYARLRFEPDISGSLGTTLSSDLDEVYAALNRETLLKFYQDTWLYLVDAIAGLVE KDIDFVFDALDGKLQLPDEPSGAEGEEKQEITIKKDEGKGHDINYREEPVAFFFVLFG LAFESLVDQGTSASQRLEILQALKRILRPVISGNAIYQDAIFTETMDSFDRLVLTEAT PIQTVIVEIAQNLSLDHPAAKGDLERSDNLSDDIEQLFELTRSIILVLAGMLPNLRES TPLARFNVTSDESLTLIRLALRSLVDVASVFPSIIRNDLHACILHIFTTILATGICQA GVVPQALPIFRQFVHSITHTAESPEDLEVVSFQLRGCLTRFLTILTIAQRRESDISIP CAKNTLLAITFLLTAGAHVIPPQEPVLIRVLDEFLDCLQDVGLATVAASCLRSILVKP GSRSVTDEVIARYLIPRLIAFMVACPLDNGDIPSDPENSRTVVARTLVSCISNTTFST SELPAATSLIMSALLARAKREGESVHQESAGHLLELAKADQLTFRTLVATMNADQKSL LEEVLRSVGVGAVASKTGADVADNAPQAAPSIALRMDF PEX2_025350 MPPDRGRASRACTSCRKQKTRCYEAGIPGRACMRCDRLHQNCSL VQITASVEETVAPAPGTDARLERLEKTVATLLDRLGEGPAKTPGHESSRPTPAQTSPE SGGAYKVTESSAAPIMVIRDLATDSGIKPMSDARSLGAVLDELISPDLALTLITIFLE YYGRWVLFDPECEPSELLSQVKKSSLLFCACSLIAVRHTSEELAASLAPKLYEYARSL ASTTLLVTPQPIEFFQATLILSMWSTTVGQVPLSIDSWLLSGFALQHSHSSPLFTAVT TQSHPPNKLDEEIMNNCYLWNHLCLAHMHYCVGTSRRSMLQPWQIERCRAIIVSDHAI NFEVRMVAEIYLYWTVYEHLIQESVDLLKAVAALQDWRRKWEFVLEQPRSQFLSMGFH FSHLLLYEHALKSKSSRAREPIVSEMIHHSTEIVQLAMDTVDERTRHLTDHIYHMITF AAIVICRLLNAHQEQVASTHNIDELELLVRDLVQWLYCIGLPCHAAHTLGNIITKVHQ KLRPHVELSPANPQPDELLGELNNYYFPEFLGLGISADGDWDLLSNMGLFPESPSVPG RPD PEX2_025360 MSNYNESIQKSQSEPEAVKPHRPFFNARFSIEEGGIERVTDEER QQNTTKFWHAATFWFSANMAVATLNIGSLGGSLGLPFWDCFIVILIVNITSNLLPAWT SVFGLTGLRMTTFSRYSFGYWGNMLVVIFSMIATTGWNAINSISGAAVLHAVSDGRFP IWAGVIVICVAVWVICVLGITWIHRLDTFIWIPPLIVWCVAAGTGASQLTGEDHSRLQ GSDKAAAILTFMALIFSFSVSWVNCAADYNVRMPKDTPRWQIFGATYVGIFIPTVLVQ TLGAALYTGTTTNATWKDAYTVSSIGGLLKMALEPAGGFGKFLMVLAALSSIPNNIPN NYSFALHAQNLGPWAMRIPRVVMVTFGFIAAIIVGCCAAKYFSDTLQTLLSVIGYWTV IHITLVLEEHFIFRRGWNGYDFDAWNSVANLPFGWAAIGAFAFGFLGAALGMKTAWYS GPIASLIGKKGANIGHELTFAFSGVVFPLFRWIEKHYGGR PEX2_025370 MEQHTFRRRGLGLRGVDAERVSPGYVLYAPLTSNTAHLVSTTGK EVHRWNLPHRAGRHARILADGNLAYNGAHPDAPNLFPMWAKYRGGVMMQVSPEGEILR QYSDPLAHHDQNHLDDGTILYTTLEPLSSAEAARVQGGIPSTEAPNGVIYGDCIKLVQ PWSTSTTSSSADFDGSGGNTGAKLLWSWRAIDHLDPAVFAAHAHYTREHWPLINSVSF DSAGDIIASSRNASSVFVISRDTGAVKWHLGAPVVSQQHCAHEINEAGDMLILDNGVF RPGISVPFSRAIVVSKEGIVKWEYKDFSTGGLGFFTPFMGSAQMLVGGNVLVCEAASG RVMEVTEGGEVVWEFVVPQLGNYTEVMKKEELDEMEAIGFDYLSNAVFRAYKYRPEEV PWVKE PEX2_025380 MATPRLPFLYPNLMRAVRSCEPRTYRSLRIPYRPTQRFHTSQQR ERDSYQRRYGPAVEPSTAPSLRPKKGSRDQVQEVPEDPLTEHEAVNASEQEEASPEES QSEPPQEAEPDTTDVASSETPALDQQAEAQAGAERKEDNPPTDREPHEEQAKDIDGAE QDQASASSPPPSEGTSEESTDTSSFTSQTPFDDVLHMPSPSVYLTPTGEASSSEDRPP HLSPAPYVHPFDTYSLVQDLSKGGYSEEQSTTIMKAVRAILQNNLSLARESLTSKSDV ENEEYLFKAACSELQSSLQTARNSEIQHQRSSRTQLQHETDIISQRLSQELAGMKDDI KGMFNDHKMTTREQQRSIDTSVQELNYKITVSLNSDGKSEIEGLRWILTRRAALTIAI CAFMIIVFLKYASTRKTPEPKQAKQVEKPAVTKEITTETRVVRDGGIQTAVPSAEAHL GESLG PEX2_025390 MAQIPSQDKANQIDKDWLTRAHRDEFHQSDAMTQTMKKSSSHQI PIPPSMTEEATTKRPL PEX2_025400 MVSVQTPWTSILLQEKPGLHEYNHALSNVERYYTRLQEAMQDGS VHDQLVLNPDQTHSRLLKCFEILRAKQLPGPVAEEIFKAMVLPFLHDNIFSPPQMIQL VGSALPLITKQQSQFLRDLTKTLLSSQDLFDNLVANEKYTVVLKEWLLSKRSHLPLTL SRQVGDRLITMCNACSADHGTQAIVESWNIAEKLMESINSLIHTSYEEELKKTKVENL PPLESMKVLNRDDKKSTPAEQGPRKDIKEEFKVSSEILQQMNHFGILPPLSARGLTNA AEHLQNEIIPALTRSALDSFPCRICLDRLTTGSLVDTLASSTALPSDRTTAPSSAQDI FGKRVGLWKVLLSDIAFKNAKKLVRGGDFGGVEQKLRDLASGEWKGKDLSRRVGSKQQ KKKMQVPILEVSASATVSILWQVDVGFYDELPWVQQQIVKGKYLHIIETCRSMLTLAV WQIVTSEEELETAIEQIILIQESYTAELAQLCLERPVQQSDGTWTPRQFGNVKETGSS QMRSIASSKASPALVEMSNKFYNLTEPFLKSIVNKNDAEEFPFDLSPEELEIVKHFST SSLILGRSGTGKTTCLLFKMLAKHKARQSASDEQQARQLLLTRSSYLASKLQTYAKSL IDAQSKAPSIEEDVDLDLKPTSFFALKNWHFPIVCTYDEFLGLLENTIRMADRKDFLR DINPNKTKSLDPQVGDKPRVIDFSIFKTEYWGSLSGLSPPSCSPELLFAEIMGVIKGS SITAKSLKPLYRAEYVKKSAKASPAFTSEAERDKVFGAFERYEKQKRLRKEVDELDRV SVLLKSLRDNRALAKQIQRCFDEIYVDEIQDLRCLDIVLLLGCLSDARGIHLAGDTAQ CISKDSVFRFPEIKALFYEHYEVIANELNQPSFAKPVQFSLAKNYRSHQGILSFASWV MQLLWHGFPETIDKLDPEIGYIGGPKPIIFAGFDSSILSAKMIGLVKLNDKVADFGAE QVILVRDDTSKDKLQTQIGDIALVLTILESKGMEFDDVLVYDFFGSSGLGSSYRCLHM LVQVARAQFDSQKHAALCSELKSLYVAVTRARKQLWFMETQENSIDPILQTLSRSNSL ELAEVVRQKDPNVAGKVMVLRAGGSVDPERWLKRAAHLLHRKSFAEALFCYKKANDSR GMTHSQACLHEQEGRSHRAAGDTEEFAACYEKAIALFLEIGLITEAAMCYEGLGQFGK VAEIWKDREQYQKAATFYEKGALFTEASECYHSCGQYEAAIEVLRRGDQFDELVTYAN RNREYLSEPTLLRYSRLCNILLKQGRVSASLRAITINMLGSDVSKIAFFKEFEMWDQL RALYSSKSRWFEYYDLSVAVGDIPAAISTLLVHKLMPVVDKPVVEKLFNYSMVEVLHS QRDLIPGKPERDGLLKSVKSTYLEKLGAQWKSLLLLMDDFDDIDQPASVKHLDKGLLK DVFCLFVISFEPSVFTKQKIEHLPMDIVIRVGKLLQDFYAQNQYSLTCLAMSCGIFTN PKQENQTILLHWSPLRTYTATPLDGSSSENLLDIAKDFIQDKFAAALTQFHENAYSLM KRDFPPTCFQQLYEGFCSKLKANECFWGHEKPTAEFAISKLNCLLTVAEVFARLTPIY YQRVMGEAFSKPFLGRRRTWIQHIQEELVIVSSLEQSSTAIANLRSNLQSNPEFAATA YCLEELLFYRMNKEWSSMGSLSSSLEKVQEAQILGPHPATRFQRALVLNMDRNMPPRP RRSGHPLALSLTALNAAERIRSAVARRHAQDFHNGVSAFAQCLEKTPKSSLGSLHAVL SVLEFAATYVLCIANPGRGIVIPWSWALQHLSTIMQSPAKDYGFKDREIRVQTTDLMS IVISFCNLMEQVESALTNGVLRFQGLGRSNLPIPIVKRRCTELLTTVNLNLNHWPKQP IGFKEMEKVVNKTLRSTLIPPGMALEFSNSDRFRQSCIREYAAYNHKDELCVIALDDR KSAPLFLRSFTQGNAKFAQLSDILQVSRIAENPLSMGLGEEWQVDEYTGYELDMITNL QRRWRQVMKVLENNRFRAQTREGKLVQHFHKVCMRRMSVLPAAAWSTRDKIHMRKVIF TDGMKIAMALDGVLDNFRQLKERWRQQFDSNWSTAKLEELSVIRGRILPIDGQLEYIV EHWSPKGIDEGMMTVPAQDLGSSAREAQRALWAVQREIEIIRSQVEIIAKSPDR PEX2_025410 MAPEAPDPQSLKSWNDAFQYPIPTVRRVEQELRRDIASNKEKLR ALVGTRYRDLVGTAETIVAMNRDIQDVESILADVGRRCNPRLIERKHVHVRQIKTGDA EKDAKKHAFGAQLSLLHRCTTSISRLLRRRGSLLLVAKILVVSRSLHSTLSKHESLPP FLDDLWKQVASLRQTLLKRINKRLASTSASEDTIIESLAAYCLVESPSSDDAIRHFHR VRLDLIVSQLDTSRENIPRALQLFVRTLQVSKVLRSHRFSDVLSKLKARPLLADSEIQ SLDGLEVEVLGRWVAPDVKNFTPWIKLSELNRTQGVESMKEWALEAFSKFFDGCQKSL AHSTDFSELLSLRTETVELWLSSWGSTVIRGSVDVLESLRNIFNDHLARILNMQAQSL GEVGTQVSSIISDWENTGHNSIGSLWDADLITAEYTNGAQAFKQTVADRLLGRDEDVS TVLTKYQAWLASIQEVNESIDSLRRTRWTDILVGGEVADEDIDFTPRLNEDDPRHLSD SLRSAVRDALTSLQESFSSAFKSFTPSHSSEKATFLLRLIRLVRRDIPAGFVSGDFIF SSEIVPDLQKLLATEVVAKAGSLSLIPSPKSNTQTGKIKTVPGRSLWEGEPAIPVQPS ASSFKLLRRLTSSMDTCGLDLWDPSTVKALKQGLKTKLEAAISSALDDLDSEDAPIKA EAKDDQTTANGDKEEEDKAAEKSETQSPDPDQVEGLRDWKVQLFFDSLYLSQMLGEQN QLTGVVERAQQSSGSSAETVKTIKKLATEYWTRTELLFGLLAAH PEX2_025420 MPLIESDAAMAEMPSNNLPDRLPFPPTTYSHILHCSYHDWHPRY RTLAPKSRVIPLTNSFVEYLRADGIVLPPETVAPTDDDNLDTFSDSGEEEPDPSTEWQ EIHTQIKNTISDFGGIVTPKLNWSAPKDATFMAATNDTQCRSPNDIYLLLKSSDFITH DLDHPFDDCVPDTTDDEPTPFTVDSPLPDVPYSLVLRKYVNFNPSLEFRCFVRNRVLL CMTQRDQNHFDFLFPMRDMLRSRIQSFFDEKLKDTFPESNFVFDVYIPAPHQRVWLID INPWAQRTDPLLYSWLEILQMKDPIGVQEEEDDVAEEFVRISLHDGKIITSGTAEELE KEDDSGSSDSEEEHEGAEGDDEQAPFLPEFRLIKKDDPEAYAFSSTQYSAHKVPRELV DASLDGPGGMSEFMGQWQDILKKQEQEDRAADSDSE PEX2_025430 MSNENLITVTYQDRVAIVTLNRPDKLNALNADLYYLLAERLREI DSREDIFITVLTGTGRFFSAGADVTSVRPGSSELGTNVHRELLKGFVANNVYVTRTFY NHSKILVAALNGPAVGLSAALVAHADFIYAAPHTFILTPFSSLGLVAEGGASRAFVER LGIAKANEALIMSKRISCDELVSAGFVNKVITPESGKKEDSDGFLKKVLEEVDDRLGT HLNQTSLLKIKELVRRPERELLDRQNGIEAFEGLERFMQGIPQEEFRKLASGEKKHKL PEX2_025440 MSSQIFRKLQGGNLEVLKFGMYVLFPIGWMYYFGTNLDDRFSTK GFWPTAEQSHKIPLDKEEIDQELARMRMVDVIKREQRQAAEAQAQAQAQIQATQSQQ PEX2_025450 MDFIIWTVSSLFRWMRLKVYQYEVTFSLYMLTPIEKLIFNTLLL GLVTMIAMGTYIYLPDHLRAIYGRLYYYWAGERLFSSGRLSISSVFGENGVATQIGDM VYETAMNAAATSTERMAEL PEX2_025460 MLRSFVVSCGFRCRSFDFFPFTPPGQGPPITKAGSRYREQSYQI RVLYVCPARAWCCEGEKMSNGVPNQHGSGKC PEX2_025470 MFSRLRWWTSSQTLAAPARLFSQTPIVPAKPLPPRLKINDADIS ISYLKGTGPGGQKINKTNSAVQIIHKPSGVVIKCQATRSQSQNAKIARSLLADRVEAR EKGDNSRVAIKAAAAKKKKASKLKKTRRKYRELKEGEIVEEEEDEIEIIWDDDVKEGE NKEGESEIGSEVKENKDAAPEVGDESKSPGESGKSV PEX2_025480 MAEGLGALLEASLDPRQNKAAEITLRQEEQKPGFSLQLLHITAS ETTPYNTRLASALCFKNFIKRNWTDEDGNYKLQQDEVTTLKRELISLMISVPSGIQSQ LGEAVSVIADSDFWERWDTLVDDLVSKLSPGNPTVNIGVLQVAHSIFKRWRPLFQSDA LYTEINHVLGKFGTPFLALFEALDNYLEQNKANKENLAQGFNQLNLMVKLFYDLSSHD LPPMFEDNISGITTIFLKYLTYDNQLLHTDDETEAGPLEYVRAGIFEALTLYVQKYMD VFQPHVGQFIGSSWNFLTTIGQETKYDILVSRALHFLTSVAGMPEHAASFQAEETLGQ IVEKVILPNVSLRESDEELFEDEPIEFIRRDLEGSDSETRRRAATDFLRKLAEKFEEP VTQVVLKYTQHYLAEYAKDSSNWKAKDTATYLYSAIAAKGTATSSHGVTATNQLVSIT DYFQQNLAADLINEDGVHPILKVDAIKYLYTFRSIITKEQWQQVLPVLVKHLASSNFV VYTYAAIALERVLFLTDSQGQAVIPPTEITPLAKDLLEHIFQLIQSDPAPEKVQENEF LMRCVMRVLVVIKEGVVPFTDVVLQRFINITNIISANPSNPRFYYFHFEALGAFIRFA APANPDKLEQALYAPFAAILQNDVQEFMPYVFQLFAALLEANPSGTLPTYYQELIGPI LMPVMWDSKGNIPALVRLLSSIIPRGSQFIIEHNQIEPILGIFQKLVSTKANESFGFD LLETVVANFPPTALENYFVMIMQIILQRLQNSKTENLTLRFIRFFHFISAQDNKGYSA DFVIQVTDKVQEGLFTPIYVNVILPETQKLARPLDRKTAIISFTKTLANSEAFATRYS QKGWGFTCTALLKLLELPPLPASRDDLINDADIEDMSFGVGFTPLNTIRPQQKDPWPE TGADLKAWVGSYLKEADKKQNGRISQFAQERLDDQSKTVLGSYIS PEX2_025490 MKYVVVSGGVISGIGKGVIASSTGLLLKTQGARVTSIKIDPYMN VDAGTMAPTEHGEVFVLNDGGEADLDLGNYERYLGVTLGRDNNITTGKVYKHVIEKER RGDYLGRTVQIVPHLTDAIQEWIERVARTPADDTNEEPDVCVIELGGTLGDIESAPFV EALRQLRRRAGKNNFVQIHVSLVPVIHDELKTKPTQQAIRDARSAGLSPDLIACRCER PLDKATTDKIAMFCQVETEQVIGVHNVSSTYHVPMLLEEQGFLGVLGDLLDMKKLEIS QTQLLKGQSTWKQWKTLTTAQEHTFETVNIALVGKYVSLHDSYLSVIKSLEHAAMASR RKLNIIWVDASHLENEWEETNSKEYHKAWYDVHTAHGILVPGGFGTRGTNGMIRAAHH ARTKNKPYLGICLGMQIAVIEYARNVCGLEGANSVELDERTTHPIVVYMPEIDQVNLG GTMRLGSRPTLFQEDTEWSKLRSLYGLDRASIDERHRHRYEVNPEYIDQLQAAGLHFI GKDDQGERMEIVELKDHPWFVGVQFHPEYISRVLAPSKPFLGFLSAAAGCFDQVKALS QAKETDLANGVSNIVL PEX2_025500 MSSDDAPHFSQHKPSSTSFADVFKTLGVGRPKSHSPVSLQESSS DSLSHTDSRGTNRRGYGSESMHRGSVVSSSSDTPSGLDFETSLHNLSQRQNLNQAIEE ADVLSKGLSWFSSEQSVVLWEAAEYLLHHESSLDAQQSGARLLEAIAARQDLSPSARR LVFESITSPTEPDVIAARVQSLISLSDHGRKLDFAGSSILHIISSWIVPFYETIASAR SKAKKGKVVKPHGPSHDEAVFGDLFQFAVDLITLQRKQPTQDEIEMLLTEIFTTCKRT SVAADIKNSLAVFDAVIMYADVPDTSFPTLLEVLCSIHASVKPLSGPTSRAVRSLAKS RRQAEMVNTLHDFLRDSCAVEQSRNLNVLRGTLYVFSDFVRAYGQDGMPDLQFDQLME SLQVIAQKDDSRVDADLLDLCLNILEGDYVRVALERDWMAFANLLILCSRRLVDESAS SPTSATSLQLKPTYDETKSYILANLIRIASVLESQWEQLSREQKQHAVRFLTKIYQHI EPPQAELIIHSMREDKLCHPSNNSNWAQHCRELVKCFVQPRKQSSDIRILALDTLKEA LSGHEGLLFTQEHGLLGLLLKDFSAEHDLLFLESLVSLLTDPAIQYSDDDTFQLLVST IGAPMQTELNRDEPREHPPSHGSQRRTSTTSVLELSLTNVCAVGLVKMMLRALNLSSA KAVMVFEALLDIAQSPSRPIDSRLTVLKLLFRLRCDSAGSVTVISTSESDFLMNVLGR NLDAGSKLQAPSDSPTREIPQHDNSPVSPTGKIPMRDSASTPMSKSAPGRGSISARGS KLMAPVWTYALPQVLPEQPPGESSPVVFAYAHPDESTPPESPTTETRITGLLKVKMWL EVVITLLQREADWDVYSYILTHIGPQLGNKDFFRDAIPQIKLLRSILCDQVKNGTFHE PPETTGLKKSDVAACIFDALCMLVSYHEHFAKSEEDDLVRAFMQGIIGSWGGTSRGCI QALSLCCYEIPMSVTKSLNSILDRMSKVITMSNIAVHILEFLALLARLPAVYVNLREE EIRTVFGICIRFLQTSREHRYKAAELAARASLSAQSPQSRLSAGAKEIAAHAAEAVDT SQDGMSKYISNLTYHVMVFWFLSLKLQDRPKHVNWITSRLIYHDEHGKEVVEEQSQVF IDLMQRVAYSDLGETIPFETFPPSPEDGPVAKKSWIVGMSIVTVESAGLSGLSQITKR QASGTTYSVYQQRTAPVLPHQVPPTPDAHLHSDSMRTAILPSHIMLQLTATAFPTPTV MQPIPVPEDDITRRAISNFDRTDIVDGHRIGIVYIDNGQTKEADILANTGGSADYENL LSGLGTKVSLRNPQFNPQGLYADTDGEYTYAWRDRVTEIVYHVATMMPTDFDRDPACV NKKRNLGNNHVTIVFNRSNLPFNFDTIPSEFNSINIVITPSSRIAYDEGGHANGETDP QKLYYSVQVLSKPGFPDVSPAAAPKIISGKNLAAFVRILALNASVFSLVWNNKGGEHT SSWTTRLREIKKVRERALTSQSQGSEAAEGAYPGLRRNTKANIFSEELPSRAPQVQTD FAAEWNAAADTNILQNLDFSRWAR PEX2_025510 MLKADIKEANFGSFVMDYFRHISEATLMQMGLALLQRTGSPHDL LINTVCVNAIASKFLAAITNTGIDSELIHEMTHNIVQFQEAAKVALRSISILTSPSLG LLQALLSGIFLHQASGDITEVSNFAFKMGRSKTLLDIEIGHFISDQPGHQHPTSDLFQ IYMTLARVQAALVPYLRGRSSILTGDLSSSHGIGKLWLVNMQQIRERIDHISSPYPAW RGLDAQSEISALQFAYHSIMTTIFHITEGAGHQSVDIRDQCLFEAHQGISSLVSTCIS AERQNTVALLHWTLLVCPITAYFVLFCNVIATSNTDDFKLMTTITDCLTRIETTSRPI IQVRTIFRHFLSLAGEVFDDESNAIVVTRDHQVQPVQSQSTDLHNWLSDGLFIPWTAG TVPPFDPPLLTGMGDFSDIPIFPENEMFIPLSDHFPDAGNDPSV PEX2_025520 MLYYLKYAAAGLAAATLVSGQTYTDCNPMKKTCPANPGTTESSH FFDFTQSSGLDKWTTTAGTIKTGSNGAEFTVGKKGDAPTIQSDFYIFFGEVSVTLKAA PGTGIVSSIVLESDDLDEIDWETVGGDTTQVESNYFGKGDTTTYDRAIWHPVSSPQED FHTYKVVWTKEATTWSVDGKVLRTLAYNDAKSGTRYPQTPMNVRIGIWAGGDPSNAPG TIEWAGGKTDYTKAPFTMYIKDVTIVNYNPSESYTWSDQTGSYESIKFTGSTNSTSES SSTSKSSKTSEATSTASMTSKTTAATTSGSLISASNTATPSSSASASGSSSNSGSSSS SGSSSGSSSSSSASPSASPAFNAASNLSAGSFGFLSILGAISGLLFL PEX2_025530 MIAIGMEGSANKVAIGIILHPTDGSTPQVLANVRHTYNAPPGEG FLPKDTARHHRAWVVKMVKAALQEASLSPQDVDCICFTKGPGMGAPLQSVAIAARMMS LLWDKPLVAVNHCVGHIEMGRLITGAENPVVLYVSGGNTQVIAYSDKRYRIFGETLDI AVGNCLDRFARTLHIPNDPFPGYNIEQLAKKGSRLVDLPYVVKGMDCSFSGILASIDA LAVSLGLNKQEQTDQSPADQPTRADLCFSLQETVYAMLVEITERAMAHVGSKQVLIVG GVGSNERLQEMMGIMARDRGGSVFATDERYCIDNGIMIAQAGMMAYKTGFQTPLKEST CTQRFRTDETLEMVVTPPAGTMGASPNPNGPKSGLPASTPRSSDQSASEQSKRKQAAL LDKKALELEDEFKAKHFGVMGVLAWILLLHVAGIYLFTKGFLLTRMVLENKSSCDVLP FEGILAQPHTNLGSKQQKGCWHEKTFDKAVVIIIDALRYDFTVPFASKGESDTVHLFH NNIPVFYETAVQNPANAFLLPFIADPPTTTLNRLKGLTTGTLPTFLDAGSNFAGTAID EDNLVAQLHSAGKNLVHLGDDTWQALFPGYFDPNLTHAYDSFNVWDLHTVDNGVNEHL FPLLRPENSKKWDVIFGHYLGVDHAGHRYGPNHAAMASKLQEMDRVIRDIIAALDDKT LLVVMGDHGMDVKGDHGGESDDEVEAALWMYSKRGIFGRTSKDTLLPPQLARDRFVPQ IDLVPTLSLLLGMPIPFNNLGSPIEEVFSGPRGNNWANLATVNRLTAAQVKRYQHEYA VARGAGDDEESDLLWTAAEEEWKSASKGFSSKSTAARASNELYRKYQRRTLDICRGLW ARFDVPSMIQGVGILFASIILLIIYARGLKTDRTQLTSRLLQFASMGAGVGAVAGSAL GLTGLTEMSMIDGTVLFAAAGSILGATPALVKKPANLSLPLPNGMWGWLALFFTVSQS VGFASNSYTIWEDEILLFFLTTFGVCAGISSMRQKQTTDRILGVYHSILFLILGRIAS FSRLCREEQMPFCRSTYYASSTSSTSAPWQLAIPFLVTLFLPSVVRSFYAGSKSYEGA ASLWIGFAFRFGLFTTSLFWILEAADDGEWLPVSNETLKFVRVFLAQFVLALALAAGT AAYFYSKPCVSINVSKPTEDPNSPPTPIVAGQQQGPRTTVTILGFGNVYGTHFCFLLI NFTLAIILMQKPMGQGAIALLLWQILSLLEILDTNNLTMSNSSIGPVILGVLGSFYYF KTGHQATLSSIQWESAFIPLTTIQYPWSPLLVILNTFGAQILAAIAVPLTVLWKRPLQ THDRVPASNPNKNPATRILSDVVQAAGTHILYLATINLATTMWAGHLRRHLMLYRIFS PRFMMGSVVLTVVDVVLMVVAVGGVRWSTLSVGEIFGW PEX2_025540 MNNLFPRIVARDDSNSGLSNAMVDLLIALLVLILLSLVLVGGLL ILRRKRQSRKQNLLPVHNGESLNRRRLTISTNKNDSVLVYDEKRSLMENSYSPPPSPV PEIRITFPEEEDASGKRTSGRMVVVRISDAGSIGLEPCHEELPPYQSTDTGRFQSLDL ERMGGLKEKETKIYQ PEX2_025550 MASTMAANTTSVHNARSNRASNMSSSGMNDRNRGSQGNSVGKGF GPSKASWKNNIWGDSNLGGFGDEQHLSETAFEGKSGSGSLLSTSESDGWSGRANLPWS TVNTNPSFQNRGLATSPTQTRANDRSAPAINEASDSSYFALPRTTGINTTAGTASHRP YLNAGSEGVSPSGEGITFGGFAGRRQMNTTGLGGSPVGATFPVKAGFANPLDGASGDA MGAPMGMASLGSGIAEHMSPPQGRSALSHASHNSASYTTQRPPHSAHPSFYSDNHSVD GRYSGGSMDLNAGFNKLQLNEANYASQAAQRPSFVPHASFDGTFQRGKYQNSEDAYQA LGYTAEAAQEMQLAYQARSRAANTGSISPSDYARIDSPIYTGVDGAAAQYRNAGASES QVAAFERRLRNFQEQELAQGSTRMQYPPSYDFQAYQASRLNAISGFYPVGNLSGLGAA ALVSRTQREHDPTQVVRSPLLEEFRANSKGNKRYELKDIYNHVVEFSGDQHGSRFIQQ KLETANSDEKEQVFREIQPNCLQLMTDVFGNYVVQKLFEHGNQTQKKILANQMRGHVL ALSTQMYGCRVVQKALEHILTDQQAAMVKELENHVLKCVRDQNGNHVIQKAIERVPSQ YVQFIINAFRGQVNRLAAHPYGCRVIQRMLEHCEEVDRQSILAELHACTSNLIPDQFG NYVIQHVIENGDEKDRTRMIDIVMGQLLAYSKHKFASNVVEKSIEFGAEHERIHIIST LTSMNDRGESPLLGLMRDQYGNYVIQKVLGQLKDVEREALIDQIKPLLSQLKKFSYGK QIVAIEKLIFDPNAPATGPLSHTTSTTPPHSHKSSPQPAKRSIPAEQPRAPFMGNAPP TPPPTDAQTTVDTTLESKGLAKSTVTSVSSPETGNTGVTVPVDVTSAH PEX2_025560 MSSNVGLTTPRGSGTSGYVQRNSALLKPRNTGYGAPYPPISGAN GSGPMDRPFKQRMPDKQILEHDRKRAIEVKVMEERERLEEENERIEEEADKKKKGKSG AKKEEDGEADEGEKVLSEEEIDERCDTLRQRLLVELEEDLKRGESGFKKPFPGSEAGA GRDRRNLKSYQVHELAEAKIEETERLRRAFGLREDRETGEISSSRDYREKRRD PEX2_025570 MPPKFTPRLRKQRHRQNPDGESADTNVAQLQPVSKDEKEARRQK LKGELQEQHPQMSSKKQKRLDKYIENKLKKEENIELLKKLAQSSVDTSSLQSSRDLSK RKRQDDDVSAPAVSAPKHNAPADISGYDTDDSDIHLKVSDPATDPNEQKLREEAAAGS GLKRPLELGADGFPVLKKRKRAPRKKPAPSPAPVKAEVAWEGFDSGEEEEDEEEDEED ENDMDEESGSDIEEEEDDTSSSEDISGDEDEDEDEEDSEDSDDDDDDDEMDEDKPTLK TRQSAFKSWAVQQINEAAGFKPTEGAVTEEEQVFDPSKLPATHNTRQEEPLPRELQVT HGDPNRKAYSVAVDRTEEIQIARLGLPVVGEEQKIMEAIHNNSVVVIWGATGSGKTTQ LPQFLFESGFGSPGSPNPGLIGVTQPRRVAAVSMANRVAQELGQHAEKVSYQIRFEST ASKKTAIKFMTDGILLREIADDFALRKYSIILIDEAHERSVNTDILIGMVSRIVGLRK SLSEEDPSVTPLKVVIMSATLRISDFTENPSLFRNGAPPLVQAEGRQYPVAVHFSRRT QRDYVEDAFRKVSRGHRKLPAGGMLVFLTGQNEIRQLSKRLKQAFKPTQREDTTQAKV QLSATEAPLEAEDLELGGTEMENPGHDDYDSDMEITGLDDAEEDEGFELAEGEEAMDS STRVHVLPLYSQLPTKEQMKVFEPAPENSRLIILATNVAETSLTIPGIKYVFDCGRAK EKQFDLFTGVQSFQVGWISKASANQRAGRAGRTGPGHCYRMYSSAVYEGEFAEYTDPE ILRTPIEGVVLQMKSMGLHNVINFPFPTPPSRQGLAKAEKLLKNLGALTADGQVTPIG RRLSTYPLSPRFSKMLHIGHQHGCMPYVIALVAALAVGDLFVPENQLDPNQPLPTTAK KQVEDDSDSDSDRRKVYTNADRLEDDERAQRNKAYARAQRLFSKHDDTSDALKSLSAI CAYGYASDGDAFSEKMFLRAKAFKEATQLRRQLTDIVRSNNPGLVPAYEARLPEPSSK QIKALKQIVTAGFIDHVAIRADQAPVPPENPRAPRRAIDVPYLTLFRSRDGKGEDLQE RAVYVHPSSLLAKLSPKEMPKFITYSHLQQSSASLVSDQVPKIRMFPLVAPSGLQLSA IAHDTPLIEYGKPIGKADAMEGVPPRRSCWVIPSLVGDEGGVGWPMPAKKVVQKKDPK EGWVIEKFVA PEX2_025580 MVESFDTSSLENSLNLPFPATTKQIAGDKIMVTISQAGRLAHWL HVPMENQNPGTEGMHTLAEGDDALLPLKSLTTTTLLGGYSPGQDTTGQLLARQIATAI AMKTPSEKRLLLVGLGLGKSTADRDSFFAIVDLVLQCL PEX2_025590 MKEVVRRADTQASARRRLGDDDDVPSRSFIPSEPFIPSISFITH DRHSIRIKKPVRCIKAGAPDTPSEAPASEVSDEQMAEEVPHASDQAINTTSEVPASEV PCPAASASTHESDQVVDVVRASDKVSHAYSDTPLFYIGKLAEKTFKDMLWLQFGKKWE SFEIRFLTDMKKCPSVVMVNRNSPCPLEWLPLEVRATIWKYVFDDGKEAIFLKKDGMT PKLPTSMRVVSFGWISEAWFGYMSSLSNRTLVVTDFPRNGYLAPHFPIFRELSTVRIR SIKFALGDNDPEKAKERTRDFIGFMLKHKNQGFLAVRTMIIELRSNWETEDFTEMALA ELLVCGAFVEIERIRIHGKITDEKLNRLLERAREFARASF PEX2_025600 MKPLVDPELAAAVEASRRDMYRQQNRHPASQASNFVDLTNDSGN DSDIEEVFPKSKSVVSSETEDDHEHEQLQRALAMSMEPNDRTKTPEHAPPIKHASAPH GDSVVSAGSLLGMNRKQMEEERLARLAKRKADDSSSTPPSQTSRKLPRTEPLPVQHPV ALRNPFQSAPQVSTARVRSRTEVCRQLASNSPNINIQPTSRSVAQWPLGAVKKTHITG FPRSGNEITIEEVIQRDDLELGVFSSFLWDMPWLYSKFNNSTTRILFVMQANDEETRE QYRQDVSNMPNFRLCFPPMEPQVFCMHSKLLLMFHPGYLRIAVPSANLTPTDWGEDRL MENTVFLIDLPKLDVPEAGKTPFYEELVYFLQASELHRNIIKKLDEFDFSETKRYAFV HTIGGTNTEGKWQRTGSSGLGRAVKALGLETNAPINVDYIASSLGNINTPFLRSIYLA CKGDNALLDYELRTANKKKEPRTEVEAYNQECLDHFRVYFPSDETAQDVHSNAKHAIG TICFNPAWWSGANFPRDTLRDCVSERGVLMHNKLAFVHPSTPIEMPDNKECRGWAYVG SANLSESAWGRIVKDPKTKQLKMNCRNWECGVIVPIINEKKTEKKDKGPETNGPVPSA PLPVEVFRDTVPVPMRVPAVPLSESRRPFFFGV PEX2_025610 MEGHSEQSHAVSDSQAYTQSSRQQSSSTGETYHHDASSQQPTME QDHHTAEAPIDPTLTDGSTISSSVSDGDGRWGEQEAGKAVSRSGAMEDMEEMRRELTR LSLNRTRSVTKSIRRRKSQASRRDEEKAEDEEETEDEADDGFNLGEFLTGGHLERRTT AGEPAKKVGVVFKNLTVQGVETGASFVRTLPQAVIGTFGPDLYNLVCQFVPQLRFGKH PPVRDLIHDFSGTVREGEMMLVLGRPGAGCTTFLKAIANDRGAFAGVHGEVSYGGLSS KDQNKHFRGEVNYNPEDDQHFPSLTVWQTLKFSLINKTRKQDRESIPIIIDALLKMFG ITHTRNTLVGNEYVRGVSGGERKRVSIAETLATKSTVVCWDNSTRGLDASTALDYAKS LRIMTDVSKRTTFVTLYQAGESIYELMDKVMVIDEGRMLYQGPANEARQYFINLGFHC PAQSTTADFLTSLCDPNAREFQPGREASTPQTAEALENTFKNSQAHSRILEDVSSYEK RLQDTQQEDTRRFQSMVAQSKSKSVSKKSPYTVSFVRQVLACVQREFWLLWGDKTSLY TKYFIVISNGLIVSSLFYGESLDTSGAFSRGGALFFSILFLGWMQLTELMPAVTGRGI VARHKDYAFYRPSAVSIARVIVDFPAILSMVIPFTIIVYFMSGLDVTASKFFIYFLFV YTTTFCVTSLYRMFAALSPSIDDAVRFSGIALNLLILYVGYVIPKQTLIQDSIWFGWL FYVNPIAYSYEAVLTNEFSDRVMECNPSQLIPQGPGMDPRYQGCALTGSSLGESSVTG AQYLTANFQFTRSHLWRNFGVVIAFTVLYILVTVIAAETLSFVGGGGGALVFKKSKRT QKVAAQPTNDEEKVANAKDNAALARGQAASNDDTSFNRLSSSERCFTWQNVEYTVPYG NGTRKLLNSVNGYAKPGVMIALMGASGAGKTTLLNTLAQRQKMGVVTGDMLVDGHKLG ADFQRGTGFCEQMDLHDNTSTIREAFEFSAILRQPRDVSRQEKIEYVDRIIDLLELED IQDAIIGCLNVEQKKRVTIGVELAAKPSLLLFLDEPTSGLDSQAAFSIVRFLKKLSQA GQAIVCTIHQPSSMIIQQFDMILALNPGGNTFYFGPVGKEGASVIKYFGDRGFVCPPS KNVAEFILETAAKATHRNGKLVDWNEEWRNSDQNRDMLAEIENIRSERSKLPIEESAS ANYEFAAPTSTQTIQLTTRLFKNYWRDPSYYYGKLFVSVIIGIFNGFTFYMLSNNVAS MQDRMFSVFLIILIPPIVLNSIVPKFYINRALWEAREYPSRIYGWVAFCTANVVCEIP AAIISGLIYWLLWYYPVGFPTESSNAGYVFLMTILFFLFQASWGQWVCAFAPSFTVIS NVLPFFFVMVNLFNGIVRPYADYPVFWKYWMYYVNPVTWWLRGVLSAVLPDVQIECSS LEATHFNPPPGKTCVAYAGDFVNSIAKAGYLVNPQATADCQYCPYKDGEQYMANLNVH VEDKWRCFGIFLAFVIINWALVYFFIYTVRVRGWSFGIGSLFGLAGLLIDRVKGLFTG KKTEV PEX2_025620 MANPRLTVNRALIYERRLPGDAYITAHVQRLQHGFYSSAAVSDE DAEYVDFLAIAFTFHSPHTITHRIKSAAISVSVYGNRDLSSPKSDPYRYPPGNPRFLM HAPHLLYGSVSPETMEWTFSLAGSLGISELPINASVIPSGSLNGRYKRYEMMRVQGSA RTLKNPAGREFDVEAGKIVWSMEENNVQRSGLPREFTFVMLIQKPTANSKISLSIDVD PVIDAMIGSYPSLLLKLPEYQPLPRRGVNFQQEVGQRFEPVDPVRGFNFAKLDSMFDE YIAMPGRKFSRQIQIPTETGIPDNHFQGTYPGQYGPLNPIPYQQQLQTHNLALQNNSL SLQNNLLQTTLQNLWATQPRGEEIQSRPQQVYPQNQNQNQGQGHSSLQTPHPHPARTT SSTIPQTATITIPLNLHLHLDPTTTHLTNLAQSSPGPSPHPPRRTPSLRRTQAREFPT RAAGGPSKTTSTNSSPSLTDSMIPHTGVSSQRRDRTLSEITGGDGDGDGAGAGAGAGA GGARGHRKVMSMANSPLGSLVGLDDR PEX2_025630 MVNGTAAVLEPTFTGYVATTQDALILFEACLTGVLHHVPRRPHD RERSHLVRSGSVFIYEENASGIKRWTDGVTWSPSRILGNFLVYRELDKPFPPGEKKRA MKKATRRPVPAGRPGEPYPRHDSSQGYSPTSPSSAPFTDRSSHQSELERALVGSLVDS YGFKDSGLVKKTMSVTVLGVTHHLVSYYSVEDVMRGILNPPSMVDSLRFIRPRTELTQ KQSFRSPIDELEANAVENQEPSHVALYGYRPQMMAPPAYAMPNPSNDFYMHPSPYAAT HPPQQGPIQGYSMGAPMAGQSAPNPYLPNPGQTAIPPKQEDYHSFRAGPYGGSMDSMS AHSMASIPGGINAGLPSSLNERNRSTSEHSPSAYRNSSISSRSQATDATSPMDPSTPA TYSRGSFSMSGQLENQHQGLDRNMPGLDPNVPRRESNPIHPSYYATDRSQYYVPAPYA ATQPMSTWTTTAATQPQMAQPQI PEX2_025640 MHHIVLCTPYNAGLRYVAYLGTAAYIGALAPRPMLYCHNNLQIA RYVETKNMDNMHIYGASPLFQLSWNVSPSQFAR PEX2_025650 MAFRARSVALMRQGRGLRSFMGRSRGFATVTDNTRPYDVIVIGG GHAGSEACAAAARSGARTALITPSLENIGVCSCNPSFGGIGKGTMIREVDAMDGVAGR IIDKAGVMFRVLNRSKGPAVWGPRAQIDRDLYKRYMSEELAGTENLSIVEGKVADIVL STEGIENTPGAQGKIVGVRLESGEVIPTGRVVITTGTFLGGEIHIGMDVFPSGRMGEA PTYGLSKSLREAGFQLGRLKTGTPPRLDRKTIDFSALEVQKGDSPPHPFSYLNKTVEV GDEGQLTCWMTHTNEAAHEIIRANLDKSIHIRETVRGPRYCPSLESKIIRFKDKTQHQ IWLEPEGFAPNDVIYPNGISMTVPADAQYAMLRVIRGLENVTMLQPGYGVEYDYIDPR NLRPTLETKLISGLYLAGQINGTTGYEEATGQGIIAGTNAGLESQNRSPLTLTRSDGF IGIMIDDLITKGVSEPYRMFTTRSEYRISTRSDNADLRLTRMARDAGVVSDKRWSHFS DTEAQILELQTLLGNTKLSSSAWSRKGFKVRSDTSIRSALDILCLDGTDINTLIPTIE SPTGTAYTASSFAPEILARVAIEGRYAPYLKRQEKMAERFQQDENLLLPADLDYTQVH GISNEERQVLQRVRPVSVGMAKRIEGVTPVGALRLLMHVRKGSGFSKEIDGEDPAVAD VLRSSP PEX2_025660 MKAYWYDNVEGDQREPHDSGRAVSEEKLASLGVLYKHCPTIDAV DTIASERGYRNRDEICVSPATMGAIYEEKVKSFFSEHLHEDEEIRYILDGEGYFDVRG QEDEWIRIDLVKEDMIILPAGIYHRFTTNEQNYVKAMRLFQDEPKWTPLNRGAEVDVN PHRKTYLETVVSPTAAV PEX2_025670 MVLQQNEPAPFAPQSSLSFTQGFLLGQLSVVLLIGAFIKFFIFG EAPPPPSRGMSNRTTHRRYSSVYNPPQDGQKSLREKPSTSNVLRPVPSTSTNTRSILR KTYYSAIPTNPTSKHGRHRMHHSSHQPESLDWFNVLIAQTIAQYRETAYSLKDSPTSS ILSSLTAAMNNPEKKPSFIDKINVTDISLGEEFPIFSNCRIIAVDDPMSDGGRLQALL DVDLSDDNLSIAVETSMVLNYPKPRSAIIPVALSVSVVRFSGTLCISLIPASTEPPEP LQTPDRSPAPPTSDPRDNSGNRPSGPGEHTTSQDHLPPKSSPKSNVAFSFLPDYRLDL SVRSLIGSRSRLQDVPKIAQLVEARVHAWFEERVVEPRVQVVGLPDLWPRMGRTGVRT GDDSDAGSTAPPRSAGSTEASGPPRFSGDHGREPEGLRFRGGLEARLGSRTNSFNVDM GGLRSSSMTRQQSGGATSDHFDMPGAMPAGTPVGTPGIPDN PEX2_025680 MTRQLRSGRKEPPASEIDELSLQDEIHEPVRFIACASIPVEPVI IRRLARLPGLNGCDLRILRKKQNRLRESALLTAKATLNVSCHAARALASTLIFAQHEA GTAVCVDSRGWILTCAHCFGETAEEWKAERLKWLLYYNGLAVQVECRVWDERRDLALA KIVCVEILDEQCKGLVNPIFACVPVAESLSTSSPIFCIGQPGADNLESVSPRKTTYDL VELSRGRLCGIVAGADPQDNSDIGTLKHNAWTYWGHSGAPLLRRLDGALLGLHSSWDD ATAMRHGVPLIAIRAFLREHLPTELVNLTTPV PEX2_025690 MASSRVAEIFQGDFDDIEATSVSISDVKHLSSYNWIKARTPIIA VPGTPARWSPPKGPQQVKMDSGLYFIAENAVRHPKSPLEPLFRALYLENPSFDIRSID VVADRNTIRQLLSFINPGLDKSQSFTIHVEVVKNTVILCRVGKPKLQFIKPGMPSGFG HNFEKRYTAHRVKGSSSHYRIISYNFGALKFLIRHETDGYVAVNTAGSSTAVSQSTYD GLSSKMKSVSLSQPNVSLHTTPAGLSIRKDGKAVPLASTLEIKTRTIKRVLAMKDVAA QLWISQTPKLVRAYHAEGNFQPPKVEDVAAAVKSWEDLHQVDLRKLAALIHKVIEVTK GCGGAATIERRGRGTKLTIDRANRERMLPMDLYSKWDEEKQELEPEKS PEX2_025700 MSSEAEIVGSETWSTASAQDERAWSGTTKQLDPVFEKERDSTGI PEEDRVKIGILARQLTNASTKTGVHGAVSNPFKGSNDPKLDPFSKEFSPKKWVETVLH LNSQDPERYLPRKAGFSFRNLGVHGFGSPVSYQKDFLNVFLQLADIVTGLINRKDQKI QILRNHNGVLRNGEMLLVLGRPGSGVSTFLKTVAGQTKGLFLDEASEFNYQGIPRKKF RGEFRGDVIYQAETDTHFPNLTVGQTLLYAALAKTPQNRLPGVSRDEYARHIRDVTMA VFGLSHTMNTKVGNDFVRGVSGGERKRVSIAEVCLAQSPIQCWDNSTRGLDSATALKF VQTLRLSADITNMATVVALYQASQQSYETFDKVAVLYEGRQIYYGPVHLAKDYFVNLG YHCPDRQTTPDFLTSLTNPVERVVRSGFEAQVPRSPDDFAKAWEESALYKELMRDLAE FHNEHLVGGPAVESFKESRQAEKASWMTPNSPYTISVPLQVLLCIRRGFRRIQGDMTF FIITVGGNLVISLLLGSVFYMLQDTSASFQSRCILLFFALLFNALNSSLEILSLYAQR QIVEKHATYAFYHPLSEAMASMICDLPSKMISTIAFNIPLYYMGNLRTEPGHVITYLL IAFSSTLVMSMIFRTIGQTTRTIAEALTPAALMVIAMVLYTGFILPIRNMQGWLRWLN YINPLAYSYEALIANEFHGRNFECANFIPAGPMYQNITAADRTCAVVGASAGSSVVNG DLYIAMSYGYYYSHVWRNFGILVAFMIFFMIVYLLSAEYISSDVGKGEILIFQRRHFS AMKKKQRTDLEVGSPASHEEYKQDETNGEASNGITAQKNIFHWRDLCYDITIKGQTRR ITDHVNGWVKPGKLKALMGASGAGKTTLLDVLANRVTMGVVTGGIYNNGLPRDASFQR RIGYVQQQDLHLETATIREALEFSAFLRQLAHVSKAEKLQSVEEILDLLEMRSYADAI VGVPGEGLNVEQRKRLTIGVELAAKPDLLFFLDEPTSGLDSQTAWSILLLLRKLTDHG QAILCTIHQPSAMLFQQFDRLLLLASGGRTVYFGDIGENSKTMTEYFERHGADDCEES DNPAEWMLRVIGAAPGSATKIDWPATWLGSQEYASVKEELISLEHKDSLETTSSADPS LQFASPFHVQLWTCTKRVFEQYWRTPSYIYSKLIMCFVTALFIGLSFLQTEITELGLQ HQMFAVFMLLVIFPFLAYQQMPNYILQRDLYEVRERPSKTYSWITFILAQIMVEIPWN SLAALVTFIPFYYLIGMNHNAALSHQTTERGGLMFLLIWGFLMHCGTFTTMVVASAST AEIGAILALLLFVFCLIFCGVMATPASLPGFWIFMYRASPLTYIISGMMSSGLANIDV QCSDIETTLVQPPSGQTCGSYLGAYMKIAGGAVYNPDATSDCRFCAIVDSNVFLQSVS SSYSDRWRNFGLIWVYVAFNVIATLILYWYVRVRGSPGLSHVAVWAQKASKYLSWKKA Q PEX2_025710 MSEPLNQACDACRARKARCNSLEFVPKKLYIDSLLADRQASRIS MSAKHPDQLTAIYGPNPNISFFPAKRVRSINERLGHDRLEQLLADIRDIVAAKVKATS SIPRSQIPKEYHLQNAHNLPPRECLDAHILVYFEMVHPLYPFLCATTFQRQATSPDLL HFLATDKTFAALFYAVVAIGCQYNDGGSYEAGVGEAWSYFERSLSYFQDLIFFRGSLT AVQALMAMAIFSTTASAFQLEPLMLSEAAVMAQGLGYNRSNGPHEDTQRRTFWVLYFM EKVSCFITGKVSVLQDSNISCAIPDVQESTFGDYDWGFSFLQYARLVSKIHSSLFTIS SVNQPAAEYNSKVQGFLTELETWRISAPGRFRAGEPLKPRLLREPLAQTIALMTNYLY FHALLTLSWTLLHFSAIKLEPMRQLDLKRGLMRTARSVLELTKFIEVAPYTPVWMLAV LPLSCLMILFDLVVHNPDHPEASLSLALLDIASGHFSRLEFASNGTLPGSLVAQFAHL ARQYVFEKRECKQKTIDMDVGDASCDIPPNMPAGATEIHPPTAVFPTTGVPASNQPAE TLSGLQEQLSLDPGAMPLGDPTLLSENDQLFIPSIDDPSYRIEDLELLGIDLKDLFDY PYAMFWGDIVG PEX2_025720 MAEISDHNISPVVDISAAGDIILVVGPEKLTLRVESLILKAASK PFSVMLGPNWKEGRHMLADIPVEILLPEDDPVAMKYICAITHHQNKMVPKSMAVHDIL GVAITADKYDLTDTLTFASGTWLQPHNKKPVELMVLAAAAFLFQDVQAFKAITKALVL NYHGSYLTLLSEGVESAMSWRVLCKHLRLR PEX2_025730 MQERAEKGYQLGVDVGGTFTDVYVFTPHGQTVRAKVPTTIPDQS IGIQKGIAKAREILIKQFGWSGTFQFIHHGTTTATNAVLEGKGARTALILRRETVVEN LSVRAPAVDIKTVGAGGGSITTYMELTETLRVGPESAGAVPGPACYGKGGKQATVTDA NLVLGYLPKTLLGGEFALDVQAAITAVEDIANQMNLPVIQTAEDIITIINETMYGALR LVSVEQGYDPREFALVAFGGAGPLHANAVGQLLGAWPVIIPPSPGILCAQGDVTTRLR HEQSATFIRIVSGTSISEAREQYQTLEQECRDTLSRTAGDLWPLSWRTSYQADLRYKG QALLITIEITEEDLALTTENWHDVLRQKFDQQHQQMFTYCLPDFELELMRLGVVLEDA SPGIDIPQVEKGTIPPPDAKIGEQNIIVQGEEKLATLWDRQKISKQGIQLMGPCIISE MDSNTLILPGYYGEIDNIGNILINPVEKKPPTVTTHTAESAKELVKSTPLIPTLISST LASIRSEMDKMMLRCSMSPAIREQQDEFNVITDREGKMLVGQFGSFITQFLDVWNGTI EEGDVFITNDTYEVQGAISHLNDIIAFLPIFHNGRIIGFASQFGHLTDVGGIVPGSMS INATSVFDDGVQIPCIKLYARGVMNKDLVDLLCRNSRQPAWYRSDLTAIVASCSMAAN RVRELATRFGSEVYLAACSELLYRNRNGFAKIIERQFDDLESKFTDFVDDDGHGVGPW ALTCSMKKVDGNRLRFDWSGTSPQSERSINFYLSETMFRMFIGYYLIAAAAPGTVIND GFHDLIDVYIPEGSVLKPVRPAPISCRTHFLGRTLDIVQALIGQKQDSYQAAAGFSDS PHFFYSGFKPDGEWYQLYQIGFGGVPARQAGDGPDCHCLFPAIKSIPTEIIELNYPLR IEANESVADSGGAGFYRGGNAQRTLYRFLARGEFSLHDDRWFTKPWGLKGGKPGTRSR KILYRYSKSEDSPPTEILPSKCDHIRVDPGDLLEWVTWGGGGLGDPLTRPAEKVALEV HRKLVTVEGARVAYGTVVNDDFTVNEDETEALRTQLRMERSQLTESSIYDRGGTLEQL RETCLQETGLEAPLPQWETEIYGPHAGLAYVQEWFNGMRDRKGWELD PEX2_025740 MEESLILSKFDNLVQSGLVQYDDKQQIIEHIDGDLKFQFVLTSA LVKKPTLTTAPSQPDTDTQTPEKRPGSDINTTGFELGELDSHLVIVNKFCFARPHLML LTFDGYKRQYEALDEADLRDTWQLLNSTESDYVAFYNCGPDGGCSRLHKHLQVMPLPA NSFAAFLDSPGEPETKVPFQWFYRRFEDDVTPAALFEIYKDLLEEATKVSGDDAANAP PGAVCPHNVIFTTRWIIVLPRTRGAINKEAGVNSLGMLGVIAVATKKEIDTWVKLGLT ESLAELGVPKVT PEX2_025750 MDTQATGFERRDATEEEIKTLPHVVDSIPLIIWIALVAGELERF KFYAVTDPWQNYMQNDRDSIEVPGVLGLGQATATNISDAFSFFSFIAPLPFAILSDAR IGKYKKLCISLMLVMCAFRMFTNIGSLSLIGSTYLEKEVGFWAAYLLPLCSVGVPIPL LLFWQKSFVKLAPDGNFLPQAGKVLVACFVPFYLCIDQITNNFVSQAGQIKLSAIPND TIQALNPIACVLLGPIIQKLLYPGLQKYGIAIESNSPPKDMRSLVQALRQVTAATGSA LGIALSPVAVDPKVMYLYTGLTATMIAAAPTFWVAFMNYDKVGDEDELNEVGLMQDSH NEMEETTARAHPQEAHT PEX2_025760 MPVSEHPLVTQATFPAASNTQWAQDGTAQISSQLKSGYNHTVSA MWQAERQLHKSMFIYPVFLSVDPDMEEPIPGLPGQYIRGPNKLLPFLSTLVAKGLHSV ILFGTLLSSHTKDNIGSMADSTSGPIRPAIPLIRQSFPDLYVIADVSLCEYTDHGHSS VLFKDGTVDNEATITRVSDMALSFAHAGANCVAPSDMSDGRIRAIKLKLLEAQLESKV AIMPYSAKYASCLYGPFREAVSSKLVTDEHKRYLLPPPARKLAHKVILRDMHEGADMI IVKPAYADIISDAKSMANVPVAAMQVSGEFAMLHAAARAGVFDLKTIAFETTEVIVRA GADAILSYFTPEFLDWLS PEX2_025770 MTKTPDCAIHSITFDSELMTQCLPSTLVNKTYHLVAVADENIEP IIFAIRNVKTLSKDGKSTIPQRKKFFIIRKQNAKEGYSANELGPMLGFKDTYEAHTLE VTQDMASGNIFLALAYGDEIGEAVVIDGHSICPLFIA PEX2_025780 MAPLWNGMAAGLLLSAAVVSGQANSRLGASPSYQGFSNICPEQC IVTGPEPSNWSTYNDMNRLANCNQAMLYGFNLYDDVDDADSYHRIFACTAYGNDWSDD SQSHVTNSRPEKEHKVNYEIGWSSYSPGTESDYRSLIRQMRDYVARGHISPSKTAMLY AQFGYTSAGIYIGNSLQSKDIGDVALQSLIDDSHDFDGRRDSLTMQLCGPHYDSQHVF GFMALRNGTFRAIQSAFKSWSNAECLDFEHSTNFTASTHFTSSMLSSIKAGNTTTSGI QAGGSALPAKLSTQHTKNLMSSTGECRTQKVQNGDSCAAIATRCGISGADFTKYNSEK SFCSKLKPGQHVCCSSGALPDFSPKPKEDGSCATTTVGDGESCSTIAAANSLTEKDID GFNQKTWGWGGCKNIFKDSVICISKGSPPMPAEVSDAECGPQVPGTKLPKDMSKLADL NPCPLNACCNTFGHCGTTAEFCTDTNTGAPGTAKAGTNGCISHCGMDIVKGNAPTKFR SVGYYESYQFKRQCLYQDVMQVDHSKYTHLHFGFVDISSDYEISINDKSANYQFHNFK YISGPKRIVSFGGWDFSTQASTYQIFRQGTSAANRKKLATNIANFVKENNLDGVDIDW EYPSAPDIPGLPSGDKSEGNNYLEFLVVLKNLLGDKSVSIAAPGSYWYLKGFPIAKIS KVIDYIVFMTYDLHGQWDAGNPNAQPGCDDGSCLRSHVNMTETKESLSLITKAGVDSG KVVVGVSSYGRSFRMVDADCDGPMCKFTGTRLHSNAEKADCTDTAGYISNAEINQLLD HNSSRVNKHYVDTHSNSNIMVYDNTNWVAYMSPEIRAERTKMYQSLGMGGTVNWATDL EKFNDAPEGVENWPGMILQMKSGTITPRGAGSRSGNWTKIGCDNEYSRETPYWSPMTR WRQLDAAGAWSDLIADWKNYRDKDHTGDKLSFSAQISYLLGGPDNVKCGQIDGDSNCP LISCKLFNIGNGTKSGAAAELIWDSFFKIHQMYAKFKSALVTDAALVIDNTLPHLENT FAPVPPPEDNAWLDMVLDFVSMGVPMVGGKFIDDFLKMIPAMTTKSDISLDHYKEVLS AILDSPATIATNLKGTSDPNDWTPEKQAEFSKYMGQSLQGWNYIFTKDLENLFDGTDK SIERLTIMISDGRMIDGIPKDIPYPDKTKRKDKDDDDNKKTEATDSEKKSVEDGFLTA FWAYSIPAVWQASGHHPFIIDTGRSCDDKDGDKYTKDLKSACYENRLYQLADPDGRSH PCDYDCGITGGCKCDDSAFSSLKGVEELDGKAWSGLKVEDIIIGSVRTYKQNGNENGG GTADPTDSGTFEALKQMDITTPGFMRLPVCSETLARTSWENADKTEATRNKDGFPCNN DNGRSYCTTSESTYIEETTSGSPLIDDCLVIVKNIEGTTGSWNKLIEIQYGIAHFGTC TFGIEGKGRHGNSNVYIGAQDIVDIIRYTSKHWGHGTDKMQGKGVMQCNGNIKQQELH WAIYKK PEX2_025790 MTLTPIVFAFQNPAAINRLYPYITYGLWPADLPNGNQTLSNDLV EAHLPNISGPVSFLQASIANTLNTENEWEFVWKMDWTNCSTPANGTTSDNERRLVEKP DVTLMNYWAGRSIHFTTKKGANQPNFTALTTGDKCSDTSALAFNVTKTLRAQTGYYEG EMCAVLQSPAPTAAPCKIIIAPAAASSISSTLTAGQCIAATPAISCPARNGGAYIDEV TSQLKWWAAGVLLVGKFLI PEX2_025800 MRLATILPAFAAFHLVQGLEAPVLGYGVEEFSWEVETKPGGPKV ILNGTVQEIYAELININPTYDLDFAAMPAQAIVDTNNETSHLHKRLDVTCNNYDQAIS SRIKEGIRYLRGVNGKPSNGPGPGNCGRVSCSYNSAIWWCNDNTSPKTLGGFNNIADS AQVIINQCGPTEVYVSGEENHGDKWRGIVRRSPC PEX2_025810 MHDGVFWILSTHGQPFFGALGCASAIIFTTFGAAYGTAKSGVGI CSSGISKPDLIVKNIVPVVMAGILGIYGLVVSVLIANNLVQRTPLYTGLLQLGAGLAV GLCGLAAGEFADYDEGLRSELLVTLEYEEQLSSLGFMLA PEX2_025820 MINTKQRDKEAHLFDKFQKSLDGTATDDEGQANTNRWSSKPPVE SMELSDSQNSTDEAGLIGRIFRPKPGSWKGKDKYNPYGDITSETELLREVKDICNELN MLKYLALDQESVWKRIWKDGCNQDPTFNHNTPSEVKKEITEMVKEAEFVQKAIDMLLD LKQKQANIVEAEFSRKQSEDTAKQSDTIMAFTVVTILFISGY PEX2_025830 MHSLPKLALTALLLISPATCISNPKPPGKDAILLSNVQSLTLRA HRMTSSRRISPIPQLSCAGPSKQICNLYQPEVMRCTNQGYDYDIEDVQWTCTADLPAE FKLGATDVICEGYRNADDNWVLKGSCGVEYRLLLTEAGEKRFGKKGGDSEWDWSGKNR SGTGIQKIMAVLGDLIFFGFIVAVFVMILWPILAQCFGRGNRRGRGAAPARGWGGFWG GGGGGGGGGGNDPPPPYSSFDPYKSAARQQGWTPGFWTGALGGGAAGYQMGRRANSGS RGGMPMGRSGGYDPGEGSSRSPPQFSSTTASTGFGSTRRR PEX2_025840 MKSFSSSAINKTGKKFAPKAPIRRAPATAPARRPSAAQQSPAEP VQQDKAKDVVESALVPPVAADPEARTVTEVSPASVAVPTTEQTPIPLNATVTLPVTTP TTAPIPPILPSATQTVTPTDTPITKPTPIPKPTAISKPTPIPKPTAISKQTSIPKPAA IPLPQKRKASLSISQPAPVLTAPPTPPSTQPTPSPANVPTSEAQPPSSEDHGPETALL EYARIETARAAENLVDSNEALPQSQPETEQTAPIEGPPAKRARTLSTTATAVPARKKS VSVAASRRSSQSIVPTIEDSSATPGGSNLSTPDPTKPKKRKYSKAGTSDLEGSEKPKR TRKKREPTPEGAETVEILPNVVKMSELCKDLRTGKKSKRETELRKIDQAEEERKKQGG TPGPGTGTPVKQTEPELEKSEKPVDWKPQSGPIMRIVNGEIVLDNTSLQVDRHADAAR AAGDLEDVVESSFTRKINQASYGKRTKTETWDEEMTDLFYRGLRMFGTDFMVISKMFP GRSRRQIKLKFNNEERRDPQRIKDTLMGPSETIDIATYSEMTNTVYDDPKLVQQELDD EKKRIEDQHAKEKEMQEEMLRNPTGGDDKDAKNDKTVIKKSRKKAGVKSQGGGTEEVL GSIDDFPMA PEX2_025850 MPAVEPPVASSDPGLVKMEDRKRPAADSNDSAPPLKKQATSVNG GSKPHPDADMPWKDDLELIDEVKLLLGSSQAEGQENTFKSSLQFEDVEEFETHLKSRS EDIRAIISQVQSKSKDAPAEVSEVQTRLAKKLAEEKVTIAELEKTLAEKQQLEESLEE ASLRYLVAEKKLDRAKSLTVAKLEKQQSMGIQRPGETAQSKREESSPANGGTPAGDRN PELEEANNKLTAISEKQKEQVRKLEAENASLLGQITEIKVKSSKFTDDDYAHTDLFKH LRSQYDDVVKRINHLEATNVQLREEAEKYRSERTAYKIQVEDETQSTIAEKEAQLMRA ETDLARIRNARDELLADQQMRKAAQDQKKTSSLKLQELADAREARITALESESQRLRL QIEGSKSDDNINDMPLEELRAKYTSLERQYSMLNTELTSMQTAYTKFATLASQKVTDF GAMEERVARLTAEKSKADQKFFAAMKSKEARDVEVRTLRMQSSKTSDIVAQLKESEAT TRSLVSNMDKQASEAKEALNAALSKHRAIQQQLTESNILMEGLKSQVAELKGLSVSKD STLGSTTSALRQAETEVAGLKQSLSDTKKSLDNWKNKSLGNSSSEYEMLRTLALCTVC RRNFKNTAIKTCGHVFCKDCVEERLTSRSRKCPNCGKSFGSNDHMHITL PEX2_025860 MILKDTQELQAEVTLLESQLQDARAQLAASEYPSPPSSWAVKER YNTNNNKLTTSTRTSLHALLLLSDSALPLGSFAYSSGLESYLAHNKPSRTAPITSFHR FLKLSIASIASTSLPYVLAGHRHPSTLDTLDNDLDASTPCIVAQRASIAQGRALIGVW ERAFRVSFAGPENPASGETAAAVTAIDDLSDALKSCLEEDEDLGPKGHFAPLWGVVCR AMGLDLQQTAYVFVMNHAKAVLSAAVRAGVMGPYQAQNVLASQALQDTMMKRIAREWN TPVEQAGQVVPALDLWVGRHELLYSRIFNS PEX2_025870 MSGKRLLDAIQFLNVSKTVAAKHLAIRQRQLDVYTRTSSLTKGI KAQTEGLILTAQAAAALARRFDEPSQPSSPSPADFPDKSTSADKPPASTPTSPPPDLA RKLQRQAESQIPASAATYSGDEKPSALDVNKQQDVFYKPSLRSEPDLSSLPRVKIPKV AGDAQVSSDGLNADVFHSSVESPKPAPVEEEMSEEMIQSLFHSPRVSRMISGQPPPKR DWKLAGKPQQAVADVAKPVSPVAPKSEAQEMEELAASVAEDVVPSVKTVGEAKEQQVY QMLESRVPSSRLGRLWQYGGLATSMAFGAVGESLRRATGSEDNGSIMFSAGNMERMVA KLSKMRGAALKLGQMLSIQDSNMLPEPIQQVLQRVQDRADYMPAWQRDKVLTDNLGPN WRDLYTSFNEIPMAAASIGQVHSAVLKSTGQPVAVKIQYPGVADSIDSDLNNLSILLT ASRLLPKGLYLDKTIANARTELAWECDYIREAEGANRFRELLADDPVFIVPEIIAHAS GKQVLTMEMLEGVAVTKVTDFTKTQRDWIGTQIMRLCLREIAEFHYMQTDPNWTNFLY NASTNKLELLDFGASRAYPEEFITTYVRTLIAASRNDRQTCHDLSIELGYLTGMESQA MVDAHVSSITTIAEPFMLSSPDLYDFSNQTITDRVRALIPVMIRERLAPPPEETYSLH RKLSGAFLLCARLGSSVPCKALFIEAVEAARRNGLKIE PEX2_025880 MIPRSFLRARTVLVALTLAAFLTWAILRQFKAYIPNLSSPTTAA DLTTSHREFWREFHALLENYAPGTDTIIEYEKASTEGFNAHNPPPRPDILYVPEGDVA TMKEAHTGFVNAITDSQPELPYLPDTKGIVSTAGGSYLPVLVISLRMLRRTGSTLPME VFLADEEEYEQHICDIVLPSLNARCVVLSQILIAAPTQIQKYQFKPFAMLFSSFEEIL FLDADAFPLEQPEHLFTTEPFLSKGMVTWPDFWASSVSPMFYDIANYPPPPMDLRQST ESGEVLLSKKSHLRSLLLVTYYNYYGPSHYYPLLSQGAAGEGDKETFVAAATAMHESF YQVSESICALGHETYGGIAGSAMAQFDPVQDFALTSRGIWRVRGDDAPAPDVFFIHAN FPKFNPATIFEPHEVNPAFTDEGGYTRAWTLPVEVVRGFNSKVDVEKGFWEEILWTAC ELEDKFQSWVGYEGICGAVKEYRGAVFGVD PEX2_025890 MSSDEKTPSKSIFAKPPVKIVVGSDERVYYVHRGTLEVHPAFEA RLKTSTDEYEEAIDWSGFDEQTIDCVLSFLYTGGYQAPQVTSVTVGEDEAGAGEEAPA QDGEEGEEQEQEVVVDEAEDADEENESSIASDSPPTQAMSECDLNDRPLTPLEYCDGV TLATEHVLAQKAPDQGQEEEPEQETEGNTAPEIYLHAKVYSFARQLDFAKLEQFALNH LAQVLVALEQTDKVLFPYLADAIRLIYTTTSAIDDARNLLSQFVALRYTTLVGEDLDE LITDGGEFVVDLSHKLARKLATIELAFKRVEYLTQRNDELRAESVEKDKELKTLREEV RHGPAQGFPTFTYQI PEX2_025900 MSPIHVGSLVFDFQTIDVLGPFDLLSSASRMLMEGINVYTPVDP ELIAKAPDFVFHHIGETMEPVHLLTSTVTMVPTVTADDAPELDILLVGGDIPAKTNLP PKLQDLIRRHAASGKLLFTTCTGAAVVAATGALDGRRATVNNLEYNWVKKRYPKVNWT KEKKWIIDGNIWTGSGAVAGMDMVAHWIKETFGLDILIQAGLSLDYEPRDVDGLFNVL PQRYDSAGNKISTHVFPDEI PEX2_025910 MHSFRAETATSSFTTSEALADIIGLLSRSSAPLNEVQPPGDLDF GLPAVPEYFSDSPHPTAEWMSQGGLNGPEWDAGLGSSEYLNTTENTDTLEKSWDGSTA MDAAWITDLGLSPVVLEHLLVRFRGMASYFPFVRLSPAWTAASMAEDRPFLLLAVAAV ASSKYCHLQGALIKQFKESLSQRVIIAGEKDLDLLQGLLVHLAWFHFHLIPGSQQTYQ YLQIAISMVIDLRVDQEATDLLEQRTELGDTYTREACRAYLGCYYMSSLYDAILHLNK RQWACADLDRISMSLSKPNNLKFHEDMLRCAMMLQKQPEFDTDHLIYPVAKVLQFAEE VCETYRSEGIHGTRLCIHAERFTTRLEEWWSSLSTDLRNTVLLVNGYYTVKIRIQEMG LVYCYGQRRPPSSKAQEDSTILSTPPMVISNLVKCVSSAKEYLDLFFAVPASEHNSLP FSTWYQVNLTVFVLYRLFVGLPEVPEWNGEIAQQSVNLQEYLDTLLSNLQAIKPSPDT QIPTKSLFSMMPEIIGSVRKSYAVSKENLAHAHDSYHAHHEVVASKFTASSAQGLHRC PGLRYRNRHVTQALDQPALQNAIATEIQMIEDEKLWGDLLLMDTFSSITGSSSAEI PEX2_025920 MEKSISYKMSEKEPVQEAQETYPSPMKLAVIVTALCLAIFCMAL DNTIIATAIPHITAQFHALDNVGWYGSAYFLTTCSMQLVFGKLYTFCSVKWVFLSALI IFEVGSLICGVTPNSLGLILGRAIAGIGTSGVFSGAILIIANSVPLEKRPLYTGIVGG MYGIASVAGPLMGGAFTDHASWRWCFFINLPIGAVTFIFLLCFYHSPPKAKSTLSLRE QIQQFDIIGTIFFIPSIICLLLALQWGGSVYEWNNGKIIALFVVFGVLMIAFVFVQLW RQENATLPPRIFGNRNVWGSSLFTFCLGAAFFALVYYLPIWFQSIKGVSAVKSGIMNL PMLLAQVLLSIVAGACVTKFGYYTPFMIISSLLMAVGAGLLSTFHPDTGSGKWIGYQI IFGAGVGTGMQQALIAVQKSLPLADVPIATAAVMFSQTLGGAVFVSVAQNVFTNALLQ NLSGISGIDSQQVVDIGATALKDLIPANVLPRVLSAYNEGLVAAFYVSTAMGALSLFG TLFVQWKSVKA PEX2_025930 MPSDTTSKIDSHASFQTRIDALGARLENLEKNSQHPLENDRSRY STGLAETLPRMNETNQGSKSTEVSSIPSRVTTPNDSSHRTAEAHRFIQNELEQNDGMT KDRQNVLTSALDFVARFSHPSLPQPNLNVLDTEEDLVSGSTPPELLYMMLPVETTDEI DDETQASLYWCYYLDKVLSCLFVRQPSLPKLRIDPASMIPVNSANPLQTTVKIMVEMA KIQEEVLEMQLNQDVVADKSRVDAIVISADSLLALIKEVILLYPFQFYGDN PEX2_025940 MVRINAFAVEQWMDLYETSAKHNLAETCCASISLNDLLALSPKT DLVDYTQKQVYGAIRGSEALRTNIANLYSGPVSADRVLVTNGAIQANFLALYTHVGPE DHVICQYPTYQQLYSVPESFGAEVSLWRSDEANGWKMDLEKLSSLIRPNTKMIILNNP QNPTGAIIRREELQGIVNIAREHGLLIHSDEVYRPLFHSLDCGQEVPPSILEFGYENV IATGSMSKAFSLAGIRLGWIASPNPEIIEACASARDYTLISVGQIDDSVAAHALSSPC VENLLQRNLRLSQRNLEVLEAFIEDYNWAMKWTKPKAGTTAFIKFVDREGRAIDDVVF CQRLQEMTGVMLVPGSQCFGGGVDFRGYVRLGYVQDNQVIVDGLNTLRQFMRDEYEKL PLAC PEX2_025950 MDWVSILKYPLSLVLSLIIQLLSYFLSTLLFLASPVIYIGHVVL YLALLPLRMLVILEAFIYFMTGAVLVGATIGMILHFTGSTISQFLQIEESDEPRRPRV KRELLDFEPQHPPFDYLEAQTEDRKFLPYSTILEEEENSHESG PEX2_025960 MGNNPSKGPVGDAHPAHAHHSSTSDRKVARRSSLNAISAPKATA ANPSATKETAAGHSAGYQGSVQERLQSRNVPETSPKNIVKAPSQDVRRVEPSPVKDIP SRDHSNPVQVPISRPVPGRDPVAPSAPPLNSYYSASAHLQHPPRLPLPIGDANTTPGS PVAGPEDSHIQSLPADRLLDEQMDPSTQAAGHTTIEEEELLDELQPYTISGAGKAVPV VIEWTAPAQKVYVTGTFVNWEKKFRLHRSEKNPSVMSTTLNLRPGTHHLKFIVDGTMR AADSLPTAVDFTNHLVNYIEISADDAHDKDSAVQPGALPTHTVPDSSKQDPGDTEDHP LEKEEIEEEVPPGDFGDAIPQFLADLDKEEDSPAYVQAANVIGDTATPPSLPLFLGKS ILNGTTPMKDDSSVLNYPNHTVLNHLATSSIKNGVLATSVTTRYKRKYVTTILYKPTG DITE PEX2_025970 MIAIGMEGSANKLGIGIMLHPKDGSPPQVLANIRHTYVSPPGEG FLPKDTARHHRLWVVKLVKQALKEAKVSVNDVNCICFTKGPGMGAPLQSVVIAVRMLS LLWGKELVGVNHCVGHIEMGRLITGATNPVVLYVSGGNTQVIAYSSQRYRIFGETLDM AVGNCLDRFARTLHISNDPAPGYNIEQLAKQGKQLVDLPYVVKGMDCSFSGILAAIDG LAKQWGLGGEEKAREDEQKTVDYTTTADDSLEDKPTRADLCFSLQETVFSMLVEITER AMAHVGSKQVLIVGGVGSNERLQEMMGIMARDRGGSVYATDERFCIDNGIMIAQAGML AYGTGFRTPLSESTCTQRFRTDEVFVKWRD PEX2_025980 MSSTIHFPDAEGFQQQSDNFMSWLQASPGVQLNPKLRLADLRAT GAGRGVVAQSNIPEGEELFSIPRAMVLTVQNSELRTLLGENLEEQMGPWLSLMLVMVY EYLQGEKSRWAPYFRVLPSRFDTLMFWSPAELQELQASTIVEKIGRSNAEESIRESIA PILAKRPDLFPPPPGLASWEGDAGDAALIQVGHVMGSLIMAYAFDIEKAEDDDDEGEA NDESYMTDDEEEEQLPKGMVPLADLLNADADRNNARLYQEEGALVMKAIKPIQQGEEI FNDYGEIPRADLLRRYGYVTDNYAVYDVLELSLETICEAAGLANADPESQPRLEFLSS LDILEDGYVIPRPVNANPSLEDIMPAELVVLLATLTLSPEEFKQRVSKDKAPRPVLDA NATAILIRALQKRQAQYATSLASDLQFRASLSPLPETGHVDEGARRVRMALQVRIGEK EVLQTVLAMLQPATSGSLKRSANGDGGDSRQFKTQRV PEX2_025990 MTRYGGLGRTRPKKLTSKASIPVVRESEIDAIDDEIQSSLQQIE TGVEKAEESEIHLQRAINATAQGKVNDAHIPTPETILSNLQYDELYPPIFSQPATYIR FSSTVEDCCGCPYNMTDEDDVFFKIMNQKRDPSAGRCTEDIFEEVMNFFEETAQMKQP YASIDNPPVLSFAEMQDMMDATLEDPMKMFAKEIYEHWKSRRMAVQNNALVPQLKFET GQDTDDSDPFVCFRRREVRQIRKTRGRDAQSAEKLRRLRKELEDARELVALVRQREVA RREMLSMERQLFKQRAEVKEMKRKLNIKDDDEDLINQKPKKRVLDMPPQRPNAPQLRM PLKAGQGAEDLQLLEDVQAEKENEILRDIKQNITKHIKWNEGYVDHTRAPLSPSPERI FDVATFRPAFTAQLPTPPSSESSGEMDTSLDVTSPLFSRDKLASHAMELHDEPHRMPS FRRRVGRGGRLMIDRRNMGARKVEMDPIKADRFKFDQEDSDEEHDYDTDAYSIQIMQH RAITMAKAREQAAVAAQAHAQAQAQAAQAQAQRRLLDQNGQHPGSMGSNLGPSVVAVS ET PEX2_026000 MDQPSSPNEPNAAAIYDARRRRGSVGTTQLFDNIVSTSNFNRDE VDRLRKRFMKLDKNASGTIDRDEFLSLPQVSSNPLATRMIAIFDEDGGGDVDFQEFVT GLSAFSSKGNKQEKLRFAFKVYDIDRDGYISNGELFIVLKMMVGNNLKDVQLQQIVDK TIMEADKDQDGKISFEEFTDMVENTDVSLSMTLNQI PEX2_026010 MYVSPFHTIIYTTLPFIVHPRLGDVCAPYWATGLDERGETIISE FRGTGFAGSAVRDGSCTRRGTVLSREWWFPLRKMEFSYLRGQGGREGKDNGDEKTKWK REHRRGCDVTFSLLTLYIKHPM PEX2_026020 MDGDQRMKQNERSMSRSGNAARRAPSRATDGFDPAIQPRGDQTG MSQPPEQVPMSYDYGYTGSSFHGGSLQSSDLQNYQPQEYVRAQRPQASAIQHQRRRAQ PQDPAAFPPYDPTMLYGFTQQGPTQGHFEVVPQYQTRQSAAIDVLSNQFAVPQYFAPE ESAGSGVAGLSPYLNAQLQPYNQPGPMARPNTAQSFPAPISDFTAIGSGSMNRLDQPQ TESQQQQSDQPSLEEAIGRYQRILRRTFDQMRAGRLVEAGGSLIEISEWLVTNARDLG LLHDDSIQYSDRLKLWSEFNLCWLAVCQKQKDLIMEVIATSQQPAHTSLIRRDRMETM GRDLIQLCDQLEPHGLVDYQMGIWEEEILSVLGQCLDLMDNTPDLHHIPARPEATAVP RP PEX2_026030 MTRQKTRHSETISGLHLGPQIRVQAANSPPIPPAMAWHKPTSLA QAMQSLRLTSTLTKPSIQASRTTLNTLRTRTYATEAESVPAAAAPEINFGSFSQKPAR IIPSSPSYFSGSPRFIDHVLQLEALQAKHAALPIVATSEAPRMAWFKLAQFRDFVGEP VPMKKYKSLVKILQRLNRIEPDMVPTEVRDTLASFLRPGNPYAVENVPQTVDENGRAR GKGKRKESSAVVQLVEGEGEVLVNGKSIVEVFKRVHDRESALWALRCTQRLDKYNAWI RVQGGGVTGQAEAITLALGRALLLHEPGLKAVLRKAGAITVDARRVERKKPGHVKARK MPTWVKR PEX2_026040 MAFGKLYGLPDNGRTLSILVAAKHNDLDLELVKTEANSGAEFNT SAEYLKLNPTGKIPAFEGANGFTLSEVIAIAVYVTSQNEKTTLLGKTKQDYASILRWM SFLNTEVLPKLAAWYRPLLGVDGYNKKTVDEASKAAIKVISALETHLTANTYLVGERI TLADIFAASLLTRAFATVLDKTFREANPATTRWYTTLINQDAFKAVFPNPKFTEEVIK YVAPKKEPKPKAAAPAAPAAAVDAPAAEPPKAKHPLEALGKPTLILDDWKRKFSNEDP RTVAMPWFWEHFKPEEYSLWKVNYRYNDELKLTFMANNLIGGFQTRLEASRKYLFGAQ SVYGENYNCVVYGAYMTRGQEWEPAFTVGPDFEGYEFTKLDHTNEADRKLVEDMWSQD VPVTVDGVVKEWADGHVFK PEX2_026050 MQQSTAILKFTTRFTFLTSNRSGIPPLHDLTENVQNRGAANILL VLSAADLHWDDLVRHLTDHQDWLSPVTVVCGHIVWITIWDGPHIVAQWFDVRFIICIY RRQYTCDPSQRYQGHNVRRLLWLSDSDTKG PEX2_026060 MQKVHTRLVQPLESDPLFSGENIEFNDHEQAKPIRPMRRLILCL DGSWQSSNHGEKNIASNIAKLSRSIASCEKTGGEVIHQIVYYDAGVGTGNSAPREGAS TPEKILAKSQKVFEGSFGRGVEENVCEAYNFLVSNWLPGDEIFIFGFSRGAYTARAVA GMVCNMGICFPDMMDDWWAIYDEYKKRVPKEEKPKPHQNGMHVPDAIQQSTWGLYNVK KDWKDRFYKNVEIQVVGVFDTVGALGWPSNKYVSVDQKNETKYGFHDTNLHKNIKNAF HALALDEHRQAFPPTLWSLPKGVYTKLVQCWFPGYHINIGGGSESTMEHYGDMESMAN LSLVWMIDQIRKHTNLTFETYALAQFYHHYTCTIVDLSQRAFKEGDSKCHIAKKIDPT KWSQDVPDPKNPTAYGGWGMGYRPDSIDSVTGYSGSVIRTPGQYKKDGDTKEFIHPVV AYAMGKSYREAHGEGKVLNYQPAALKGFKRVKDPKVPCSWSDDGLQTLEGWYWRKQAK GVKYADTSYLTCMSNWYNASWPPEEDQDILIPEMDLCEDDDSNIFNERDYMRADWLAL RASLVGFPDNVHLAIQKCVLPKDNSASEDAVRRENMLLNALKYVTERHRGSSHLEKSL IYALRRTDSTGLKVEEKLKLLEQTGDRTRKFLESWDC PEX2_026070 MIQFASRISFKKLHIVSQCGFKTSFNFLPQHIYPFSRPASAMAH IPPVVIKVQGPWEATKPDHETCLKDQLQSISPTVTNLVIDEDTPSDAEWALLSAHLKN VEELELESGFNEDLDDKKLPLHWPLNKLTLSSACGELIQSPFIRQGLVSHLSLFFTCN LRFEGPTTDELTRLHDESKTQETNENEDTHKGIKITYVPELVVNHMQKIYADPDRKPE NEPPAGPINLKTLEIWENDALDTFCRMSAALPYIVENLHTLRLRSTSGLDFGLTSEET FRQLLPLMENLQVLNFTVGEIFEDPQFLPTLHKLLPPNLTTLYFRGPVSLATSEHWAD WLQAFRSPTFLPKLERLAFVLDLHYGESDSATWGKKETPAPENLLQQARRGCETLYGI ARDRGLVVENMPTEPSSKLLRCVDSRW PEX2_026080 MSLLQKPMKQYPWLPWSIRNIYDWLHYSEPTWGYTIYRTTYTPQ SNAAFPRMVDLTTKYMKNGFYGEYESSLRERSNANEFNITPWDEMWPNYQPRVIEDAS QFDGVSIDQLREHFRADAIERGVLDVFPGYRMFIVIDEESFQTLQNAPLPENSKYEEK RRHYVKLIEALEIDPYEDFPGWMKCSLSSLFEVWSDMQDTAYMKDSYSMRPDGTDVL PEX2_026090 MKPPSTQNGRAASRPAPSHGSWDRNAPPRDTHRSVGYGDYPVQG NPPPSQYTPTPNMNSNFGYQIPQASQTSQAYQSQGHAPTMQQHQPQMPSGQGQQQFGA FNTLPQDPMSMDQAFFQMAQNMPWMYPGFDSGMPFPPPFPLLPFDMNTPLPFQNTRPM STMAGGGGQRFTPDLQRQRSPTPPVKVPLPTLQYTNQASLKPEKTEKRPLLVILDLNG TLIYRKLRKFPPKFARRAGLDHFLTTLVKNYKVMIWSSSQPPTVNAVCEQIFPGPMHD ALVARWGRDKFGLTAGQYNKKLQVYKELHKVWAETNIQGAFPGNEHLKDPPAPSPSAR PPHKNRKQKLRDAEAAKLPAGHRWDQTNTILIDDSKLKASSEPFNILEIPEFAGDPDI DETKLFAKVLARLDYLAHHDDVSKVLRVWNERVDKGEGSILELDIGIREGSVDNEDGG ISLLPKQLDGNPNGDLMAFDGAGDVPTPPTAKRKAKKNKKAKARAKAAAENPPNTATA SAAPTNPNPPATKPTQQPQQKTEDQKTEIKMSRKARKRAREEESLAIKAAAEAEYIKT HGIPTPSYDNITSNQPVASIEAEAPGQVHVRVGTSQKSIRRRQKAANKRAQWEVDPPT PPPGSQTAQERYNFRKRSDAAPPPELNAESVSGSGSKTVFESASAAAHAANAAKIIKS GLSPEYLPQDADVTVGMELDVDAYVPPGAVTASRTKHNRSPSPVSSVESRNSLLDRLE EGLGIGIVKR PEX2_026100 MAITDLLNRRVRARPEDEEVFSEASGSEDGSQDGSDAESNGSMQ SPADDSEAEGTGTGSESEASNSDNEEEPESEHDSGDDFKASLADISFGALAKAQASMR EKNRKEKRTSKDDTSSTLDDIRTKLREAREQKLEAASKTKTKEKKRTSKHAPMEQSSK RAVTRKRIAVELPPAPRSRDPRFDAAVMGHSGVGKHPHGGTAYAFLDEYRASELNDLK EQMRKTKNLQQKEKLKGEIRRAQDKLRSAQNKKREADVQAEHKKREKQLIREGKKANP YYLKNSELQKQVLERKYEEMGSRERAKALERRRKKMTSKERKEMPWERRGAEGGGGGQ DGGMPNGGKRRRLE PEX2_026110 MSTAVASAAAAPLSSPHPTRNPSPRNLPSLAPPNNIPSNFSPPR MSKSPKHGSAVHGSPTEKGGPASPSQGGTGGPQITVKKEPGSSPRMQSRPRPRKLDLS TSLPSSSGLSVRPPGGPLTASMQHVGLACLSPGFQTHDPIMREQLQRSLSVRDQQRSI IEQRMQRSAKDDGPDGIKPSESNLMGMPKTGKRRPPAGLSIVPPSASQFANERVIQSA PLHQTFTGRYQPQPLTRHVVNQSPTLGSTSHMHQLPATQTNNRLPPLSDVFGSDTLGR DRDSGHVNMHLNASSTNSSQSNNLAPMPSPGLPGTMSQTPNRPREYHSAEEAVQEMSG GREELLPRIVHYGGHQPPTPPSPQILHAGPKTAPLAPEPQAPNGPPPASFGMFAPSDV TARRRPRSEYERDNGSPPLGHGPDSQHRSNPAAMPGPHASFPGPFGAGRDSPETQRRK KDEFLGLCARAWDLFHS PEX2_026120 MPESVHSQDLDQSMVDAEQPTELPQSNDPILEERQIVVLPGATE TAASFQFEGEGHTMGNALRYAIMKNPAVEFCGYTIPHPSDPKMNVRIQTNDTTTALEA LEKGFNDLMDLCDVVTEKFTASRDQFNAESGNRMEA PEX2_026130 MTINPTYLAQRTRSSVNWGDAKYRVLKSYREWLRASPEIQTMYS LGMPVSAIRTKIRQEFEKHRYVSQLSVVDVLLYQSHAEFQETLNYWKQLSHVMKYFRP EEDPGARLPRNFVSGFLEGRN PEX2_026140 MAPNVGGAKGGAKGGAKGGKSSSLSSASRVSKSAKKDGPKRPPP KEMKTKPRTANENLKKKKKRVYTEKELDLPELNQITPVGVIKPKGKKKGKTFVDDAEG MMTILAMVNAEKEGQIESKMMKARQLEEIREAKRAEAEARMKERKNKFDDVKDSIRQK KKGKGGDKASEPTSIKDSISKPGRSKKKAVAFA PEX2_026150 MGLISWLRPKGRIAFYHAKDNKLTLTKVSGASGAKEQTTFVDVC RSATPEACNLNPFLFNGHLQTCWTTVKYDTVPIHYKRKIFESDSAAFSGHYAMDFVVA PYEIPQDPELTDQARKYTQKCGLPPRTSLFSQDEFAALPSDDTKPMLVLLHGLSGGSH EIYLREVLAPLVKDGAWEACVVNSRGCAETNISTSVLYNARATWDVRQSVKWLRKQFP NRPLFGIGFSLGANILTNYLGEEGDACQLKAAVICASPWNLDIGSMVLQSTWLGKEVY SRTMGNSMKKLFEAHVDQVSTNPRIDVDTIRNITYLHEFDRALQCPTWGYPTEGAYYR DATSTDSMLAIRIPFLSIQAEDDPIACREALPYQEMTQTPYGVMLTTSWGGHLGWFEL GGDRWFVKPVANFFNTMAREIDTSIPGVVEHPERLPGNIASHTGANKKPDLAPKPEYV AMRRKLALPLGL PEX2_026160 MSDRLHRATNKFKTMIKMRAWSPKRAKKQQEDGHGRCYLMELPT ELLLEIISHLTVLPEAALALTNKRMFAISGDILLSKSLRFSRDFAPLFHHYRNGHNFV TPRWLFLNLLENSRWKLCSKCLKLHPRAAFSSRELRRKPETRTCNVGELAGVVDLCPC KKLTFRDKLDLADHVRVRQAALQPLKLNFGAAVDDSYCWHTCTEQYGPTELKTSLFPE INADNQLTLRTEYELTTESGQVGKEDYMTPRFGGYQSAARVTRR PEX2_026170 MAEVRDPSRKSSPTSSSPVPIAGSEESKDVSIRNTMDEDEKKAA PLTGPPSVEYPGAIMGSVLTIALLLAMFLVALDMSIITTAIPTITARFHSEGQMGWYG SAFFLCLASFQSFWGKAYKYFPIKIAFLTAIAVFELGSLVAALSPNSPALIIGRAIQG VGGAGVTGGCYTVLAFITRPKYLHAVFGLTSGVFSLSSVLGPILSGVFTQYATWRWCF WVNLPIGGFAVIILVLFLKMPPHSRVAHAKLKELPFLFDIPGITLTVCALVCLVLVLE NGGVTKPWNSSYCIGLLIGFILIVIFLIALEWRQGEGAMIVPRIIKRRTVLVLALFNL TAQGSGFARIYNLPIYFQAAQGQSPSESGIRTLPTVLTTSIFSFAGSLAIGKVGYYQP FLMLGSMFVTIGSAMIYTLEPNSGAGKYIGYQVLASIGSGLIIQLNVIVAQAISKRVD MSVTVGTVLFFQFIGGTIGVSASTNIMNNVLISSLPIDSPISASDVLTAGSTNLAKIF PDVADLNIVVNAYMKGLKAAWIWSIALAGVSFLVSLAAERKTDRPGVSPDRHDPRSPS HAFDLILDLYEYCLFLGAMSYPPPGPGQYPHYLPPPQYQHGQIPPQILYNNAGPPPPY DAYGKPAIYPSAMTPYHSYPSTYTPQPQPQQQQTRPPPQTPPQQPLHLPPQTLQPRPQ PGPQPGPQLGPQLGPQPGPQLGPQPGPQLLPQLQSPPPPQPPPPPPPPLPQIQQEELQ HQFVNPAQLFVQQPLPTAPRSRYTQLSPQYGEPPFVPSGSPSKIPPAALPAPPPVMPV HVAPVPSPITPKPNTQNKQAQPNIHTPQPRPIFKAEPTPAKISPKVEPQKKPSTLIAT TPLATPKRPQPAPSPVPHATPKVMIPAPSPVVQAKIQTQTPKKHPQPQHIEKKRQPSQ SNVEKHGKPTPSKSTKPPVDYQVLLLSLADEYLNAAHARGTTTSLTANEMDVEEYYKL VATGLGCLEAVLKNWRLQPRKEALVRLRYARTLFEETDNDIEAETALSKGIDLCERNR MLDLKYSMQHLLARMLHKSNPKASLKAVDGMIQDVEAYRHAAWEYAFRFLRVSLSLSS PSHQDSVQALQHLHKITAMASRNGDKAVSAMAAVIESLAHLQQATNSDSIEQAQRAVA AARSHQLNDELRHIPQLTTLIQMVDICCSLLEYDVNQSGQKLKVMQALMDETLSDSNW RPDGSFSVPLNGKSAGPSSIDTGDILQVHNGTLLLSFNWLPQHDLYALCYFLSSITLS AKNSYDGRKAEKYLEEGLRMVQGNFKAPQEISESMVNANRRVQWRQSLYCNLLIQRVF LACARTDWDLASQTLNDLRQVFEELGSNLPDTIECLMEYAAGTIAQATGDLNTALNIF QSPILSLDPATSKTGRNDPCRDTRILAGLNTVLILRDPSHPSHSLLSAVLATLEPFCQ SSPNKYIQAAYYLVCATVHSESTIQTKQYLQQALQSATAISNSQITCMTLTFMSWKYF RGVVGEQAEKSARAGRAMAKRANDRMWASVTDDMLAETLERQGKGEEAQSVREEGQRL VSGLPPRLRRSA PEX2_026180 MPPKTARKTGLGSAQKGKVRKTTAAPAADGDGTPTKARKTKGGK QSKVNANVQPGDPTPTGRRRRYKPGTVALKEIRRYQRSYDLLIAKLPFARLVREVALD LLPADVGAELRWQSHAIQALQEAAEAFLVHLFEDTNLCALHAKRVTIMQKDIQLARRI RGVWAGLG PEX2_026190 MAALWENNGQPGQFPLEQWFYEMPPVTRWWTVATVATSVLVQCN IVTPFQLFYSFRSVYVKTQYWRLLTTFLYFGPLNLDLLFHVFFLQRYSRLLEETSGRS PAHFAWLIFYAMTSLLIISPFLSIPFLGSALSSSLVYIWARRNPDTRLSLLGLLVFTA PYLPWVLMGFSLIVHKIVPKDEMLGVVVGHIWYFFNDVYPPLHGGHRPFDPPRWWIRL FETAPGPNERGTGVANVNREFVAAAAPEVR PEX2_026200 MRRGLLIFLLVNLLILSLLIRSVSTLLSLLVEDAAADAIHRAEL PSPNSSLIEQRPQIIPKIIHQTYKNETIPEVWVEAQQSCIDLHPDYEYILWTNEKSRD FIAAEYPWFLDTFDGYSYPIQRADTIRYFILAHFGGTYIDLDDGCNRRLDPLLAYPAW VRRTAPTGISNDAMGSVPQHPFFLRTIEVLQQYDRHWVLPYITVMYSTGPLFLSVIWK EYMRDGPSEAGRVRILMQDEYNKFSWSFFTHHRGNSWHGKDAHLIFWMGQHWVFLTVC GFLLAGVVGFCLWWSYGRVMLLGAKYRYRYSKIPSIISPSRASTSPTRRSRLSVPTIL RRVSFKEDEESGGVTETSYELGRRDD PEX2_026210 MHLQTLLLSLTLTLTLQTTATPLPLSLLTRDAALTESQLITIAP SSKSCTDAPAEGECATAKQAAKFTSQSFDTYKVTSKAEQAAVVSLMAFESGDFKYNKN HFPGVAGQGTRNMQSPSFNKKYASSLPELQDKLPSVSNSPADLLDLLRSDGATDFGSG AWFLTTQCSKEVRSSLADGSEAGWQRFISDCVGTSVTDERKEYWERAVKAIGV PEX2_026220 MSLAARTATISRSTNETKIQISLSLDGGVLPPYEPSTHFPAPTD PKEAEASKKGIVPPKDAGHATQFTPTQQITISTGIGFLDHMLHALAKHGGMSLAVRAK GDLYIDDHHTTEDTFLALGEAFTQALGARQSLERFGRGDAPLDEALSWAVIDLSSRPW AVINLGFRREKIGDLSTEMITHGLQSFAQAAGVTLHVGCTYGDNDHHRAESAFKALAV AIRNACKRRVAGDVGAGDVVSTKGVL PEX2_026230 MPAPANHRSEVAPPEEITSMAVQGFMTGVFRFGSVSILAHMILA LPHPFVFSAPSPPAYPATQPRPRPSIFSRDYLRSRLFHRPLEGFSSWISPGSRVYRGL TPQFKVFIQVAAMTLGGCIWQEKRVTEYIELLRQVKRAERLEAERAEGNRRTNDVEVV DEISFLSQYTRAATLPTSYATPPASVPLVPPSSTKLDMAEIIGKRQRRKSLSVFSPSL TISTSLRPPLHDRTPSDDTLTREKKSRRNSGFFGRSHSPNIGPDASNNRRPGTAGSDT AGWSATTPVNETTPRPRRKSLQKKRTSVFGSLRSLHSWEDEEPLSTSLGSAVDEQTMV NPRNGIWSSAILHYGEVQTTGGMWRKKSQYLVLTDTHLIRFKNQSKAADVFGSIPASY ARAPASHRQSVTSVTSLQDPQLLASGDASAGIPLNSIIAVYMLEDARLSPSVEVAYLD ERTHKATLVQMQTADLQELNLWMVGIRQAAQMARANCPMPFNRGSIEYVTRMLEHERD YDPEVFRMFRVIQIASSKSITRSSSDDLTKLSPTGCYLAIGAHKLHMLPMQKTTNRSS VVSLSDWEAGTSFGLMNLTGLSMEYGDDSLHLTFRVPLKKSFNLFLASVHSVEVACWI RQQTEYLRPLWIRQPYEFVVPKELQNDDNFPPVDLDEDYGCFDRTLVAYSASYDIDTS NIRYTIDQECEDAPCFRLLKPASPKTLRYSALELIAVMRTLRYNEFFRSISFRGVNLD ALQGLRDIHGVDSDVHLDRGGASVHIPGQANLTVLSQEVRALALKSKWLRRLDFSYCL TRVPTPTLDKGTRDPGCGIPEAIFPVCRRELTSVDWVVLNGIKLGESDLDYLVDAASQ RRSHLRALEVGDCGLSVHDLDLLLSTIVAQESTLEAINISGVQGRLNPDVLQQYIGYF GQIRKINLSRISRTSGSDPLITAEMLFNWRLEELALSRTVVNRETVDAIATYLASDRS RNLRVLRLDQCGLTGEDVAMFMHSMSVGPDAPRSLHLHVNENRIDNGCSHVFNAIAKN QTPSHLSMRMIDFKKEDHFRELVDSLRKNSSLKFLDISKASLPYDASPETCRSLQVML EDNETLEALDISGDNAHLDVARFGIGLNLALTGLKKNTSLKVLRIEHQKLGLQGANTL ASVLEENDSLREVHCENNDINLQSFTVLVNGLRCNRSLLTLSCMDRDRVLSLDKVRRE VDNVRWESGNSNQSSTANSVRRSLYAAMTVGQGGQGHRLSKAPPPSVVSALENSPFAN HNVELVLQSLNERWDMEVSRLNRYLLRNYNIAHGIEEIDDSASDGRPGTAASLGTMLD NLKFDVSVSADEIRSSPPDEPPAVIRLSEASDTASQSSPNTETTPVQPRRLPSDTRRP HTAAPAQFVPSGHGSSAQASRLALPVPAVPPAVGKAESVRSARSARSSSSNASIGAGS TRSAYGVASSTLRGFLSGTALRERRHADAARPSPVCVNNDKPPQLDWAPPKLDLGGL PEX2_026240 MHVLHSKGQTPETKDADSKSQTHLLFQRKAKPLTPSVWAEMSHS QKNNYRKRRRALFEKFKASTSAEGEVALPEAVKLEAERVETAPLEGALPEAAPSEAAP SEAARVEAEKIKALQQKVIAADMQAKRERKARIQEEKRLADAKIRAQISQKEKESEPE AVVPTDGPVDTPAASEPKKSTLELRSTSNVVAQILETSSKNGVTAPNTSENQEKEKEK EEEEEEVLDFLKDYIISKERGRLSTKDGNLRLKEVHVPMPPVPRVAFGLDRVLFNPGV YQLRDPRSHVYNFDPYLDQIMPVTEFDFGTLKPYVTSSQDVTACEITKKNEKKYYGSS SSMTSVLAHFHYLLSAWRPVDTSKITQGFDEPLRTFTRLLRAPTAMFLRYNEKEDIYA IDADKEYDYANILMNLGKSMEKQLTMPKEQFERYRRSDANKITAEEEAATPESYHYST VGNFLMRSQLDAYDPRLPGTGMFDLKTRAVVSIRMESIEHERGMGYEIRRRYGKWESY EREYFDMIRAAFLKYSLQVRVGRMDGIFVAYHNIERIFGFQYIPLEEMDQALHGSPNT ALGDREFELSLGLWEKILDKATKKYPKKSLRFHFETREDNVPYMNIIAQPVTDEEIEA IQTKNKAHIDAIQDRLLNPDEFIDRSAKAAADVPLDELEEDFKVSVENEAIYPDEEPT EAEPKSFLPNDSIEAEVFAEGGFAEAQPEPELEPVSLKEDPSAESLAESLADSLFPVE EGEEPTEAEKTEYDPSFELSQIPEPPVEPTKNVPTRIPAKFDDYDENAFGMTLVVKNR VNDVPVLRPTWFTENDRWTIDYELNELTVDESNYILRACKKRRNIALRRNVQDHGHYF FTTLKRLTDKGRSWRKRMDEKDRQHGILVYKDSSKM PEX2_026250 MASEQLRILVVGNGGREHAYAWKLSESPLVDIVYVAPGNGGTGL GTNSKITNANVKGNDYPGLVAFAQKNQVNLVVPGPEAPLVDGIQGYFQAVGIRCFGPS KAAARMEGSKTFSKDFMQRHQIPTAEFGNFSDYESARRYLDSVSHNVVIKADGLAGGK GVIMPTTKEEAHKALKEMMVDHQFGEAGKEVVIEECLEGDELSILTFSDGYTIRSLPP AQDHKRIFDGDQGPNTGGMGCYAPTRIAPKEVVDEIDRTIIQPSVDGMRKDGFPFVGI LFTGLMMTKNGPKVLEYNVRGGDPETQTLLPLLSKDTDLAQIMVACADHWLDGVSIKI EPNFSTTVIAVAGGYPNAYAKGKAITLAPAPAGTLIFHAGTTLSGNELQTSGGRVIAS TATASTLEEAVAKSYEGIKTISFEDMFYRKDIAHRAFRQTANTSLTYAQAGVSIDAGN ELVNQIKSSVRRTKRPGTDAVIGGFGGLFSLPAANSVYHPHSPTLIGAIDGVGTKLKI AHSIGIHDTVGIDLVAMNVNDLVVQGAEPLFFLDCYSCGHLDVPTAAAFVTGVAEGCV QAGCALIGGETAEMPGLFVDDSYDAVGAAVGAINTTGDNARAILPHTENMRSGDVLLA LGSSGPHSNGYSLVRKIVERAGLSYTDPAPFSMPGLDAGVSVGRALLTPTRIYVKSIL GALNTHGSAIKGLAHITGGGLTENIPRMLPDTLTAQVDVSTWAQPSVFSWLQRAGNVS SVEMARAFNCGVGMIIAVDPASEAAIRQTFEAAGESVYRVGELRARAEGEEGCVLSGL ESWSA PEX2_026260 MEEEVAALVIDNGPVAVVATPNPPTTGDGIHPNGFPPPFKITKW RSKDYENYDTDDMRCSSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIMIGMGQKDSYVG DEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPINPK SNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVTHVVPIYEGFSL PHAISRVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCYVALDFEQEIQT ASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPNVLGLESGGIHVTTFNSIMKCDV DVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKVKIIAPPERKYSVWIGGSI LASLSTFQQMWISKQEYDESGPSIVHRKCF PEX2_026270 MDPEMNKLLKWSVTNSQASAGADGEGSAPNLPAAASNLTPQMVN TLFGGPSEADLMRAAMEVVHDNESDLENKLIAFDNFEQLIEGIDNANNLIPLNLWKPL VELLKHDEADIRRMAAWCVGTAVQNNPKAQDKLVDLNEIPTLVNLATTESNPATRKKA IYAISSAVRNHQPALDALNKSLPEGYPTDKTDAGDMDGIDTIMDKLRSHQIDASA PEX2_026280 MDSSSNRLFRFSKPEWLNNSAVRNGGVYVAGALFAAGFFFLIDV ASFSRSPRNGSDVHIKFVDWIPGICSALGMLVINSIEKSRLQADSFSYSGSGVAWKAR FVLFLGFALLAGGLAGSVTVMVLKYLIKDYPIQTLYFGIANIVANALVMLSSIVLWVS QNIEDDYTYNLAL PEX2_026290 MNIWTGSIPLSSDSKLEGIRLVDPNSYWQSELSKESQLSLRSFV DPTLIPLHARAGPNLELHTSHAGTSKWLQRKLTGALWLNEEDPALQQSFQCPVGLLVS VEGNTKSGNATPTSLLVYGVLSSAASCTRPPTPPHSSPSETPDQSNTSQRAPFELRIY AAPLSTSRLTQGQAYPSPPPSNSDQPIANPRCAEFLPDISSPSPKRKRVATLFEVAAQ HHRRVRQRGGEAVSQLMASSRPLSSSQNLQTLRIKREPEEDGPTIPSLDRIVSHRSRS VSIGAGLHRPGSVRGRATPGLNADPQKRAPTPNPFLDSGPRRGAHMSQGQGPSSLSFS EDKPVSAPGSPAKDPDTVIAENKNLITRTILTCMRLYGYHRANMRSASMGKPPGSAGA EPEADVSGTTPAPEALRAETPAPGSTADDDEFKAMYHATYKAAAFALRRYLKQAPGLA PSVLEKEKAMTCIDELLRLFCEEH PEX2_026300 MAAGLKTIIALSFVLAIGFLLVILSSALWHRYWPLLVVGIYVVA PLPNWICQRCANPDDFMDSSSNSAMDFGRFLTGFLVLTGIALPIVLAHSGAIEIPAMI MSIFGGLLIYGTIISFSMFFQEQEEF PEX2_026310 MFRNNYDNDAVTFSPQGRIFQVEYAQEAVKQGSVVVGLVNKTHA VLVGLKRNAEELSSYQKKIIEIDSHMAIAIAGLASDARVLSNFMKQQSLGSKMTYGRP IPLDRIVAQIGDRAQTNTQHYGKRPYGVGLLVAGVDEAGPHLFEFQPSGLTHEMVACA IGARSQMARTYLERNLDKFEDCSRDELIQHGLSALKETLSQDKELTIDNTSVGVVGVT NGKKENFKLYEGQDTAALLEVLEQRESAGAEGETMEVDS PEX2_026320 MEAARALAKEFHKHNVQLVYGGGTTGLMGEVARSLVSLSGPKAV HGIIPRALVKVATVGGMREHTEGQASATAGKAAERVVESFDAVDSNGIPESEYGLTTI VADMHTRKRLMAEKVLEGGPGSGFVSLAGGFGTIEEVMEMTTWNQLGIHKVGVVLLNI NGYWDGLLAWVRNAVKEGYISASNGEILAEAKEVSEVWPKLLAYQVSNGRMQLNWGEE PEX2_026330 MGDPENALKDEVLTEKSPSLIYRPSGRSLGIVYENVTVLGSSSG ADGISNLPALLFKIAKWPFSKLFTRKASASTKILENVSGVLFPGETLLVLGRPGSGCS TALKVLANNRESFQDVRGMVSYAGLESKEMLDKFPSEVIYVGEEDIHFPTLKVKDTLG FALRLRKPASEQRDDAAFSEEMTDATLSAMGMMHTKDTIVGDAFIRGLSGGERKRITL GEALAVNPAFGSWDNPIRGLDSSSATQFLRTLRSVSKSSGMSNAVSIYQVSEKIYQEC FDRVMVLYEGQMIFYGLTSDAKQYFIDLGFECRNRQTTPDFLTSVTSTAERLIREKHK ASFVPLTPVEMAHAFRNSTQYRKLLDEMERYRTTVVQDQNTITSFAQSVQELRSKWTL GKTAAPTPLFKQVWVTLRRHYQLLWGERRTFYALIAFNLANALINGSSYYMAPKDATG SFERSCALFFSLIYFCLVGMAEATATVKSRDVLLKQAKYGFLRPAALVIAQALADIPV AFIQCLLFTCCYYFTIGLVKTASAVWIFILIVFTYYSAIQSMFRMLGAWSPNISVALL LAGSAVPVNLLWSGYSPTRPTQLRWGSWIRRIAPSPWALEALIANEFSGIDLTCPESQ MVPTGSGYDDLRYQTCSIVGSEKGHRSVSGTTYMTQQFAFSRGNLWRNFGILLVLWFL YTLLAGLGLTIMTRETSGSHSRVFKKSKSPSLARHATPSDDVERNSNTLSHSSSISTV QNDENEPIDQVSSKPHGSIFSFKDLSYYVNVNGEEKQLLRSISGYVKPGQLTALMGAS GAGKTTLLDTLAQRNSSGRVEEELRINGKPLGPTFPRSCGFVMQQDVHEPLATVREAL QFSARMRQPATIPDSEKMAYVENVINTLDMESIADALIGTPGDGKLSVEERKRITIGV ELAARPSALLFLDEPTSGLDSQAAYSLIVFLRRVAQQGIPIICTIHQPSGVLFNMFDR ILLLAPGGRTVFAGETGENSANVVEYFQKNGAVIAANDNPAEFIISLISSQGVDSHPW SEIWNESEERKALDEVVSELQSDDSLANSFLADGLDTNQGGYALPLYAQTLEVTKRHW ISVWRNGSYNFSRIWKALFIEMFIAFAFFKTKDTQQGLQNYMVAILLLAWIIPASCAD LQNVWFEKWAIFTAREKNGIYDWKALVSACVAVELPWQIGTYTLVFLATYWTIGLPTT TTATGYFYFIWLLLAVFGTTYSQLLAALFPNGTMSGHANSLIWCILMVFSGVLTPKDY LNDFYRPWLFWVDPMRYFFGGSVSSALHGLRVNCGPDEFVEFDPPPGQTCGEYAGRFI SHSAGYLMDENSSTNCQYCQYSVGDDYLETLSFHYSDKWRDWAVFLGFCITNIVLLYI VMWWNKGRLQRRARVTP PEX2_026340 MLKMQRELRAGIGVSNTKTGSFQFYGPSSHICFIQRIHQRLKSR TRETLLDQQNGPVPDGLEKWGIHHFLFACESEFSPFSAPVSNACLPRETGYGFINSFF HIIHPQIPLLNQSEVTQLWDGVWEAPTPGKPGKGRDVLFMVLALGAKISPRGSERAAD YLDKWAEYLWAHSNNYSALFQESSLKGTHFLLLKAMFALHSMRPNDVYLYTGHAARSV LTLGLNRGQVANGSSLSMHRLRTTFWTVYSHERMSAFFTGRPSSISDRHIDVAHLEDS PLIDHVSLSGNSLDKTAPIINCAFIRATAEIAKIVETVSTDVFSLASVSTNGYSVKTA ETINTCDKALNVILGHLPEYLQFPDPNRAIGKDWQEIQRTHLGLTYHLTKLMMHRPAL VLITLHSHSGEQSPNMPSLQKSIDTAISSAKCIVDLTHQAISTRIPVIQNDASIASFI MSACVTLLYNVIEPSVTSTYAKEIFSHVERAIHCLDKMEHVGPTTGKALSVDVMKCAK DALMLSNSDIRFDHTMIDEFPWLNYGSEPIDDQNLTGDVEHPLNFDAQMAEMPSHDGD LNPNVNDLIPADISGMNGLSYWLNGNFFDFGIPENLN PEX2_026350 MASQDASMEEILWRSPPHVQMMGGFLHSNNILFYFAESPFFDST SNNASLAIQANYNDAFRHFVETREAFEARLKTMQGLEFIVSYDPLQAAAQTDNRFAHE PSNIWVIRKQNRRKRSGMDDEVTVISTYFIVGDCIYMAPSVASVVGNRILSAVTSLTQ LMKSAATLPTFTASHGHTYLPPGVRSKEPAGTSQASQSKEATPMPDASFPTTESSQST SKSTLGGSTTGSNYQDTRSLAESFSLLTRYGDEFMDESPLVGEPGSFILSRTGAETTA SAVKGAPKIAANVAATGMPSALPARASTPQVRVDTPGKASEKSSPPSSGDKGKRKKSR IVS PEX2_026360 MAPMLGDWDASNIETTVPQLDPSSSTKSVLYVDAYDSFSYNVVA MLEETLGVKVTVMTIDSEWPDSNMNEFLQHYEAVVLGPGPGDPNVPTDVGIMRDIWNL HESELLPVFGICLGFQSLCLHHGVPIERLPYPIHGQIHKISTSAKDIFEHLPEVEVTL YHSLYANSAASTSPDLEYLAWLSLKDRPKVQIPMAVRHLKKPFWGVQFHPESCKSEIN ACKALLRNWWRMALGYNKIVGRGGYCSLPSTVISPLTAPSPFPDAAYEMLNWSKTTSK FSASRSLHRPDLTAEAISEIFNKPGSPAVLFQSNGQYSIASVLSPSSWRFEYNVETHK LRLLQLYGDCKEVESYLTVAQLWDALRYLMEMKKVDSGNSQVPFWGGFLGYLSYELGL TCLPHPGHAQASESPKPSSTGESPSNSSFADPPDVSLLWCERSIVVDNTTGAIVIQST RDNDAGWLDETLQQLQIFSDSGNDTSADQGLDSILGESKIQFPEEKEYKRQIESCKAE LEAGESYELCLTSETSITLPVPEAESDRVIFPWKLYRRLKTYNPAAFSAFADLGDTKI ASSSPECFLNWDRESTLEMKPMKGTVRKSPGMTMEKACEILGSTKEMAENLMIADLIR HDLYGICGSGGVHVEKLLEVADYGRVYSMITHIKGKIRPNHPGFAVRHMPQLNTPNMS VHGLTTLQRCLPPGSMTGAPKERSCMHLRTIENRKRSIYSGVMGFLDLGGGGSFSVLI RSAFTCYGGRDYTENKQQTWRIGAGGAITTLSTAEGEWDEMLTKLRVVCNVFTPLNAI EKSATTS PEX2_026370 MALNVDFNALKARTMGSGADEEAVTVDTRGLISKVLARYSGKWT VLREMIQNAADASATKVTIKFETLPSTTVPSPLSNDPTAHLKHTITNHTLRRLLISNN GAAFSEKDWGRLKRIADGNPDETKIGAFGVGFYSVFDDCEEPFVSSGNQAMAFYWKGN ALFTRRLDLGDAASQDTTFVLDYRNDSSPVPSLLQLCQFLSSSLTFVSLQEIELWLDD WNLLRLSKKTAPSVDVPIPRDIDTKTTEGLMKIVNVTREIAQVDASWMRIVEWNPNAS LFRLDNIRDTTSSLKSFFSKFTQGTPEKQSNAQNEPEHQEDSSNMNTMLSASVFLHIN TASLQPSISRDLSKELERATRKPPPKRATLAILTPSYDANMSSGASSSQSEVLSSILP SKGGRVFIGFPTQQTTGLNAHVSAPSVIPTVERESIDLNTRYISKWNLEMLRAVGIVC RIAWSAEMAAIKSKLASRVGPSRSSKIRKEDIVDVLPEAIHTANQFAFRESTPSSVLG QTIEDAFWMCNTNASIEVLSTCGVIPSHQTRIAPKDLSFMDTIPALPVEFVQGAKDFV KRLTDFGLVTEVTVSDIKRELESNTLRSNQVLEFISWLGRKTVAGQLDRSSVQSLLRV AVANDEDKEGIPTRLIIFADISCFLNPQRIPAELPVPPNVIPFRFTKSLDKYELEALG WVELQIVPWLGWIVKNCGDRNIFSIGQDITQSATFAGQILPVLSKQWETLSQASKQSV VAKLQPQTVIPTKLGMKQPGQTYFASVRLFDDLPVVHGLNGVKEKLLVQLGVRKTVEL GVIFDRLINTPVSSDVKGPASKKWSHVDLIRYLASVREDIPTSDIQRLKGTSICTAES GSGAEGTRHKISELYEPKDALRALGLPIIEWPGIYNRNSNEGKFLTMMGLKSYPPAVE LVMLMSTGSTAKDSRASKALSYFLSEYYTNEYAAFEISAVTVPFLPIEGSDSLSVPKK CFTDEGAALFGFKLLRNNLHTHASRLGVKAHPPMTDCLQVLAKQPPTTQRDARIVFKY LAGRVGDLKQQDINYISQAPIIPIPIRDQSEKGAKHRLVTPRLCYLGEGEDYKDIFDF VNFGQEANLFLMAIGSKREPTKIEIARMLVKEPARISAAFQSSEKYLMLLRTLAEVLP MLKKDRDLFAEMRGAKFLLASRDIPPTASEHGGKAKSSVKEFHDEEDDMDIKEWSLAS AKDIVVVDDFQSFNLFKEHILAAPQEEALEDFYAALGAIALSEIVEEQARIGNIASDQ TPAHKLEKLILERTRLFLHDQPADYVLHGSRWLEDSFKVHVVTSISLTRSLKGRRVSQ TQKKNAIVAQLAKGVSMCICPDRYDLYEISQSLVQLLLKRPKLHSTLTLEMLLKTDLL ELRARGYNVERILRQKAQEARIAEDKRQKQVEQERRVLQEKEAAWAAGQSQRDSQRAE QESPEPQAQHSMPGVFPESPKNDKSLPEEPHVVPSQRIPVRNMFANLSRRFGLDAGKS SSNSGTEEQSRSLLPGSSTPPPPPYSPNDPQTQQKRPEQSVNANSPHRLNSELLSAVQ ACRPHGSSDVYSRPETNQIQESKSYCDERPSHDLEFVATLPASGMNVLFTKAVTERSA FLAKNRVGFNIFASVLLDCGTIFSMRADSLTIFYDPGGKTIAFNRAGSIFCNYFYFQS LQEQELLKSVTPDRADAIVYWWVILCHELAHNLVGDHSSAHSYYTEGFVAQYFPKVAV KLASLQVPTPAPQPGHT PEX2_026380 MGVDPLSPIAPVRLRALLLPIGKIKRSRFLSFAARLQAENVVRL GDISPDARPNRNMFSPLAFPTGLILYDLTFSVPPTSHLELFPFELYREPLVIIAIADG SELSESTGDEQKHPTPEGLDQLLEELSGVKERNPRALVNQLLVFDHHGLDKITNGPDN VLWVPPPQVSKATTMKTVLCDITSVLLSELDGFAKTIQTIPSIESPKAFSWGPHRNPE LRPRPVDRLLNRMTMPAQLQSSQYDTHYGTPLSSNGSSPGPSDHETPTTFDEITRAIH LSSRTNSRSRAPSTASPKEHSRDRMSVSGMSATDRTKNRIKGRAGVIIGTLFLQAGRW PDALKELTEAVNNARASSDYIWHAKALETILLCLLMFGWAGMDFQIPSVLYPVADKSS KPSRDSTTPSTAGNRIISLHNLANLLPDLSNNILNLYTRAANITDEPLPQLVFSETVI RLARLMVSARVRDGALDDNALKHIVMNEHLVPLVQLELPRGTVLLRKSEIANFLYRAL PLAPGADLPATDAVPIIVGVVAVLNILDLPRKKAFILRELLSVMVPSLVRARKIGAAE VGIHPAAGLASLGDTVFDVNSLDTGPGNMESSVRLLLGTIGEIYGVQPSSFSEWEKRQ SKTSGVDGLQEGAEYDSVASIAERAFRHVVLDRYGDLNLKIDVLKACINCCEALPDFN GVLRFTVELLQTIRGDMMLGGAYRSPPYLPQDEQVRLLNNIKRTVGAGTRLGVSDMAA EYWDDFLVRDIQLLSLADPKKPVRRSKTELDAVTTSSDKVKKDPFLYNPFAKPTSKAL EMLTVAEEPAPFQVTLQNPYEFEIEIEHLRLESSGVSFDAVAENFVIPPLSMQDITVF GVAHGEGSLQITGCIVKVRHCRTRRFPIFKTFWKPEPEVKFKRTGLGAKKPLTERPVS WSSTTSKDGKVDVKKGPETSTCEVKVIAKQPSLIIESMSLSQSAMMVLEGEVKTFTIT LQNASSCPVDFVLFTFQDSTTKQLQSALSNRDLLPVEIYELELKLATKPALRWRREGG DPNDCSIAAEQKATFTVDVLGKPGLQDTTVQIDYSCVGAAPDELPDIFYTRQLFVPLT VTVNASVEVARCDVLPFSGDFAWKNQIEPPVDSIQNPNVLSATDNDPFSQVLGRLGNG AYGPDHCVVLLDLRNAWPSPLSVWLRVSEQAIATLPLDATTKDDLEGKYSVDGDLQPG QVCRFVLVLPRVYLDNPHASIPVVNTGTRRQFVVSANKLSFEAEAASREAFWFREELL KRVLGGWKEPATGREGSIDLRNVRLNNRMVEAMRLEDVEVKFSLTSPSSSPETSDAVE QTGRSRFRVKTDDLLTLNVTIHNRSSRPIHPLLRLQPSLRHQPNNIALDLTRRLVWTG MLQQALPILQSGESTNASIGVTVLCRGEYELGASVEEARLLRPSFDDDGDSTARYNDD GIMDTFGADVVRRRRIWHAKELCIIHASDS PEX2_026390 MDHTASNIGDSGVYPQPIESRRNAWGSTIADLGRSTRTQSEHWE KPINIDRFEYICNSSLGNRSRWKYLDKALYIRVFGYATWSSSN PEX2_026400 MAQTNLLTLNYKGDHNKHDTIHDTQNFLDNELVTSKTTTWIDVH DPATNNVVSRVPETTLEECKAAVISAQNAFPAWRDTSIMKRQEMIFKLTNLVRENMDS LAISIVTEQGKTFADAKGDVLRGLQVCETACGITTQLPGEVLEVAKDMETRSYRLPLG VVAAICPFNFPAMIPLWSLPIAIVTGNCLILKPTERAPGASMIIAELCKKAGFPPGVV NVIHGSKPAVDFILDAPEIKAISFVGSNKVGEYIYQRGTANGKRVQANLGAKNHAALL PDSNKKHALNAIAGAAFGAAGQRCMALSVLITVGKAREWLPELIENAKGYQSGSGFNA RSDLGPLITPQSRDRCVDLISSAEKEGATIALDGRDQKPEGFPNGNWVGPTIITGVKP EMRCYQEEIFGPVLLCIEADTINSAIDLINSNEWGNGAVIFTQSGSSATHFQKRVESG QVGINVPIPVPLPMFSFTGNKRSVAGTGMLNFYGKDGIRFYTQWKTVTSLWRTEDATE LEKPTSMT PEX2_002040 MAGKRKADFGSTEDALYMQSGRASKSTRTAPTLNPETASSGQRF GETVDYIPLNHHTGSGQRFGESADFIPLNQLSQVIGADEEDEEALDVIQGSQEVDETS LTSSILYGIVSTKIVGVRHYRGRANPDERVIINRDANNQYDSNAIKVNNVMGAQIGHI PRQMAAKLASYMDARDLIIEGTLTGAIGDYNCPVDLKLFGTRDPTKRSNLKQRMQNDK LPVRQLNELEREERKVEKEAEKQRKEAAKKARAMALGKAAAKWQANQDSEYANLTTPT GLGGEQNESLEELLKQSSTFNPRDIGQVVETFGQKESDLANMPMVDTPAGLSTQLLPY QRQGLAWMIKQESPSLPAKGSGDIVQLWKRTNNEFLNVATNYATATEPALASGGILAD DMGLGKTIQIISLILANAKPLTAGSSKTTLIIAPVGVMSNWRNQIQDHVHKETAPSVL IYHGSGKKEAANLAKYDVVITSYGALALDFNPNANKAPAKGIFSLHWRRVVLDEGHTI RNPSSKASLAACGLRADSRWTLTGTPIINTLKDLYAQIRFLKFSGGLEDLRIFNSVLI RPLTAGEPEARLLLEALMGTICLRRRKDMGFINLKLPEMTSRIIRIKFNAHEQEKYSA FQTEAQGALLDFKDKEGKTTYSHLLEVLLRLRQVCNHWALCKNRVDKLMSMLEEHKVV PLTPENVRALQEMLQLQIESQELCAICLDNLEQPVITACAHSYCRGCIEQVIERQHKC PLCRADIKETNTLVSPAVELGEDTNIVEADPDSPSSKIETLVKILTAQGQAPGTKTVV FSQWTSFLNLIEPHLEQRGIKFARVDGKMQSVKRDNSINSFSNDPECTVLLASLSVCS VGLNLVAANQVILCDSWWAPAIEDQAVDRVYRLGQKRETTVWRLVMEDSIEERVLAIQ ERKRGLMLAAFRETAKKKAEDRGTRVADLESLLQ PEX2_002050 MKRKHPEHEQHRVTKRTQRQPAPREDRLNSSVSPTAVSPTGSND GLPSPGSVHSSPGEETRFDSDAVWRPVMRYQQQQFDRGHPTETQNIATPRTGIAKTQP TRDLLQHQSATSDSALIDQIVQDATDLERSLLLGTSFLKPTNHLENQLHPMATSQAET LNTSLTEYNFNHPILDRLSPNDIPQLQDDWAPTAVQISRGCNLFFASVSHFLPFLHSS TFDPTQVPPHLVLSMLCLAYQYGEDPECGDQEGSGESFSIRCFHKARALLASDEDRPD ASTMITTLVQSYLLLEICAMMYLCGDNSSCGLKMHSHIISLARAGRMTQPMTIETGAT ADLESLWREFVKAESHKRTLFAVHQIDALWYQFLSIPRSISHLEIKHDMPCPEDQWSS SSAAEWAHRQLVARNSGPSVTYTDAVRRFLSSDDDVASISPFDPYGAINVAQFLISSA REISGWSTMTGMLSMERFGALRSSLVALNPFICPGNHIAQMKQTTSCAATWETAMIEL QMWSPSHTGGIVEGSIDAVLSQSTYLGPSQFLCDANTAKAIQPHVNWFLRYLDRTLIM DTEAPWVTLYAYKAFLIAWQLIHAGLPGSMDAVGVHDGDMEGALMWARKVFQRRQKWQ IGKLILSCLDELDK PEX2_002060 MDKKDPVLAEDNVSSAEGQVDAYNRMPESLRTLSDDDFNALNKR VVRKVDLFVLPTIGILYILNYVDRQNLSAAKLQGIMEDLNMSTEQFATAISILFVGYL PFQIPSNLIITKISRPGMYICAAVAIWGCISACTAAAETYGQLLAIRAILGAAEAVFF PGAIYYLSAWYTKRELGKRIAGLYIAQQVGNAFGGLFAAGILQLDGHYGIRGWRWLFI IEGSATVGIGIICACIMPEFPHNSRILTQIERDCAVWRIESEAGAAEGTEDEGVLKGF AKALSDPKLVLLIFCNMLSQTQGSIANYFPTLVASLNFSSTISLLLTAPPYILAGLVY YVLMFYSDRKNTVYPIILLCIAITIPMYIIPMASTNVGARYFSMMILPFASVGPQLLL YKTINLHLARPISKRAAASALVNAIGGTSNIWASYLYFGEPHFYAAFGTLMGAAVLFA ITITAYRWLILRENRRLDSGDPAEIAKVIKGGVTEEMVQLNWRYEMY PEX2_002070 MAYPTPLTTSIDNSRLRLLNKIKAGEFPLMTFMAIPSIRQAQIV ALTGVDGIIIDCEHGHIGDDAMHNSVSAISALGVSPVIRIRGPAHDILKRALDTGAHG IMVPQINNAEEAEQIVASSKFPPQGVRGQGSAFPAIGHGLTTPEYMKSANETIITMIQ IETRAGVENVDAICAVPGVDLVFIGPNDLAQSLLGYTPARGDEPEFVAAIEKIIAAAR KHGKWAGRMVNNGTAAKEARGLYDTVAITGDTKAIQNWYMAEFDIARS PEX2_002080 MSRQLISSEKFPTKPHNCPAVKVPGLVFCAGQTATGEIKQATRT VLQNLKEVLELSGSSLEQVVKYNVYLADMKDFAAMNEVYIDFLPQPMPARSCLQAVAP GNGTVIEIECIAQA PEX2_002090 MARSAPFNPPAADLPGKPFVPTWVPPPVTQQKENFAELSSIDLS LLDSDDPAVVDKLVQQVKGAIRDDGFLFLENYGVSLEQLHRQFSLAQYLYQNISEEDK QRLLFDPETGVWSGYKHPYGFKRERGPEDGIEQFNWYKPDWNDINRVPTCLHPFMDEI EEFSNYLTKSVNRRLLTLFSRVLELPDDYLWDNVQSHGCPTGEGYFRHALFRPVQKKT EEASKGLRMHGHTDFGLTTLLFSVPISCLQIWGRDEKWYYVPYKPGALVINIGDTLEI VSGGHFKATRHRVYKPPVDQLKEERLSLVLFNSSVGDLRMGPATDSPLIQRVGCVEEQ GVYKEFKKLTSQGKLVPTNRQWREIQIATATDPTDQERNRVGADQVLIDGKIMHQREY MGVKVVLPV PEX2_002100 MAFNDIRGKLAVITGASGGIGAACAHQLAQHGVHLALTYSTNLT SLNNIVADIQSKNATQNLRISIHQVDVGSSDQIEAMFQQIDIEHGQRPDILISNAGYG KRIPDVSYISLEEFDYTMNINLRAAFILVKGVVEHMKAQKWGRIIFMSSIAAQGGGIN GCHYAASKGGLTGMMKNLSTRLAEYNISVNDVAPAMIGDTGMLSSAAAFPEVVESIPL KRLGSPEETANVVTMLSKTGYMTGQSLLLAGGLK PEX2_002110 MPLFDMPLNEDQEEIRLFTILPDNDRTRPIRGNLHIVSLYDSPD FEALSYTWGNCSEERTIFVNGQSFPVTPNLEEALRHLRQSHRARVVWIDAICIDQKNH SEKTFQIPLMGDIYSTASQIIAWLGESNPNIVLAMGLMEHFEDKESPASQILSKLTKL SGVSVEFTRAGELAMARAFLGIADILRRPYWNRMWTFQEWHLPGEKIICMCGDFTFDP APLTEFLPMTISNTIEKIKSCHDDEDTYNNQDLEAAKHIRAFDKIIQRFQDLWKEGAV NVFSARRTRGMFKNYSETTAFMINHDRESTLFTYFRFCNTEGSPSWVLDIMDVTHSPR PDDAEDWDTRYLLPPRQVGVEPEKCLYEDTGHPLPAIDGGLSTLRLSTRQVGVCHPIL QFDSDFIDQIRSIHQITKITPKELAHVWGRETLNRRIWEACLGPRNEHLWSSSLGTTL ELWRPDIRSRKDPLVKDLEVLAEDLMSYGFLSREDSAKLGLFQGILVRIAGKSLLVVN SQEITGFGIGDGNIKDGDIAIVASGVGQTIVIRKKSEVNTDEQVYTIVGKAGIDGIGE HQKSSQTPFVTKLKEQPFEEFVIC PEX2_002120 MCMSQSNQPDQQVPISPALENSEISTDPKCLFLTPEASKSSGSS LNLSTSAENLIGECGNSLEERLTLDPMPFVPQPLVNNPFDQAPQNADAEWRKRKQRGE TSEVIDELMNYQGMQAVKETFLAVKSHVDICKKQGRDPKLDRYNIVFQGNPGTGKTTV ARLYAKLLHEIGILDCDYVKETSGVILAARDTLYLKRKLKRMLFNGGVLFIDEAYQLV SPHADIQGKRALDLILKTMEDNIGRLVVIFTGHKEEMEAFFEHQPGLPGRIPNIDSQY HGQMKVEGGFDGLAMRIAIQRLAESSNSRSFGNTRTVDNLSTQISRRQSQRLCQMATS NPQNDPDYLLFTQDDIIGPEPLKAGNNSAAMTHLQSLVGIDNVKKYVQSMVRLMQANY QRELRGIKPRRLPLNQLFVGQPGTGKTTVARLYGSILADLGILSRGDVVLKTPADFIG DCVGKSERLTQKILDASVGKVLVIDEAYMLDPGDPGREQDRFKTGVLDTIVANIQGSP NEDRCIIMVGYEDRITDMFHHANPGLRRRFNINNMCRFENYNISQLDQILSLKMREQD LTCTPEARKVAHDILQRASTRPSFANASEVESCLAQAKANFEARLNSQELEDVLFDDT LQAHDFDKDLDRTKLDCRDLLEGEVQESVIQKFISYQTRFHAAKQRGLDVGFLVPTRF IFKGPPGTGKRTTAQAMGRFFYNIELLPTDEVLQYSTVDFVGEYVGSTPPKTRKLLAK ALGKVVFIDNVDRLGAGHYETEAVNEIVHFLNQRAHDGKIVVILAGGNAEINSLFSKH SNLAGPFPEEMEFNGLSAKTCISLLDRKLRSKGIDIEPTLQSAGIDCHDLKLEMLFEK MQYLSDWRNSHDGNYLANEILGKSLESMGSGNAL PEX2_002130 MPDIPNFPNISSDNYFEGSYKFQEPTHAEHALDNIYKMEMISAE HESIKRLPRDGVQDSDDEGDDGSSPREPDTTDEDWRLLKAAKKAQQKAQKINNEQVSK FQAQLNDTEKKITEGFNNATNKEDLEIYRNKLSKKLSELRQRTKKSENIQALLRRMGR CPYDYEWTKVAGGGAPVYHMANPRYSSYRDLAKNYERRLDTLLEQGYFKPVFIYHVII LNILPLIGLVIPRRRGGQYVRKGLFVFSIAIAIEILQNRRAIIGGNGYMLGLITAWWL VWTTTLFVFTDLEHDFKRIERKPVDTKSENDSEIESSAVRKSLDSHNPTCTKRNSNLY IWRSYPEKFWHRLEWSAGLLFNLRGPEWNWRASHLGPLPRPIHDQLHSGFVDRINAHE PSTYPSGKYRLRVAFRKFFISYLVLDILKVIILMPDPYFRGLTPAESLSPFPFFYFTN LTLHPIIAQLCHRLYSAISVYFALEFVTSLNPIFFLGLSLAFPNEAKKLTAAPLGASW LYSDTFGPFFTPVLDEGLAGCWGRWWHQIFRYGFVASARWILSVLPAGLASHLQVRRV TYVMVAFCLSGFVHACGSITQIEDTSPVSGTFLFFALQGVAIIAEQFFKTSILPKLPF TGTPRWLRRTANFVFVFCWLMVSGGLVADDFARGGLWLMEPVPISPLRALGFGLQGQG WWCWNEPWFRYWSDGTYWGSGIRVL PEX2_002140 MSSTTLRAVTHRLITTPVEQLPSIASFLATSLSDCAELLSTPQT QKSGKSDSDNAVQIHKLKTRLASLLQDRSVEARWTAVVLVKATVEAGQWEILRGYEPI VRSLISILAKPDPISTRKMCIITLTRIFHLTYQYPTLVREITTPQLPGFITAALNLVS TITKTPSGSTRKPKPNTPFMEIVLHALLELIPRHITLFRPFGAQLRTLLTEVIGSSSP AYFPEHVIDAAEQLLVSLHKCAPKDQAGSGWKDDCKSTILSIHRTTDHVFRAVVEQWE SVDATLVHTRPNYSQEMGDGGPDGLGLPGWSGLHSGVDRLIALLQILSGFISMPSAAA VALPMGSILDLTSRLTSVTVPPNGAASSQSGIQFNQEISRDEREGLWMELPRIHIACM DLLSNVVGILETSATSVTQTILEQAVWTFKGERFNRDLRSAVYALLRSLVPVNGPAMT KQSVVSLTNVLRSCCNDLLPSTGDSSSAGNPGSDSKAKSKGGPGTANADAFFNPDLQK SRQAQAASPLLDVQQNASGLLQAVFISIPAELVPPSIRAEMDRSIILTSDKDAMLASV LNPVPAVKGRGAGSSIIPFLTRPYADQMEVEALVRPRMPVLMTAPELDAYVDEDEEAE DEDMADDSYNAAPKTAEFLKQPVSVPLQVPKSDHSKATTPVPTFHKRTYTEEPTAEEP TPQKQDVQTKKARFDEIIPTPSSQQPFSQSAPAITKTEAPKLSTQVSTASAALTLQAS EDAADDSDDELPTLNIDSDTDEEDDDVTMEG PEX2_002150 MAGGNAEKGQAYIAALDQARSQGKWDELSELIRKVTKHAPQRTS ESRVIAYLQQKSSGDSSSSLPNLAELIPALLTTIENNDGSPQELFQAQVCLGWIHWAL DEPGLSAARLPNDFATTLDSLVSGGEQPTSWTEVCLVKGCYMKATAQSTATDINETLE TFASLIPWLSGGKLASITTPQFLNWSESLLGNGALLASNEVTKNPPYSDPGHADIALQ LLRLWAAHPAVKQGGSANNPSNVDLFGLAPRASVWAAYYRFLTAVLRGGLTYPGSNDG PDRPQLCSELRRVETIYERNFLSEVMFPTASSQNSQIEEWVEQVISNWQVLCGPEWQD SDIGEGGQNAVGRDVLEILYRAAAKTYHSHLVLRRLFHVHSALADFDLAVKALDSYIE IVTAAKERAEKSAESGELEKDEVFLQTLSEGVTLLSCLGSLEEAEKARDLTEMMREYI DKHNTPPTNGQVNGNSTNLPNIPPSVLASSYRAIGVGLACWASWTPVNESRDEIRADA IEYLEKSLAPELGSSLSYSSLYTLGLVLAENRDLDTAIDYVKSALTPSSSSTAVSDDL SKERDLVSMWHLLALLLSAKQEFEIAGRSCEAAFEQFPRELFSKSHRERRSSRNPQNP NQRPVVSRLQGREKERIVQTRITQLAFIELLEGPEAAVNHSTQLLSLFGTLFQDLNLE DGENKAKADHLIPPKSSAGTVKSFRGSIFGRNRTTQLPDRRADQSNGSDATIPPTPAI PNGLVTDAPSIQVTDEDPHSRQGRPPTIGRADSKKLKKRSSSFHKNERPRVEEPPLPN GGEVSAEMVGFAFSANTSPESAIHPTKGKQPLPAMAHNMNYKEQAPPAGHAEQPPKQD IRLPIGHRFDSPTSAVTKFSLVQSQKHALGLLVRIWLVIAGLYRRASLFDDAREACEE ASKQAARVEALVASHESSARSFSKRGWGASKSSEELWADVYAEQGLLSQARSSPHQAI RHFEEALLRYQDHPTATIGLANLLLDIWDQKLTPEPSNADVDLDASRLSLLSETPKPQ SAKAISTDDLKISSEIKNAGPEDAPLSAQDVEPKQLHRLAARDRAYGLLSALTKLGSS WDNSEAWYALSRAYEAGEQVEKLKEVLWWCIELEDRRPIRHWSNIGSGVYVL PEX2_002160 MSTVLRTLRNLRRVGFKDAAHQMQNIGDTKAGTLIGTDRFGNKY FENLEELPLRTRWVDYKEAEYDASHIEPGWHAWISYLVDGPPTADKVMQSGFRPWELS EHRANPTQSRAAFKTYSTTRPKITAWTPTAAPR PEX2_002170 MDIPIQKTLDLGHSDMFGRIRGQSLRCAGRRPVARQRLCARQFS AHTGTGATAAAPAPSPLAGITAELDRIAPRFEVPASQIHIIDSPANFYSTLKNKIRNA QRRIYLSTLYIGKTEHDLVDTLSQALRGNPDLKVSILTDALRGTRETPNPSCASLLCS LVEEFGPERVEIRMFHTPNLTGLKKKWIPARINEGWGLQHMKLYGIDDEIILSGANLS EEYFTSRLDRYHVFDSKVLTEYYAGIHHAICSLSFQVLPDPENKSSYILDWPATNKAP SPLDDPQKFIAYSSTFLSPIIQASDNKPLLPSSSSQTYVYPVSQFTSLMQPDDTSTEY PAVTTILHLLSSSSVFAGARWLFTAGYFNMHPVFSSLLISSTTTASKTPTTTKGTVLT ASPWANGFFGSSGISGMLPAAYTHLSARFLDRVAEAHATESVQLKEWRKGTVGTPGGW TYHAKGLWITLPREEHPSLTFVGSSNYTKRSYSLDLESGAVVVTTDPDLKQKLGEETE RLQKDATPVSRDDLMRTERRVGWNVRLSMWIVEKVGGAF PEX2_002180 MSIQRIVASRSWFGFDLDDTLHEFRRASANASVRVFETIHASNN KIGVDILKNTYQEILRSATANAFTDGRTSSEYRRERFERLLQAHGLTDDSLLDRLLEV YRDTLRENLTLKAGALHLLRTLQELGKKVIVITEGPADAQEWTVKELGIRSYTDILVT TNEVGKSKVDGLFGAVLERYGIAAEDIVYFGDNAIRDVQAAQEDGILAVLYDEKQQSC FYDVSTLRVNSWAFVEKVLVHGE PEX2_002190 MPPKQWIDKKNASKFQLFHRSQNDPLIHDTSADDRFLYQVGGPA PAPASSSASTTSKKTLPNLFDLQSEYGDSARKNEGEAANYGVYYDDSSYDYMQHLREL GSGSGDAYFVEAKTEKAKGRAGRGMKLEDALRQVSLVDKDTYGQGTSVAPSLYGSQYG DMRSTTSSFVRKPTYQDQQNVPDAIAGFKPDMDPRLREALEALEDEAFVEDGDADGIF GELTANAEEIDEGEWEDSLFDHDVEDDGWESDATEKAPVQPDSTDAHRSIDDELPEEP AKPPMEPGEMPEHDKPAPDMQPTDQSWMSEFAKFKKDAKAGNVPAPAAPTIAASQTMA STVFTAGGTPIRRKKRKGALTNPSAYSMTSSALARTAGHRLLDDRFDKVEQLYSLDEE DEYDDSMSMISGMTGATGMTGMTDMSAASSQAPSLIDGNGEAVHSRSTFNNVMDDFLS SWDPNTSGQAKRHGAKHKRGRNGNEAIGIRMLDEVRSGLGPARIQGKGKVSGRA PEX2_002200 MVLPKSKKSVGLGASLMNDRFGKGKASSQKKVSHNNAIQRTGVN GETYVTNEAKEASWVKMRSITEQAALDEFLSTAELAGTDFTAEKINNVKIIHSDQKNP FLLTPTEEKKAFIKHQKNKDRLTVPRRPKWDQSTTRHELETMEKQSLLDWRRGLAELI ENHDLLMTPFERNLEVWRQLWRVIERSDLIVQIVDARNPLQFRSEDLESYVKEIDPRK QNLLLVNKADMLSLKQREAWAEYFEKNNINFRFFSAHLAKEKNEALALQEEQETDSDA ELAQSAQKINVHATEDDGYKKEETVEEERAKLADPERSLGPHILDTEELEELFLANSP ESLPQDDESGEPKRKTTIGLVGYPNVGKSSTINALLGAKKVSVSATPGKTKHFQTLYL SPEIMLCDCPGLVFPNFATTKAELVVNGVLPIDQQREFTGPAGIVAKRIPKHFIEQVY GVKLRMRPIEEGGTGIPTASEILRAYARARGFSTQGIGQPDESRAARYVLKDYVNGKL LWVNPPPVAEHETPIDTVEFNSDLYDLAHLPERRRAQLTQAKIGKHTPVAEVTANPTG EVDLENPAGPAKISVEPELGRTSRNLDTGFFGSETGPSAGRLTLPFQARYTQQGQEMR KAPTGRKERMMVALERGVDVSEVKGNTSTKKHFKANKRLAKGKRNNPEDDDY PEX2_002210 MSASSRIPPIVQPFVSEQARRTLDLVEEFVEKDCIPADTVFAAQ LGEGEKRWNTNPAILEDLKEKAKKLGLWNMFLPKNHFTQGAGFSNLEYGLMAELLGKS KVASEATNNAAPDTGNMEVFAKYGNDAQKKQWLAPLLEGKIRSAFLMTEPDVASSDAT NIELNIRREGNEYVLNGSKWWSSGAGDPRCSIYLVMGKTDPTNPDTYKQQSVILVPAG LPGITVHRMLTVYGYDDAPHGHGHITFKDVRVPAANMVLGEGRGFEIIQGRLGPGRIH HAMRTIGAAERALEWLIARVNDERKMTFGKPLVAHGVILEWIAKSRIEIDAARLIVLN AAIKIDQGDAKGALKEIAQAKVLAPQTGLTVIDRAIQAYGAAGVCQDTPLAYMWAGIR TLRIADGPDEVHLQQLGKRENKSRKDAVTAKLNWQREEGDRLLAASGFKPKSHL PEX2_002220 MDATTQAQPLADRSTNTHLTNASDKTDLKASDISSMEYHRQVLQ GKVTSEEQPTSYVSPSDDIMSPCTKKLSDIKGKRFKNAGKPQSLFAKLGKKSYEQSSA EQGRTEN PEX2_002230 MLTAGRSLTSWIYPASILLAVFPNALSNATSQPPSNGVQAAIPT TPVTTCPVKSTWQTESELFHLPPCLETRWGPGRDMYLASISPDLSAATNDPVVSPPVI SSGVPEETARTTADQNAEQDQDTDSLLDGASFLSFEDWKKQNLAKVGQSAENVGGSRR AAAAGKEDRRQPAGINNALDSLGDDSEIELDFGGFGAETPEASPTAWGSHIPSRDAGQ AGNADRRGNVDVHANSALQRDVSRRKDAGTTCKERFNYASFDCAATVLKTNPECKGSS SVLIENKDSYMLNECRAQNKFLILELCDDILVDTVVLANYEFFSSIFHTFRVSVSDRY PAKPDQWKELGVYEARNTREVQAFAVENSLIWARYLRVEFLTHYGHEFFCPISLIRVH GTTMMEEYKHGESSDRAEVEELEASEANQLLEELESKPVEVLVEKFIPAVEFSVTVDE ICPNRPFEVVSPFAKGSDSDICGINDGPRVTTSSMSATTDQTNPPPKPNSTAAVAGSP SATNAGPPTPPKSEDTRKQGEPAKNVVTGPDASSVSSATAQEITTSETIGKATINARE EQNSPPPESTRPTNTQPPSANPTTQESFFKSVHKRLQMLESNSTLSLLYIEEQSRILR DAFNKVEKRQLAKTSTFLENLNVTVLNELREFREQYDHVWRSVALEFEHQRMEYHQEV QSISGQLGVLADELVFQKRVTVIQSIMVLCCFALALFSRGSGGNYMEFPAVQRMVARS YSLRSSSPIFASPSASPGSTRPTSSSYRENRGHRRNLSDSSDQDSAASPTAAYSPPTP TSSSSTDRDVEETDKVEEPASPESMSVPTLATPQPRSQSTPPVLNGRPVDLDMTTPAD VDLVTDLDNSRPPDL PEX2_002240 MPPIQNQISASAFRASLLTFLWFFVLVVSASVRQPRSDIVQERK KKTVWTTGEGDAMHVAKHHRGRTETDETLNPRTKRHKTRERNINLSWVILNFFSQLNR NMQADINMRGEYRMRVE PEX2_002250 MSTMDCLNEDFVEGQLLDGRFRTVAPLNHGSFGMVFLATDTQTG QDVAIKRLIKATSTSSDDRSEELECHRRFGFHPNLVNLIHTFDTETHKYLVLEYCANG DLYEAISHNRGPLETEHVRDFMLQLISAVDFMHAKGLYHRDIKPENIFLTQDGSMKLG DFGLATQDSWSYEACVGSDRYMAPEQYDPTMTGYSPAKADIWSVGICLLNILFARNPF VTPTESDVLFADYVRDRQSLFDIFPNMSQDTYEILTHALTIDPSKRSLAEVRDCISRA VSFTTDDESLDEFCTEDREVVAASANREPLRTPSLQSPYVNQGDSFPWAKALQTSPPQ AIRQLSAIPDNESYTEDLFPASEAAGTSWFSSHMETPSVSSVLDSQLSDSFVLLNLRK RQAPTLPRSDPVSITGSLPSHATKPLPSLSMVFGNKGADKISKSWCDMWDEEESENED LAVLQRREQNSRSWSQESQGAILPGLREPDSASVNQRSSGPDVDLKMEPNVLGDLSLD EDSDSSASSSTPRPLQTSHAEPSSADKWSMLGDVRRKYKPEDEARKYKPRKSREVFGP KSVAANARRHDWGRGTCGYNQTKSKPASPVEARRRHLLERQDWRSNVSNSFIPPDYGS IDDDLDFVGGWHDAHF PEX2_002260 MSKRSLEESRAGARMSTDPDNNGLMPIQDLLSPGEEEHGQSSGP SKKPRNFIATVSLRSQMSPPTHEPQTQLSPAFGGNANQPLSMLPPIGDNFTPAAAGDG DDFEFEENQTKSDSAGRISISFSQHGVIVWPGARQILPKQLLAAYEMLGKNYVIELES TRPPLPMFASPYPLQAGDHWLEVLPLAMIRGLADAFFSVFNPSTPIMDKGFFFSFTLG SAIESGFGSNLESCLVLNVLALGCLAVRAYQEGNYPLPGTQGHRFEPPEWMNVVYEEQ PGLRFFNEARRRIGFLMCDNDIQSCQFYLLSSVYYSQIPRPMDSWTMISRAATCCLSM LTNHNVNFDEWEGDMKSRVYWNCLMNETILVQELHLPSSGLSRLEEQVPIPKFIGFET TGYASTRFNSSGVVDDSYFQYHFLAQVAHRIILTRIRHSLYFYSDSGTFPLPAINTEL HHQLEQWRTNLPTTIQFNNAPYSSHPTPATPSIHPSPSASIASPAHLSSPRPRHTDPN QPLSPAIAVTDAMLKGRHMIARFHIGRPYLYKALRIPHLLTDEDFVQVQSGLQNAMDW PITQGIFRKMKSCIPIKFAFCSQFFGQILLFYCIAHSPSARLRETLPDGWEHWNEEML GFLEDCAPHSPAVAQDLELLRYLWPEN PEX2_002270 MALDGSSSYVAQSNLMEPPSSSLPMFDVERVQLAFSVAADFVAA QVANNVLVLALSTNRILRIDLDSPEDVEDIDLPKKSSEVGVIRRMFLDPSASHLIITT TLGENYYLHAQSPSRQPKPLSRLKGVSIESVAWNPSLPTASTREILIGATDGNVYETY IEPSSYRQEKYTTPVYQVPGASPVVGISAEPVSSKTDQRRVIVATYGKLLHFIGRTGV SRHGRESGVYLELFQREMPTTHEVTTPSASAPSSLVISPTISESYQAEGYTERQFAWL SSEGIYHGPLTSESPFKTANMLARSVFPASESPRGGRKLIQDPISAMTLSQWHVLALV GGRVVAVNRLSQEVVHDQPVLEFGQSALGLLTDLTKNTYWLFTSQAIFEVVAGDEDRD VWKIFLKEQKFDEALQYARGASQRDAVMTASGDFLAGKGNFLEAAKVWGKSSKGFEEV CLTMIDHKEHDALRNYLLSQLATYKKASLMQRIMVASWLVELFMSKLNSLDDNIATKA ELAEGTSPGEIKDQLGSIRADFQEFVNSYKADLDKKTVYDIISSHGREEELLFFATAT NDYNYVLSYWIQREKWLEALKVLQRQSEADVFYKYSSVLMTHKPTDLVDILMRQTNLD PERLIPALLNYNKSASVSSLNQNQAVRYLNFIIVNHPNPSAAVHNTLISIHASSPSPS EAGLLTYLQSQPSSPPPYDADFALRLCIQNQRIQSCIHIYSTMGQYLQAVELALEHKD IELAAIVADRPEGNDKLRKKLWLLVAEKKIRQPGTGIKEAIEFLRRCELLRIEDLIPF FPDFVVIDDFKDEICTALEDYSRHIDSLRQEMDNSALTARQIRGEISGLDTRYAIVEP GERCWICSLPVLSRQFFVFPCQHAFHSDCLGREVLDGAGGKKKYIRDLQTQLSSGDIS SSRREEIVKELDGLVAEACILCGDHAIKQIDKPFIAKSETAEDWAL PEX2_002280 MSGIHRFLTRRDRHNKLNKQSKEEASNILSRPLFHGIFKSETTL ADNDDHEKKTKALAQRIKQLGITALDEEHINYALQTTQGDTEKAFSLLLLLEDSIEGI IRNYTPNTKLLGAENRQGVTCYLDALLFAMFARLDCFEAILYKSFNDEPRRKLAILLR LWVNLLRSGNLITTDMTKHLQDALAECGWEDAAKLQQQDTSEAFTFITEKLELPLLTL KMDIYHTGKEDESDDHKFVNERLLEVAIPPEPTDGHSLTLEDCLEAYFNNMIEVKRHM ERRNTTTSIRSMDSLSISKGSTSHVETVEIETTPTMSRVQTNTSRVEKPNPWSSFSEF SESLEAARTPGRRGSIVRQRFFPDSSDDTKTKDSNTEKDTSADQDTSGNTQPRRGSYK KEVMMPAWQFFSLIPWYTDNTPTNDAQVAAHFSSKRPILGMCLKRYSFLPDGRAVRLN THIDIPTEIGLPHFIQDDNLDANAPIFGSFKLSLQALVCHRGNSVDSGHYISIVRGTN CPNTGAPVTTGPEDPETSKHWMRFDDLAAERVTLVDIDHALKTESPYLLFYQILPISE DPSAPNIPNAPSSRASDGSTLGDLTDFSLEDLASDRPSVEVTGPCETNAQTLANIARR SSIAFSETSNPAGLQPRSIPSSSPRLAPTEEGSTKGISFSRRGSRSARSNPGSRTGSQ TGENRISATFSRFAERLSRDKISNDGFTEGNEGDESALEIDDTVDDMKLGPAALESKE KRGRGRTKDRERNKGKSKEKSKEKNRKLDRECAVM PEX2_002290 MAAREPSRYLTRALPRASVPSIRPQGFLSRRNVSDEAPARRLSD HLTELESASSLSTTVPDSAAASFDPLARAAARKNQLPRGRYQFRSPKYNRGPLHPHQP PPPSHPSSRLFVPGPFSAPRAGQTYESTVAPDIMTLCYVHTPPGFKPPPKSARLREWD DSSPYHKNRVLRGPRGGDVLRLLRKPIKFNNIPEIERITIHSYVKNAAAERNSSWLHV AGIAVQAISNVRVETFKSKSNVAPWGIASGRDSVAVKAELYGENMHHFLGKLVDVVLP RIKDWEGVKGSSGDSSGNITFGLIPENVALFPELEINYDMYPPKMIPGCHITLHTTAK TDKDARLLLSAMGIPFYGKIVN PEX2_002300 MLAPKQYSAPTGASASQTPSAYHTNSSQRPATATRAQDGMGFAS PTKSELSEGQDELASVRSWDERRVVAWLHSIKCGQYESIFRANNFNGDNLLECDQKIL QEMGIKKVGDRVRIFIAIKQLRNKSGTTSRQKNINTLAALEATALSNSSRYTHSRLPY RRTSQLADDGYLNSKSLSQPASPPPIDSERGPWRKDYLNHPSSGGSSSGRNPGTPNEA RPSSHPRNPSLDGLTMGPLPSNSPVIRVIHTGGQTKVLDIRSCRTPDEVILCVLKKLQ LPEHQYRNYCFYVLDGLDPEQSNCRKLTESELMEICDGVNKSERGRLILRKIHAGEPD EDELRRASQLAYDESQATHQHALNNSNQRQKHKIQQLTGESWHNIKQPLSPLGPRHRP SNSSVDESQGPNSERNPVAKLRSFFGQRPPSEMIIHELQSFFPSHDRENIEKTMRRSQ RLSRAASRMSVVSNYSVASSMKDAPPLPPLPQLPPIPSIADAWLHGPGVAQALRAPRP ISVSKFNLPQSSYRDSIASSSLQPLQEESPTEPNRKSYVSFESGSDEPNPLRESRQSF ESLSVAATDGGSFNDRMSMIVAEDGEEEDDGLVDFLAGNNFAPKNWMKGSLIGEGSFG SVYLALHAITGELMAVKQVEIPSATKGTEFDQRKNLMVNALKHEIELLQGMSHPNIVQ YLGTVADDQYLNIFLEYVPGGSIATMLKQYNTFQEPLVKNFVRQILAGLSYLHGRDII HRDIKGANILVDNKGGVKISDFGISKRVEASHLLGARASGGAGSHIHRTSLQGSVYWM APEVVRQTAHTKKADIWSLGCLVVEMFIGAHPFPDCSQLQAIFAIGSNKARPPAPDNV SQEAVDFLDMTFHLEYEQRPSADELLQCEFLATPIA PEX2_002310 MLELADAIPVHTQVPPPPPPEVQVHTPSIIDTPHFNPSWGYDLN LLSHAASHVALEGQQESLESMRKPPHTAAPISQPLPHVQERAIADAYGVEPSILDLTD LGDPVQDFTVFLESVGLSSDWDSGVFSSAEEPMLPPGMTIDSKPPRETMPTRLGGDIM NDPRGPAEDHPSFSNFGSRLPSLQPESHEMDDRIGLADESTRPAWDISNSDRQAFISK LEEFSYVLPKGFVPPSRHALSRFFAGYINGLNEHLPFIHVPTLSVAKTSPELTLALAA AGSHYRFENSRGIDLFHAAKAILLERLRRRDSKQVPQPSWNYISPPSGFPNSRGSSMI SNTASSPFQQHQQMLNAPLNPSIYTLDDSDAHMEVIRTFLLLTVFASWERHPELLREI LSLQSTLARLVREHGLSEPPPTPDPISWEEWVRREGNRRTKLIVYCFFNLHSIMYNIP PLVLNGELRLNMPCSHELWKASNAPQWRRVHRTRQGSDVPFQDAFARLFLKSSMSIPS APISPLGNYILIHAIIQQIFFARQLCLSAPHMQGTSLRPDDLNVLDNSLSAWKALWKR TPESSIDPQNPAGPIAFTSTALLGLAYIRLHVDLGPCRHLITQDPAQIAAALTESPAI ARSPRLIMALLHSAHALSIPVRLGIDFVARTHSFFWSIQHSLCSLECAFLLSRWLLAI PTTQSEQRLSEHERKLLLWIKSMMDETEMAVDPPGAPDMEFMANPYKVRQLSVAIVRV WARTFKGNTSWAIVDLVGSSLDAYADLLEF PEX2_002320 MSKRPRSRSRSPSLDHDSISVSSIAVNTTTPPSERNKLIDSDTT ILPEVMHCSLPPHRETLSFTSYEDYEVHYLQSHVNRCSECSKNFPTGHLLNIHIEENH DPLAAARRARGDKTYGCFIEDCERKCSTPQKRRLHLIDKHMFPRSYNFYIVNDGIDAQ TSMLRPMNSHRRRISTSSALEGRLRRRSSASQSNPPAMAIPEKKSSNDMDIDELEKSM SALKFVPTSVTRNRNKVPGK PEX2_002330 MHFHTQLGSHPHQRALSCLSHTDGSSAAHIPSHSHLTGEVDARD PRANFLMAPSFTDAWKTAIEAEKELLQCFSQERRTFDEFEHFLSEFRTSSQNAILLDF NAAREVDVESRLWDAHLKVNNRFRKQLLRFREEHGKKKPVERRKLERHYLDFIKSSQR FYRGYIQHLSSRFGGIVELERVARKFNFENLSGQSPIKPSNDLRRLILQSCHATLIRL GDLSRYRESELVSKDRNWGPAIGYYDLASVINPASGASQNQLAIIALADGNHLRATYH LYRALSAQEPHPTAKGNLEIELRKIMSAWAKRELIRPEDAGIPGRALTPWFLYLHAKC YKGTDFPEHDELESEVLSQLAVEIRERSLEGTLQKFCLINIAAEDFAKVRSVEESVLD ARVFFQRINVKTFFTLLQILLVELERTASFEDPNGKDAMPIPDKVSVVARRILPALRH YSSWLLTNSQSLVEQKEEKDSALSIQIKEFWKIYAGTLSLLASSFDVVSLPEVDYLLE EDEESLGFAPLDQDATSRRYVGGGDRPKPRMHDLGIERSHPNMEMLYRIREFVIDGLD LVVRGKIPVALVDSEDKKTFIYQEEDLPPQFYSSPHGRQHALSAASIEREDIPQTVQT PYSAAEAQSLFGGSQSASASMSANMHQIVEGVERLVDSDTYETPPNDQFAFLGSGEIS TPTHVSPNANAYPFRDENSPPLSTPMAPPPGLGPPVMNAAEPLRVPSAQSYTPRPAIP SLPSIWTPLRDTAPPRTPPGLGPQPGQRAPLHHIASERSPSQDQLANELMLRQHLMAQ TQFSNSLDVGSMPTTWASSNMSHARPAASGWDHGRTTFGAFELPSQMTSSSLGHPSWA NEAFIASSLAGATPYSSGIGGRKPGTQLGAVGQTPPCGQGG PEX2_002340 MAAPQGYPLLCLENPLLDIQARGDAALLEKYELKPNDAILAEDK HMGIYEDLLARDAKLIPGGAAQNTARGAQYMLPEQSVVYIGCIGKDKFGDILKNTCEE AGVHTEYRVDETQPTGKCGVVITGHDRSMCTHLAAANEYKLEHLKQPEVWSLVEKAQF YYVGGYHLTVCVPAIIALGEEAAAKNKTFMLSISAPFIAQFFKDQLDSVLPYTDYTFC NETEAIAYAEGHQWGTEDITEIAKKLAQLPKKNTQRPRVAIVTQGTLPTIVAIGSATG TIEVKEFKVREISKDSINDTNGAGDAFAGGFCAGIVSGKSLDDSIDMGQWLASKSIQE LGPSYPSPKQTYSRS PEX2_002350 MADHAMDIPQIIQSASINRNPDPVHDINPATAASEKQPVVVGPD SETNSIASDIVHPSRVIRPVHRRQTLPPLPDLRFEQSYLASIKDADTWGRVAWITTRD QVLLPLIQGTVWTLALSGWRFWNRNASVSGHTLGSRVRRWWYEVNNWHLPQLGSTRDP KLASQVEDALTKVTSALDATY PEX2_002360 MPSDSELSSLSSAPPTDDEATMTIDHPTGIAKYFKKESETPPPK REPSPPHEYVVADNPDIAFIVAFRARFHEVFPKGVPHYGPQDIERGIEESPPGEYIER LLCALLGLVLNRKKEVERNHFNRPLEEAIHTHQSQWPKEWEGKNPLHGGGSFATMSPV ERLRLLKALILWSLSSSEAVQAKIKESYKQARHDDDLNQPLSVQPWGRDSLKRRYWLI EGHDDTNFRLYRESNPALKHNTWWSIAGSIPELEAVVEKLEGEKGINSKKLSERIRAS IPRFEASEEKRRRREYRLARKAAFVRPDPGFSMYEGRTRGKKLKYTYSDDEDIFSDDE PSNRRSTRNAAPTEAPTESRRPRFTASGRQIRSRAGGLYGEALLIGQRDGVDDEEFDE DEEVSRPQRARTSTHPNGYSGYDADDLEDASEIHSSANESGNEWQGVEDDLEHDFEGD NEEDEASAEESASNEEPESLVVQLRYGKGDASSNPEVQIEKSPPAEEADMKDDGEAAA ASSAQAPPPTLPDHSNSDAQQAPSTIAPSHPPAPISQAPTHSAATVAEPSTVFNGVNQ I PEX2_002370 MGRVIRNQRKGRGSIFTANTRLNKAPAQFRTLDFAEAHGYTRGV VKEIIHDAGRGAPLAKVQFRHPYKFKMITETFIANEGMYTGQFIYAGKNAALTVGNVL PLASMPEGTVITNVEEKAGDRGALGRTSGNYVTVIGHNPEEGKTRVKLPSGAKKVIKN TARGMVGIVAGGGRTDKPLLKAGRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYATQGQKAGLIAARRTGLLRGTQKTKD PEX2_002380 MSSPLDEQAMGQLQSQQSELLDKIDELRAIGVGGLVELPQIIVC GNQSSGKSSVLDAISRVRFPSKSNICTRFATEIVLRRSPHDRIKVSIEPGDSRKDKQE QQKLRAFTSESFFSSADLPTLIENAKECMGLSSTDPSNTGFSDDVLKIEISGPEKPEL TLVDLPGLYYSSSSEQNEQGMEIVQKLTEKYMKSSRSIILAVISARADYHIQKVLNIA QSFDPKYERVLGIVTQPDIPEAGSDEQETYIQFIKNEKVKLQLGWHVLRNRSFETRNI SDNARDVQEREFFEKGRWASLPRDRVGIKSLRHRLSKILLDHVRRNLPGLIADIQERI SRNEQTLAKLGAPRTTLQEQRHFLVDISSRFARITNQALNGSYVDEFFGGFKDHTATT EESPFRRLRAIIRELNECFAEAMSTRGNRRIIQFPGQLTPVKDVEQERSKPYMDGWTP QYISQQSLVDEIKEQARQSRGIELPGSANQLLVGSLFRDQCEPWEAVAKSHLLNAWAS VEYFIQLVLKNITDDHTRPLLMRHLIGPEMEKMKESLLAKLSELTSYTKRGHPLPVGQ SFLSKIQEARKNRQVSALKRNLSLSGSSLPAVEDTRNTFNVRDLEQATSQLQLSGDQF AAAEIIDQMQAYYETAIVTFVDNVAILAIENCLLFPLEHIFTGKTVLDMDDQQIREIA AEPLNIQKDRERLNGELKKLRKGRQTLNAFSIADSSTRARPILAGLSTPRAQTPSLTA TAPIAQVAPITPRPVLFPQVSTPATVPVTSPLPILSLDKNRGGFGTIQGSLFSPGLGS TENGGIRPNNGATAIPNLFVAGGFGSNNQGFGASNQGFGSSKGFGSSAPFGSSTKSTK KSKKPHFDAKISPDTEGSRKLLFEEDPSSSRTTNCYQSICLTSPFNQYSHEELRLGDY ARRNRYIPT PEX2_002390 MEKDTIFFNGRILTQAGSGLHGEPVFAESMLVKNGIIATIGQFQ QVLSECSSDVQKRDLEQQTVLPSFIDGHMHLLLLGQSLRKLDLRPCKSLEEIRTTIKQ YAVANPHIPTLLCKNWMHSMTPNGVTATMLDDIDPRPIFIDASSQHSCWMNTAALKVL GAETMSDPPGGSIHRDAEGKPSGVMDEGAMMSIIWPFQAVSSSKEERMESIIQAIHEY NAAGYTGVIEMAMDEEAWDSLVTLRSMQSDLPMRIAAYWLIKPTADLEANSKQVQRAI ELSGQYNSSTSPDLRIVGVKVICDGIIDACTAFLSQPYAPIGSPPPIWEAEHLASVVK EADSAGLQIALHAIGDGAIKMAIDAIEKHASPGRRHRIEHIELASPEDAKRLGELGLT ASIQPVHSDPSILTEWPRLIGEERCERAFAYREFADAGALMAIGSDSPTSPWAPMDNL YVATTRKSSRNPEYTKVVNEHFRLGMCESIVAASGGAARSVFAEERTGSLDVGKLADF VVVDMEWEANALLQAKVKETWFEGRRVWG PEX2_002400 MSALLIGCWTCRLRHKKCDITTPSCRECSDRNVHCHGYGPKPTW MDGASEEKKERARIKTAINQHVRRVRKMQSRAKMASLPQAYKETRPSSNSDVNASAAF DTEDDSNILPNASLENLDRPSTQDGIFDPQAACLLMHYLDQVFAWQFPYFRSTSRLGN RGWLLYLLMKRGPLYHAVLSLSSLHQSAILGSEEEYQQRERALEHHSRALREFCKFMG EEPGKLLDDHARLAEFLACSLILISCEV PEX2_002410 MTDISKPQKIPRLSRNFTNTERWQAIATRDITANSFVYAVLTTK IYCRPSCPARLARRANVQFYDTPLQAEKAGFRPCKRCRPDSGQTAAQSNPQTAVIDKA CESIRNILTTGLKPKLRDLAAEAGLTSSHFHRVFKKHVGVTPLQYAESLMQSNLHSPE SSPSDDFSGIETPRSASRDRGGEVLDLDRNSEKGAGLSAARETIPFLSGWNEFDALLA SELEQTWVPGLSIDPRRIFG PEX2_002420 MHVNKRIGRFKQWAGERMGGEAKTCLSDDFKAMETEMGVRHEGV DRIHKSMTSYVKAISKRNDGEDKEKTLPIAHLGGSMVAHGEDFDGNSEYGQCLITFGR TEERIARIQESYIAQATSSWLESLERSLTQMKDLQHARKKLDSRRLAYDTSLSKMEKA KKEDFRVEEELRSQKVKYEEASDDVLRRMQDIKEAETDNVMDMGAFLDAQLEYHERSR EALLQLKNEWAGYSQVQAPPRRPTRPRANTAHSFLERYEPLQEETMNATETRPPIRSN RSITPYSESPPRETYGNTSGVSRPVLNRVPTFEGPAQLRQEQVPAASQWIQQRTTSEN LSGFGRRSSIQIGSGRISADPYADSEEVSSYGRSSPERMFSGGRDISPATSQGSVPSR RPSATNLNTLGQKKAPPPPPPSRAKKPPPPPPIKRSLLAAPNV PEX2_002430 MRSCGKSRSPPGFNCQVAQAREGAIAVEINRQRQCGVSLIIVNA ISERLYGMLGDGKRDVWGRGRSFRCDMGGAGTFRDKGVKESE PEX2_002440 MAPGNVSLPANLTQQNVQEILQKYKQMQEQGVRPDDPEFLKAHN LLAAIQRQQNFQKQQRMAQQQAQQQRQQQQLNGATAEAVNGSHGRTPSASSTAAPIAT NASAVALNQKNATVGSGSFSPEQLATLRNQILAFKMLSKNLAIPLRVQEQLFQNQKKS QPPTPTDNVAAAEKVIEAANDSVGSQPPESSDAEVMTREWYDNFQSPYDSLSKTISYT DHANRANRVRIPALLPPGIDLEQMREDREIILYNKITARKAELAQLPANLGVWDTSKS DAPTYDDSLKLKALIEYKSLNLLPKQRQYRKQLQSEMFHYSNLGMTANRSSHRRMKKQ SLREARITEKLEKQQRDARESREKKKQYDQLQAILNHSADLANASVQQRTRSQKLGRM MISHHQHMEREEQRRVERTAKQRLQALKANDEETYLKLLGQAKDSRISHLLKQTDNFL KQLAASVKEQQRNLANRYGEAHDYDDESDQEIADSENEEDNNTATGKKKVDYYAVAHR INEEVTTQPDMLVGGTLKEYQIKGLQWMISLYNNNLNGILADEMGLGKTIQTISLITH IIEKKKNNGPFLVIVPLSTLTNWNNEFDKWAPSVSKVVYKGPPNARKQQQQQIRWGNF QVLLTTYEYIIKDRPVLSKIKWTHMIVDEGHRMKNTQSKLSSTLSTYYTSRYRIILTG TPLQNNLPELWALLNFVLPNIFKSVKSFDEWFNTPFANTGGQDRMDLSEEEQLLVIRR LHKVLRPFLLRRLKKDVEKDLPDKQERVIKCRFSALQAKLYKQLVTHNKMAVTDGKGG KTGMRGLSNMLMQLRKLCNHPFVFEPVEDQMNPTRMSNDLLWRTAGKFELLDRVLPKF RATGHRVLMFFQMTQIMNIMEDFLRLRGMKYLRLDGSTKSDDRSDLLKQFNAPGSEYF CFLLSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQAQDRAHRIGQKNEVRILRLISSN SVEEKILERAQFKLDMDGKVIQAGKFDNKSTNEERDALLRTLLDTAEAAEQIGDHDEM DDDELNEIMARSEEEIPIFQEMDRQRIANDQYGPGHRYPRLMSEQELPEIYMQEDNPV TEEVEIEVTGRGARERKVTKYDDGLTEEQWLMAVDADDDTIEEAIARKEARVERRKSN KTGRDGEDSSPEPEPSIIDDDETPQKQKRRRGPPPKRKAEEVVEETPQPKRKRGRQPK VPDTLNPTDRANLTRILDTVIQSLMDMEAEVQPEGSDSEEGPMVRSIIEPFMKPPPRS YYPDYYMIIQNPIAMDAIQKKVKRADYQSLREFRNDIHLLCQNARTYNEDGSVLFQDA NDIETKCLVELRRLTEDHPELANFEDQYYGGDGSTEFASGADTPLTAGTPGQPKLKLT FNNPNRDSPSTSNGADYGMGE PEX2_002450 MSTNQDLAGKVAISSSRGIGAAIALKFAQRGADIAINYVSNATA AESVVQQIRALGVRALAIKADVSQEEEVKTMFETVHREFGRLDIAVSNSGIEHFGDLS EVKGSDIDAIFAVNVKGQYFVAQQAYRFMEDYGRVMLTSSISAVKGVPRHAVYAASKA AVQGMTKCLAMDFGPRNITVNCIAAGGVKTDMYTEMSAKYIPGGDKMSPQQIDEALSK WSPLGRPGEVEDVAGVASLIASPESQWLTGQTWQVSGGAYMA PEX2_002460 MRLSWGASVAALAASASAASLADVCTVSNVRSALPSNGTLLGIS MIPSAVTASAVYNASAGMGSTETYTYCNVTVTYEHTGKGDSVVIKYAFPKPSDFKKRF YVAGGGGFSLSSDATGGLEYGAVSGATSAGYDAFNYSYDDVVLYGNGTINWDATYMFG YQALGEMTKIGKVLTKGFYGMSSSAKVYTYYEGCSDGGREGMSQIQRYGEEYDGAITG APAFRFGQQQVHHVFPAMAEQTLDYYPPPCELAKIVNATITACDPLDGRTDGVISRTD LCKLNFNLSSIIGEKYYCAAETSTSLGFGFSKRADGSTTSTTPEQSGKVTAEGVKVAQ TIYDGLHNSKGERAYLSWQIGSELSDGDTTWNNETSKWELSIPSTGGEYVTKFIQLLD LSNLSDLNNVTYDTLVEWMNTGMVRYMDSLQTTLPDLTPFQSSGGKLLHYHGESDPSI PAASSVHYWQSVRSIMYPGLSSQESLKELAEWYQFYLIPGAAHCGTNSLQPGPYPEDN MQTMINWVENGVQPSRLNATVSSGTYKGETQMLCQWPTRPVWKSNSTFTCVNDKASID SWTYSFPAFKVPVY PEX2_002470 MRFNVIGLSALLAITASALPVAIPDVHYVEETVWETVYETVYQT ATPISAPTNLVEAVAIPSTTTTTTSSVISSTWTPVASTTSIPTLSIPSSSVATVVPTP TSVSSSSTSTIVPSSTTVVPSSTSSSPTATGSGLSIVNNLSENVYLWVVTETAGEMQT VASGATFTSNTWLTNTNGGGISIKMSTTEVCDDVLQFEYTQSGDVLFWDMSSINLLKT STFVQAGFAVTISDSSCPTATCAPGDADCSESYQQPDDVNTLACGIDAAYTLTLG PEX2_002480 MGVDLFTRQIREARSFVANPEPDVVVQTMLGPVFRTYRLLDMDL SDGTVVAMTEKGDVKQHLPVLAQGSLIARIEDIFKDGRGSIRLLVVSEMGTGRELVID YKRIDRGIRTEDKFSESPTTSDSDENEDESDDTKVDVYAFFEVGEESGVQMNADNEEA QRNTLFNPGRSFPDNSTAFDVHPIEFQGRTRLSFNVVASTTDSSPALFRWMYETNFPN PLGRYSLLTMHVSHMKRSMMQFNELKENAMSIPGLLYNEQRALDKLLQRVKNEYDHKP SPGTTGRFMKPGHLTETFPSKEIDTGVVSWLCIPYFRFDSLSNNLNISPNSYPTQSLL KDLLLKPERETKQVMSRMQPTETGKCLHVAQLWTIEMNNSLLITYGDLELSDFSDLEF QYLPTGQTDQPLKIRPRTIFVSFEKRVMWSLPVKDCITWPNFLSHFVELNTSRILFTH EGNPVEPSEYVNLLEGELDIRLVLNISEGSDIIFSEVKGGFTVFEWVAEVNTALQDDD GSDHDDADHGKGVRIRKRLAAMDKFLQSQEFDSRSYSSATLSDSDAVRGLFPETEPAA SRAMRTAQAAESLYGFFAPPGFAGPVLKKYWGAVYFLIQNLQSDSQEDTSSVKGFCDQ LIDLSMRIKPIKLLFSRIGLIKPPTDKSPHPLERAWMHLLMALIYIKRKPRRSRQHMD TCLGLLDLGITGVKDTFPKPVPQKPKVILPLDVATLIGFHLLDKKSPGMNIRLILDKY SKYLSGLKERVQLDQLDISHKEEIAFLQTQIKFIQERLADKREVLGALAVPTANTKIE IQREPQERKLLGDHAAHTLSKPGESGAVSETDDGSNSYSSGQQTPNSSLISLRSSFSK DCQDLIDQTAEGFSELMSQACTLEEMNEKKIQHDKSRQDFAIYAFTIVTIIFLPLNTV SSIFGMNTSDIRDIESGQWIYWAVAIPLTVIVMALTLFWAGELNIVYNLVKSFPRRRN VER PEX2_002490 MARVPFIGRLFWYEYLALFGSLILVLLETIIHLITWCLPSPIIK FCYGQSKALFNLLIPGESRPKRTKEEIFACSVADASDFTEICALFGYQAEEHIVQTND GYLLGLHRLAYRKGEEHMRVNHGPSGLRKKVVYLHHGLLMSSEVWVALTDEQRCLPFQ LVEKGYDVWLGNNRGNKYSKKSIRFSPGSNEFWDFSIDQFAFSDIPNSIEYILEVTDQ PSLSYIGFSQGTAQAFATLAIHPLLNQKIDVFVALAPAMAPSGLRNRIVDSLMKASPD FLFLLFGRRSILSSTTMWQTILYPPIFVRIIDTSLRALFNWKCRNISQTQKLAAYLHL YSFTSTKSVVHWFQIIRNKNFQFYDDEVYAPFSVAANERFYKPVKYPTRNIKTPIVLL YGDSDSLVDIGVMLKGLPHDTVAQAIPTYEHLDFLWASDVDRQVFGHVFNALEKYSRG GPLASSSTGSEKHGFLTDGRVSNTSASRKTHVFPNDVAYGATP PEX2_002500 MPSSCNDIRKALAQCLQESDCIMVQRHSPRECLSSPLAEELPMK CQQLRKGFSECKRGLIDMRKRFRGNQPIAVSKEMDGQPGNSSGGQLYAGQPAYQTVKE ISGNEVQMDPEKTRGL PEX2_002510 MDKPTFVFSLGAWNTPIVFDAVRDRLNTLGYPSECPAHPSIGAE PPSLTLEDDVASLRRVLMTLADEAKDIVLVAHSYGGVVASTASEGLLKQVHAETGKPG GIVKIVYLAAFALDKGQSLLGMLGGAYLPWMKVEGDYVHADGAGDVGWQDLTVEQQEK WNCTTMHTSRAVFSGETTYEPWRDIPCSYIICEQDQALPPAIQEFFASKMGGSDTTHR LPSSHSPFLSMPDRLVGILGEIVKV PEX2_002520 MRWCLALLICCFLAVVNALSSSGPRLLAILEDTEQKDLYSTLWA DLEARGYDISIESSKSDQLALFKHGERAYDHLLILPPKSKGLGPSLTPKHILDFMNKD GNILLALSGKTATSSAISSLLLELDIHLSTDRSQVVVDHFNYDTLSAAEKHDVLVLQR PGPLRSDVKAFFDGEGVLAFPRVAPQTLGGDSALLSPILKAPATAYSYNPKEGMPAAE DILATGSQLNIVSAMQARNSARFTVLGSVESLEDKWFSASVKTPKGKKTSTVNREFAK QLTAWAFKETGVLKVDKVEHRLATEGAELNPSIYRIKNETIYSIEVSEYVYDKWVPFE VPAGDALQLEFTMLSPFHRLNLEPTARTDTSTVFSTQFVTPDQHGIFSFRVNYKRPFF TAIEEKHEVTNRHFAHDEYPRSWAITAGWVWIAGLWSVIGGFLAFVIVWLYSAPVADK LKKTQ PEX2_002530 MSTPQFWSTPLRYIRWAAHEKPAILAAIAIGFMGPVSLATIPPI RRALGDVDPEPIPLTYPIPQGPRVIPQGYDDE PEX2_002540 MTGRYEGRPERQNEYFIPGEGISREVIQADICRYLGNDALVRPG NHNGRPGFFIRAYRNLTSEMITDLKADSARWEADVSRRADLGYPRGNYMQDTNVPNTA PANYAPAAVHEVRQSQGPSPTPFTAAPAQSYMDPYSQGSYSGSQNAPYTNAPPYTSAT HSAYASGTAPYPPPQVSYSGASQPVVTAADMQHPQSYTYAPTGYGYDNGRSNAPRYPG PGYDAEQEYSTVTSGMSYPTTTAPDPRIGMEPRYTPEYERRPQATRDNPHRRR PEX2_002550 MSEPTESSDPLKVSRFTYRQLQGLRQGSTATPLRVIAHIDLDAF YAQCEMVRLGTPRDVPLAVRQWDSLIAINYAARPFGISRLINVAEARKLCPDLVLQHV ATFREGEGGRWAYRDDSFRSISTDKVSLDPYRAQSRKILQTMKEALATWSADDLEVET QNSKEEFSAVVEKASIDEVFIDLSPLIYKTLLQRYPELRMGTQDENRDSELPIPPTTA LQWDTDCLVDLDQHETEEDDPDWDDVVMLIGSEIVRLVRNAVWEKLSYTCSAGLGRNK MIAKLGSACNKPNLQTVVRNRAVQHFLGGYKFTQIRMLGGKLGDQITGAFGTEKVSEL LNVPLEQLRTKLADHTATWLYGIIRGEDRSEVNPRTQIKSMLSAKSFRPSINSLDQAE KWLRIFAADIYGRLVEDGVLENRRRPKVITMHYRTTQSRSRQIPIPGSSAIDENLLYD LANTLLRQVVADGQAWPCSNLSLSVSSFEDGVSNNKAIEGFLIRGDQAKALSHSSRLR EADSSSSEQASTEKRRKLDGDGAKIKGFFGNPSHLKNTAADEPDSPRDSIETDHELSG IPRFVCPRCSKSMYEYEKEEHDDWHFAKDLASQDREEAKVSQPPLPTKNSARGTAARG RGGRGGGSRGKPEKGQMRLAFQ PEX2_002560 MPRRAPPRDYDEDEFYEMERERERDHRPRRRDRDYEEDIEYRRR RSEPLVEDMERMHIRERPRRDFMEESFAPPRERDDVVYMRSREEVDMVSPERYMPLDR DDAYMRPSGSRRRPRPHEVDEEDFVLEERERRRGSRRHPREVDEDLVFEERESRGDRR HRPEREREVESDLFIDEKERRGHRRHRPERERKVESDPFIDEKERRRDRRHRPEPVSE EDLLFEERLRKRDSGRRRRSDLEFEEDEGFIVERERRRGSRRHPREFEEEGLIIEDRE VHRGSRRHPERRSEDDLLYEEREKRHRRRRPESESEEDLLVEGRGKHGGRRHRPEREF EEEERVIRRKEKEEPPLRRGWDSELDIRSRERRLEFEEKEPYHRSRPRPPPPHTVEVE EVLLDNAPPERRRAPVDRVDEEEIVTRGRERRRSVPSEDLERELRGLRRGGREQIPLD EELSERSQFDSKSRSRDFEKIKEEISIRKSKDKLSSRQPSPSLDSIHVPPIHQDVFTH HRHIDHGFKDARTPRVRSPEPRSRRGSFDEIDIQHRKMRGGRMSEENIVLKHRDSEES LTPEDSISPTSGPAVDFNDPWERETISATRHRPGPLEEESELAYSHGTSDTSSMRDVE DDIMFKSTRIVNKAPRGTDDWSVVHAPSPDEAIEMTGALDIVEVKPRNTSVGEAEMGR IAQQVTDPEETRNDRWTEIAKKLVVREAIEQMGFEYEETRTCYYIFSYLESEDIDELV ELSDEIRSARRKRIRDIQRERASMPDIMPRMRPHMGMPPRARMMEKRMRDIQDREWMH SRRLHVINMSHASASGSTVPSGSELGRLPSGSSLGLGRSPTVVRANDENFNIPSEKRK GIIRQLKKALLGQEVPSPLWAVLQVCDIEKLECILQLAHFSLKIMDAICDLICTLPFK WTVTPAPSQQIGAGRPHSSFSPRSRAPPVAIYAARERDGHKCVITGTRKIYQTAPIFP ASSISSSLQDDPLSPNIWRFADVFWGKDRAERWKKAVFKKPTQPESAVNDCTNLICLR RDLRSAWSSGLFALRPVWISDDMTEIEIEFYWQPRPDHRLYDMVDLTKEPISTKKLNS VDRLHVMLGKRDEPTYHAIESGHRFKMTTDDPVKRPLPSFDLLDMQWHFTRLVGLCAA GSFFDEDSEEDNDAKSDITTQLDQPSTPPNDDVLAWVESSLNDFDSPPGSEYVEDIGA SMISPLPGSDTNRSRSVSQTSKSSEKSADPADSEASDSMESSTSATDVVSGTSHLSLD FKQES PEX2_002570 MKVPVVVSVFALLARPFASAASSLAYCASDNTGSSFSAVTDTYQ SNGACEATCANYALGILQGKKCWCSNVAPSAASQSDTTNCDGACPGYPADSCGSATKG VFAYVEISGNDIVSTAGGSTTSKTTSSSSSTTTSSTSTSTSSTSSDTTTSTSETVSVQ TNSGGQVKTITVSGSSPTVGAGADSASATTAATSSKMSGGSIAGIVIGVLGGLALLGA LIFLVFFYRKRARAVSPIPSQDMAEDRTSRGSSFMGGLFPRRNGEGAAGGPIPTRSGT TFTDRRMKTNTILYPNGARESSVSLQDNEDYSRPVLRLTNPD PEX2_002580 MNNTLYFYDIKFCFREYEKICETFLWKDIYIGGRELGAAISASQ YEIIETLINRGVSKEQDKRDSVSPLICAIRTGTLRILTLFYEEGPKRPSLIYNPGILH SPSAYAAALAVGVPEIVEYLEVCIDRDGGGPQTTESATDADKARNVLGSMSNIYHQDG YIPKAEETQAKCTLVHQIALHLLPSAGGDLELGRICKLVEKAPEIQTMDLLGKALPPL LRTIREEADPQKRAFFLETLEVVINDQIASQLSKLRERQPSVADEVDQRTGKLDKALS HLWFVARENIDNDEMDRVMMVISRLLRF PEX2_002590 MRYNLPSNGLQNGSASLPTSATRVANGETPPNWIPCAEQPAYTR RKLKIICIGAGYSGLTLAYKIDHELKLGDFVELQIYEKNPEVGGTWFENTYPGVACDI PALIGNGSSGIQCVAAMQPKVAQLVNFVRNPTWVSVNFCAEKTQNGGNFPYTEEEKSH FAEDAAAHFKYRRELEASVNAFFFGMYRDHPMQLGLTQACKQQMAERMKGISDPEILL KMLSHEFRPGCHRLTPGDGYLEAFSNDNATLTFDPIERITEQGIRTVAGDEQQFDVIV CATGFDTTFIPSWKMIGRHGATLEERWKGNPEAFFAVQVDTMPNYFIFNGPNCPISHG SVLTQISWTCDYILRWAKKISAEDIKSIDVKKEAMEDYNVYCQEFLKHCLENIGGEHF NIDYRSKNRFRCLGNGESVHDQHGAGDLAWYMDDIKLTKAPL PEX2_002600 MIMSANYNWQAVHPVYGVPISVVQATEQPAIRCDVRQRHNLPSR SWAVRISTWINTNYFLWSLCAGLAGYRSDEEWTNTFEIHYRKTWDEIQARVREIDEPY RFGVPIPMPPVIQAVVIHHPESGPAEIFTVRPEARPSHLVPQIIIMSPDAVWGLLRFV TERQSSVPDIFDVVLDISPPALSHPVDKQVNHSMARKRISQGNSKDDVVDTYYQFGN PEX2_002610 MAISQSVVGRTNAEKPHGLKGFISNPYVFFTCLFASLGCIMYGY DQGVMGPVLVMENFQNHFPTFMGSTIQGWLVSALELGAWAGALINGVLADRISRKYAM MVAVIIFTLGTGLQAGAQNPAYFFAGRIIGGVGIGMFSMVIPLYQAEIAPPELRGSLV SLQQLSITVGTAISFWLDYGMQFVGSNTCQPEGISNPYLASGTYNAAQNHGHTCLGQK TIAWRLPLALQIIPAWILFFGLFFFPFSPRWLMMKHRDEEAKECISRLRRLPVNDPLV RAEYLEIKAAVMFDEETESEVVGSGGVLAPWKALFAPNMFKRLTLGCGMMVFQQFTGI NAVLYYAPQIFSSFGFSSTKTTLLATGVTGILQIIFTLPTVLYLDKFGRKTFLIVGAA GMFICHIVVAIVEGIYEPKWNLNEGLARPQGWVAIAFIWLFAVNFAYSWGPVSWVLAQ EIFPNSQRSRGVSIVASTNWMFNFIIGLTTKDMLGSMKYGTYIFFAIFSALGGLFIWK FAPETKDKTLEELDVFFGGDNNSIAEADRLRMMRINESLGLAGIEVLEDLKAEKHIET DHVEVESAKA PEX2_002620 MDDQFTPDNWIPRSSHFIRLTGKHPLNGKPELQALFGAGLITPN SLHYVRNHGPVPHPLWETHQFDVEGGNLVLSTEDLASNYNYEIINIAVAMACDGSRRK ELNMIQRSKGFNWGAGAISCAFWKGPLLRDVLLAVGIQALDPLHEKRPRWVHLESVDD LREGKYATSIPLAYVMDSENDVILAYEMNNMRLPPDHGYPSLTSIIVHGAAKIDDNDD SCSVLYFRHPCEPGTGTGGWMQPSSAENRIENIKHEAASPQKQFTREEIERHKKENDC WVVINGKVYDATSVLDWHPGGKAPIMVHSECVLSVVTEKTIRYIKKQAEDEVKDKFNS PKKSLETVFDRHWWNVSLREEDGTFDLFIKTYAPGQSQPSGTISDILDCLHPSEEIEV KGPSGKVRYIGQERFMIDDKEYHFPNASLVLGGSGITPVYQLISRITEGDILLRNDLD KLAKNDPGRCKITHVLSNPNNNWTGEKCHVSKDILQKYAFEPEKGNLALLCGPPTMFQ KAVLPVLREIGYKEDENLLGF PEX2_002630 MAELKPYRGGYYLWKYLPSIPAAVIFLVLFAAATTFHFWKLHRT KAWFCLAFSIGGLFEVIGYAARVAAHNSTDSVIVFAIQNVFLLLGPTLFAASVYMTLA RIICSVHAEKHSLVRIKWLTKIFVMGDVVSFLVQGGASGLMATGNNQKMGSNIVVAGL VIQVIMFGLFIVTSIVFEVRMRRSPPAEAFDEGINWMRHLRTLYAVSALILVRSIFRV VEYAAGNDGYPLTHEWMLYVFDSVLMIISMLIWGIWHPGTLPRLTVSDQETVTEVAAD LELKQS PEX2_002640 MQAPPHEGLSLNDDRLCAAADPTQCDEKRPICSNCISSQRHCEF LDPVPNDQSSRSVRSETATASPAVGSPGMTSPPGQEPISSPEDAPVNMLHVELVLNLS SEAMKAFNPSNIPFRDILRYGIGAPYLMNELLSLSALHLSITRPGQRDFYRHHSTQLQ SYALSSFNSSSSHINDENYVSIFLFAGILGLHMLCETLVYRDNDFESFLDQFVRYVVL HYGVRTVAGGGRWQLLQQTALKPMLQLGSRIPEPDALGPVCQVLFDRIKGLGYDDSTT RTYEQTIKALQSVVTVVNSEAQRADSLDVLVAWPILVPREYIDMIAQRKCEALVILAH FGALVDTHRHLWVFCDGGKYLVDSISQYLGPEWEEWLHWPRQSQIPASSVQ PEX2_002650 MDLLSILENLWRIGLILLAATGVYAAINRTFGRSLLAKVTLRGR KHSTARTPPRSFSPDKKATTSPTAPSSYDNVLPPQRRHILEDLNCDSAPGRDVHEDEV RRNILPMSADYRISPCDKYTPMGFSVAEVKGLGDFPDYATLSGVPLPSPYPEFNIEKA LPRPYRPFRWSYHQTMSLTKLEPDWWVELENTYKSRIAQRKELYAKNGKEVLDAMPGS ELACKELMEMVLQFICARYPQYFTLVDKRVLQNKILGTEQDVTAKPPLEILMDNVPED FAIMLRDETTGFYFLRAAVVCSALGWNVASKVGKQLHEIHETIPDYKEKMQFSMDRFF TKMPTEKPIQRGSWGLEIGQPLYMPPGDPHELQRLSQRADLTIDECHLRVDWQTLRRL PLSGAVIFNFKGLFTSVAEFRDEPGVPGLLVKLLTEGKKNLMDYKGVWHVQHVVLPKL KEWSEEQKENGSVPKDWEVSTLDDSPWFKGWQEKWHRQQGF PEX2_002660 MAAHRADASSFLDNRGYKGPLVRGVNPATLFEKAVRDRITDSYY WKEQCFGLNAATLCDRAVELTSIGGTYGVSEKPTPFLCLAFKLLQLGPDRDVILELLN YTDPGSGDEAENPDDSLVKDRGDFKYLRALAAFYVRLTFDSVDVYKTLEPLLLDYRKL KRRVRDSFTLTYMDQFVDDLLTKDRVCGTSLWKLPPRSQLEDLDLLDERISPCEPED PEX2_002670 MGVICIFTALLFLSGYVLQQQSVKNIQHALKPQEAAQKARHRSG PAFQKPLKRGVSDTGYGADEDIPIIGSQINYDSSGNYAYLQMLSEPDPSDICSAILFF KQLSTNGTAVQDRLFVYPEQWDRMSAQKLGASATKALSLLRAASAKYNIWLLPIDMSA ATAAGYSTTNTKLLHLGQIQFMQYDSVLYVQTPGILLDTGKLDDMLLDRPLPLRHDKD RPESYNNEAWIPMPLRANREADLPPVYLITVNNIENGNIEARTHVPNVALPGFGSLVV GPRGATKAAKSPDADQPGYVYFDSDRDGHVKWANNPHFGTWRSQQAEVCEGLDLDEVI HHEQ PEX2_002680 MASLEEEEDRDMGGMTILCSNGLPIDLNIANHPRDSLGSQDGSS DNENDNDNDMDDTMRDVDDGEGDNDNENENDQDGDGDQDPDSPSNASQASEGPGMQQN TNGNGNNIVFEPFSIYHPSVRPECLTARTYDVVPTTAAPHATSINAITATADMRWVFT GGSDGFVRKFNWADSINSKLMLTVAQRHPFVDSVVKAGVLMTYWENMDGSHLSPVYSL ASHSEGLWLLTGLESGAIRLQTLRHEEGKEIALLRQHTSAVSSLNLTSDEESLLSGGW DKRVFDWDLNTGQARRAFGGSGGQISAVQIRPESSLPVPSDTIDPQQTNGTYASNYAA PGTDNFNYMDTSHDNGDVAPTENPQAGSPADSLFGGADSLFGDGDGGAADGGEPSGGV FGVDEDDEFGRAVANGAMPDADAPGEVDDEMPAEPDAEHAQSTHNALSKQANTHTDEP DQNTEMNDADISGGLVQPVVNGLPKAEDLETPPPAPDFSQNTQQEQGVTSDNTFLAAS MDGAIRVWDRRQLDPVARITPYNSPPWCMNACWSPDGNYIYAGRRNGTVEEYSLHKGL RNPERTFKFPQGSGPVTALKAMPNGRHLVCASHDILRLYDLQNVQADQSSRHSPVPFL IIPGHRTGTISQLYVDNACRYMISTSGNRGWEGNTTEVLLGYEIGVPQ PEX2_002690 MSHFRFQYGHRPKEQVKPANPEIRQYFNSDLPIYGPWKLWQTKP ELPSTEEILGTDIPGDVVALAPNCISGPWVSKEEYLEAHYALIREDSVAPLRNAVARV RADPFMKDTPDISIYEKVFVVGVTLARAGLALHIQFSTRRAGKNIAWTYSNRLNPGAV VALSPKNNAFSSKCVVAVVACRLLEGVEKDPPEVDLFLASPDDIEVDPQQEWVMVEAR AGYFEANRHTLTALQKLSKESFPLNEQICSLVSDIDPPGYINTAPCMDFTPLSESSAL DITGKYDIIERWPSQPMGKLDISQWSALNRMLTKKLAIIQGPPGTGKTFTSIAALRMM LSNKGPMDPPIIITAQTNHALDQLIKHISVFEKNYVRLGGRTSDPEIRKRTPYEIRQN QGLLTIEGGLMTPMRKKQNKIAAELDKLLKPLAPSGNSKPLAASLFLEHGLLSQDQVD SLQETANKWQQFNTPANADPLAAWLADSVREFDSEYAGDFGFIDDDIDLEYEQLKEIE VEHGRYEDDWSSFRTRFSPLSPGLCSSNTARISRATVENLLKEKDFYKIHKRYRGAIY DYLRQQLIAILGEHVQRLAQTYQDCSANFQIGRFERDYCILQEAKIIGMTTTGLSKYR GLVSSLHPRVILIEEAAEVLEAPVAVSCLPSLQHLILVGDHQQLRGQCAGYDLCGDPF NLDVSMFERLIKNKMPYAMLQEQRRMVPEIRKLVAPMYGDLLRDHPSVEDHPLVPGMG DKRSFFFDHTAHESNDSLLSKVNDFEASMVVNLLAYLVMNKVPPASITVLTFYNGQRK LIMRKKSQNQNVSKEYVNILTVDSYQGEENDIVLLSLVRSNDHRGIGFLAQDNRVCVA LSRAKYGLYIFGNAQCVSKHSDFWYAVTNVMSENHQDLRIGRALPLYCARHNQETLIE NLKDWNAITDGCNKPCGKDLPCGHPCPRKCHGSEHDWVSCKQRCREIRECCNTPCICV CSPPHTHDCFCGMGKDSKTQVFDAGSDWDDFDRDDDDRDERVGPIPRPRALTRAPTST ADDNAARRAEGVRRWQEFAQGGAELDDYRRAGLTVGGKLPQKDATPVKQGVAAPVEGI LIDFDDNDNLPAAVPGTEESQPTAPAMEDMLTYDGAGDVLAETMIELQDMLPISPEKD LMTFDQDDHSRAEGVSPEKNQLPAAVHDNDNLASSSSLAYMSLTPSVMEDVTPPAEGQ LIELS PEX2_002700 MSPSPQPHRGARGARARICIHCGRSFRRTEHLERHIRTHTKEKP FICFCGAAFTRRDLLKRHTRIAHQDGLTSPTPPEPTAKADFQPTHHPASIPPQYDTST RPVPVESLAGSSAVHQWAGAQTTPYLDPNHNAGMLPAATAGAPNPAIDPHTGMVHDAD MLQAAQLLLPGDYRPTGQPMPYLPEDLNHFQEFTHFLDSIGLPGEWVPSEGETSQTQE VNLEDARETGRQTHEHHERPRRSGDGSRGDSPFRSWLPSVPRGDQSLGTLSDSEPPQN AKRISRFNVTEDQRFRLAASLEEFRNVIPDFALPSRHTLTRYLTSYFEGFHPHLPFMH IPTFHINERAPEVVLAIMTIGAQYRFEHRNAERIFHVSKAVLFERMSREPRFPAKPNY NAGSQIPLGISPYSNFGDQSLTQPQVTAERTAVWKQTEITRCLLILMGYSTWESARLV QEAFHLQGLLVRHLREAGLTEDTTRSDPRAPLDWYEWADQESGRRTKLIAFCFIHVHS VAYNAYPSLRSNEIHLRLPCSTIEWAASTAAEWEAAQRERGPQQLFFQDALTLLLQKS RSAKPLDPIPAPLGNYILLHGLLQRIHLVSELSLPNGNHSTTFPTEELNKLERALRSW TSVWQQAPESSLDPHNENGPIPFTSSAFLGLAYVRLSLNLGPHRRLETRDPIIIANAL RRSPRPERSYRLIPALIYAAHALSIPVRLGIDHIARSQAFFWSVRHSLASMECAVLLS KWLFTIAEAGPDQLLSENEARILRWTRCIVEEAYSSIDTDDGEAPPDLEPASLGLAVL KLWARLFGTNTQWPFINVLGQSLEEYITMI PEX2_002710 MFPGVAVVTGAGGTGRASSRNIIIRHSYNAGIGAATARAFAAAG CTKIAITDLNEKSLQQTAEAVTSKYPKVQLLVQAGNVADDQFADSFINAVVQEFGRVD YAVNCAGVLGKPLRSAEMSLEEFDRVNNINYRGCWLSSRAELRQMVIQSGLPSHDSNR PPQRGAVVNVSSQLGIVSRPGAPAYCASKAAVIGMTRADAIDYSKDGIRVNCVCPGVI ETPLVMEKPEVREAIMPAVETAPMKRMGQPAEVADAILFLCSTQASFVQGHAMVVDGG YITV PEX2_002720 MSVLFTTISESNPVKPGDAEALLKPLGLTIDPAEAQDFHTLLAA VHDCAEGVAALSDYQPVPDQTKYPRENVRRPSETEQVFGQAWAHRFLIRGNPQGGPLA GKSVSLKDVVAVAGVPQLLGSDIIPSWTPTTDATVVTRLLEAGADIHGTSTCENMCHS TASYTSAQGTVENPNAPGYSAGGSTSGGAALVAAGMVDITIGGDQGGSIRVPASFCGC VGLKPTHGRVPFTGFASGDAVNDHAGPLTRTTLEAAACLDIISGYDGIDDRALGSSKP GSTKFAADLQNSNTLDGFKVGILVEGFDHSAVDPHVKGAVLTAAQKFKDLGATIEEVS LPEHLQGPAIWTIQQRIAGAQTLLGHNTGRRGLYMNEMERARLPWTDGGFQRAFPSTK NVIINGLYLMKQFPDLYGKTANIGRLLSDKYEALFEKYDILVMPTTPVVAPRHGKREL PLDSLKPSMGLTINTAIFNVTGHPALSIPIGLAPAKEDNQVMLPVGMQIVGGLWQEEK VLRAGHIWDTNFDWKKMHYSTDKN PEX2_002730 MAPKRVLITYGVDVDAVAGWLGSYGGEDSTNDISRGYWAGTVGT QRLLKLFKKYDIKTTWFIPGHSLETFPKDMAAVRDAGHEIGLHGYSHENPTDMSLEQQ RDVLDKTYRMLTEFAGKPPRGSVAPWWETSKEGAQLLLDYGIEYDHSMSHEDCQAYYL RTGDSWTKIDYKQKAETWMKPLEHGSETGLVEIPSNWYIDDLPPMMFIKDAPNSHGYV NPRDVEDIWRDHFDYFYREYDEFIFPITIHPDVSGRPHVLLMHERLIEHFKKHEGVEF VTMEQVCDEFKNKNPPPPGALMPAEAGAMLKK PEX2_002740 MFRSFFSFVVALCLYTQWAVAALTSGEIANSLNELAQQGFAAKA LVLEINSTADAGPIPDIYTEIDTMVTVILTNVNFMTNTPIIAGQTEQQDVYEGYSNFV QSLLELMDAFSSSAAKLKSINQTTKTKVPSEIRILQSAVDIAHEMLTPHEAYFYNIIG LFPATSSYNAQANNQKAQVDTHCYQAVWAFDLGDSNGTQASYRARNNSSLHSRWMKRG SF PEX2_002750 MELLTSIARYLAIVATYIRIAQSLPYLPEEADWNLNQNQTATHP LDYSGRWEDHSYNPSPENWRFPFYTIFLDRFVNGDPSNDNANETQWEQILTSNEFRHG GDVLGLVDTFDYLQGMGIKGVYLAGTPYINFPWAADGYSPLDLTLLDHHFGTIENWRT MISEAHRRGLYVLFDNTFATMGDLIGFQGFLNKSAPINPNEYDYVWKYDRRYWDFQPG NEVEDECPWEYPRFWDDDGTGLTTTTLGASCRNSEFDQYGEVAAFGNYTEWEGQISKF AFVQDRLRGWRPDVLAKIKHFSCLTITMLDIDGYRVDKALQVTLDSLGEWSEYMRDCA KGVGKDNFYIPGEIVAGNSFGSLYIGRGHQINQTISNLTEAFMMTNKTDKSDEDLFLR PAERSALDGAAFHYSLYRGLSRFLGLVSHPRQFHFIQANIVPYSLDGIYTAEGDPPVN FVETWNALVQTNDMINTNTGKFDPRHQFGVTNQDVFRWPGIKNGTHKQNLGLYVASLV MPGIPILSWGEEQEFYVLDNQADNYVFGRGPMSSAQAWQLHGCYKLGSSKYVDFPLER SLTGCADDSVSLDHRDPSHPVRGLVKMMFEMRENYPALNDGWYLQQLSNHTFDIYLPG SSGTPTETGMWSVLRSRFVDAQNFDGQGQGNQSVWLVYSNDNKTVEHQFNCSSKDALI SPFPTGTTVKNLFPPFEEFTLANSSIKLGLEGSDVPNGCHPNLTMPAWGFKAFVPKDK FVQPSPYITRFSPGHDARLVPLGTSGETVRIGFEFSQEMDCGDITNSLTITSKALDNE TPQLDTTSVSCQPIEETNVVTWPGALTSVFSYEQMEINPQVAWMTYTGFNTTIPGKNW TGTVEQAWEGEHIIAQYWSKLTGSSSQWQHGDTKWAYNPPRRFPNLFIEGVFNQFGYD AGYSNKMVINNVTGLWEIHFMGEWPVQVAFNVWGLNEDGLADQTQVFGDIDGDNVLDQ VPPVTLLSNVFNVTIPPPSPYLAFTLSVGDGDLKVYATPTGSRWVQLAIFIVLAIVPV TTAVGAVFVYLKAFYQVKFNQIGVTEKRSSFAPFVSAFQKVAHRSNGRDSNPELALTT VGPFSSESGGIAGATVNTRRRTVLIATMEYDIEDWAIKIKIGGLGVMAQLMGKHLSQQ DLIWVVPCVGGVDYPKDDPREPMVITVLGNNYQVDVQYHRLHNITVYNLDHALVKKYV QFGEVFNLLHAAANYLKIHQSGFGAVGVSKKYGKRSYARYPIFWGLKHIGALPNPDPS DIAEWVHNENNNLEDVIIDREFEAGRATLKRQAQEWAGLKVDPEAQLFVFVGRWSMQK GVDLIADIFPSILDAHPKVQLMCIGPVIDLYGRFAAMKLNRLTELYPGRVYSKPEFTA LPPFIFSGAEFALIPSRDEPFGLVAVEFGRKGALGVGSRVGGLGQMPGWWYTIESMTT KHLTQQFKMAINDALKSSQETRALMRARSGKQRFPVAQWVEGLEILQTTSIEKHAKYS KRHDRSSLRVSYGSSFVPESVRKVSSSSNNSREHSRSRSSTASVSPSRPITAEMRPSG PRSGSDHVFSPTNLSSSLNMSDNEPDSDTNPRRRPLSSNPLADSRIVYSTVNDGEYFE PVSRAVQEDSSVRPSPFPSPFPSPFGTPTAYFAQSGASTPVHGTGLEDGLLSRRDARA SMMSLVSVDDIVKEKQDYKLQKVDPFFTDANNEYADKFEKKLADLNGKNSEDQLCIEE FLEKSEKDWFNRYRDVKLGKSPLPSPAPSAAPSVFRFMVHETGRPDTPPIPSPGVNPN AGQFLLPHDYVPPTGLKRFMLMKLGDWPVYSIFLAIGQILALNSYQITLLNGEIGQTA EKLYILASIYLVSSIIWWLVFRLVPSIYVLTIPFFIYGLAFLFVGLAGPIHSGISQTW LQNVGTGLYSFASSSGSIFFALNFGDEGGAPLKSWIYRATVIQGTQQLFISFLWYWGS WMASLNQKGSTQFSLAMTDPNALLGIGVGLACLLWLLGALVFFGLPAYYRQAPGQVPT FYLSLFRRRIILWFFYMVFISNYWLSAPYGRNWLYLWSSKHASVWQVVLLVLFFFIFV WAAALWVFHVFSKRHAWFIPIFAVGLGAPRWAQILWSTSNIATYIPWAGGPVAGALLG RALWLWLGVLDSLQGVGKFPPTTNEAQHKLTHANTGFGMILLHTMTRFHVTFTLLAAQ VVGSIATILARATAPDRLGPGNVFPDFSAGVAAGLGKADFWVCLLFMLSINVLCIFFY RKEQLSKP PEX2_002760 MWWWKVLVVAAVGTVHAASRDQWLGRSVYQVVTDRFARSDNSTT ASCDAALGEYCGGSLQGIITKLDYIQELGFDAVWISPVQSQESTRTADLSAYHGYWPN DLYSINSHFGTSDDLQALSAALHARGMYLMLDIIVGDMAWAGNASTVDYSTFNPFNDQ KYFHDYKLLSEDPINDTCVLDCWMGDNTISLPDLRNEDQEVQQMLGTWVSQLVSNYSI DGLRIDSVLNIAPTFFSNFSKSAGIFTMGEGATRDAYGYCSLQPSLSGLLNYPLYYIL TEAFNTTNGDLTRIVQSIDYIRTNCEDVLPLGTFTSNQDVPRFGSYTSDISLARNILT ISMIADGIPILYYGEEQHLSGGFNPVNREALWLTKYSMNSTSLPLLVQSLNRIRSYAS GDGEKSTVAPKSGSDYLSYLSLPIYNSTNILATRKGFSGNQVVSVVSNLGAKPATNAT TKITLGSDGTGFSSRQNVTEILSCKTFVTDAGGNLNVDLSSDGGPRVYYPTKSLKRST NICGDDTRTSTTSSASPKTSTSGENSLFGLSWEMRTLVVTIAVTTSFISI PEX2_002770 MRYSFTITALSLLSSAFAITVTTPTSDTVWDFSTPKTIKFTSVP SDPSVISIILRSQDGSFQTKLADNVKTSDGEYTTQPNPSISNGDDYEIQIIDSAGQLA VSNTFTVEKGASVDGTTSSSSSISSTSTSSSSTFSSATSSSFSTSSSATSTATTATTA TSVTSTFTSSASPTPTSSTSPFFSIAGSTTATTTSSSSTSSSTTSSSTSSDASSTSAS ASALPSTGAAASQFSTPKGAMALFGAAVALFYV PEX2_002780 MARKLALLLVLMYSIQILALPTPYENSIAFKSLASTYTYNKRYY FPETLPDEDELAAMLKETAMREAGFMPSSNRSPSNPKPKSNHHQTVVETELQKSLPDT DTAIGTDASAQPQPDWYIIQDTKLKQSQSLSRLKESVVAAIASDDCPIYWPSFGFFVV SAAIVCVFTVLRGIRAK PEX2_002790 MLPQCQGPVWAIDDLSRCFQRNILQVVLPLTVCGASLLLILIRL AHRYVLTQKAVAYKVLPNNDSEEEVPSATQENESDTILSQAVQHEQSQVEVNRPRGEV TVVLLEIAALIGQVALYIVILSTHAWGRHGSLPAVAGLVSWGYILFLVLVRFLLSTMD LSSAPRLWSHTAILYSFQWLFNIMVFRSAIIHPLSHNALILSSVQFTLSTVLLLIALT TRRGNKPVLIEREDGLEPPTHPTASLLSLATFSWLDPLVMKGYRQPLELADVWNLTPS QKAANVLTNFRKRQMKGSLAWKLIRFFMGTLLKQGAWTIFANLFVFMPSLLLKAILEY VEDPRSTTPSAAWLFAILLFCCAAVQGVADGQALFIGRKMGVQVRAIIIGEIYAKALR RKAGASLEAAKKEDPILPQDKKKKFFSFGRKKKAVNGNDAEAGKKPDTEPEEPSQANV GTIINLMAIDSFKVSEVGAYLHFLWASVPVQIIMAITLLYHIMGFSSFAGIALMALML PINLFIARQFNKVQNQILKGTDARIHATNEVLQNIRIIKYFAWEQRFQDIVGEKRKAE LKALRRRYILWSSAATIWYGTPILITFMSFFLYTVVEKKQLTPSIAFPALSMFSLLRV PLDQLADMVAHVQECKVSLDRVDKYLNEEETGKYDQLRDSTAAGTPAKIGLEKATLSW NSSAAESEDTESDADLEAFRLINVDVEFLVGKLNIIVGPTGSGKTSLLMALLGEMKLL EGRVHLPGGVSSRADLPVDPETGLIDSVAYCAQEAWLVNDTVKENIVFASPYNERRYR AVLKACALERDLAILDAGDQTLVGEKGISLSGGQKQRISLARAIYSSGRHLLLDDCLS AVDSHTAKHIFRQALTGPLMLNRTCILVTHNVALTVPQSDHVVVLENGRISAQGRPND VAATGALGDEFLKSLPDSLPSSRGHSRVPSDQEEEDEDLDGTPNGKPEDKAKLSESKA TGSIKWSTVSMYLRAMGPWYYWVGAVFVFCLQQLGSVSTNIWIRQWANSYHTSKAGTE DAGQYAAAAHLKSPTFNVGSVGRVSSWSLPQLGASSATEADGEVNVAYYLGGYAILGF LYIAISLSREAVLFWGSLHASWKIHDRLLRAVMHAKFRFFDSTPLGQLMNRFSKDVES VDQEVAPVAIGMLHSLASVIMIVILISWITPGFLIAGVFITLVYTALGAVYLNSSRDL KRLESVQRSPLYQQFGETLNGIVTIRAYGDGPRFMVDNHRRINAYNRPHIYLWASNRW LALRVDWTGALVSFFSATFVLLNVGTIDAGAAGLSLTYAVTFTENVLWLVRLYSEVQQ NMNSVERVREYLDVDQEAAAVIEESRPASNWPSQGAVEFNSYTTRYRPDLDPVLKEVS FSIKAGEKVGIVGRTGAGKSSLALALFRGLEAEKGQIVIDGIDIGSIGLRDLRESITI VPQDPTLFTGTLRSNLDPFGLFSDEQIFTALRRVHLIGSSTSGTATPTTASSLTATES NINGNGVSSSAVTVVDNKNVFNNLDSQVSESGSNLSQGQRQLLCLARALLKNPRVLMM DEATASIDYATDAKIQETLRELSSSTIITIAHRLQTIIDYDKVLVLDHGRVIEYDHPW TLINKEDGLFRGMCDNSGNMDVLLDGAQRAWTQKRLVDDS PEX2_026410 MFSDGELNDVCPGIEKECIVENKEEFGPGSITMPDGDTNPDFMD RIAYGTPGSLPSYMITALMHRPDMLDGLSRRDWPTDW PEX2_026420 MLTVSSLDLEKVQLILKLKHVVNDNELEAVPPVPIPSQPGEIPR TYTFILLLLARDVVLSRLPDAVQFLSIKTETTWRYGPVSWDRKKRTLVGKPDYGIWYG DRKNLALNTVVVEAKSGEMQMSLAQTLACMAFVHKRRQKLKNKDSTIYGLVSDDQVFW FLKISHDSNWSERVIIARHQDHEKVLGMLVYFFQKAAAMSPHSKESEHIHVQEGHVQE EPEIVEIQDSWDMCSID PEX2_026430 MMDSNEKEKKIVSAEEYQQARMDLLQQEKEATQLLQRLATSRRE LPMVEVSNPDQFKFDTPDGENSLLDLFDSRKQLIIYHFMLGPGEHRGCVGCSFCMDHI PNLGHLWSRDTSFVAVATAPLSEITTYKEQMGWKFPFYSSAKTHRVWQKAEERGEIIT WKPGNGYFGLSVFKKQDDHPVMLSFLRNFKRIQKSQGAYLSITCRGRSIGREELFAYT NGRFLVDEKNQLDRRYVKFNLDALCSTAATVGGQSSPITAIEKMEGGFSKVLLMQKEN GMEVIAKIPCRIAGPAVLTTECEVGVLEFLRKHTSIPVPRVLSWSSDSSNAVGVEYII MEKAPGVQLFEVWGKMPKTERPQFIRSLAKLEAQLSAIRFPASGGLYLQKKTGFSKCT PLDKDIDLTSSFCIGPSCDRAYQTEEIETPVIPSVHDTGPWNTISALGISIARRELSK ISNGHLTSHAHFYRGSVEEHTQLLETTIRLMTHLDANTILSRSSQPTLWHTDLHMGNI YVSPEEPSRIVSFIDLQGVLVLPAFLQARWPVFLKPWEESKYVRGPVQVKLPDDFDQL DEEEKKAALNEWEQDMLAKTYEIATYLENRPAYTAMNVPRVFRELFIRCGETSETGIL PLRECLIEISQSWSNLGFTGDCPFSFTEEEIETHGRQFADYEDWHQVQAFAQECLDTD AEGWISPQLDFENQRNLNKQLQDMYIKQMAGEKTLEEVQAIWPFPL PEX2_026440 MRIIDPQTAVLTNVEVLAYLTSNPPRRPPPNSGNWAPSPDLRDH NTVVKEIHNYASRLSPHLLRYPRYTARPSSSQSKSQSQAAMTGTLRTSNSAITEADAN SLPPPIPSTEITPMDTALRDLVVRLQPYGLTKAEVVMILNLGVGLSGNPATEEAKEEG ANGGDLMDVDGEAEDEEGEEDDYTAVVLMDGVIEERELRLSDEDVKAILAIIKETLTA DYENIKG PEX2_026450 MTLFILTETSAGYALLKAKDKKLLKRDDIAEEASTAEGVSNLLK LKSFQKFDSAASALEEAASIMEGKVTPALASLLDGIKDEKKVSLAVADTKLGNSISKL PGLDIQLIADSSTNDIFRAIREHLTTLIPGLAPSDMSTMSLGLSHSLARHKLKFSPDK IDTMIVQAIGLLDDLDKELNTYAMRVKEWYGWHFPELAKILNDNIAYAKLVLKMGMRS NWESADLAEILPEEIEGAVKAAADRSMGTEISPEDLENIQALAEQVVGFYDYRSQLAS YLTSRMNAIAPNLTALVGDLVGARLIAHAGSLTSLSKSPASTIQILGAEKALFRALKT KHDTPKYGLIYHASLIGQATGRNKGKMARILAAKASLGIRVDSLAEWGEDATEEDKAA LGTEARFNLERKLAGMEGKPIKPRGVAIAPNGVQAEKFDLKEARKYNPDADALASEEP AAVQKSKKEKKKLVEEVEDEEMADADSDEEAEDSDESEDEAPKKKSKKSDIEELAAKA GLSVKRYERKLERGEITFDKEGNPTSVSKKDLKKSKKEAKKSSKDDGKKRKRSEDDDE KKKKKKSKGE PEX2_026460 MEGLFFNVNGGYVEGIVRGYRNSLLSGQNYSNLTQCETIDDVKL QLAPSYGDFLASLSPNPSTSDLASRMTDKLVSEFKYLIAQATGSSAKFLEYMTYGYMI DNIALLITGTLHERDTRELLERCHPLGWFETLPVLCVATNIEELYNSVLIETPLAAYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYNFINTHPDFSGTPTQDVMAEILQFEADR RSINITLNSFGTELSKLERRKLYPEFGKLYPEGSLMLSRAEDVEGVALAVSAVADYKA FFDAVGLSQGGGGMGGMGGGPADGKSLEDLFYQKEMDLSKLVFTRQFTPAVVYAWMKL KEQEIRNVTWISECIAQNQKERIGNFISVF PEX2_026470 MIALAIFDFDGTLFDTHESISQTIKLTFDALLPTHTPPQSEIHR LIASGAGLADTFKALHPSPAEFTPTVEDECIEKYRALYATHGQLLIKAFPGAKDLLTE LNAHKIPIAIVSNKGVAAVKTALERNGLDGYVPEDLIIGDKTPGAKRKPDPASFVDVL VPVLREKYGVEDIDSEKVLVVGDTVADVQFARNIKSKVCWCRYGYGDQEACQELKPDF VVDSLGEVVGIVKA PEX2_026480 MTKAEEKMAASAPIIELPQTPTLTSLMTTFCSPTSTPKIMQDTI HALTHEIISTAKSHDQTLAQSPTTMIPILRGALPMFVAAQPLLPATSCILARCSKTKG TQDVVVDWLGRRPFPAESDDGKIVILDTIIATGDTVVKLCEEMWEMSGKQARSVVVLC TYAAPEALERVARCPVVEYVVVGRRAERCDERGYLVPYTNGDIGDKIYGGVWSKEGKM GEPVVAEGEEVQSVLSGVQSLLVENGGLWKLTEDGLAIEREIQFPGFKQAWTFMKQVA DEATKLRHHPEWTNVYNKVSVRWTTHDPKGLTKLDVVMAQVCDSYV PEX2_026490 MATTESKTTVAVSGSEEELVGQLDQGLRAWTVVFGAWCCLFCAF GWVFDNYGPKWLLLIGTCLHVFGIMMTSISTEYYQFLLAQGICSPLGASFVFSSALSC TATWFEKRRALAFGIVSSGSSLGGVVFPTMLSRLFPKIGFGWSLRISGFIILTLLVIA NLTVRSRIKNVPRPVKLSDYTSPFSEVPFVLLMLASCCGFFAMFVPISYVVLEAQDGG VNRDLAGYLLTILNAASLPGRILPGYLGDKLGRFNVMIAMCSLSAIVILALWLPGTLL SPGSVAVYVIFSMCYGFASGAFVGMVPALLGQISPDVTKIGVRQGVLFTCISIASLTG SPIAGAILSRQNGTYWGLQVFSGTMMVASVFFFIAARAVLAGLSITKKV PEX2_026500 MYSITLILQKFLHGYLKPVSPPAKSIPNEEGGQQSQNRKPRPCC VSTPLRPFEDLPPTYDPSTWWLDGEIPASPHFWDGERIRSHVKAIKGVLFQYTLEGCK LMLERTNNQPLLSSITQHELLWKAAISVHKYGVVYLASRWECREEFLPTVESNHHLFT EINAFLSCNDNVIRVINYGVHRLVNSSTFTVDYETRLIPPTAISAIGVSHIAVRAQDF EGDSVRMLDNPSAIWDLHDFAHLSAASVSPSLYGSKYFTHLVKLPCELTALIRSPKMR TADPNPRYSDGLVFSELLTVLFTAEIEAVERTEKVHTYVSLTNTLAEYVADYLMGTRE LQHLTTGKMLRVKNPIRAVELATLVQNKAYELTASEIEQRVLTRGGPAGDSRDELDNL SAFERIKFLAGCRIWLYFEMRNTIKHRAHRLAYQKVAERMLAPETGVSVTSNDYLLLK GVLKSIRYEDWESDGGVNLWQMIKDLEAGVDTHC PEX2_026510 MVRNLVVIGGNSHPQLTQSICGVLGIPPAEVLLSKFAVGETRVE IQESVREKDVYIIQSGGGKVNDHLLELLITISACKTASARRVTAVLPLFPYSRQSDIP YDKAGAPLVKASVGGKPANGYTFESTPPTPAPGGKTEGLGLMNGMESLQKSLAKAQLE DSFGSPVKRRGNGLPRSDTTDSLKSLSNVTDDATGSASKINSFQPRPGYKQWVAQAGT LVADLLTCAGADHIITMDLHDPQYQGFFDIPVDNLYGRPLLKSYIQRNIPNYKQAVIV SPDAGGAKRATAIADQMGMEFALIHKERRPTKITDRQNATMMLVGDVRDRITILIDDL ADTSNTITRAAKLLKKEGASQVYALLTHGILSGDAIERINASALDKVVVTNSVDQVEH LRRCPKLEVLEVGHVFGEAIRRVHHGESISVLFQYD PEX2_026520 MGDRSRSRSPRARENPDRERPKKTTGGFRWKDKSRTDDSRGDDR RLERGYRDRPRSPRRDREGDRYGDRSRDGDRNRGQQRNDRDAPREDREKTAKKEKKEK KPVVAQSSEPMIVVHVNDRLGTKAAIPCLASDPIKLFKAQVAARIGREPHEILLKRQG ERPFKDQLTLEDYGVSNGVQLDLEVGTGE PEX2_026530 MAGRLKVQRPSPTTVSFTVSNAPQRSSSPAKILFGLQVLLRTVL FFCVIGVGIARVRNFFFQEDEESLLVIRGFGIQTSTSSSTYLSTASTRFIPTTQIQDI VIHEAFKGFEVRFYLAVIVEGEPDALVVFPHMLPKREILEQVWRGSRRCLYDAKS PEX2_026540 MADTNIQGPTDYSVWSNSSLIARITELERQLQSQTAEFTAPTEE PSQAPRSSSAPISTDVQEPAIPLPEAFNPSKKRPHSPPDSDTTPVPAPSRFIKAPKPP KPDRIFDPSKYSTRFIALKFAYLGQAYNGLEHTNGNTTPLPTVEEILWKALRRTRLIA PETTSDMEPTDKERVPMRPYHLYWDGCDYSKAGRTDKGVSAFGQVIGLRVRSQRPMPK PPAEGEDAMNIDSGSTEKEWDDVVDEMNYINVLNRVLPEDIRVLAWCPNPPPGFDARF SCRERRYKYFFTNPAFNPTPGSIGFQNRAQNGKGPRSEFREGWLDIEAMREAAKHFEG LHDFRNFCKLDTNKQIDNFERIIHHSSIEAVNPKVNPVGYIGKPGFQAKEGCVPEPDL ITPDTVSQSVPQIYTFNLHGSAFLWHQVRHMVAILFLVGQGLESPSIVPELLDIAKNP RKPTYAMADDAPLVLWDCIFPDIESGSRLDSLNWVYAGDSPANSRGSAKGDSKFGMRG TVESLWTVWRKRKIDEILAGALLDLTVSQGNQNMEDIDNQWQIAGDSKRANRGAKIWY GGNETVVGGKYIPVDEKSKMQTVEVQNAKGLVTKQRRLAKIEAAREALE PEX2_026550 MAEADPHPDSNNSVSLLLLGDAGCGKSTFLTRLKSGRLSPSGLS NNDPNTLELLRDGDQPFIYDIRFSKKAFTLELNDTSNPNQHWTNLRPDVVVLAFDISN RDTLDGLKEWRNDITRYFQYGHGERLPVMMIGLKRDLRREGEGIIYPQEGYRIAQELR CDRYAECSAVTGELLAETFEDLARLAAMTTTEKGGQSEGTSCVIL PEX2_026560 MAPSQLPPVFNPTAQDIEMLLAAQCHLGSKNLQVHMEPYLWKLR ADGVNVLNIGKTWEKIVLAARIIAAIDNPADVCVISARPYGQRAVLKFASHTGATAIA GRFTPGNFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDTDSPTDFVD VAIPTNNKGRHAIGLVWWMLAREVLRLRGTLATRETEWDTVVDLYFYRDPEAEENKEI ADEAKVAGAEEVGAGAIESGFAGENWDASAPGAGNPGTAFAAASAATATAGATSWDAE GADWAASSAPATTEWAEAQPAAGEAKW PEX2_026570 MPPRISVQSSLKALTGSTYGSSPLSNSINTSVRAASSKVQIRRK HDPFLMAQARQRKAANVSRQKVLAEERESSLGDPVKSQPTPFILEMTAIQSNSHIPSS LSEDLNYYLKSSELNAALDFSKDLTAPLKNPDRNAADPQAEKEALNLHNQEHKNAQEA INRIVNLNNGNTKDRVRLNITKCIETFGRHYTDSNLPPKPSAVAHESAPVHPERAPRV GPDTGSAEVQAAILTVKIMNLSRHLETANKDKHNKRNMQLLVHKRQKLLQYVRKKERG GPRWQNLMGTLGLSDAAWKGEIAL PEX2_026580 MRLRSNHVLPPISDYVPRRRRTTRSASAAGTEDPETAPEVAQET VSETQSAAERPAPKRKPAKRGGRKKKATAKGKKAAAPAGELEDAEAQAESQEDSVALI PSVEQPDTRTLPPKPPRAPPAPPRMFRGRIITAFKYGSASELLKQEPEPTPSPPQTPV THYAWPPGRAYDKLRRIPWSLPWSPPSPWRGWPRRIPVINTPDETPLTPTKEPSRKRN RLSEDEPSPSKRHKLTLQTPPGFETRPRGSSRRTYADRARRREAERDGRIDRTIYRFP QLLAQQGEDARSGSSTPPASHANEETAPHGNRIVPFTSLPSALPPNQTQNQNRPGWRQ WIFNSVTGLWGRGNVPPQTAEVPQVAEPQNPMGNESSSVTTPSSAPHPSSSFRAPRNF ESPTPRPRVAGPNSRLTASARAPRVERNKYSYDLFPRGFDPELLARMRAGKSDPADIE PVTSEEQVRAIYEKESKKRKRAPSPDVIPHPPGCSYGFDPDYFIYDEDDEDLPTGEQG PQDPATKQATVSDAPEEEHVSKRARQDTTAKQATVNDAPEEEHVSKRVPQDTTTKRAA PSDEPKEEHVSKRVRSDTTHLESFNDQGASQPIESSGDELRKARQQAEQYKPKTPSRL RAAHRFSSSCNSFIDEDVLRRRKMRKTSLATACPTGDLSQIIWPETESWVECFDWHDP NLDKYRKHAYPTSRRFPTAESYIDHHHGVFMDRLAARRAAK PEX2_026590 MPPKSSSRAWDALTPPLSEWTLDAVGSMGFTRMTPVQASAIPLF MQHKDVVVEAVTGSGKTLSFLIPIVEKLLRLDQPLKKHHVGAIVISPTRELASQIHQV LLSLLEFHPASAAAIKPAEEGAPRAKPSSSTLRVVPQLLLGGGTSPAEDLKLFLKNSP NVLVSTPGRLLELLSSPHVHCPQASFEMLVLDEADRLLDLGFKDDLQKILGRLPKQRR TGLFSASISEAVDQIVRLGLRNPVKIAVKVRGGAGVEEKRTPASLQMTYLTTPPLHKY AILKHILSTVQPTPQKTIFFVSTCSSVDYLATILPIILGDEFVLVPLHGKHQANVRQK NFNRFTTSTTPSILLTTDVAARGLDIPSVDLVVQIDPPSDPKTFIHRCGRAGRAGRRG LSIVLVHPGREEDYVSFLEIRKTPVAPYNLPEFTDEQATAAIDKVRKAVLKDRAMHDK GQKAFVSWLRSYSKHQASSIFRVADLDWEALGKAWGLLKLPKMPEARSFEGDRTLGIK LEWHNYTYKDKQQEKRRKEAMAEAANAQGTEQGSNKRRATESVAWSQKTEEKDKKFKK KEFKKARKEKERWEQLPEDQKQKALETERMLEEIRAKNEQQRLLNQASKAEEAKGDGE FQGFD PEX2_026600 MSSPNPVPATPRVISPSPSISDPSETPDGYLAPVTRSAARRRHQ PEGSTESSGSARASRSPATPSARRTRRSNPKIPASPPRSPNGTASNNLLSPSSIPDAL RDLSRSPSPLGLIPLHARYRSFIHRHEIPRKLLHVSIGFLTLSLYSRGVQSLQITPVL FTALVPIAATDLIRHRFEKVNKVYIRCMGALMRETEVTGYNGVIWYLLGAYVALRFFP KDVGVMSVLLLSWCDTAASTFGRLYGRHTPRLRPGKSLAGTMAAWLMGVVTAAAFWGW FVPYIGDFPNDPENAFMFTGRLNLLPNCVRGLLGWEHSDSAVITGPLALSVMSVVSGV VAAGSEFIDMWGWDDNLTIPVLSGVGLWGFLKVFG PEX2_026610 MGIPGLINAIGPGERISLAKLAVTHLERTARPIRIAVDISIWLF QVQAGRGGRNPELRTLFYRLLKLLALPVHPLFVYDGRQKPAFKRGKAVSTRSYGSAPI IKRSKDLIERFRFPWHEAPGEAEAECARLQQAGIVDAVMSNDVDALMFGSSFTIMNFS KESGSGSSSATHVTCYAMGQDGQASNIPLDRPGMILFAMLSGGDYLPSGVPKCGSKLA AEIAKAGFGEDLLQELASKADVDTGLSEWRERLQYELEENESGYFTRKHPAVRIPDVF PDRQILEYYAQPKVSSDEEMSFLRTRLTQAWDKDIDPLAIRTFAADHFEWNYRSGARK LIKLLAEPLVSYRLRLQRPILGVSPGFSFVPDCPPGLQKVFRSRSSFGTDAVPEIQLD MLPADVVGLDLLAEEPNPPFPSEISAQEGEEEEDQEVAAESAPPSPSKSRVTKRFDPF SLEKVWVFETVAKLGIPGVVKNWEKEQAEKAAKAAEAAAKKKTSTRRTGPKKKGPIDA GMKRGSILKYGTLTKEKSGLSTTKQTHLLEAARSTQNPLSRLVAGSGSSSPIIMDHED DPFSSPSMYIQQRASPTMHYVSREVDDLLDSFSSMCNLSPSASIKRHPVSDQSRIRSR RTALGTGGVEIEESDALDMSSDYSPSRSARRMGLKISYSVSDVCESDTFLEDFLVSTP MALPSPSGKTLSKPKRIPKVQCEEKGEVEHIEKAIESLSLSSKIDECQHENTATRSLR QPSTKKAPPATKVRTRSSKAEGVVKPPRSCSQVNPKPELEPEKTSPLSLKTCENNETN DVKSPKKSSKETASTTSKHKTTGHLENIILHDGFWSIDPSPPEQEPCEGSTADSLSTA RRQATKKKRIPRVSILDLV PEX2_026620 MTSDTPPIGASKRTSTTSGLRNSQTARPVSPHTPQQRSGNPPFT SSTSPSSSFRGEDDAIIFEIGARWLRAGFEGSSAPVCVVGFGPEDSRRAGDYRGWIQG STGAGRLPQPIDMEEWTRAYELWRPDSREVDFGLVEDKIERAFRETYNQFLLTDAGTS RLVLVLPSLMPHPLLSSLLSTLFSRWRFPSVTLLSSATMSATAAGLRSALVVDLGWAE ATATAIYEYREMTTKRTTRSMKHLMQEMGLLLTRLASDGNQDTATTDKISVGFAYCEE VVSRFAWCKSQAEEETNSDEPERELSIPSPANPEEEYMDVPFSRLAEPAETVLFAPGV AEHELDDEEKPLPLLVYNTLLSLYPDARGTCMSRIVFVGGGSRIPGLRQRVLREVSLL IDRHGWSPIRGKALERQRQRLEELRLSAQKASAQPETPKSNGEGEAPSTDDEPADPSK EVPEIDFVEQKLRRQNKDIPVPIQGVLREVESLGPWAGASLTSSLKIRGMVEIEREKF LQHGLAGATRDIEQPVPDRRSGLRSGGDRSSWTLAGWA PEX2_026630 MDGWTKIHFFRDVWLPDPEKALSSQELTHVRKFLPLIQGLEMQW NKELEETDGQISSLGWDMNEAFEHLQNNEATWWKARDVTRPREFLVRPSGQLNAPVAC HLYNPTFSVDDPSCQKTEDLSNPSIAQLNKAGFSTTNNCLLFDHLARRDISRHCKDVY PEDLFDIHERFIFALRAAMKAKVEICWGANVRERMLKKLDLQPFRLWGDFAGLVLYLE LTPDKTSLKRFIIFVAHPQRFMYVKGDGEKSQDWRRRFGSPQDQALALAASLGGIGIP PKFYELDPRLPQNLCVTRKVSATRNQWKGQAVAHLQKAFPGAILSIETSHRIRATKEE SLCVENILGLLAQLKPGQVSETANMTSNIDDTILRNARIHKIATYWHDLVELLSAFSP HITTTTHHTKAVGIQQSVGYFLSQFSTEFQLSDCWDWGDLPHPLVEFIQAQDGLKFNR RELSSRADLEVAFYLLRKCKGSPETLDILTLAISVLAAYGWMIARPRKPCVDDMLILR ETPNDVVPRKCSQCDKECLDDPFAYWSKFDPDRYALWYHFRSGCGRPDCGMQNLQNTN LLPLNTLTRYSHCTQKDLEEKSLDQFDRWFLLRREEFGALPVELEVKCQGTACQETKT VKARWTGHTTPRFVVAVHLCTKSRLRTDWIPTGYPTIHSANLSRLWKRFRETPGFDIT CYPRRPDIYFNLSLSLPGRIAALVEAQRLIEADGSIERKRKADAMDEDYSEPGFD PEX2_026640 MTEILTFRNLSLERHGNVFVLTMQKPPENRLNSSYCQEMIRAYR SIERILGPDSEGAVITRGNDAKFWCTGLELDESDHNPFANTDGFYPLIHAILDFPFPT IALLTGHTFGGACPLALAHDYRVMNSRRGFISMPPVNLGLHFDGIGSLPRLKLRPQVA RKMLLEAHRWTGPEALDDGIVDAVAEPEEMLNVALELGAKWAPKAKMGVYALLRQELW GDAIRKFQRISYVHSRATSAPAKVKI PEX2_026650 MGDRTLFIPPHVGDNVLPNLPFFHRLLRYAQRKPSPIVVRDLVA SVEKTYHHLVSDVLAFRKVLERSLSHEARHDLIADKEVYIGLLAPGGYEYTVGFIAIL AIGAAVVPMAAALPVEEASYFLLKARCVAMVASTTSENTAQDVARYMGEIKGVHIPCI SPIASHIHPMLLPSDEATISSGPVPDMNAAALVIFTSGTTGPPKGAVQRRSYISGNGE ADADYYQITDKDTVLHVLPVHHASGVGLTFLPFLTAGACIEFRSGSFDTAWTWERWRQ GGLTFFSGVPTIYMRMMRYYEENIAHLAPEVRDQYIAGPVQEFWHQIRNKPILTRYGA TEFGAVIKTELNSDGTPQNSVGRVAEAVSLKLTDEGQILVKCPYMFSKYLFDEKATAD AHDAEGYFKTGDIARREGKYYFILGRASIDIIKSGGYKISALDVEREILGLDYASEVM VVGVEDEEFGQRVAATVSLKQDQRTTLQGELPKSGTGKVQKKILGPRFFPPNYRELPE VQVWSRENNAKL PEX2_026660 MLDFTDNLRPSQPDGSTTLARERQQSNIATEELGQHLLASDGFL ERQARILPIIQQEPLFSKDQQQNLSRPERFKLGVARAKLLRRMRDTHKWSYLEYQMAE YLVDDVSPYFLHMEMFITTIREQASEEQQAHWLPLTESWKIIGAYAQTELGHGSNVRG LELEARWDSRTKEFVLHSPTLTASKWWNGSLGRLANHAIVVAQLLLPDPISPDKYVSH GPHPFIVQVRDMKTHQPLDGIVVGDIGPKYGYITMDNAYMLFDQFRVPHSAMLSRYSN VDSNTGIYTKPEKPALIYGSLTYVRSNMVHRARLVLARAVTVAVRYSSVRRQFQDRDG DKKGPEMSVLDYPTVQIRILPLLATTFALHYTGLAMQTVYKNARRDIERGNFNSLAHM HSMSSGLKSLCTILAADGIETCRRAMGGHGFGGGSGLIQVNNDYLSKPTVEGDNWMIT QQVAAYLIKKMTVAVESPDTPGVDETDARFKEFIRNKRCSGAEKRTYDVLNSDLDIVK SFELRATVMAYDAYEQRVTKKQNWNSLLIQLHKLSRAQSESIIVATFFDALSNDKTLS SPIKTVLWDCFRLFALYSMENESFEFFQSDAVSQADINALASRVQDLMARIRPHAVKL VDSWMIPDYLLDSALGRYDGRVYEDLFNRAHRLNPLNRITFNPNYWEDEIVKGSGDNG GGILSKL PEX2_026670 MHLKSYVTAALYGLPALANAAPSPSHSQAASPSPSAASSNADHF KSYTIKAENITATLIPYGARLTSLLVPDRDGNVQDVVVGYDDPKEYLHDSETNRTFFG AVVGRYANRIKNGTFTIDDKDYHVPENENDGVDTLHGGFIGYDMRNWTVTSHSDSSIT FTLLDQGFEKFPGDVITHATFSVSTEKTQSNPKGLPQLTSKLVALSLTEKTPIMLANH IYWNLNAFKESNVLKDTLHLPLSQRFVGGDSILIPNGTIHDVENTYQGALDFTSPKLI GKDIEKTYDLCGAGCTGYDTCFLVDRPSTYASNSMVTALSASSSSTGISLDVATNQAA LQIYTCSGQNGSIATKKSQAKRNKADGGDAGATHVNKYGCFVIETEDWIDGINNPEWG RVPYQVFGPDDGPAVNWATYQFGTL PEX2_026680 MAKSEPSSGKQHISEKPVTLGNWYQHVNWINTTLILIVPVGGIV AAFYTPLRAITAAWAFIYYFWTGLGITAGYHRLWAHRSYEARLPVRIFLACVGGGAVQ GSIRWWSSKHRAHHRWTDTVKDPYSVMKGLWYSHFMWMVLNQNPKNRGRTDISDLNED PVVVWQHKNYGSVILVFGMLFPMLVAGLGWGDWKGGLVYAGILRFSFVQQATFCVNSL AHWLGEQPFDDRNSPRDHVVTALITLGEGYHNFHHEFPSDYRNAIEWWQYDPTKWSIW LWKQLGLATNLKQFRANEIEKGRVQQLQKKLDQKRSKLDWGVPLDQLPVVDWDDFIAE TQSGKALVAIAGVVHDVSKFITEHPGGKTLISSAIGKDATAMFNGGVYTHSNAAHNLL SSMRVGVIRGGGEVEIWRHQNNQKGVYA PEX2_026690 MILGAFYILLFTTVGICKSITEDRDITVLFQNDGNWTTHADNPS AILVLSPSSHRDAVDTCASYGEELLDCKYFSEFYHQLQYQVYLGKVEQTQTIWSSCSM SPFTLDGAASHMKDSIELPFICTNSAPTVTKVDTDFSTFSRINITSNGTIFEGLHDHM AFRFMEIPFAKPPTGALRFQYAQPWNGTYVDATKYGPACLQYGRLNGNQQGFNPWPKL KPVLFWIHGGAFTHRSAVDGVFDGASLVSRGDVVLVTVNYRLSIFGFLALNDTTVTGN YDMSDKIEALKWVQNHISAFGGDPNNVTIFGQSAGGSAVISLIQSQKAAGLFTGAIAQ SAGGSYATPSAATTQILPFIDNLCPNATGEVQTNVTSWTSVVDVIYFEDMSIAQLAKG RQYVNKVKFMAGYMPNEYQSLIAEALPPNITSLEAGLKIMQHPVSPTERTSMGNSSSQ YSFNNAYNATVNVATNAFLTGPGIQVARIGAASYSFDRMWIYKMERGYALSYLNPWGM CSFPVGRPEVPYYKCHSSDLYEVFGTYYLSDQPIRVDDDVYYTNAIQDMWASFARTGN LNVDKSYLIARGYNSTLEFFSNFVWPSFTAIAP PEX2_026700 MEPPEGKPLYNHDIVIENDRIKAVGRGLSLPSDSNVSTINAKNC IITPGFVDGHHHMWQHLLRGITADWSLFGYACHLRSVYGSLYTPNDVYFANYAAALSL LNNGITTVLDHCHVINSPAHADAAVKGLKDAAIRGTFCYGLYQNPKEPGDFGSTATDS FDQAARVDDALRVRQEHFSDNDPDTSLLTFGIALNDPPTISREQNIAEMKLARKLQAR LTTVHASVVPHETPNPEVVQNLADAKLMGPDLVFSHGGGMTDSELGAVQSSGAGIVGT PDTELQMGMGYPVVWKAADLGCQTCLGLDITSNQGNDFMAQMRLALQTQRARDYDHTV QRDVRRKTADVLRMATLGGAEVMEMGSLVGSIVPGKKADLVIFRCDDIDTVPVVDPIP TVVFHASPKNIDTVIVDGKIVKQNGQLVGVEWKFLRDQMMRRSQRLTDQASKVDMEKA ESLFYSLFEKAMEK PEX2_026710 MTDPEIFTKYPSLKKMEGLDDEDIVESHDGREVTLLKYIYNHSD LDTKLRGSPSAILAAMDEFAAQEDFLINIGPDKAEKLTDLLREYRPRVVVEVGTYVGY SAILFGNILKEIYDEDKAELKEDEGSAPSKPKIYSVEIDPLIASVAMNLVSLAGLQDI VEVIVGASAHTLQRFHDEGILAETGIDLLFMDHDEALYEPDVKLAEKLAFLDKEDAVV IADNVVRPGAPEYRSYMRKNPRMSQSWGLAGLIVPGDFEDELEVSVVGLPKRWA PEX2_026720 MVASALPASLTPALSDRDAVADALYRGVVAFDTADDALFKSALT DDAVLVLNGTVMEGYDAIYSQCYANIAKLDTNHFLTNMRINITEESKAQVSCSALSQH YREGEGMKPDSDFLLAGGFYAVELVKDAGDGLWKIKHWTLKTTWGQGDWGVFGK PEX2_026730 MAIGSSPAMVEVRQVSVTHGFMNPESLTPATLLVMESYIGTSKR SARIESATISIRFSNRSNHTGRDPLIRAIAPAKIPHIDRPHAGDSIATTEGLSGSVNE LPSSLEETSQPPQPPKRLVGKLEGNISRLCSTAEWSVYENADEKSGVQIRLQTALLIE RDSDDEQYQATVTIETSVATFALKSRQVFRGDPVIFDPARSKAGNIEAEIPAGNLESV DLSLLGSVTNLAEAQSRRNVTEILDNIASSYVQSGTQQKIESHYHEIWNARREGRGWV TVEEDAARIDGKTLPSVLTPRILRDSFSWMKQTQDGRIPAQSHDMTHFDLIEIDQVDP HSLPRISGIIQADSTFNFKRHLDAIRGASSIYPTILPLELYKEHVESTAKQFEEMLRT LREVDAKLLEELKGTGKPDDARISYRLISKTLHECNMNLVELGRRRRFEDDFGETLKT HVNDEEHVMTQTISILAAMSKSREYDMQTLPSKVESQRNVLYGLIAQFDNNMQSRLAR EALRDSKAMKTLSIITILFLPGAFVATLFSTNMFSFRGDYEEIWIYFVICVPLTAILM TAWILWLRSTPYGVDEERGTTSQIDEKPKSQKAD PEX2_026740 MLRYKYNPLLEGHIRLLTLHPGDLDDPINKARIDHMRLDEKPTF GALSYQWGAKQLNHKVEVTESGESGGTSDYVNITLSLYNALLHIRRKDEPISIWADAI CIN PEX2_026750 MTSGSLDPPRASFKPGFSHSQVIKNARNARIASTPSTIDRLRKL SCSIDRICKVESVPGVSIGLLDHGETLWTENIGFRDKSKTAHPDANTQYGIGHITMSM VAAGVGKLVEDGKLQWTSLLKEVIPEIDHTGVDWTQTATIADILAHRCGLDGEIATLL TDGGNCDIQPSLEVLLKTVGRIPQPLPHRESWLMCPWGYTIAAHIIEHISRQPLHEYL QNQLFRPLGMTSTTLRPSFEGNNNVAEPHASLSDGEACPLAFQPNFANTLFEGSRGAY STVSDLLVWAKETLAASQNTEASANTALKQIPHIISNHIAMRNPSLMERSYGFGWARA QLPGVVGLLGGNSELWEMSEQPVFGAGNQSRLMIYHQGGGPGYSSFLALFPETQSAVV VLMNTTALSDAADWIARLLIEGLFDFAKPTDYVKLAEIGKKRTLDRFATLHDRLTEER IQGAPPLPLECYVGKYNNADYKYMLEVTLSPESGSDLMISFQGRDSHRYPLRHYYDHV FEWSMNFDELRKSGRYDITDPSYYKIRFETYPDNRASRIIWNIHEASVPGGLTFEWKD ERLAEAWRAVRADIVAARDLQ PEX2_026760 MNTSDIDKPTIEPRWFKLFAMIESKFEASNIASEKITPLWPAVP VSDKPTCAFNYYCTLEADSSHARDAIQGHCSSRPKPTEALLGITKVMSEEDGECSFTR EGWQCDDANHARGMDWLKSVYAHKTSSLIGLFEQHPDFGFWLADITYGLLLSDRQVLD NVDTEMVVSPALMGQDMPRMIYWHIRGTRRLGISKEDVQTAMECVHLVVEACGVTLMQ VPSVDDVDGDL PEX2_026770 MSASSRSLSSSPDILGPPGDAQYLISSPIKPFTGRQSWMSPIVA KSRTPAKRPRPRPRVSLSPAKSAHSIQFNDVILPGSPTMKLNGGRQRSLSPEKFQEGN VSPWRIRLTLEATQDEDDENRSSPSRKRLKPSTTTTMIPLKDERSPLKEKTPLRRRGR PRKSDMTLSPFLGDAGQTPRPGHTPGPGHTPGPGSTPGLRIDHSQPRKRGRPRGTPKP KPQDFQLLDDLPTPTVEYEHPFSPMDIIPNSDSRQSQQFSPMDTMLNEPTRDQHEYSP MDTTASEAGLGRQYSSPMDALISDAGLEQQRFSPTDSFAGSNAHASPLNLVGDADSED DSWGNSDMSIAELPDPTEPITEDMGNLRREYGRTSLETPVIGATEHHFLDDENIHSTP SKMPSPTRERAILSSRASSHNTASPQSRTYPTPTPTSSLADEENQPQQADVDHPPRTE THHAGTDPVEDPADEYDEFDTIMESEGFTMISLDTLPSAKQHGFGSSAVTNGGPKPKE VGERLRRKLPGTIEDLRGDSRAQKSSSPTTSALGPGLHENTSTHVPEKHNVHETERQS VNYVNEITYPELPAASSPSKSTIVPKKRTFTSLAKLVRLSLALQGPFRPQEDEWSGKS NARHKRRRLEGVFSTFSAETQRELRAAMGLGQELAMRRMLAEEDEAAAIAADHAASRL EEERLEQEQEEKEARHYNDLNDEELEEQEHDQPFEYRIEKHAEYAQPSPKFSKSMQQS PMSHQKAQREAQWQLEREAVSRQAQMASNSRSVVYIDSDKDAAENDGDIRFEHGQQFQ SETGYGDEEQPNYSSPGPMPEPAPHVPQHVEPEPEPVDDEDDGYDDIWQLEANDHSHI SQHSEDDGVQSHLQPLARPLGNLAPISAGQDYLSSSPYATSEHDYVARFGPSKVRELR EQKVDLSALLAEEDTPNRARYYNGTSTPRSVLTRPLGTHKQFTNISPMKDPVARTPAR VRLQPLSQSSPDVPGPQIAFLDKKKSPKSVQRSPPMQEPLEEDYKTKSVLNAALSQSE SVNVDSTSTPQPHQLNREEPGSSWFSKITSFTPQWLKAPTRDRSSSVSTIPEEASDFE DEEEMASIESAKELDGHLQDEPLSKQASQSPASHWRQPSRSQSPRTQQNPLPTMEEEE DPIHDRSASRELLEEEEVPVSERSISQDLLENKEAEPVNHQDGASPGPRPLAVFGYFS DEHYAALRRIYRVAKRYPEHFEYYDAPGRAAIIGDWIWTSDGHHGVPITEIQFAIIDR FAQDLARADIQYGGGGQIDWTEADLHRRLISIIIGEQIREERKAKANRGTSVDTWR PEX2_026780 MSVFTIVHRALPPLTLPWKRRTSLKGKSPDLEIHLSEKNPIMSD PDIISQVQNNEPIVMHRDVHGPVQVAVNTNANHNTPTPQSASSAPEDIPHPVADPTSE DRVHYSSQTRPTRAQLARAVSWASIIRSRDRWTVEQERELVNAQRQLGRCQKAWSSEQ EVWLSYVQALSEEKEAHAGFLSMRHRQQDDEQHQFRKAWKRRRSSSGDDEVQQSAIDT ANRLGRLRRLQRYGYTGQRLGAGSAVLAVEG PEX2_026790 MLRRALAIHPPIPKPPLMTSPPRPKAESPKPLFSGNIKPTLKTF TKEAAAPSAHSRILPAIKHDHLELESHSNKILSSADPDEQTRFQNQFTWELARHLIGE ELVVYPALIDSLPDGQGIADKNRLEHRGVKHQLKAFQGLSSTDPRFVPTLKGLMRDLG IHARHEEDVDLPRLEELLSKEESVELTKSLDRVKIFVPSRSHPLAPTEPFFETAVGLL TAPIDFLADLFRKWPDGKEKKW PEX2_026800 MSYPNPPPLSPGGGVGGAPSKRDKRRTALQERLQELTGQFSNNR DIQFRQQLHALQCDMTLINNADPYVTGPLPDSAESIAALIEETVGGGSKFAKEMAGLA GSWYSRFVQQVNEIKEKRDAELVMAMNNYNNVMERQQRDRDFRTYFAQEEFRHLSSTL RERLVQNISGKRARLMREKEQLDIADTNALLLHPNQFSITNPASPGGIHSNRKTTRHT RHRVDLDELGNGFMPELNKRKRKAPEEETGSPARDAGDATPAKRNKAEVTKEQVAPTY SIHSLFTDKELNAHANQAHLAAVHFFSTSRRPDHGSGAVTNGNNTDAEDTPEGTGHED NGTPSAADMMRTASHNFHATRSTRTTAAHSALSALADLADKPATRPILPYHVLSNHHA RPNGNAPPLTSLMNEEVDDDCARIGRLAGKPPNWIDASLVELVAAPLPTSAEPIDGHP ADPAVFSQLHPDFSPAMGIDWTPTVHHPGFEMFQPAAMERERSRKRTRNH PEX2_026810 MHVPPTVKLDTRDHLPQNSDTQETINPARIRRRASQGSLRTRPS PVSRFLSKSSVAVNFPSWDQRASPGSPGSPGSPYRIESRTRSVDSRLRLSTTVSRGPR PLAASPSWNSHYQSEYPATLPPTPPEEDIHVAWNPKSEMMLFDTHSHPGSMAMMDDTP SVENSSATGASDTLSSPSDGLSHRSSSSGGSPGPHDDMDCQQDIGSWLDHGIDLTASS LALCKTRSEAVKIVSQTLPYPRTSDQAASVPKTDSVFSSIVQAVHNHLQPGQSPYINV THAVPEQFSLSNLPHSPPSTPRSPTAAEDYFNATVFSSAAVVGAYHDYRGPLQRQMSH APMPIVPPRSVHISVLERYLPPTSAQEYRDLFCRTRPSFLVDRMSELSPNGGSLLFVY PTKKGASTFKSQYLGPILDPLLRQLVVVNELSADVGRYLGKLSSVSQMDDFATMKANI VALCESLSDNDSSSRFHVADAGRGDTHLDRSLWAEWFIHQEKARMKEVLSLYWQNGRR SSKSASSGGSGMMGNKEVTSSGLLSEICDGIRKRRYCEDNEPRDGIELGVFVIRRTN PEX2_026820 MASPFHISRHEQVELERNEAFRVMREQLRRQECGMERPSFCAGH RHSCTSTEQETFRLHRDIIHTLLVPLFLINHQAERIAARTLPSQKGAEPERAFRGEAR SAFAWLNCILTEEHDWYLTARCPACIVQHVLHSEPTIRFVAVASQLAGPRSGFQCWLN ALETAVCEDPFWGDAFWPDIEERASRLTDGVQELVRQCYELSATLDNPARVSPSLAKT PMPVYGSRSASCTIPLKPSSFARKQVRLTREEQRYRSSLLWNCSQEMTQPLAGCTTAT QSRRRSLTS PEX2_026830 MLSSLAFSVPLLLAGLQATTVGAISPISAVGSKFFYENGTQFYI KGIAYQLTPSDPLVDTAQCKRDIARMSELGTNAIRVYHVDPHADHKGCMSALADAGIH LFVDLDTFNTQIEQTEPHWNQTQFDHFKEVLDEFQKFDNTAGVLVGNEVLTTADGSAA APYVLAAARDIKAYRDKKGYRKIPVGYSAADIADLRPMLQNYMACSKNASERLDFYSL NAYEWCGASSYEQSGYSSLQKNATDYPIPIFFSETGCNTPSPRTFEDQAAIFGDHMSD TWSGSIIYEWIEETNDYGLISYGPSVDAATATASSIEDGYTRKGTPTPVSPDFNNLKS QWATLHPTGVALSAYRKSASSLTAIECPASTSGGWAVDPSSPLPTLGQTFKKGSDSTA TGTNSKATKVNSSGSSSASATKEGAAPAVTVGSPATERLLVGSLVLSGLLGVVAIWL PEX2_026840 MSQFEHTSLWWPEDRIKSTLDAKYIVSRLRQENIPRLFELPDWG EGLTSETYMEWILTKAGRVFMILDAIGIPDRIFALVDESCDDDDLPVAEHSVDLLHLS PDGEDLALETSFFHAQWRFLVRGIAEGDHVVYTENEGVPVEAVRTNTSSGIHGRDDTV DRVVLAGSVCRVYMRTQVQVGGAPHFFSSDEVITEIRNLKRLSHEHIVSVFASYLKDD SVSVLFTGATADRNLHSFLTDEPMSFKRLEKEQRRQTLITWPHCLASAVCWLHARGHS HGAIRPSNIFIDANFHICLGQFQALDSLLTPPHVNDLEAYNYSAPERWTRPAAPIIQQ KPAQPSQTLLQSGGRTKRRQRPARLALAPLNESPSASPDNTRPNSAASKGTVVRLGRT SSPSRFSLAFSSSSSSSEASSAASSTHTDATATSPQPMKQRISSFWSRRTKNTPSAPS IISTSTSSSSNTSTLTSPNPHTSPSSTLFPIPPSSLRHSKSAHSLTSSYKRPIPSSSF EPPADPHNPADIFSLAAITLDILTVLHKRTLTSFSTHRSARNRSAGRGGGIADASFHL AKNGVQVQSWIALLEGDALKRCRRDRRSDRAFWAVPKMLIVVRSMLDFEGGNRPLAKR VRRGFGDAITKGRGGGDKDGMGVLHCVKEKREKEEEKKKKKKKEWGRKGNGGLEVIRE MGTGRSALSRSAVSLASSVPLGVSEGSICGSVSEFDFGFGDAGSESESNVEEGDFVDF DIEEEDLSEFEEPEIRVEKVSPQLYLPELDMNITGIEGLTFNK PEX2_026850 MIVVTGGAGFVGSNIVKELNSRGVTNVVVVDDMTDGSKFCNLVN CKVADYIDATAFREAIRTKTFHHRPRVIFHYGASSSITETNGKKMLDANFTYSKELFH WCKDQGVRFIYASSAAVYGNNTSFVEGDFQEAPLNVYGYSKMLFDQYVVKNTDMRSPQ VAGLRLFNVYGPGEQNLDTIPSTVYQFYEKRTSFKAIELFGEYGGVEAGQQKRDFVHV QDVARLNCWFLDHPEISGIYNVGTGIASSFHDIATEVASHFGNPEGYIKFIPFPTELK GKYQSYTCANISKLRRAGSVLRFRGIKEGIKDYMEWLESPEGLAR PEX2_026860 MKVTSAIAFFSLLASGQATGSYWGSEKSYSSPGRSSNKCNTHQS KGFSWTDLAAGSFSEYGGFSFSGFTCGNGFGSSLGKRGTQKCISGTVSKGSSSSSSAV SGSRSSGSSAPSFACGSDESGFSVTNFHVATEKDTELHIIYSMPDGSTCKNTASCNSA GTVVTNDQCGGAKSVHFELPDDSEHESCGFGIYSVGFDCTPGSTTTAPGSTTTAPVTR VPDEQISGSATPSSIAVPSVSVPGSVVVPPVTTSAVSTPLRMTTSTVFTTSLITITSC APTVTDCPAGSGSTTVVTSTIALSTTVCPVTETAPVPETSPAAETTPVATSSSSIPAI SSQSGVLPASSSAGVPPSSGTSVPVSSVSASSPVSTATSETPAGTSAASTPLITTPVQ MTTSTVYTTSEVTITSCAPDVVSCPADSTTVVTSTIAISTTVCPVTETTAVATSSVSV PVVPGPSSVLPGSSSAGVPPSSGTSVPTSSTSASISAITSETPSGTSAASTPAITSPV RMTTSTVYTTSEVTITSCAPDVVSCPADSTTVVTSTIAISTTVCPVTETTPVDVGSTP VPTGTSPTGVLPVSSPAGSSTPSGTSAASTPAITTPVQMTTSTVYTTSEVTITSCAPD VVSCPADSTTVVTSTIPISTTVCPVTETTTADVGSTPVPTGTSPTGVLPVSSPASSSP PSDTSAVSTSVGTSSAPGVTSDVSSPAPSSPAGTTPAPGEATTTVVTYETVTTCPVTN TVTSGSSTFVTTSSTISTVTLTTVSTICTECSATATATSVSGQSTVASSGTPEGSSPV SSSSAEASSPLPSGPDVTSLVPSSVGAVTTPAIPQESTATVVTYVTETTSPVTATITS GSSTFVTTSSAVSTVTLTSTSNLPSSVAAGSTPAPSETGVASTTAPTGPVVSIIGSSS VGVSSTPTIPEGATTTVVTYVTETTCPVTATITSGSSTFVTTSSTVSTVTLTSTSNLP SSVAAGSTPAPSETGVAPTTAPTGPAITSVVVSSIGVSSTSTIPEGATTTVVTYVTET TCPVTNTVTSGSSTFVTTSNTISTVTLTSVSTICTQCAATATAATETHSYSTASNTGA VPSGVSPVSSPAPSAPCPNTVPQCINTWLTLAPKCTSNSDASCFCPNSEFTSKVISCI QAWGASKAEIQSALSYFTGICATWVPQNPGIVTAIPSTITLIPTVAPSAPSSSVSGAG VTATAVIPITSAPTPPCTTITYSTYTVTVPQVSFITNTGTSSGAAPTIGLVPAGPSGA SPAHSGSVPRPASATWLTSSGYPSASRSASGITSPSASASPVFNSASSLSVASSLVLV SIAALFSLMM PEX2_026870 MEDALRPSKEAFEYTLRASYQLWSTHFNTSDTRLPLECIDLKSL LKDTPAFLGDGLIPADKALSFACAKSRGDLEIKGQVRWRTSRAGADCITPPKVIPLRL PLGVAEAQEVELSRNVALSEWPGVCGITGYDEGNHIAVLFLAWAYILSAKWAELLDRS ETHQCSMKYSSKICQEPEELAQAEAIEVDLPPEASEAEIVWWNAVLSGTPAWEISVEY EAEEFVSPWSVSLGGAISMRVKPEIHPQLTTYSPPSSTTAFKYLTRFCNHHRIYAQCT AALSASFFTRDIFIRDLPTLPIARSSKNPEHHSTPRSPQSISALISEHEKLLPYYMTL SSTQWFTTLPAIKSTFFNPDIPCNLVSAWMNPAFAIIDPIMKENNIPRLLNGLSAHQP RVASLWLGAAIMGISSIYLRHCMQGMAPSLQAEAWMGITTTFVTQPPGIYDKDAESVQ REDECRLLFLATPTDGGYNHGYVTVWPWKPFGSTKVCDTDILLRDHLCCGCHVLEYEG WDWELGDGSRIEDTGVEAQFASLLSSSHYTSASASASASASASAPASMCASCPERVVT SVDLPALPKDCEYDLYSDQRSSNPTRGIFCWLRSDGFPANEKGIYQHSWVVIAKGWDK EVDEHESDDSTAGALTERVENWLGGIHDVEVIVAAETEDCR PEX2_026880 MPQSAYSSKRAPKSSAKNAHPRPSTPESNIMRNLEMSPKANQSR KLSNAQPSDRKRRASSSSVSSVSSVSSLDDLSDEADDSEEDADDEQEPAVRAPSYGRR RDNKAGRQPTINKRRRVLQDSSDSSDDNDGNDSDDSSDDVYAGVDYITDAEDEDQDVE KMEEMIIVESEKTHRPLPSSGFADDGWAINSFDDHMFLPAASFFDEEHLYSAMDTFGE PQVTSEAAETPVARRVHFEERSDSSSDSDSHSDDDIPGDFLQQDSLDPQLRRMIENDN ENYQRNTRRQSEEMFGDADYGHGSIYHVESEGSSEGSLSGYESDDGDTTDEDLPPPAT ITHPRSLLRRDSSDSLAPVDEKSDCIPRRRGPIMGTFVADPHKPVALVDCTGKHLVII PAYASSRHDWLDSAANSMPGTANTSPRQTTLHLVDESDTDALASPNQIDFSPMLASSA NLMMTALGNDLTPGGQVMGPPEAFYPSQDFTIDSSFEEDEEDDPESALNVDDFIDFGN GSSDEDEDMDKQFDDDAMVSPMAATFGKSMGTPTPSRKSEPQPANSEERFLNHLDKGI VTAFRRNHNRYQALLRLPQHREFMPANSPARPASVFRHAKNNDQRTPTRKRKSSSIAG GEAVRRKLMDAQQRRSQLPIPAPL PEX2_026890 MIRLLNLPEETLCLIAESLDHQRDRHSLVLSCRRLYTVLLPTLY SQVILGDVSNHTVGQVSQFFNAIARRPELASAVRALRLESWDTEDDNGDIDCEFEYDG ELIRGLVAGTCWSNQPNDQLRRGNTDAWLGLLIPQLKGLRQISICYPYGTEYVEKMFL KAAKENVTTFPRLTEAFAEWYDTENSIPASCMEPFFKFPAMRRIGGSYIVDSDREGGE PRITPFSGVTDIDLDMTNTECGFGVWIESCKALKTFRLNVGGEMISEGPDLVSAPLRE SISLHKSSLEAFWLCGESYEPPEDDDGWMGSFADFNTMKYLHISLSMLAKLNDDFEPT QEIVALLPPSLETLYLCHCHNELLEWAVDQIGRLLDSNCLPRLTSLGLEGYGPSEVHQ SSLETMRKLEELHKRCADAGVFFATSIGSHRVIPSHFVSLWPCS PEX2_026900 MTSAPKPVLTAEDEAYLREVTAQPESVPITTEPNEQATAESPAG APLQPAINEQAENIPLPISPGEELAKELGEEGRQERKNSQATLTRSATPKSEVSKPPQ KKKRWSAIFWKKTEKDKDTPDANKSQTEPPTRPATSDTSTNGKDADKDKDAEDMTDIL ERLNLAADNNRVFSISEETQELLRKFKLIFKDLINGVPTAYHDLEMLLTNGNRQLQET YTKLPGPMQKLIEKLPERWTETLAPEMLAVAADRASKSGVNMENVGKAAAAAEKMGVN IPSLKELVSKPAALVGMLRSIMTFLRARFPAVMGMNVLWSLALFILLFVLWYCHKRGR EVRLENERLVTEEEITKLNQDTSEGLIRPTETLTTTAPPGATPDEIREGVRKVEEARA APVESAVPAEVESESQQSTQQSTRPAVVPTRSKSRLSMFGRSKTEPVPNTVAPYPGT PEX2_026910 MTASDIAGSFFKYVSIPVAVAVGLYAILLGLLTTSTFQSHVVYL HTIQMTWFKDLNVPETFGFMRNQVTPFSIRTTDGEWLYAWHILPVELYRKHQMPLIKE PVGFVSDIKSRLAFQLLREDPEARLILHMHGAGGTVASGYRVPNYRALSAGNPGKIHV LTFDYRGFGKSTGMPSETGLTIDALAVVDWAMNIAGVPPSRILIFGQSMGTAVSIAVS KHLAVQNPPVVFAGTVLVAPFVDVATLVSTCRVAGTIPILSPVARFPFLFKYLQRFIR DKWLSKDSIAQYIRANELNGEKYRIMIIHAEDDYDIPWHHSELVFWHAVNASMPAGIS YDDLEQKKLDSKADLGAAGSMMEWKTENGVIHEEILKTGLHDVIMGYPVVTMAVMRFL EAADPSFTP PEX2_026920 MLFNYQSLLVGVSLISQALSAPVLESRAVAASAAFPDLHRAAKL SSAVYTGCIGKAFDVTITKRIYDLLTDTNGFVGYSTEKKKISVIMRGSTTIADFINDI DIALITPELSGVTFPADVKIMRGVHRPWSSVHDTIIAEVKSLVEKYPDYTLEAVGHSL GGALTYIAHVALAQNFPGKELTSNALNAFPIGNEAWATFATSQPGTLNRGNNVLDGVP NMYSSALVNFKHYGTEYYSSGTAASTVKCDGQRDKSCSAGNGMYAVTVGHFASFGVVM LTAGCGYLS PEX2_026930 MFKRSFVSKDRAGKTVKVAKKKYDELVKEKMKQKVESPLMGSPL TSPIITMIVGRDQRLFAAHEDVLSVSPFFNSALKEQFSEDGAKQLTLPDEEPEVLSCV LEFLYKGDYYPRLLRGKQRNSWSLENAQDPNQTGGRGSSEPTMFHLGVGDLVLRDTTV YCAAEKYGLEELKRLALRKQGLQSGIPADVILRSARYAYDNTPESDSRLRAHYLALII RSRKTFKSSGTLQMEMEEGGKLFFDLFVAMCNHMDDLSELRYDSISPSPSPSP PEX2_026940 MLDSKKNAEDASTKEPIDIEITSCQTNTTEESPRLLRRIDAFLL PVLVVSYMLQYLDKSTMSNAAILGLREDLHLSGQEYSWASSLFNFGYLAASGPIAVLI VRFPVGKFMALSVVLWAIVLCCMGATQNPAGLMATRFFLGLTEAAVAPGFSIITSMWY KRSEQPIRHGVWFLGNVISGLFSGPLMYAFGHINSFPAWRIVFLVFRGITVAWGICLF FLLPDTPSNAWFLSETDRVGAVNRVKEDVTGVKHTKWKKEQMFEALTDPKVWLAVLIM LCVNIPNGGIGNFAAIVIKGMGFSTNKTFLVSMIVTGFQGFFVITSTLGSTYLPNTRT IWMAICIVIALVGAVVIRQVDNSHIWARYSGYCLLSAYTANFPLLLSLNVANVAGITK KTTANAMCFIAYCVGNIIGPQLFFADEAPSYPSGFAAMLVCLGVCFALIIGMRVYLIW KNTQRSEKLAGTPVQTDSTEMYISDRTDGEMLEYQYVY PEX2_026950 MKGSVSLDLVRGHIAAAIDAEAQALRQLNKDIHSHPEIAYEEVY AHDTLTTFLESRGWAVKRHAYGLQTSFEAETNTTVGGPVILFCAEYDALPGIGHGCGH NLIATSSLAAFLGLAKAVREMGLPGRVRILGTPAEEGGGGKVKLIEAGAFKDPEIKAA IMAHPISLCGLPEGYQGIAGFQTIASHKLRVEYRGRGAHAGGDPWRGLNALDAAVGSY NSISILRQQIQPDERVHGVIEDGGKVPNVIPDYTRMNWYIRSGTAARADDLLARCKGC FEGAGQATGCQVNYIMAPTYKELVLNNDFCDLYTQEMSVLGRTVLAKQETIASISTDM GNVSHEVPSFHGVFGIPTPPDIPGHHPEFAKAAATDQAHEEAILAAHAMAMLGWNVLE RENKAIYPIRYDETPLKSLFKSQEAEKKNMSSSTHHTEERYGKDTSMDGQVSYQVSNG IVEFDGPADPTHPFNWPLNKKCAVSVALAFSTFTVGFNSSLFSSATTQVTNEYGVSHV AATLGVSCYVLGFATGPIFWAPFSELKGRRLPILLGIFGFAVFSLGVASANNIQTIII CRFWAGMFAASPMTVAAAVFADIFDGAARGPATSLFCINVLMGPMLAPSAGGFICASS LGWRWTAWLGSIMGFTGFVLDMLWLDETYAPVLLTEKAIALRHTTGDWSLHAKHEEIV LDRRTLVVTYLARPLRLLLTEPLICLSSIYLAFIYGLMYLFLSAYPLVFQNVHGFSPG VAGLAYLGLVLGILIGGAYVISTQPSYRRKLKANNGVIIPEWRLPPVMIGSVTFAVGV LWFGSSGYRASVHWIVPVLSGVPTGFGLFCIFQQLLNYLIDIYLPYSASVNAGSSILR SLFGFGFPLFALSLFDSLGINWASTLLGGIAALCVPLPWIFFKWGPQLRAKSKYSLKL PEX2_026960 MGPRTYLTTGLRHLGKPRALEPGETFIVRYKNSLRQYKSDVWIG VVLPDKFGPSRHINRRPVGAQPAEGAWVTHLKDRMYSMYLPGRNMYKWVGLQDIYILN EKTINVLRRAEREPDAKIWDDLMEIIRTEPGLEFWKNMSLQELGAKGKRGKELRIVLP SGHEGLVSCGESHGDSESEEGDEDEDDPEDENQEAPTDRVEPTTDVTPSSSNQQATIR SFSDPRPSQGVQFRQTLGLFQDTNPAASSSEPSPFADRENSSLGMTWQFHPCPPLMPP TSSLPTSSAIISPPTTMTQNRALKVENDTSASASVNGADVAAQQPIPEFSIYDLTLSQ ALKHVFLNQREAPKITDVFLGAVALEKQPERLQIREYLADGEFPPRLIILKNSGFSHP TPFPALDSNNGTTFRLVGDQMGIGQNFKLNGVSNSLDLENAIIVLGRVYLQARRFGLM DLVYRITFKLQVAWNCYPELYQSKPLLEVASLAFTGRTEFDEADCDYLQSWLIHFIAE ASDLLTYNASDQFWSLLRAHPKLQDKVLNLRTLAHVHNPELYGNTRALLESRGLGNL PEX2_026970 MPYRFEVAAQGRAGCKAPDCLEEKVKICKGELRVGSWIDTFQSW SWRHWGCFTPKQILNVKNNITGLSEELDFSRLDGFDEISEDLQEKIRKAIQEGHVEDD EWRGDVEYNRPGRVGMRQKTRKSKVKGDESEESEQSSPVKKHDLTDTENVEEPTKKKR TRGKKATDDDDAIADAGIADTEPKEPKKPGRRTKKAAEDQPAAETDVKVERKKPGRPR KTPKKISEDEGADADTEMATEPTEPKKRATRGKKIAKDEIVSTDVESKKETTKRTTRG KKATTSVVNKESDEVEPANDEQSTNKAPATRGTRGKKDVSSADNKTGPTNGGTNKSIT RAKAVNGTNAKNGTKATKGAKTSGSDASKDEHADELTEKPKRTRGANKTNGTNTTSEN KPKPTRSRKPAADKVAANSDATEQKPKPSASDASDDEHADKPTQPKRTRGANNKANGN NATAEYKPKPTRSRKPAADKVAATLNATEEKPKTSESDASEDEHVDEPTEEPKRTRGA NSKTVDNNGTAEKKPKPTRSRKPAADKVAAAPDATEEKPKTNGSDAFKDEDADEPAEP KRTRGANNKTNGNNTTAEKKPKPTRSRKPTTDKVAANLDATEEKPKTSGSKPAATEVT DESADQTDKEPSEASTEQPVEGVALKSEDGPKNAFPEITEEPNVKTAKESVEGATEKP VGDDNANGEAIESSLEAVKIETPCDDDF PEX2_026980 MSEPGPESIPTSADPRSKRPTKRRAVTPHSEVANEIQTLFKDPS KDLHLPGALKPRTVASLSAPPEIVTNVQGSSAGAGSGEFHVYKASRRREYERLRMMQS EVDAEKNNETWEKEREEKKRKDDEKTEKNRKRREKKKNARGKKGGGDQNDNAPVESSA APGSMVTMEDQDGGAVDGQVAQQEVPGVIFHDED PEX2_026990 MQAPVVVMNTNSGERQVGRKAQMSNITAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EMLAQAIPQLERNIHPVVIIQAFKRALADALQIVEDVSTPVDIHDDKAMYSLIQSSIG TKFVSRWSELMCSLALRAVRTVSFDVGSGKQEVDIKRYARIEKVPGGQIEDSEVIDGV MVNKDITHPKMRRRIENPRILLLDCPLEYKKGESQTNIEISKEDDWNRILQIEEEQVK HMCEAVLAFKPDVVITEKGVSDLAQHFLVKANITALRRVRKTDNNRIARASGATIVNR VDDIQESDIGTGCGLFEIEKIGDEYFTFMRKCKSPKACTILLRGPSKDILNEVERNLQ DAMSVARNVIFHPRLSPGGGATEMAVSVKLSQLARSVEGVQQWPYKAVADAMEVIPRT LAQNAGASPIRVLTSLRAKHVEGHNTWGLDGESGNLVDMKEYGVWEPEAVKLQSIKTA VESACLLLRVDDICSGKSAQQIAGGGGGGEE PEX2_027000 MSTPTTAAVLYALTVSKSPPRPSPEDVLEKTHHAKSGFKNPWES FRDMDSKDIMQMMILRRLNGKANTPDTTPPTVTVRKPEFLPSRDTPKLRATWLGHACY YVEFPSGLRVLFDPVFEARCGPCCGPYSLGPKRYTEPACQAKDIPVIDAVVISHNHYD HLSYPTISEIAKRHPNCHFFAPLGNKSWFHNSGIKNVTELDWWDESDIAMSPKEGKTP QVEPVGEASAAAEIKARISCLPCQHVTARTPFDKYKTLWASWAIESGGSKVYFAGDSG YRAVDELPEGEDDWDPKYNFPICPAFEQVGEFRGPFDLGLIPIGAYAPRHIFSAAHSD PHDAVRIFKDTKCKQALAMHWGTWVLTEEDVLEPPKKLKEALKKHDLAENGVFDVCEI GESREF PEX2_027010 MEPNAVPSAAPSAGDLILQQRTQALQSAQKGDGSLFSQLTSNPL FTAGFGLAGLGAGLTLAQKGVRHGAALLRRRMLVDVEISIKDDSYPWFLHWMTLYQQS QLNAGKAAASTSTYMDRFLQKLTPGMRHLSIQTQKVEHSNGAIHTHFSLIPGPGKHVL RYKNAFVFVNRMRESKSRDIQTGKPWETITLTTLYSQRHIFEDLFTEAHAYAAKGHEG KTTIYNSWGTEWKPFGNPRRKRPLESVVLHEGVKERVVADVEDFISSSSWYNDRGIPY RRGYLLYGPPGTGKSSFIQALAGELDYDIAILNLSERGLTDDRLNHLLTIVPNRTLVL LEDVDAAFSNRREQSDADGYRGANVTFSGLLNALDGVASAEERIIFLTTNHVERLDEA LVRPGRVDMTVRLGEVTRYQVACLWDRFYEEIDTDGVYRKLFLDRLQELGLIEDESGH KADRSINTSAAALQGLFLYNKGNMEGAISMAEGLTHSVHTEAVGQDQGKHE PEX2_027020 MVYTIVVHLRAKPDEESISKLKAKLVEASNVYSNDKETLSWFVM QSVFDKQDFTIVERYVQESSQEYHLNNPYWKTFDPYVIPLLEKDMDMHRLEELEGSTM PEX2_027030 MFSAWSVGLVRIFGENGPPPCLKMSDKMNQFQLFPPPSPEVNRS NNPFRKAGKKPVTTSEPASSIPLQEIKDTSKTESLLLQIIEDTQGIPPPPPGDASRSK SPPAMAGASRDSKSPQSMHSQNRQAKGVNQQPLHSHASQSSSSSSHHTTASTVSPQSS QSSATSVPMRSMFPQFDPKLPFNQQAYHPQVPDAPRPVKSSRKPPKLTLSTNTEIDHV LGPKTVPASVLNFPTGALESEEVTYSSMEELKILWETANGQRTRDLVGTLNLRMTKTG PATFTLGNSQNPLYTLQTYSTNELALSRCDPSRPNHDVPIMIMSLEDRIRREHPNDGL VTLLFSRLAAMLAIDQADEISKQHHLTPAETAEVETDALKRAAAQESCRLSWNRHQRL YELRHPYLSRHNPPALVGAVGIPLSPVRSQSSGMVHITVSAPSGDASPRQPPTIIVTG PVSSTAMEAAQQAANLRTSVLPVTDFDEPLASLDFATKTFSISPAAVMATIPSLYAID SLIAAMLAVAVSDEATNPILADMVLGSPKSSRPPTSHNPGPYSMPVFQGKLVTTVAER EDAAESMNLASQIKSAQKKSKEASGKKGLFTFWNRSPSKPQENSRRSKKNKNKQVVVE EFDLEKYGRYGNSSSREGEKLPGLTRTILRILFFGLDLIVKGLTLIVKILAWLLVNST RCVTSEKF PEX2_027040 MLPSKPPGAQTAYKTFRPMTPPAQTSTIWELGNIPIAPGFYKAD EDDQLVFQTLPKLQITQPNLGGFNLGNQLSPQVSQSRARLDFSSLKRPPELMPAFSSK RKRSQNHLSRSSTSDVTSSEELPWDICEILWNMKTPSLKYHQRKIENGATCYSYFAKE GIVRELARREKGGGSH PEX2_027050 MRSFITASVLVAGALARSTEDYIKCATPKSAALGHIDTSKFTTC TSKTSQECFCANKSAIEALTTSTNPACAGLDLSTLASTLCSSDSDHEAAPARHASRPM QLVNDKRAYAPEAAVPRVVYVTETRTDCSCKSTPVAQSPMHVSQIPVDVPAASSVASV AAVSSTPAGRQHGFMGGAASSSVIFGPGATPSARPSGVDPTRFSPFQGAAAAGASVHG GVAALGVAAVMAVMVAL PEX2_027060 MSGQASSYYNEGVPQGQPPQPNYGYEQQHGNYQQPNNPPAPYPQ PNYQQPTYQQPAAEAKPPPQYHQNVPDSGYSFDQAFKIEKPKWNDLWAGLLLIAVFLG YVAVSGLTIHKYATNKGFNGGGIYDSANDFSLDTNTLVLFVFVLVVALVLSFLYFMGA RHFTKTFIWATGILNIVFALATGIYYIARKQYGGGIVFLLFGVFAIVCFISWIPRIPF TAFMLQTAMDVARGYGHIFLVSAIGGIVTVAFAAWFSVTMVSIYVAYEPDSTGTNPSC ANGGCSRAKVIGLVVYVTFAMYWVSEWIKNTVHTTVAGVYGSWYFFSKSAGGMPKGST RGAFRRATTYSFGSISFGSLIIAIINMLRQACSIAQRHEAGQGNLVGSICIWILGCFI SLLDWLVTLFNRYAFCHIALYGKAYLPAAKDTWKMMRDRGIDALVQDCLMGPVLTMGS TFVAYVCALLAYLYLQFTNPAYNRDGNFTAVIMAFAFLIGLQICQIFMTPIGSGVETI FVAMAWDPQVMINDHPDIYYKLVQLYPKVQQAVHA PEX2_027070 MRGEWKTPRPSGRPQFYATPPESNPPNWPPRDEYERPMHRKPMP ARGGLRISMSTSRLHNRRPRTQESMERRPQDLSPQGPSLSRFYTNGTTDENPPRPSVN SSETWRSSTEQASGTERSSVLTKSSSITDLSPDTPDAPYGMDNGMSVEDAISMYLDGF SDVTEEPGSPKSHAGSKAPSVTPPPSRDSHLDEAHPLEFSSLSDIPPIPPMPESTISR DTRPDSLFLPNLTYSEPTPIPPILPESAPELTPLEPALHEYPEPDPSDPVIPDSPREV TPSASPVAEAPEVHPAHQRQASKKVFIPGLVPPLLRSGNGPRDDYGFRKATQYVSLDE YETWKGPYSNHVTQRRAKWCELLKEHGLPTSQPTTFPPQSSKVKRFVRKGIPPEFRGA AWFYYAGGYELLNRNLGQYDELVAKVMSSPSNDDKEHIERDLHRTFPDNLHFKPEAPE QAQHSGSSNPNYSNVTTETQMIQSLRRVLYAYALHNPKIGYTQSLNFITGMLLLFLPE EKAFWMLHIIAADYLPGTHEISLEGANIDLWILMVLLRDSMPGIYSKIAAMGGTPSGR GKMPPLTVNSRLPDITLGLTNWLMSVFIGSLPVETTLRVWDVFFYEGSKTFFRVSLAI FKSCEKDILSVTDPMEAFQIVQTIPKKLLDANTLMDECFVRRHRVGQGRIEELRAQRR TAIREEKLRRSVAFGKGQLHSKTDEFTGRSRTPVPGIEHRVVDSWRNLKQHAFR PEX2_027080 MAIVDPATKQPASYIRPSSWADGLRGIAALFVVASHTCLSFATY LIPPSFAETGNSILFQRPFFRLVIQGQAWVAIFLVLLGFVNALKPLQLARRGATADAL TTLSTGAFRRKWRLVFPAALMTILAWLLCQFGAFQLGRRVDAYWLRATSPQQSPSLVA AIVDLIRQIAGTWMYGENAYDQPQWALLPLFRGSLYVFMTLLALVNTTPLFRLCAQMV LYTYSWATLDGTVGTNIFAGMILAELSFYNLSALRPHLIFKFLPYGLVTLGLYICSYP DQYADQTEWSSQLASLAEIIFPKDANVSRYYASLGAQMICFGAMLSPLMRRMLSHPVL LWLGSISFPLYLVHGPLMRSVLVYLLYLPMSISFEPALKADGTPDPESYIPTPGPFRL AIILSMFFVFLLYVVQQWTRYIEPKMGALTAALERFSRSWGKNATWTSKEKDDMLPIT SVREVNI PEX2_027090 MSRPLTPQHAQKYHVKFGPSREREFYKYLPPYHLKPHALQHIGP NTSPKEYVARSSADPVLTAFAQLGTLRLDAHRSLISLFGRNEQHILTEATQTLSLQND GNHHARDGLWVGSCTMSYDRSLCKSVINSTPNVSNARDRVFVVPDLAEDNAFKDHPAV TTFPNIRFLASSPIISPKGVVIGAYTILDDQPHEPLDAVSLQFLVDIAATVMDYLGTI HSKSQCFRSERMMVGLGSFLEGKGSLRNSWVLDTDVPQTPSAEMDRAEGHVDREQQEK QLSRNVTQPVAQHGTPSHLPFRPYNLHIPRSKALQGNREKYQSLCDSTTSKRDAKALL KLKEASQAAMTIGSDQSRQQSPKDDYTAKVNKTFGRAANLIRESIEVEAVVFFDANFG SQETLVDNAESGTESSSFESCSSGDEATFRSSPHRSSFDPEQAESSGKATLNPCEILG FATSNSSSVNDQLMDDNKIALSESFLGGLLHRYPRGKIFNFGEDGTISSDDTSDGIIK RFLRRPGAKKYKKTRKSLVRQDAQSLLQLAPESRSIIFSPLWDSHKGRWYSGTLAWTK APHRVFTSNDDLAFLLTFGTSVMAEVHRLGAHFADRAKSDLLSGLSHELRSPLHGIFG TAELLNDTVMDALQRGFIHTISSCAYTLLGSINQLLEHASINDVRSHSVAKPPGGGIQ EIPVDRKAAAARRSSHSRKSDDDTCVELDATLEDAVETVFAGYSFFSSSRSPLRGIDT PSTLDNKLLHTRGGVKVVLNIDHAHSWKFSTQAGAWHVILTNIFGNALKFTQSGYIHI SMKASPTKFGKNGEVTSSAVTVTVKDTGSGIDPNFLKNGLFTAFSQEDSMTTGNGLGL SITRRIILSLGGDIQVNSEKNVGTEVVATVTLNHVPALDSLDKLNVPSPMTMTQKLAC TKTIGILGLGAPELDIALYTSLQKMCLDWFSMEVLLVGSSQAQFAHCDLYISTYEYLD IGNQEIRAIAPSPGSQFSSPLIIICPSPRTAHALSVGAQNRGDANVFEFISQPCGPRK LAKALETCINRQQLQFDSPKSEENIPYGPTSFPAYLSTNKPKMDSFVSRPSNAEVSEQ ATIKVVADPERCSQPSTGTLESMRLSRGSFCDSLSPSEEVAATSHDTPIKKQNDTVSN LPTTVLLVDDNDINLRMLIAFMKKLNCDYVIAQNGEEALEAFKANSSVIGMIFMDISM PIMDGLESTRRIREFEKKLETKSRVTIAALTGVAQADTQRDAIGSVPIIKGIMPPTHA LWQE PEX2_027100 MKFAVTAIATIAAVLPVANAWIFTTCSGQWDGEDNKGCTKSSCM GGDTIDWENNLWSDCVLRVYSDGSCSNQIGIASDDWNDHSLSKGMGSFRVSSCDS PEX2_027110 MATVQDEGEYNLQEAWDKACASFAQTTRVDLTTAPKFSVDEILD QIRGKQDDDDEKNSKYRAAKDAIGKTLKFVMVLGGIAAQGASMVFAPAGLCFNAISYL IDTGAKFKRIFSSLAELFRRISDVLERCKIYMRLPAEAVDVALRKIINEQLVCFVDIC ALSISVLKGHKVFIALKVFTFDSDEGVSGRLAHLATLVERESQMRATLGFESQKMSER NIIENRDGTRKMNVNVDKLLHFEKKRDADNASKKLLESIDSSLDNPSEAFKVVQTTFR RLLGDQVQGSGKWIWSDPLYKAWALAENSSVSVLGISGDEGHGKSFLFASIVKYLQEA RIQSENDMRCISTAYHIFDKDKKQISLAQALKVLAWQVADRDIVYRKDVSSVKTTGIS RIGDLWEVLFSKSYKSDSTFFLLLDGIDQMEKSELKEFIQVLEALLAMSGTWSNFKLR ILITGRNDTMSKVKGRLGGGISTIDVSSEHNDDVEKFIIDRMNKMDILSGSSDQVVSL RREILQNLKTQTHGDFVNIGLLLHEISGKQRPGEIRNILSRSGGKRSDTIARKIELLN DTLSEEDISDLNLLLTWVVFSSSPRTLRSLEEVLFLKTGESSLRPLAEKIADQYSSLL RVMGEPHPTTKIVPNTSYVVLVSNSIQGFLQNKHESDNAQDVPDLDHNGDINEAEVRI VRRFLESVCDPILFSKFKFEEFFQRKLKGKMALVGVDIDTAHLSILSTCLQVIHHQGS GQLNALLVYGSYYFPDHLQQVDPSMTQPQHKVALGPQLVSLFTDEETIEKWWDPTDDW SRRDWIYGDRYAEVVLKWLQDSAVTKKIRDDQRKWVKSLSSKSEPDADILEHMVKVTA RVWLQSGVDTQDLANCFAAIHGYVTKIENRKNPQIKRSTCDPTPETIDASQISDAAEW ARQKLGLDSLGYEETRNLARTFREYDKYDESIEHFKLSCSLQEYNWASQWGLGLMHSY QNEYTLAIEMTEAAKNTIKCGEIRDHDLELIEMDRDIARYNEELGNGDEAFAMYEEML RNDPSDYDAVLEIVLHFHKDKNPEGLLKFLDSLKASIDDPTGLDRRTQTFHQHHANDK YHEAMLALVSDTKTFDAIFESYQVAIVAAKEQLAKAANTGDTDEETFNRACQTLLMHN LAHLCYNNSSENVERRQFAIDQWVHILQIDQTPSERFVSITKGFVCTKLANVCFHEAM RDASTAAIYLEQLEQLATFKPTYVDEEGRSSTYPTELVARYHALQGDDQKAKDALRTH IKRNIDILSDDDLSNDWQGYQGLTRYLMFAGQDADCLAAWWLIVPYEDADVNADSSPA EPRYPGRKGPLWDACDNCGASFQYADNIYMCRECDYVEFDEACYTKLRKGTLEQKFCD KNHEMLHVPPYNAAEHQRVGKGNVLVGEEIIPVSEWLQRLKEKWNIHS PEX2_027120 MAANQSNIGIPPEHEASFKTFTQSTEEKGFLTRPESLESRDLCD GLSDPPTLLRFLIARRFDPDGALKQFQEAYEFREEKHILRLYDLVDIANFEQARQIYP HWTGRRDKKGLPIFMLDLDHLDKDAVARWESTRKNSPWIYSQSEKVETPTPDMLQLAS VYHDNLIRFILPLCSMMTDRPNPSIPVTNSIYVVDASNLGLKQSWGLRSFAQEISWLL STCYPETIERVFVCNAPSYFSTIWKFMKSYVDPHTAEKIEVLMSSEVLPTLREYIDDA NIPVKFGGNFQYTNGMLPDLDDDIQKLLNSDSATSLPPGPLKWVQDSDGRRTALAVGS KAGSERSDIIATLNRIWQ PEX2_027130 MPAFRMPCMMTTFNSRAGRVAVLAVFCILTLIWILPRREVQVSP TFYAGNGYNSSHPIDALIDTAETDLHELLGTQAHDLQAAAEQYRARRGRHPPPGFGKW YEFAKSKDSLIIEEFFDQIYHDLTPYWALEPKELRRQARSIPTRITVRNKTAILKTDE PRDWMDSWYDLVAKLEGGLPDLDMPINVMDETRLVVPWEEINEYVQKEQAKRVMTKPS RVIHEYMSLADSDHEDLQPFDPGFAVPGEGGYWEMARVGCPPGSPARNSTLPKVDFSS PPWEYDNFEKMSYHGYVSNYTLGKDPCVRPEMQVLHGTFLEPISVSTSHKLMPLFGGS KLPMNNEILLPPAMYWAQNDHYSGGENEHGGSWEYKTNKAVWRGAATGGRAREPNWTG FQRHRFMSMMNATSVSQAEKNRSHGINFRLPNYADYKLKGDGFIPQLLSEHTDTGFNH LVCTPYNETDPTCPYLDPYFEIAPGMPMKQMYNFKYLPDLDGNSFSGRYRGFMLSTSL PIKATIYNEWHDSRLIPWIHFVPMDTTYIDFYGIMAYFLGGRDNAARKIALAGKAWGE KVLRREDMEVYTYRLLLEYARICDDRREFLGDIDDFKMD PEX2_027140 MTTAAIFGCTGAVGSQILATLLATDTFTTVKTISRRLPNAQSAK LEALEESDTSKWGDMISSLSPNPSTVFNAVGTTTATAGSIKNQWKIDHDLCVENARAA KEAGVKTYIYISSGGTRSFLWGWVPYSKMKVGVEDAIKELGFDNAVILRPGMIIGREE SKSFLLEKFVEGLQKLGKGVQDKVGQNQTTIGRAAVAAARVVEEGKAPSNYWVLEQAD IVRLGRDEWKE PEX2_027150 MSELNFRVATKEDAPQLQQLVESAFRAEDSRKGWTDDLGLSANF RLDVQEILAMITKPDSVMLMATDDENTLVGSIGTSKRDTDHARLFMLAVDTSQQRGGI GRQVLAYAEDYCQRTWGVKTLGLNALSNRQQLISWYSRRGYKATGETSPFPREKFENL VLPGDLCFVEFEKVL PEX2_027160 MNLRATSHSAALSCPKILMLHGHAQSGRTLQCKTEFLKPQVKDT ILNAVQQYPNAEPVDMVEFHYPSGRLPAKVDQPPEESNYRWVWGYGDSMSDRIRGFEQ SVDEIFRYMEKNGPFLGLMGFSMGAATGAMIASLLEKRHSIGNFQFNTDHPPLKFVVA ICGFTLEDPLYNDFYTPKIETPIFLAIASIDVMVAESESLRLRDSCTNTTLYFFEGAH HVPRHEAFLESLNQFIENALSIKEDHEEDWEDYGDY PEX2_027170 MAKEYVDFDGPDDSLNPINWPLCKRVYIAILLALTTMVVAMASS IFTSAIPDIMAIYGITREVATLGLSLYVFGFASGPLCWAPFSELKGRYYPLVVSTFGF MVFSFATAVSKDLQSLLILRFFTGFFGAGPLTLAGAVYGDIFTRKARGMAMVAFCLMV FTGPLTAPCIGGFTVMNSHLGWRWTAYIPAIIGSACFIIILFTLEESYHPVLLAGKAD KLRRETGDWSLRAKHDELKLDLRTIATEFLTRPLKMLLFDPIVLCMSVFAAFVYGLLY LFLTAYPIIFQKIHGMNRGVGGLPFIGIIIGQLFGALGVFAIQPWIMRKAKINGGEVM PEWILVIAIPGATSFSAGLFWLGWSGYKQNTSWVVPTVSGLLTGFGLLTMFLPSIAYL VEARPKTSASAVAAHTFLRSLAGGGFPLFAFYMFDALGVEWACHTMSEKIKEAVLSEA KNTQAVAHDVITSGAYLYPFKGIIYFATHKDLWRPFISRAGRTITLGVGVTSVMFFFT YVPQMAIMAFTSGPLAAISAAILVLGESSAITNVLSRSFLVEDALIDTFDGTLVARDQ EPLVAQGRQMKPRSGGKDAMARMGKIFTRPLARLNPRALLRSLLYLPLNLIPVVGTVL YIFMQGKRAGPVLHARYFQLKGWDSTMREEWVNKNQGAYTGLGIAAFVLEMIPFASIA FSFTNTVGAALWAADLEKATK PEX2_027180 MSTKPVTRVAIVGATGRIGGAFAQSLLQTGQHEVTALTRKDSQG KVPEGVRSVQVNYDDDDSLVKALNGQQFLVITLSVRAPEDLHARITAAAAKAGVSYIM PNAYGFPISPEGVKDGDLYGKRVISRIDDAQNGGSSSVTMPCGFWYEWSLACGEQWFG FTIKDRKVTFFDDGTRVVSASTWDQCGRALAALLSLPESGETPALADFKNKEVRINSF RVSQRDMLDSLHRVLGTTDSDWEITHESVAKRLADGAEEMAKGVFTAIPKMLYGSVFL PTNKEGDFAGTMELANDILGLPKEDLDEATKRVVDMVAGGWTPFG PEX2_027190 MDKNPETELLAANSSRGREASAYLSFVVDLYNELPEYSIFLHAN PDQWHNDLFGPKTSSALHSLRREAVDAMGYLNLRCTNDPGCPIHVNPNNPTQTDIDKN DARANFRRIYKDIFGEDADVPEHIGGICCAQFAVSRARIWQRPKSDYIRMLNWVNEKS VPLVDDYGVGWVFETLWHIVFGMEGVQLLPSLRTMPVRQLWMVWTLAIWKNSYGHYGT TKEAA PEX2_027200 MLISVEQSAEEAEKTAAVSELVQKTRSYKTNSTKVALVMSKTRS DDLRWLRDYLQTQQVKPIGCRKSY PEX2_027210 MKTSIYPDSKPAVSPTGPTGAFMVELLICNGSPFKDHWAYFVRS HADPDIGVKIHATGNVRTGFEFEIKRSENLQTSDDIPSTRVPLQWVDANYFDEKAMLN DGEYKIDNAPVCRFERSTYEIKAPGKSLNTISEGAKPRMKVIHRDCQTWIVESADQLH RDNILNNEVVAYLHAIQQ PEX2_027220 MLFGRLFGVVALCAIVKAVELSGYEYIVVGSGAGGGPLAARLAL AGHSTLLIEAGDDQGANLNYTVPAFSAKASEDEALAWNFYVKHYADESRQKLDFKTTY ETPDGKEYTGLNPPAGSTMKGTLYPRTGTLGGCTAHNALVTVYPHDSDFEYLATLTGD GSWSPDSMRKYFERMENNRYLLPLLKGHGYDGWLSTETAPLSIVLEDPQLLSMLVGGA FSLGNVTKSVFNIGTLLAGDANSASNHRDTSPGYYQVPLASDESSRNGPREFVTTVRD AKNADGSKKFPLDIRMNCHVTKVTFDESTPPRATGVEFLDGKYLYSASPRSKTAGKGT PGSAAASREVIVSGGVYNSPQILKLSGIGPADELQKFGIKVISNLPGVGTNLQDHYET AVQGHVPKNFTALDGCTFNHGDDPCLDRWKKPVLGNRGIYSSNGFGAAMLYKSSVTAD DSFDVFVFGGPINFRGYFPKYGVNITERHDWFTWAVLKAHPRNTAGTVMLRSADPLDM PDITFNYFDTGSGDYKKDLQAITEAIGVARGAFDRQLVDVSEVLPGDDIQTDEEIHDY IKNTAWGHHASCTCPIGTDEDPMAVLDSNFQVRGVSGLRVVDASVYPRIPGTFTALST FLVAEKAADVILDAAKASQ PEX2_027230 MSLPEQPTYVIVPSPGPSIESDRLLLRPITDADATALFAIRSRP EVAEMNHPKTPFKSIEETREWMATKVFTSGPSDIIGRSFNYAIVDKSIPETEERVVGS LSINQVDPFPEIGYAVHPSVWGKGYATEALQLMLKMWWNLARRTIDSGDAPAEVEKAF ALCEKRNAGSSRVLEKCGFKIVGDFEEEGNELYIFALERP PEX2_027240 MRENSDIENVAGQLKEVREFRQGLHQRHIQMIALAGTVGTGIFL SSGRAIVEAGPLGAFLAYTIIGATVSSVVFAVGEMGALVPLNGGVIRYTEIFCDPALA FANGWNQVYSYAVSIPSEIVASAVIIEFWITVNNAIWITVLAFLMLSTAFVFVRVYGE LEFGFSILKIMLIIGINIMALVITCGGAPNKESIGFAYWKAPYGPFVQYLGVGGSLGR FLGFWKTFDNALFAYSGIENFTLAAAETRNPRHAIPMAARRIFIRILLFYVVTIFMVG LIVSSADPNLLGSSGTASQSPFVIAARHAGIKVVPSIINAVVLTSAWSSGNSNILGGS RILYGMATQGHAPAVFKRINRFGIPWVAVALYGAFMALGYMSLSDSASSVFTWLQNLV SISTLVNLICICIVYLRFYYGCKKQGIDRHKELPWAAPFQPYITWISLVLYIVLFFTG GFTTFMRGHWNTATFVSTYFNLPFIVIVYLAYKFWAKTKIIPLAAIPIRPFIESWHKN PEPEPKPKRGLAKLNILWS PEX2_027250 MQQSALYHAIRSGDAEIVEELLHDQPKWNYVDQQRPALIVSAFD KPDILQLLLDAGADLNTPGPEGWSPIVYAAKLGSVHVIQTLLDSGADLHIRDDYGWTP LCIAVDYERDKNILQALVEGGASVTDTTTDTGKGLLHLAVCRAPHVTRFLLRFKDQLD LNQSDFEGCTPLLSAKGEGEIDALTLLVNAGADINLVDSRGETALHCAIEFETVPFRD LLLSQPEIEVNCLSVYLGSPLHSACRRSHLDCVEALLAHGADPNLISPNTWDQTPLTS ALLPSEYARGDIMSSVEDVVRHLVRSGASVGMMVSSTFYCPLATACFSATPSVIDFLL DAGASPDCVDPVSGKLPIHFAAANGIESFDTVLLAFQDNMMIPDTYGKNCLHWAAQYG NSYTVEYILSRLELPSERSQAVEQADCDGWTPLCWAVCPLFNHYLNQMGSENRDHVNT VRILLKHGANPMVQCRRGKEILTPLELAQRCNAGTEIISLLRETVSAQSQLVAVFTSQ SVRKYTCSSTICDFCLGVC PEX2_027260 MAQSFHLSSPITGINPIKPSHCCSDLVALDVTPKELVEGTHAAR SERKKVIVVGAGVSGLHAAAVLHRHGCEVVVLEARDRIGGRILTSRKGDHVRDIGAAW MHETSQNILVKLIPQLSIPYYYDDGVPLYFTREGRTGSQFKAKKVADEFADYCEWFYE TNPEAEDRPVHEFAKEFVLQHQLITEDERDWAPQAVREVELWIGTSTDQASSKHLSYF ITERNLYMKGGYDRIVNWTAEPLRSDPSIIRLNHLVEDVEWNEDGTAPARIRYKDAAG EIGFIGGDAVIMTSPLGVYHHNLISFNPPLPSDIEEGMSKFSYGALGKVFFEFAEVFW SKENDQFVFYPSPPEESDVSSGSSVQSNPSILSIGENDNILNYATVTINLWIMTGSKE LCVQIAEPLTQRIENMQDSKEIYLFFEPLFKLFRTEPYKALPRLINVETTHWTQDPLA GYGSYSADKVGDEPQLLVDALENHKASRLQFAGEHCTLVGNGCVHGAYKSGETAANNL LEAFGIPHDGVDDLSLK PEX2_027270 MEDDLQLNGTERICVDGEVALIPSPTNSPNDPLNWSTWRRYWHS FLVLVFVALTAATANDASSAGNGMNAELGITWDQINIAAGVLFVGIGYTTLLLGPAPF LYGRRISYLICLVFSVIGSIGLARTQNVGDNIWSQLFVGASESCAEALAQLSLSDLFF QHQRGLVLGLYVLATSIGTFTGPLVSSFIVDSPLGWRWVGWLAVIISGALIVVFYFTL EETSFDRNNPIHGHDARPVNAEPTSNNLPVEKKDEPIASVQPTPSDRELDEECGQEKG NTYFQRIALITPSPTLVGTGFKQYGRRLLHTLRVFTFPAVLYSGLQWGAQDAWLTFYL TVETDNWYDAPWNYTDAAVGIMNVPTLIGSVLGCIYGGWFSDFFVMWMAKRNKGIFEA EQRLWLLLPVAFIGPAGLMLFGIGSDKGWDWHLPYLGLGFIGFGWGCAGDLSMAYLMD AYPDMVLEGMVGVSVINNTIGCIFTFTAQNWLDAQSLAQVFIAIGVLSFCFIMTTVPM IYWGKKCRKMTQKQYEAFLLLRDGPS PEX2_027280 MVFKSYYQWATLALAATAAAQTTTSCNPTKKTCPADTGLNKYRL STDFTSGSLGRWNTTAGTVTTTDLGAKFTVSEQGDAPTIESEFYIFFGHVDVKMRAAN GTGIVSTWILESDDLDEIDWEQVSTYDTEIQTDYFGKGNTTSYDRGTTVTVSTPEETF HTYSVDWTSERIEWLIDGEVVRTLKYADAVDGTNYPQTPMRIRIGIWAGGDPDNSEGT IEWAGGETDYTEGPFTMYVESVDIINYNPASAYKYTDKTGDYTSIKATNATTSTNLSS SNSSSIIASSKTSASAKASSSSIASSSASASAAASSTSFVSAAPASYYTSIFAMALGS LVAGMVQF PEX2_027290 MLNSFPRSRRLFYLLLACAVTSICFINLLFLTHAVDNSVIHLPN LSLFGATTSPVLGQYARDEHPIADLMKEANRQWQEYDNGRSTSFRRTVAKYRETYGRH PPPGFKEWYMFARKNRAHNVDDFQQITGDLRPFWAVPPAEIRQMAAKLQSSDGIAGVQ IRNKKVVYSPIEGWRVETLRKSIKRIAQYLPDMDIALNILDQPRVMVSFEDTQDYLRT EALTRSLPSDAQDRFTQGMEYLFKEGSNIEDHVDPSWFSIAGKLYMEFAKESCDPHSP ARNDNLTVEDADKLYKSPSGGFITNFTASSDLCTVGPVLGENHGFLFSASSNLITRKL VPVFSECKVSVNNDILFPANMYFMDDKRYTYNSRHDYEWKDKADTLLWRGVTSGGVQL ADNWQHMHRQRFVHITNNTDMRSETVSILSETSLGQYRTYPNFSPSKFSLDHFDVGFT EAWGCIPDCSFYNDIWTYKEPKDFSEQFKAKYLVDIDGHSFSGRWRAFQLGKSLGIKA TIFREWHDSRLFPWRHFAPMDNRYDDLYGLMTYFLGLDPQASPVDAFTISEPYIQKHD FEAEVIASQSREWAQHALRNEDLDIYLYLLLLEYGRIIDDNRDSIGYSGDGSELDHFD DQHPFSPAIPNIVNPAPPNTDEQ PEX2_027300 MALKRTRAALEADLQAEQSPYAFYGTPLPPLDEGVRDDGSFVPI WKQELRDEHGSKRLHGAFTGGFSAGYFNSVGSKEGWTPAAFVSSRQNRAKKAEQQRPE DFMDADDLREQEEARQLQTADEFAGFGSTELDATRRAGLMDILKTGGETMGVKLLKKM GWREGQGIGPKVRRKANLDESSAPGGDAEETYLFAPENPPMVAFIRKTDRKGLGFEGE SRLESHNALQPIPDVEESDSFFGGRLAIQGKGPTKPKESRRGGLGVGPLNDTGSDDED PYSLGPQISYNKTIGGDKKKKKKVKPEPTIASSNPLLNSKPVFISKKVAASRGIGGFR KCRDGRLPLDGFILADGMTGLSISEKKYDPPVIPENWKPAKELSSEKRDQSTYVSTAD AAKASSLNPTSRAALLGEAQLPGKSIFDYMTPEARDKIAKLTGNANLPPALGEKAPEG YKLPESQRRKDLWDLVPKLDKQIAAQALARAVSGWMPYQEDEKKRARYQIFLEVSAGT RSTLPERVEGSSTDDWVIEMQEFGRAAEVFKPMSGVMASRFTSSSTAPKLVSDAPDSA ESGLSRPAEKPDDPAVAAAKIGMFGPMTRSTTPFYPSRLLCKRFNVKPPENVQLDPGE RPGASSSGPGTRFQSAGFQADTGPKPLVSQDIMNQLLIDSLGYLPTADGLDPSASGQP AIAAPPPVVVEPERNDALEAERPGDAVFKAIFGSDDEDD PEX2_027310 MELLDRKQDATRVTSDRSTQPRLRTRRAPNLPLNEHYNEPVRNY VWRSKRRTWTRAQLDRERAEFFETRVTGRPEIWAAISTVISLIRSGDLATAQSILDAA GVTVPTGNICEGCYDEQGVLYRVPQCVASDPENMIPSSSRTASEDGGPAGYEEEQDTG ALSDGKLATDDASGDELISQDVERRREEKGKTSERDLIRVRARLSDRGGPDILLSIDK GQTVGFLARKVHQEAKLKDDRRVRIAYLGHLLHEREPLVDQGWKTGHVVNALVVSPVH DLGLGH PEX2_027320 MNYEADRQTREVYRYFQPENPAALNASLLQFDDHVASIADFPRV TTPFAPDASESEASFAEGSSPSSPNTTLTSFAQLAALRLNAQRAFITILNIDSQFILA EATRNTNLRSSNSSVGEGDTLFAGTSTLSDAWNICQETVAIGSDNEDGLYSFLVVNDL HKEERFKGLPFVTKEPHSRFYAGTPLTSDSGINLGCLFVLDSEPRQGLSDIEKDTLGK VAELVMDYLLVSRQAAEGRRASRLSRGLHLFVDGNSSFARNTHMSSRSNSSAQSLSPR ASPHLRASRSTSSQNDELKFRSARSGSVNASQSGGPQNLGPDYNQNDTCVPPSPTASL HSSRVDESGSEDSSSSNHWLFQRAANLLRQSLDLDGAGGVMFLETGDNSSEYVAADHC DSAESINPAPILALSTRDDPFSYQASSTLSDPAVNLDNGFLRELTRRYPKGKLWSFHH DGTFSTSEDQLTDESQKQRKKSKASETSKLNEFFPHASQIMFVPLWNATDTQWFAGCF CWTSQPTQVFSPVVDLSSVFAFGSSIMTEYSRVESVIADRQKGDFISSISHELRSPLH GVLAAAEFFGGTTLDQFQETLLDTINACGRTLLDTMNQVLDFSKIMSLERHKKTFRRG KDPWKPKPSEENVARLDTLVLTDVALLTEDVIDSVCLGHSHIQRSATSTNRPTDILST LPSKPAKDGSQVGHISGVDVVVDIADNDWMYKVQPGSLRRLIMNILGNALKYTKKGRI SVCIEATERSKGRSRRQGLEDMVTLTISDTGKGISKEYLQKHLFTPFSQEDPLSVGTG LGLSIVRGIVKTLNGKINVRSRQGEGTIVKVSFPLERLVGDENPQSTSHKENSDQNIP TASSQLRRIGCSGKRAAIWREPSRLGDHQFWAAIARYITDWYGLQLVPLSTNEPIDIL FADERDLSAKEIHDFPKGLPNMLVFCSETGDSSDYRAQWSHLAGSLAILHRPCGPRKL SRGILSCLDTKPTITTQDHGQGLELPERPALTSLALLVEESNPLLPGNPRSPDADPSI PMSASSNRTIGRTEHSAFVLTSYTDSSGRTISGITDSTNPVSSSSFRSSYGGSDHVST SSGSQRRPRVLVVDDNNINLHLMMTFMNKRKVVVLDKAENGRAAVDAVERMLQGYDLI FMDMSMPVMDGFEATRAIRAIEKGRDGCIPATIIALTGLSSSRDEFRALDSGVDLFLM KPVSFKEVSRLIDEWEAKQLK PEX2_027330 MDGQKTPFVRELGSSDRKVRDKALESLTKFVQSRTDLTLVDLLK LWKGLFYCFYHSDRPLTQQALARALSYSLVPSLPQATLHRFLRAFWITIGRDYHSLDR IRLDKYLMLIRFYVGVAFEVLLKNKTSTKNADGKKRKREDAKQGRGKKQKKQAEAETV EDEEEKDSAEDAEAKFPDLAAYISIIEEGPLCPLNYDEDQAPDEGDPNYVPMPHGPDG LRYHLIDIWVDELEKVLEFEEVAGDEDDETPKRKIKGDVPIELILRPLEKLRAESAYK PVRTRAAEALEDERLFEWGVRTRKSEEEDDSEEEWGGFD PEX2_027340 MSSPDDPQELLDTALIVDESVSLTISGDLLLILDDRPAKSDRRC CGLLQSKPETNHAISLYNILGAETTASNIVIAYAQPASKDNISVTTVQYPISEKEKKA VETWVRQLLDRAYAKALRGKRLKILVNPFGGKGTAASLYQRYAAPVFAAAKCQVDVQT TEYRGHGIEIAENLDIDAYDAVVCCSGDGLPYEVFNGLGKRPDARKALAQTAIALLPC GSGNGLTWNAFGTGSVSIAALAIVKGLRTPLDLISITQKDSRTLSFLSQSFGIVAECD LATENIRWMGAQRFTYGFLVRLIRQTIWPCDIAIKVEIGDKEGIKKHYAAWSTRPQEP DADSKRLEIAAESPGLPELKYGTVTDELPQGWEVVSGETMGNFYAGNMAIMSKDTNMF PATLPDDGLMDVITIDGTVSRGTAISMMNEIPTGRFFDMPDLNVRKASAFRLVPHQKE GYISIDGERVPFEAFQAEVHQGLGTILTKSGRSYEAAGPP PEX2_027350 MASFLENTYSLLHVDNTADQPTVQELKLQLEKGNDETKLETMRT IVTIMLNGDPMPQILMHIIRFVMPSKSKSLKKLLYFFYEICPKHDSTGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLSKLREPELIEPLLSSARSCLEHRHAYVRKNAVWAVS SIFQHSESLIPDAPELLQLFLESETDSTCKRNAFAALMSISHQKALEYLRTTFDKIPN TDELLQLAELEFLRKDAVQNPQNKSRYLKLMLELLDASTSTVVYEAATSLTALTSNPV AVKAAASKLIELAIREADNNVKLICLERVNQLRIRNEGVLDDLTMEALRVLSSPDIDV RRKALNLAMEMVSSKNVEEIIMLLKKELAKTVDEQYEQNSEYRQILVQSIHSCAIKFS EIAASVVDLLMDFIADFNNNSAVDVISFVKEVVEKFPDLRGSIVARLVSTLSEVRAGK VYRGVLWVVGEYSLEEKDIRDAWKTIRASLGEIPILASEQRLLDEVPDDNALLMEQAN GHSKAAPTGSRKVLADGTYATESALTSQSAAAARLEAVKAAQKPPLRQLILDGDYYLA TVLSSTLTKLVMRHSEVSQDTARTNALRAEAMLIMISIMRVGQSHFVKAPIDEDSVDR ILTCVRSLAEFSEKKELEATFLEDTRKAFRAMVQVEDKKRAAKEAVEKAKSAVQIDDA IPIRQFTKKNAVEGGEEIEMDLAKATGGDSTVEDVSSKLSRVVQLTGFSDSVYAEAYV TVHQFDIVLDVLLVNQTTETLQNLCVEFATLGDLKVVERPSTNNLGPRDFLNVQATVK VSSTDTGVIFGNIVYDGASSTETHVVILNDIHADIMDYIQPAHCTETQFRTMWTEFEW ENKVNINSKAKTLRDFLKQLMDSTNMACLTPDASLKGDCRFLSANLYARSVFGEDALA NLSIEKEGDDGPITGFVRIRSRSQGLALSLGSLKGLKAATA PEX2_027360 MSNENFYLRYYSGHSGRFGHEFLEFDLRTISEGSSAAVRYANNS NYRNDSLIRKEMCVSDAMVEEVKRIIKDSEILKEDDSKWPQKNKDGRQELEIRIGNEH ISFETAKIGSLVDVTESDDPEGLRVFYYLVQDLKALVFSLISLHFKVLSVSPNA PEX2_027370 MPTPSNNTIAAAIAARTTCPPEPERISAWRDSPDTVLNSDPLDA LSPDIPIASAMLSRSHDGSTPEPMKAEGKPTGVEEDDPVRATSPAESTCLRSQSPAAP PGSSLLNWEFSNIRLLPNHTSFLRSGSKFAGTQQSDRQVYNVDVEIKHVDMAESYLCG YLRIQGLTEDHPTLTTFFEGEIIGTKHTFQTRNEEWGASEKTDLHHWSRFPAWRPLAK QAKRADFTYRNFAQREHIFMRWKESFLVPDHRVRTISGASFEGFYYICFNQIEGTVSG VYFHAKSERFQQLELKHVEDRGCAPAVEFR PEX2_027380 MQHPEIPSPDSDGSGSSDEFPQYPVRTTSSFGETVDDQIQTPAS TITSSPPTLSPSLTSWSNTPTARPRGISIGATTLLDKPDGLAMASDLRPQRPSAPVRT PSNTYAPQRRPPQYISLQEDRQRSSSTKRGNRRDPNAQYRAQEKAYVQRIRANPQAWY HHTKDSQSNIMIEGDGDLEEPSPSSEVPFEDDSYDPDTQLFLPDDNLPTTEELKHPKN QERLEWHSMLASVLKGDVVKQEKQRLIGSAESKRSAAQSYALWIGVRARTCGRSIPLQ RKLIEDARSRLAPIIEDIIGFQIKGETEIGKPPKQQVEDIVEKVERCEILYSSHKEME VANPRVASEEFTSSRAAIFAWHNITALINTELAVLQSWVGNEALDFSQPRVKSAKDDL SDGSSFLGRIMKEDGLKTLQDKDGMLLRILVVIQKAKTTLIENSEAFASRHLPPYIEE LLTLINFPSRLIQEIIRVRLSYAKNMKDPAQQAPILIDQMISQFQILMKVAVDIKFRY LDVSRPEPGWDLPPCVDENFDNSVLDAMKYYFRLLNWKLNANKNTFKEAEILEQEWGF SYDIGCRLDGGDIEVAEQFSALTARSLQRLMIHFERELVPRPTETVQDMDKRYKSILD STRIRQRKLYRFSKFLCQLFENATEYNITSDIAYDFFEALLISDHFLVTSTTSVGQKG VYLIAHHALWNRPADIQGILSTSFKEDDPTQDVHVPYVLAIRPERPLAWAGKEMQMEF LEHPTDVRLGKLRIVVGGMQERLQNARVELARLTGIQLDMVIEQRANLGRVNVELNKI KKISFKLSMTIMDSVSIIKDQLHERHWENNDLIQACYAFATEFGKRSSNYVDANRRAM NSARLVELSLDWVAFICDECDAADRKTFKWAVAALEFAMAITSSRHLLSMDQEQFSDF RLKVAGCMSLLISHFDIMGARSSLAAKNEKRMEERNGARQIGAGRITSDEEAAKLVRE TWYARVVEIEDRRIEEDAKRQALGKVLEGSDEADRSLTVLSSSATNVNLRWQQGQFIG GGTFGSVYVAINLDSNYLMAVKEIRLQDPQLIPKIAQQIRDEMGVLEVLDHPNIVSYH GIEVHRDKVYIFMEYCSGGSLASLLEHGRIEDETVIMVYALQLLEGLAYLHEAHIVHR DIKPENILLDHNGVIKYVDFGAAKIIARSGRTLAPADNPQGPGFKEAAKDSTNQRKNQ KSTTGTPMYMSPEVIRGDAANLDNRQGAVDIWSLGCVVLEMATGRRPWSTLDNEWAIM YNIAQGKQPALPSRDQLSDQGIDFVRRCFECDPARRATAAELLQHEWIISIRQQVVLE SPTPTSDYSSSSNSSSRQNSTYM PEX2_027390 MADSTVTYNGTIATGGDSLHDDLNIYYSSGDISWVIVSTALVLL MIPGVGFFYSGLARRKSALSLIWLSIMSVGVVSFQWFFWGYSLAFSHTAGSYIGNLDN FGFKGVLAAPSVGSDKVPDLLFAIFQGMFAAITVALAVGAVAERGRMLPCIVFMFIWT TIIYDPIACWTWNSSGWVFKLGGLDFAGGTPVHIASGSAALAYSLMLGKRRGHGTHEL NYRPHNVTHVVIGTVFLWVGWFGFNAGSALAANLRAVMAAVVTNLAASVGGVTWCLMD YRLERKWSTVGFCSGVIAGLVAITPGSGFVTPWAAFIFGVVGAVACNYATKLKFLIGV DDALDIFAVHGIGGIVGNLLTGLFAADYIAHLDGVTEIDGGWINKNYIQLGYQLADSV SGMAYAFFGTCIILFVMNLIPGLSLRAPEEDEIMGIDDAEIGEFAYDYVEVTRDIVNG VESSETASKRTATPVGEVIDTKA PEX2_027400 MDFPTDVAEFDSDPRISFSRLDNSFLLETSDGREFLFNSILKRW VETIDEALIRKQQGYGSDDDETEIVHPRDRKKRKSSHDETAEPKVKKPRVNTAVWVTK IPGDAELSEIHDVFSKYGILAEELDTGKPRIKMYTDENGNFNGEALVVYFRPDSVSLA IDVLDETDFRMGSRNPAGPMRVQAADFSYKREQDVQPKVTMTMKEKKKLKERAERLNK KLSDWGDDEAEQALEAIKAAEEAKRHVILKHMFTLKELEDDPLASIEIQDDIRSECSK IGEVTKVVVWDGEADGVVTVRFIASADARRCVQTMSGRFFAGNTVVAYIWDGKEKFNK YHPQRDAAGKKVNPLDADDEENERLERYGDWLESGGNQKTDKNQEAANEKTDTDKTDT DKTDNSQETGKN PEX2_027410 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDGDGQYNGTSDLQL ERMNVYFNHASGDKYVPRAVLVDLEPGTMDAVRSGPFGKLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICMRTLKLS QPSYGDLNHLVSAVMSGVTTSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GGSSYRQVNVPELTQQMFDPKNMMAASDFRNGRYLTCSALFRGKISMKEVEDQMRNIQ NKNQSYFVEWIPNNVQTALCSVPPRGLKMSSTFVGNSTSIQELFKRVGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQEASVSEGEEEYLAEDIVDEEV PEX2_027420 MNYTNSWASPLPSGDMTTQLLPYAVGLLLAWPVVTTTLRFQRLR KLHKQYAYTTRESMSKMTDEEAFQIQKQVAQLEFPLMFIKSLQFALFRTYGIPSISTL LAKTSQFSSPETSFKRYTDTSVLVQEMVGNNPTSARAYLGLARTRYLHSGYRASGKIL DDDMLFTLALFALQPIRFINRYEWRQLSDLERCAIGTFWKSVGDGLEISYEKLPSGKT GFRDGIQWLEEIDAWSEEYEAKFMVPDAKNRETADQTTAVLVYMLPKMLHPVGLQFVS FMMDDRLRKAMYYDPPSAFYSALLSTILTARKLFLRYLALPRPYFLRFASFTEEPDQN NRFFLIQWEAAPYYVKPTFWNRWGPMAWLTWALGRPVPGDEGDKYYPTGYSVPDVGPK YFEGKGRKQLDETLLELKEYRTGKCPFH PEX2_027430 MKPDDVEKAHSSPTLGSPSQFHLDKSASNSSSEDSDLAKPDPVL QSRCQRWIASLKNVESRGIEPIPIENREPITSSTVLHMLLMWFSMTLATNNIIVGSMG TLVLGLSFKDAALCAVFGCLAGNCIIGFISIWGPKSGNRTLIVARYFMGYFPSKVCII LNLFTNIGYSMVNSVVGGQILSVVSGGHLSVIVGIIIIAVSSWAMALFGMKIFQLYER VAWLPQLLVICVMVGSAGPNIDFNIQTPMSTEQLIAKRLTFFSLALSIALAWAPLAAD YYVYLPPQMKSSRIFLATVIAATTAMSIVLLMGIGLGTVIASSAHFAAKYGSSPGGVL MTAYDGLGGFGKFCAVINVLALVANNTPGAYSMGMNFQMIGGVFGKVPRPVFTTLATV IYAACAMGGRDQLYQIFKSFLPLIGYWVMMFVVIVFEEHMIFRRRRGYDWSQWNCRDK LPLGIAAGVAFLIGWAGAIVGMSQAYYIGPIAQMAAEADLGLWLGAGFTGIFFPPLRA LELKFIGR PEX2_027440 MGTTNVPVLPSTSPRELKMHDCLIEVTRFEGDQSAVFWLGGYLD DKTRINADGTFDHTMLSYDDFEQDLMDHLSYDSHEDDLRWDHNSPSQPRVNSEEPPAY SVRTYNTWVAALFAMQYACSGLVNSGVQPTATASSPIMTARFTIV PEX2_027450 MASTDQVQTSPPSIFRPRIRDGMIVVRRPANRNDAAIFWTGTYF IPPNGTNGAIFMDFPAFLADLEAHFQYDPYLDEIHYQIPSLSERLALDAAQIGPNDIQ SLQPEIGIVRTYSQWVAALGAMQASHLMRRSSIEYVLRSGMVTSSVRHRVQPVAAAQF CIEDLQQFYIGKDISDVPKPAAVLDVAIIRRHCETMLRTIKTLGVGFRVHVKSHKTPE IAKLQVGDSTEANFIASTVMEIEMMIPLLQNLKQKGRKINILYGIPLVPSQVPLLAKA ARELGENSITVMIDHPDQLPYLEKLSSLAGHPTCVFVKVDTGYHRAGLPPVSLNKNGL LEKLASAEEIGGARLLGLYSHSSLSYSGTTPEQAMGHLISEIRGCKDALETNLHLLPK RELIISVGATPQVVSSQNLLRDDSPYAEARELKALLRETNVELHAGVYPILDMQQFST NASADAGFLEDEIAIAVLTEVCSVYNDGEREKPEALLAAGTLALGREPCPSYSGWGVV SSWQLDGVTKASSERLVVKRISQEHAIVTWESESQAKIPLRIGQVVKVHPNHACVTGA FYGWYFVVDSDQDTETSRIVDVWVRARGCVERVQSIMRYSLWAYSLVLASAAATTTSL SDICTTAYAKKALPIDAIQGVTVDPSSISTSLVTNFTASSIFYPTSTFDYCNVTFAYS HDGIDGDIVHVQYWLPAPGKFKNRYVSTGGGGWAINSGSSSIPTGVIVGGVGGLTDGG FGSFTTEFSSVALLENGTINWQATYMFGYQAQHELAILGKQLTRNIYNVPSNEKIYSY YQGCSEGGRGGWSQVQRFPDQFDGVVAGAPAFRWAQQQTNHLTGNVIQKNLNYYSPSC ELEKIMNMTVASCDPLDGKTDGIVSRSDMCLKHLNWDSILGASYSCPAVSGSAFAGAT PAQTGKVSAKAIEVIKAFWEGLHDSDGKRVYFSYQPGSTFDDLQGTYDSSTDSWKLDI SGLGGMWIGLFIDLLQETNIPTLDGVTYDTLKEWMILSQKKYGDIFQTTYPDLSDFQA AGGKVIHVHGEQDYSIPTASSVRYWNSVREVMFPNKSYTAGAAALDDFYRLFLIPGVG HCGTNEYQPGAPWPQTTLQTLIEWVENGKAPATLAGSGDIETMCRWPLRPLWTSNGKK FQCVHDQESIDSFSYDLDAYKLPVY PEX2_027460 MCHFAAVETIQEITNLRHDNPEIFHTGLSWYATYFLFQATVVLS IHHLRPSQPIDASLVEASEELWISSISRARECLEFLSSRNKSANRCLAVLDRIRDRSR YSQAISTAQSDRETGSLQFEVHSVGPEMHSAPLAIDPTLQMFFNNSAWDNDMFEGLSG FPGTGEVEPFDYLPINNDPGWPRS PEX2_027470 MSDPRPKDEPGQSTLRRERAPTITIDTSAVNSPDTEHPPIQILS GGSQTYTDNAQAPDTTALLNNGSVSPTDMRSTASIRSNASSEVRDRESRPTSPHNISS PKTKVPETHSNFLSVPGTRSRGNSLESDETGQSSSTYGGETYVPSTSHESRSDLAKNA AIHDIHDEDALTPDPGRESEFEVEDNKFAFSPGQLNKLLNPKSFGAFHALGGLRGLEK GLRTDVKSGLSVDETTLDGTVSFDEVLSPASPASSKNIPKSASPNTSSPPAAEGSITT TTQGGEDFVDRRRIFGDNRLPERKLKTIWELAWIAYNDKVLILLTVAAVVSLAVGIPQ SLHPANPDEPGVEWVEGLAILVAIIIVVTVGAANDWQKERQFAILNKKKENRQVKVTR SGRTEEISIHDVLVGDLMLLEPGDMVPVDGILIEGHDLKCDESSATGESDVLRKTPGD DVYRTIEQHEDLKKMDPFIISGAKVSEGVGTFLVTATGMHATFGRTMMSLQEEGETTP LQTKLNKLAEYIAKLGLASGLLLFVVLFIKFLVRLKDIDGGADAKGQAFLQIFIVAVT IVVVAVPEGLPLAVTLALAFATTRMLKDNNLVRYLKACETMGNATTICSDKTGTLTEN KMTAVAATLGTTSRFGKYSGVSSDDQSEITASDFVSTLSPSVKDVLLQSIIYNSTAFE GEIDGVKTYIGSKTETALLTFARDYLGMGVLGEARANGKLAQMFPFDSARKCMAVVIE MENGKYRMLVKGAAEILAAKATRIVRDPTDSLSEAPITDENKTSLDTIMNNYATRSLR CIALVYRDFDQWPPRGAPTAETDRKQAVFEPIFKDMVMLGIFGIQDPLRAGVSEAVYT CQRAGVFVRMVTGDNIVTAKAIAQECGIYTPGGIAIEGPKFRKLSTRQMNQIIPRLQV IARSSPDDKKILVNQLKKLGETVAVTGDGTNDAQALKNADVGFAMGITGTEVAKEASD IILMDDNFSSIVKAMAWGRTVCDAVKKFLQFQITVNITAVILTFVSAVASDSEDSVLS AVQLLWVNLIMDTFAALALATDPPTPTVLDRRPESKSDPLITLTMWKMIIGQSIYQLV VTFVLNFAGDKIFSWDHGHLQTVVFNTFVFMQIFNQYNSRRIDNKLNILEGIWKNRWF IGIQLIIIGGQILIIFVGGAAFSVKRLDQGSQWAVSLVLGALSLPIAVVIRLIPDEFA AKLVPHFWHRNKGPELVISDEDRHYEWNPALEEIRDQLAFIKSLRGGRLRHIRHKLQH PQELLPRSRSGSRSRDSSAPPTPIGDTDNDNGSPTPQPATPESRSRRNTRSRSNSTFG PAAAMAGIVAGSIAGWSPIERGHNEPDITFPTNGGPHSGLDREQGIEIHPDTAADDRI LNEYSATSKTPPSQNPDLIPFFEHAPPVDRAPSSRGRRSLSQRSRSSLSQSHV PEX2_027480 MAPLTRSAAGAFTPVPQPRQTEVISIDDDSQQSFDEDEELEDEE LNSEDQSEDQSEDQDEDMDEDMDGDTVSDTGSDVSDPESSMQSAFGVSVKSQDTPLFT AAGAQEALHPLRRTADRVTRQIEAFADKLDQFKRDNSTDDFNSAQAAYKLVQSYRDIA NNAIKEIETQQTVDRTKTGFRSSGSQDTKSYEETRRLQLEADTWRLLLNLISIDDPSS RASYKAGRQAAFQNLHRYSTDREIWEEFLNADQYGLECVVAMRWLEETSKTGSQEFDT LITEMEEQSRRGQGLWTTGWLYTKESIKGHKRLRAWPQPLEPNDPGISRSLLSKHEQK PLVTQLDPDAIIRQKHGLEKEDEFFERATWITCWKMLRQGENWTKIREWAEIRLEGWR AVSLCGSSVDPKSGSSRYPSDDGHTRLMNSRSHDSWRTACAALTRDPNTDEFQRAVYA LLAGETDAAASVCRSWDDFLYVYFNRVVLSRYQGFCKQFQRKLSHSPNTPVAFKPEPA GHAELQKFLVYLKGNDRVSGEARNPFRNIQATILSKGYDTFFTSLAHAVSQVSADKYG NSSVIPEIARKPVDESFLIAADDEEALRIASHVYVVASARGYARVDAHFVETASVLVA GHIANLEDRGLFHLVPLYVSLLPAPMGHEVLSKILIEIVDPQEKIQQVRLARKHGIDM ETVLDVQWAWVKEHVSAIDHSRGVTGYSRVLRRPDGSRALVSPKTDLIGTSVAPEDDR MIRSLEWLRYIDGQWDKICDLGSWLYRKFFLADKLAAARELSFRIRLADISIEKFGSD IISMPPQEGAHIESNTPTSPTKSKRNSLQRRSLSGSNNIALVSAYYKAHRVLDLESLA LGFDAVEQFALVHEQMSKTKRRRDSGTLKGMGQDMNECVTILEDWVGAVVVEDWLPTS TDAEEEQDFEHIRTTYIPELLLDWHNALYYASHTLERHDLLTSCMIVSIWAANYEHIT RAFTKSRRMAELVDVLALSSKALVIRDSSQRKSPMDHTTFEKGQELKIWDVTISEEEK KRLGIVSSADREQ PEX2_027490 MSDKEGAAPPTEHLNIKVTDNNNEVFFKIKRTTQLKKLMDAFCE RQGKQMSTVRFLFDGTRVRPEDSPDTLDMADGDTLEVHQEQIGGC PEX2_027500 MSDPSAELESTLRNLSIGGKGPVQDIPRPNVKKLPTAAVTSNPK SNPKISRKPVADSWEDEADLSAPESEEAQPHDEDATPVLSPTISAEGPLDPPPTPISP QTSNPWTARAASPASAKGPPTRRPEKQTAVAERLIAGALGIRAPKRTEQQRAYDRSVK EQEIRRRNREREEKAKAKEEEEKMKASIWNS PEX2_027510 MKYPISTIAFLATLFSQAIALKSNGVYEIQSPRSGDVWGVQNSI GVKHRVELVHPEGKSAERWRLVSSGPDFFIENIYTQGMLACKHQCEVDTLGNDAQLFK VTQNNDDTFTFHPSGTQLVVAAGEDNELEAQPSGPSVDAAFVLRSKTG PEX2_027520 MFRNLAAVGVKPGDIDTETKWPEDPDEVVLNDMDSGALINELST LKLLPGQFDLTPYMKGPGAESPGGATWMLFDAIQKQPAVIEEGTWRGTRLALTKIYGL IEQRYMSVMDVAQVDPIFPSRLTMQQRRKLFQFTQIQENGKTRDGYPPHLDLNLHDEG WDEENTDQSPDHSPFWLFNWTHLGLLKTIMLGAIPRTFVGTPDVGTTIAKVEDYNREQ LQRAREHKNRFDDIFKRDNVGDLSDWYSDARFAQQHFTGTNPTTIERASDDWINHFIH AAKTPGDIVAETTITQLKTSSRESLYMQDYSYFRTAAGLKADDDIKCEFEDPKTGEKA YRYSCASVCLFYLNDIGDLYPLAIVTDWRGSPDNSVTIYNRRLFKRTNIWTGEDYQSP ESKKNTEVDDWPWRYAKTCVQCSDWFRHEVTVHLTRTHLIEEAIIVAANRTINPTHPL FRMLRPHWQKTLALNRAARDTLVPSVILEIVGFKKDEALEFICNEYTSFKFKDSYVPN DLRNRGFPPEKLNDPKFHKYAYARCINSMWNKIRAYVEGMLSLIYPGPDADQQVCDDK EIQDWAEEMRYPLGANLSSFPTVGTFQELVDCVTMCIHIASPQHTAVNYLQHYYQGFV INKPSCLFAEPPTSLEELIHYTEDDLVKALPMNHPREWLLSSHVPYLLSFKPNQESET LIGCIATMYNIYGSKPSPTDTDKKTREVIGDFYRALQATDYEFKKYADDVGDKIAYDV LDSKSNAVSILI PEX2_027530 MSYSSMAVQFFTAPKPLGDSMDADTSKKYGSDPQGHTVMRPNVS TERPSSEVAELHTPKSQGLTFANQDSLPKLPIPDLEDTCRRYINTLQGLQSPRDHEET KAAVRDFLKNDGPLLQERLKTYAGSKTSYIEQFWYDSYLNFDNPVVLNLNPFFLLEDD PTPARNHQVTRAASLAVSALAFVRAVRREELPPDAVRGTPLCMYQYSRLFGTARLPTD NGCVISQDPSAKHMVVMCRGQFYWFDVLDDNSDLIMTEKDISLNLQVIIEDAAQTPLH EAAKGALGVLSTENRKVWSGLRDIMTKDSRSNNAECLNIVDTALFVLCLDGTEPSTTS ELCANMLCGTSEVVKGVQVGTCTNRWYDKLQIIVCENGSAGINFEHTGVDGHTVLRFA SDVYTDTILRFARTINGQSPSLWATSSPDPAKRDPQSFGNVSTTPRKLEWDMTPELNI ALRFAESHLSDLLCQHEFQVLDFEGFGKNFITSMGFSPDAFIQMAFQAAYYGLYGRVE NTYEPAMTKMFLHGRTEAVRTVTTECIDFVTAFWGENAAEQKVDALRVACKKHTDATK ECSKGQGPDRHLYALYCLWQRSFDEGLFPDTSSTGGYSSPGETQSQTQSQGPGSPKLS SSSLSDDGLSSLGSSARAYRTTSTPTPAIFADAGWDKINNTVLSTSNCGNPCLRHFGF GPTSADGFGIGYIIKDDSISICASSKHRQTSRLMHTLESYLFEIRKLLRATNRKATSP RTSRARETEALAESLQSESHRRGRIVRGGGAQGVDTPTSAADSSFMEDDGMGGYGFFD AGMLLHALKGLNAEREQRGEKPARRRRFTSTPRTASYADTLPNLKIGAHTRVLFQGFT GRQATANVKESLEWGTKIVGGVKPGVEGEHLGLPVFPSVKAAQKRAKPDASAIYVPGN QTAKAIEEAIEAEIPLVVAVAEHVPIHDILRIHSMLQTQSKTRLVGANCPGIISAIGK CRIGFQPLPCFAPGNVGIVAKSGTLSYETVASTTRAGLGQSLCISMGGDVLAGTNFVD ALTLFENDPDTRGIILVGEIGGTAEMDAAEWIKGYRNRTVDPKPIMALVGGLEAPPGR IMGHAGAWAAPGEPDAETKYRALERAGAVMVNHPEKFGEGMKTLFANQSSRPGTSPMS GTSSQKRGFHTMRRVTPISRPTTEHKRNLYIKQFQAFDILKQKSILVDDTAASSDSSI SVSITVDRMALSPCIIASPTSEFNPAQSQKFPFPYNQTKLDSSIITAVASNLSLPDSS HEQLSGIVQALWEIYKEKEAFTLETRIGISTDGTLEIHAARFGFDDAAFRSSGRQEDI HKLRNKSEEVPEEVEAEKDGIVYVKLEGEGSIGTLVNGAGLAMNTVDALTIHGGHCAN FLDTGGKATSETVKASFRVICSDTRVKAVFVNIFGGLTRCDMIAEGIILAFRDLNMKV PVVVRLRGTNEELGQKMIAESGLPLHAFDGFEEAAKKVIALAKGQ PEX2_027540 MRSAVIFAALAVGTCARVIEKEVYVTEWTTTTVTETVTQWPTNT PSAAVSVKQDHTKPTTAAALPTVEVSKKIPAEVESAPAAVSTTAAAPTTAAAVVESAE PSSATTFSTSSSSTTEVEIKAVTTSVAPAVTSVATSSATSTTAAATAAATAAATAGSS YQETILYYHNIHRSEHSASGLTWSEDLAGAAQTLAARCTYAHDTSITSASGDYGQNIA YGVEAALVGEQVIGEMMYTDEAPYFANLYGEANPDMSNFEKWGHFTQIVWKATTEVGC ATVSCSDLGNVGGPAPFTVCNYGTPGNYAGEYADNVLKPSS PEX2_027550 MAASINHSHPRHDVPVTGPKMVNRLQQSKSPYVRAHRNNPVAWQ VWDAEAMELAKKHNRLIFLSIGYSACHWCHVMEKESFMSSEVASILNESFVPIKVDRE ERPDIDDVYMNYVQATTGSGGWPLNVFLTPDLEPVFGGTYWQGPNSNTFTGPEAIGFV EILEKLRDVWQTQQQRCLDSAKEITKQLREFAEEGTHSQQGDRDNDNDEEMDIELLEE AYQHFASRYDSVNGGFGRAPKFPTPSNLSFLLRLGAYPTQVKDVVGHDECEQATAMAV TTLVNMARGGIRDHIGHGFARYSVTTDWGLPHFEKMLYDQAQLLDVYVDAFRLTHDPE LLGAVYDLAAYLTSAPIQSPTGGFFSSEDADSYPHPNDTEKREGAFYVWSLKELTSVL GPRDAPVCAKHWGVLPDGNVPPEYDPHDEFMNQNVLSIRATPSKLAKEFGLSEEEVVK IIKSSKQKLHDYRERTRGRPDLDDKVIVAWNGLAIGALAKCSVLFEEIESSKAVHCRE AAARAISFIKDKLFDKSTGQLWRIFRDGNRGDTPGFADDYAYLASGLLDMYDATYDDS YLQFAERLQKYLNEYFLAQSGSTATGYYSTPSVPTPGMPGPLLRLKTGTESATPSVNG VIARNLLRLSALLEDESYRTLARQTCNTFAVEIIQHPFLFVGMLDVIVGLQIGTRTVT GVFSTADISSIRNPRGDTLLSRNDEPVSALDVIRQRVRAEASVAVSSSIVATSIVDIR PSHLKDFVGNQSFWLKSRNALFQNLKASEPAKNYLMVCEAGRCQNLDL PEX2_027560 MTTSSNRDHFFQTSASLDDQERKDAKSQNTNGNPIRLQGKILAA HPDPWNTGSIYVAQSNGTVRRIILATGETARAFTGPMAPVTSLCLSPDGKLLFAGCWD KAIWSWDTTTGQPRQKYEGHADFVRSVTSARLLGDDLLISGGADAQVLVFNIASGERL ETFKGHARGIQDLALDPESESTQPIVFSAGSDREIRQFSIFGGGSTTDPLLPHDTSVY KLFFDNDGDLWTASADKTAKCLVRQDGWKANLTLEHPDFVRDVVVYETGGWVVTACRD EEVRVWNRSTGALHHTFSGHFEEVTGLVLVGSTIVSVGIDATIRQWSLRPDELQQAVE LAMKPAEEEKEEEKKPAESMLTAEEEAELAELMGDD PEX2_027570 MEMNHLIGQRFNLISKSDIRYVGTLHEINPEASTIALENVMSFG SEGRRGNPAEEVPPSTSVYEYIVFRGSDVKDISIAGEDQKDPAPQEAPRVPDDPAILG GAQRPVPPQGPDSGPTPQNLPQGPPQGPPQLPQGAGPTPPGYPPQHQFQQGYYPPYGQ RFGPPPPFPPGPGFPPYGAPPGWYPPPGHGFPPGPGQFQPPMPIGPGQQPGPQQPGSQ QPGSQRPGPPAPAPAPKPTSELPVENKLPNKSTSRNATPAEQNAPTPPIESKPTVAEA LQGPVSSAPLTAAPQKNGRVMPAIPIAAPKPAPPNAHVPTAPRGNNQSITDATAAATA AVAAAMAKLPQPGAQKQPGQPEGAVDALTQQMNHMRPYDNTRAPRGGHHHPRGGRGGA RPQQQPKKIEVPQSDYDFQTANAKFNKQDLVKEAIATGVPVAEAEAHAAEEAESMESM SNGGGASSNHGYNRGSSFFDNISSEARDREENTGRVGGREWRGEEEKRNMETFGQGSV DGYRPNYRGRGRGRGGYGRGRGGYSRGYGRGRGGRVPSESTGVPSQG PEX2_027580 MNAHYFEWIPLEIRLEIATCLSTVDFLNLRLCSRAMADIFEDET FWKTRFFVHGDRGYLAFLTEGIQRARDWRLIYRCTHDSSKLHPELRIRRQYWQHNRWL RDVYMMTRKQNPPLQAQVDNTKSLQWQKAAGQMICPREVRRLMSGANSKLCPTCRTKH EALTQSVPFSKDVVGLAISVLSDPCSDPDSPHTFVAGLELIYPSDMPNTVLGYRLPSN QIMINLQ PEX2_027590 MRLQAALFFAACLSPAAAIYSDEVNHIDFHHALLGAPSPDSTFF LKPSTSSNASLLYTLSDKLLVGAVNPRDGALVWRQNISRSADSPAHGAGLLRGLDGND AVVAAVGNYIASWSAQDGKVGWENRVSKGVVADLELLELEDATATSGVRDTIAVVASD GAGIVRRLDGNTGKSVWEFKDTSGDVPFQVSSSSTEVFYISLQSAMLKGFKIKFTALD PLTGRQTRQQILNSEADVATPESVLYVGANSASPIIVWTDKSQKSLKVNVIGTKEVTS IAIDNTTGSEIQKITVHAPHKLNALPHFLVHFATEAGAWAEVYHVDLVSAKVTKAYRL PYLPGQSAVAASVIDANVYFTRITDLEAIVVSSASHGVLGRWDLQKPSSDVALHSVSE VVAKGKSVAVRSALFRKHGDWELVRNGQIEWTRHEGLIDAVAATWAETDAQEDLAHEL EVEGHETLYGAYLHRVKRHIRDLEHLPDWLKDLPKRILSSILADEVSNLDSFGVAKSV IVATKNGRVYALDTANHGAVSWGIQAVETSQWNVKAILASPGVATIYSDDGSSVTVDV STGEIVSRIEPSNSKLHSIAVFGAQDGSLLATVGVREDGTPVTAFADEDGFLVTTSVD GRVLGWVAKDNKTPVWEFVPPSGQKVVEATARPAHDPVASIGRVLGNRSVLYKYLNPN LAVVTAVNEQTHTASFYLLDGVSGKVLHATTQAGVDSTQPIASVMSENWFAYSFWGDV DGSETSAKGYQLVISELYESPLPNDRGVLDSATNYSSTATLPLPHVISQAFMIPESIS HMAVTQTRQGITTRQLLCTLPSSNSIVGIPRPVLDPRRPVDRDPTTAEAEEGLFRYAP YLDFDGKWYLSHTRDVAGIKAVLSRPTLLESTSLIFAYGSDIYGTRATPSQAFDILGK SFSKLQLVLTVVALGAGVAVLAPMTRTKQVNALWRA PEX2_027600 MNRNPKDSMKSTWRQQARSEWTLFHWFYELLGIHPEHLDQNIPV HLKEDAVPYVPDWSMHRWVLTHAAIPLLIHHAYVEVTGHNLSAWGAFFLYGLAFKTIA IHELHVLRRLGHQHGFLDGDKHARDGVPDVGVAKVVRSLMSTSTFRPMFTVFIAYHAT HPPSTMSFIWLPLEAGLYGIILDFWFYWYHRLMHDVGALWKYHRTHHLTKHPNPLLTL YADTEQEFFDILGIPLLTYFSMRFMGMPMGFYEWWVCHQYVVFAELAGHSGLRVHATP PSTLSFALRWFDAELVIEDHDLHHRKGWRKSHNYGKQTRLWDRIFGTCTDRIESVENN VDYVNSSPMPLY PEX2_027610 MSLGRLARLEHDVDHISSWTLSQQHGRFSALTSAWAQHGGLMFV FLADSGMIS PEX2_027620 MFWGNKTPQDSEQDLVRTDSSKNDPAASASQASGTEWLAGHLHH LTPEQQGKLNEFKALCAERKYYTPAVEQADGVEAKPASQDDATLLRFLRARKFDVEGA WAQFKDTEDWRKDNAIEKLYENISVDSYEAARRMYPQWTGRRDRRGIPIYVFQIRHLD NKAVAAYNSTMTSGTPETHKSSTVPARLLNLFALYENLLRFVMPLASALPRPNPETPI VTSTNIVDVSGVGLKQFWNLKSHMQDASVLATAHYPETLDRIFIIGAPSFFPTVWGWI KRWFDPGTTSKIFILSAAEVEPTLTSFMEPSSIPKAYGGKLDWSWGDMPNLDDPSREL LHGIEQPLADGKTRKDILKGPVLFNGDHLQVLGTIDGKERREIIPIPKTQASVESEAK SADSVEKAAPNSAETKVDDSEKTIDNVAVQVNQLSVEETKNTVAA PEX2_027630 MVKAVAVLRGDAKVAGTVTFEQASESAPTTISWNITGHDANAER AFHVHQFGDNTNGCTSAGPHFNPFGKNHGAPTDTDRHVGDLGNFKTDAEGNATGSKED SLVKLIGAESVLGRTLVVHAGTDDLGKGGNEESKKTGNAGPRPACGVIVETVSFSMPF EARVKSVLSGDTVVLSHITNPSQERILSLAYVSAPRLRREGDEAYAFQSREFLRELLV GKVVQFHVEYTIPTGAKRDYGTIKLPGFDASLPDISVQEGWTRVREEAGKRADESEET VALLARLRALESLAQDEGKGTWAGGEDGEIDTSYELTGARDLVKRNLGQQLEGIIEKV LNGDRVVLRLLLKPQEHIQTVIAIAGVRAPSAKRTTTDGKETAAEPFGDEAQQFVEER LLQRKVKVSLVGVTPQGQIVATLLHPNGNISRFLLEAGLARCQDHHSTLLGPDMALLR QAELTAKADRKGLWVSHTGPTTAGAAAVDYVVTRVLNADTLFIRNKAGQEKKISLASI RQPKPSDPKQSPYAAEAKEYLRKRVIAKHVMVTVNGKKPANEGYEEREVATVVQGNTN VGVALVEAGYSSVIRHRMDDADRSPDYDALLAAEADAQKEGRGMWSSKAPKAKQVVDY SESVQKAKLELGVLQRQKRVPAVVDFVKSGSRFTVLVPRDNAKLTLVLSGIRAPRSSR GPSDAGEPFGQEAHDLANRRCMQRDVEIDVETIDKVGGFIGSLYINKENFTTVLLEEG FATVHAYSAEQSGHANEYFAAEQRAKEARKGLWHDWDPVKEAAEAEEAEAANGAGTGA ESDAAPVQRRKDYRDVMVTYIDPTSAKLKLQQIGTGTNALTELMSAFRKFHINKANDT PLPGPPKAGDWVAAQFTEDGDWYRAKVRRNDREKEQAEVLYIDFGNSETLPWASLRPL TQPQFSSQTLRPQAIDAVLSLLQFPTSEDYLEDAVGFIGDQTFDRQLVANVDNVDQDG TLHVTLLDPAASKNLDNSINADIIHEGMAMVPRKLKAWERASVDTLSNLRTLEDEAKA ERRGMWEYGDLTED PEX2_027640 MSLIAGTWDLSAATLGAAEQHLKDEHQEESVFEDLKDDRKTVPV DEFQKDGGKYRSIVKLFMRYAGQEDDDKRYAMGTGWLIQDDLLVTAGHCAFDWSQNDG KGFGRAIEVRAFIGYNGKASVTKDALESKAVQFRYGAKIVTTQKWLESGMYRQNDVSF IRLNKPFTGITPIKFQDTPLRDNKTIGVVGYPGDKDYGGEKGAQMYEEFRQVAWDRER STVNMLEYRINTYRGQSGSPVIVQGGSNGSAQVSIGAHVYGGGGKNSASAIGKLGNPY EKYISVFDQTFDIVKPLVLREGVTYVDMNPKGESGFDEEEDFWQTMKSVMDVGAPIVG DALKMASPFFGPIGGPLAALAGTAIGAAGKKLAAKGNKTGAESEFGDPKEQPSVVHQA IMNEACLHAFFHSKVKDRKFREELRKKLMVEYGKRAIAVERVSPKVLPAVMASVLPLS QDIVRQTLVTKAANPETDLGQSEVVKPIQKPESYERMQDSFAKRIIDAPYKQPVEESI WDVFGAVLSAANKGVSIVQKGLDVANTLLPPKTESSFDESTPLDADLTVLGKRAVLGA AAASVLQDASLDKLQAEGFFTAIKEAAARYGPTVIKYGPVVIDALRPVVQAYSGSSPI EKPHDPEGGESMYDIIYGKKKKNSWQEKLSKDTLYSMEQSQDVTLEQKSLLHNLDDPL MTDPGFVVPGEDSTTQL PEX2_027650 MSSSLEQLKATGTVVVCDSGDFATIGKYKPQDATTNPSLILAAS KKPEYAALIDTAVAYGKQNGKTLDEQVEATLDRLLVQFGKEILNIIPGKVSTEVDAKL SFDTQASVDKALQIIKLYADNGISKDRVLIKIASTYEGIKAAHILQSQHGINCNLTLM FSLVQAIAAAEAGAYLISPFVGRILDWFKAAHKRDYTPQEDPGVKSVQDIYNYYKKFG YNTIVMGASFRNVGEITELAGCDYLTISPNLLEDLYNSTEAVPQKLNPAGAASLEIKK REYLHNEAAFRFDFNEEAMGVEKLREGISKFAADAVTLKELLAQKIQA PEX2_027660 MAPPAPLHPPSPSGSTERLAEELAPTIDQLKSVGAGTAITLSQA QSTIESLLRLRHTFIDDARPRTAKDAFRHLQGFQTLLALADQVAELYDPVHNSKEERK GLLGIFKDVLGVLAEGLKDHFGNKRYFGRKIVGGGISALERILTALVKKIDTTEGDAQ HLYGAILAAALCQETMSGIFVTLSTKFPKNEDSPSPKDVQDAVDHCIGSAETVEVPEL LGPFLRVWLMHSSLSSGYDILRLALPACLCQLASQSRRNVVALHATDMLTSILPLLFD GARSDREKAIYQVLAQFLSVQGMSSLDDAVALYRGAHQNPQVLKVLLSALKSSKEPPS IQFDMSRHGYCSVEFATLGRPFPPINSSGYTLAAWARFDEFDSNTHTTIFGAFDASQT CFILAYLEKNTRNFILQTSIRGSRPSVRFKSAKFETNRWYHICVVQKRPKPMSSSRAS LFIDGEFVEQLKIEYPSVPISHHPSKPSRVQAFFGTPQDLAMRLGKGVSTSRWSLANG MLFDEAYSDDMVAVFYNLGPRYYGNFQDCLGSFQTYKASATLNLRNEHLHPGKEEASD IVTAIRRRASTLIRESSILINVSSVAVLDDDDSNNVDESQLIKSLSRQAAKSLQQLTK SGGNAIAVNGATPAINDGLTQPQGVGILTGDPVVAVPRAMDDASWCIGGCAAVHLSLI KAARTAESTRMAVEALYEAVQDNWRNSEAMERENGYGILAALLREKLGFHMGNSPTAF KIPVVSTDPEELASLMLDLLRLTLGFVGYDFETPTHSIITNPLAYRVLLVDMDVWRLG ESQVIGLYYSQFRTFAADSNYRRFNARRLGRMRVNKKLLETLKGGELTEESLQPCLSA FRSLMESSPSPDLLRSLALSITYALHKPKTQTNLQRKKSLRYVAISPRPASAKPEIKY LPSVTLGIEMLRLYSSVLCNPHDPTPLRKFAKAVTNKWLLYLMCEDEPEVVVLATKIL ARLVVVIGSGYSKKFAEKSGGYIILEHRLKKWWDVPALWPICLSILFGVDHALLDLNK ALDPSELLKAFLIEGDVKVVFPDMLPVITNMLKSGVRNLAMASDAQEGTPASLEERAS KCETPKMNSLKLPDATRLDQNIHGFALINLVVQFLEEARARSPSFQDFTAQSTYVQGL LSVVYPVVVGADTVSPNAELNFRHSGLSFDDSNLVLRPRSSTINAPTALQTTMVDSMG SPDESTGSLRRGSSFILVSPDKSKNQPSSAQIRRFMNPTFVGNKAATDHPIVKAVFRL VLAVFEDQLLGRKDFSGLGLYLKTPPGFLEHQAYFNSWVFGCVLSTLHDLPSVRPGLL HETRTLTNLGRFATHLTEAVYEGWFINGPSSTLEFIGTILEYLQRPDISQLKSIRLCS QATATIRSTLYKVILFQLSEADDTETVTLLDRLNYWQVVLLSAAETQSKHLHLLCYLL YTKLISTNGDVRSAATRLWRIILVQAPDEITTLLSHGSVSLQRRLADGFDALSGMDDE SFLQWIDDQRDDLDSLFFGVFSRLWDTFVHEENASIEESVRSRVSKRKDKLRQWAQIE KFDGDMTRKHEATLPHWISNIAASEFLKSQRFLQDLQDSSTFMWSAFSDLLLDLRRPG GLLAEERERRWWLDQTEGRSRMRLRLVPDESGDRQDYQPKRKASEPPAIKIDTRIRAL SEGETLTAPLPGDPENGGSESPNGDADNRSLMEDNFELIDDPKIELEDYEDRNRKVMR SLQRGDQVQSVCNLSRIIGLEAVEGISILGKDCIYILDNFFQRADGEIVNVWQAPNEE RDPYVRMISGRESNDPRSQEHETRSWKWSDLVSVSKRRFLFRDVALEIFFTDGTSYLL TLLSARARDDLCNQLAIKAPQVTGSTGHSRPEDIWRFETLRSQDDAPQTLGSKFASVF GHLPANPATRKWVKGEISNFHYLMLINTFAGRTFNDLTQYPVFPWVLADYTSEELDLG NPATFRDLSKPMGCQTLEREMGFRERYNAFAEMGDDNSPPFHYGTHYSSAMIVSSYLI RLQPFVKSYLLLQGGSFDHADRLFYSIRKAWESASRGNMTDVRELTPEFFYLPEFLLN SNHYDFGLLQNMTTAIDSVELPPWAKGDPKIFIAKHREALESPYVSENLHRWIDLVFG SKQKGEAAVESVNVFHHLSYKGAKDLDAIDDPMERLATIGIIHNFGQTPHQIFQRPHA QREDQRHRIPRLDTLAESLTQMPLSLLDIEERVATLSMKQDRLLCTAALRLNVPPAYD YYMEWGFFDGSVRFYAADTRKLLGHFEHLHVGQLSHASFADSRTLVTCGTDCTISLWT VTATSKSVDLQPIGSLFGHRAPVTVLAVSRSFSALLSASNDGQVMLWDLNRRSFVRPL PGDGAVDCARINDVSGDIMVCRGNRLTLYTLNGVLLVDQAVCESADDRVLSCVFYEGV QNEWLERELLLTGHTRGVVNIWSKNIRGGRFELELIRQLHHTDSSRDNGANISAGISC ILALPHVVYTGDEAGRVYEWNCIQRR PEX2_027670 MKGFLSLTVIPLLVAASPVSVGSIHNEAAPILSSMTSRDIPDSY IIVFKKHVDESSASAHQTWVQEVHTAHTGRMELKKRSLFDFDFEAFMGLKHTFQIAGS LTGYAGHFHEDVIEQIRRHPDVEYIEKDSEVRTMTDGTVEKNAPWGLARISHRESLSF GNFNKYLYAEEGGEGVDAYVIDTGTNTKHVDFEGRATWGKTIPQGDADEDGNGHGTHC SGTIAGKKFGVAKKANVYAVKVLRSNGSGTMSDVVKGVEWAAEAHIKKSKKGDKKFKG SVANMSLGGGSSRTLDLAVNAAVDAGIHFAVAAGNDNADACNYSPAAAEKAVTVGAST LTDSRAYFSNYGKCTDIFAPGLNILSTWVGSEHATNTISGTSMASPHIAGLLAYYVSL APAKDSAYAVADVTPKQLKAALINVATQGALTDIPSDTPNLLAWNGGGSANYTQILAG GGYKAGVAAKEPTVDERIGSIIDKAEKAFHKELGAIYSEIKDAVSA PEX2_027680 MSLLSLLLEIQLEVLSYCSIDDISFLSISCRTLQTVCDLENREK FHEIIIHCDDESRNAAFDLLMAILKQPYLGHYVRHIECRDPISILEIYPQRDHQRELG DNDLSLLRDAVGNAGFTGSKQDQVLNMLMQQTAANTIRKEGEKEEQYDDEYDDDEREA REWKARGSFTSQALTALLITVSPNLMYLATIDPKGGRYDLPTEWPLHELLYQTNSDAK NKPFLRNLRKVHIIREGDHKWDNQRLNNLVVNDLISDTTCFIRCFRLFDTFLSIECIR TEGMFEIWDMWPTISEQSAIVTRSNVSKFSLPSSSVSSTNLAVMISACKVLREFQYSH GGRSNQRGHISFNIKTFIKAICPHKNTLEVLNLDVDYACNSSLCLLEEGRLSLDVTPG GPLEPLDPDGFEPTLTFLQSIWKNSGSLKDFVALKRLGMGIQTLLYFAKGVHEGIGEK NGKAVLAECLPDNLSFLCIHGYERGKNSEWDTQIDALKAFHASGSSNLIEISGIDEQI PNSP PEX2_027690 MAERILMNEFKGLLKEKWVHVQLHDDDIFNWDVALIVINPDSMY YGGYFKASMTFPSNYPYAPPKFRFLQPLHHPNIYTNGKLCISILHAPGEDEMSGELAS ERWSPAQRVESVLISIISLLDDAEPSSPANVDAAVLFRNEPEVYRQLVKADVEKSKLD IPAGFEMPTHDTPVREPVEKEDHDFWADSDVDSDVFGGSDSDDELDMGQSSGSDMEED EDESADDGSTETKKVEKLLQHSRVHSRRKQTHRNNHSPQRPSPFSAPPISHLLGTNIS TDAMHAALFNGIASHIHEYDDTHLGTIIHLTGPVTCALLAIIPALLRPVSGAEFITAL VAGIEAEGKIFLFYQE PEX2_027700 MFDLLTTAGKVQPKALDPASYEFPNGASMRPNSRTQQKLVRTVK PWDGSTIYIYAIMAGTLLPEDLILVHEFRDHYSLQARKEMTVEELNAKIEAFLITKGE RFTKDQWLQRYPQATE PEX2_027710 MENLPATAKTHIVPAAQGYAFEVTKGERFRIVDFMAWVNEPGLK KHVRISYTQFRLQGVSPDIGEHLRTNHDAPALTITADTCKVHDMTFMPCFPEVYAECG LEGHRSCTMNITEAMEPYGITSRLELPDPFNIFMNSPNYTLKPISNSKPGDYIEMEAL KDLVIGVSCCPYDLGTYNGDEITPIAVITGL PEX2_027720 MGQPTIGVSFNYRLSGFGFLQGRAVNESGAANIGLYDQRLALHW IQENIMAFGGDPSRVTIQGESAGAVSVGHHFLAYGGRDDGLFHAGIAESGGPLTTRAL ISLDEQDVLYNRVLNSTNCTGEDDTLQCLRSVPVDALKAAFEGVGFYPVMDGGIITNT PSIALRDGRFVKRPLLIGANTNEGAAFSYSRGRGLNTSADFRNMIVQYSGNKVIKNST LEYLVDQYLNRLSPKVAQAQLGSVLLSPSPEFGRLWGKVTLYAGDYQFNAGRRYTTQK WAQYGVPSYSYRFDAVPNGIPPETLGATHFQEVSFVFKNFDGVGYQVNPLESNSTELQ QRYHDLSTLMSQMWLNFANKLTPNGHQSKLTLTPNNPFVADYFANFTIDLKANVTWPV YRNGNGTNIVFHLNGTYLEPDNWRANAIRRLIGSLHEFKL PEX2_027730 MDFPTRDIFPFRLDIQLGKDWYCCLENCKSYNAADAKKCGECQH EKCAQCQGPTYGMSQVAYMYICCQCGDGPKLYNMQPTCYVCSHQACSNCPTAQ PEX2_027740 METLIGPFRPPLRSLKWRKEFSTRQTTLYHGQDNRLRVPADGSV IDEFFHRVNPNLSPPRRHELLKLFLEQTGALRSFTDIIGGGQSSPADRRVLAVIDDRC DPCLKIMSMPESASFLSVRQWGSEEYMRRPPEGLNVRVCGVDAETLYTHLNQDRSGRA ERRIIYMVDLTPLVALALISNVNYMHLPQVRSFLSRYVAFDSYMGVSQTREFTLEFHL PHYALRRDDYRLQDNRRLRKHRLFRLSGQDAVYEAQLSLIVFEVDDFFWTAFFCEDAY FRASNPIAEYLQDEVDGPSSGLRMSRFPIWDPQYYFLSILVIRIGQITMEWAVLMEII AGNLDKHDDLFLEDDPSLRKTKEYTWILGTLRRLRNLLARVIATLVSFDANNGVYFEL EAEGALYDRFRECFYQVRQFTAELATVQMILDQQIETLEKMSDVLVNASSLAESITAT RQGDNIRLLTYISIIYLPVTLVTGIFSMNQVGSENAWWKYWLCLICLTGGTITAAVGL QTILPRWGHGQGKQV PEX2_027750 MFLPTETSMDVISPLLFSLHVLSFAIPSLLLSTPSIPRVFGTAI DGPPPEEAPKKKKRNRISDGTSVTMELMWANKRKMASAAAATNEANGPPTSLGSSVTG EDISSSGSAPGSLSPASPYSPVAAPYPVAEPSPTSPPAEDS PEX2_027760 MSENTAENGKEADKVVEDTVMTDEVKATETGVENPPPKKKKKKK RSQAYNDRRKTREYKDQRRERRYLRKVGTEAPPPPPHVHDPTLPPKTKRSSRRASRRR AKKAKKAAAEAAEAAAVQNAAIAATTHLPTATHPGNSEANPSSDKGDEEMGEAEVEIG LGPGGMTLPFRGKTSV PEX2_027770 MFEDFSFTSPSSRPARLALDPDDRLMVDSDSNLISPLSSRCPSP QSQRFRNISRSRSSHFHRNQQPPTSVPFPYDSDHKRLSISTLTQKLHEHTLATPTGDL QNRYTHSNTQPRTGRHNPGSRRYPGTGYVLTPPDTDHSDDSGVGECLSSPSASSTTSP TFMPPDLLSDLPDFGLGHPFYPQPHPYHQDVRMQREQISRLQCNESEVEAMQRSLLDD PSSAPDFCDEDDCHPSSLPPRSSPRRRAVTLARSRFGPEGGAEGRARRKSSSAGVLSS RVEKSHYGGFGKRNEQGLRRKSLVSAALASMVERDDD PEX2_027780 MNENLNYSAANGIWHGELLDSPICHNCLQLHDHHACPTPLSKPL LVDSADALSLPPRSIKSRITGALSLHDYHKFLSKSADRISDPVDHAGGKLKRKTAALH LNRPSPLTISYPVSISSVASSPPPLSPSYSHSIISHWSEEPEIGEAQTVHYQATQSPR LPVVSDLGTRARPNRKRVSKNTFRERLQQRAQAQAEEAERASKPETSDSMLASTQAVA TVSHGGACFEILNPRKSLDLARIVSYIEDVDNCSMVSMDNQRDSTFSIEQGLDRVSLS QFTDASLPSFYSTNSLYTSLPADPQTPEGQPTDIPSSSPRIRERSLSDYSVNEQSFNY WTRPAQRTEYNDLRIDTYDRPSSDREAQSPRMASITEESNLPNLDFSRRPSTPSTQTF YSESEIGEPGSPVYANGDWAQVDERDRGILFDLQEDETTPGHQHEHNDYSFTQEHEEL PTPRETPLETPSCSPMHSPMMSTFDSAYPYPSSISASKLPHYPTPFDPYTYDPVYFDP HVQSVLAAANAETMGLRGSPARALDELQRQGARAGETSPRFEFQRRKSDERKMGAQKK GLRKFFNWKSGN PEX2_027790 MASYFMPSFFQKRLLKYALSRLGLVDTEALDPDNLGIRWGQRST IELKDIGLKLEKLSTLLNLPPSCELLSARVQLLRITLPADFHNSGILCEANGIDVHIR LLSEDSKAGQHTKNKGEKDDYSAVPTPSGLAESFLESEPKEEREELQAAISSQSQVLP HDPLAWSTDDDNELGLGSETLSLPSFVAGFLKGVVDRLQLKIKDVVVRVDMELKQDGT SKRQPEHKPDLVAGLLSVGEIDVHGVSEKTAGLGEGLPFREGKRLISIADINVGLGSD PSVFSNYSRFAAPASPSTTMRSKGSQPSSRAPSPSPLDRSEEEPLAMTQSTIFEPPRA FGYSSTTTDNLEASAFSHGRFSDADSESGSHRGGYLEDSQIFGDDPFQDNPGYLDSVI DTQFDDFDDEQSPVIHPQEDRQTGSEWRSHLSRGPQSLYQSQQLEYTDEPLIPSDSYH MSRTYGTHHSQIGSQIDEIPPPTQHYNDYNETEHKEISQLSVSSYHSNTSPPRSEPDS TGSREETQNADLNESKLFSHDEAQSLYMSAISQDPSESFMPQMPGAWGSFGGDSSTSR TAFDDRSENIAPIELAHSTQVEDEPNSRYTAPSEDNITEQHDLDDQAQSQTLHKDRPS TPSSPGLHRINEMKKSVLTVDKILLWLSPPDSEEVSAEPSPVSQKENADSDLNESTAS FGDSAPEESLLASRVYKSTRFGRDFVEARAQSSAGTELPEIAVEVFSVSVHFDIATGW LLTKIGQKLSHTLGTAETDPSEKQPRKDLPARTPPVHLVIHTLSIKFIERVSGHVYSS DNSGSPLSPPYSMEDVILRLTLSGLDARLSAQSTTTKLNLGVSKFAFGFASEDIVFFD ERLKMRESTRDVLSPSQGDISISLVKSADLAKVDISTLPLHINLNIQRLEEVLGWMGG LSTILELGSSMSSVSTVKGGPPPSKQRPRGVHFETPAPAIATTKGTSTLWKVNARLGA ILLDVTGETHCLQLTTTAVKIVSRFEGIGIQIDKAKLIGPFSIDDASSETPAKITLNN IRLEFLFAPKEVDLDRLLTLITPSQDKFEVEDDIMLDTLLRQRRQGSVLRVTVGRLET YISDTSGLEPLSSLAVELSRLSNVTKYLPEDDRPGILTLMLVRDFQGHVHVGGEVGDI ESQLTDVEVAHITMPSLTAAQIGTVTVTRNKDEELVGHALWPPNKSQDPDQSYPPVLM ARFIPDEMDPTYKIKLHNLLVEYTVPSVTAFLGLGGDKMSGDLASSMVNSIANLAEHS IPSPSIPGRSMSNESSSSTKPVKLAVVFRDCVLGLNPRNSPAKGLAVLTNAKFEGSID GEESAEASLDIRKASLMIIDDAYHEGADNLHQRGSVVPQDTQAQAYIDRGYVPVSSIS SATAGVKLASADDGTKSLDVELRDDLLILETCADSTQTLISIMNGLQPPTPPNVNIKY RTEVMPIQDMFASFTGDAFAADPPIPSHNDLATISEGSSREDQLTDELEYVSDFYPLK GGPGGVGMEGMEAGSNDMLDSFHSQYQVSSSLTELDFQEDHFAKKSAVGGTAHRWDST QNTYEFTNDTKLERSPLRVRVRDVHFIWNLFDGYDWQRTRDTISKAVMDVETKATERR SRGSRLSPSAEDEEESVIGDFLFNSIYIGIPANKDPRELHREINHDIDDLTSETGSYA TTTTVTGAGSRQGRPTSKREKKLRLHRSKHHKMTFELKGVSADLIIFPPGSEETQSSL DVRIKDLEIYDHVPTSTWKKFATYMHEAGEKESDTSMVHLEILTVKPVPELAASEIVL KATVLPLRLHVDQDALDFMSRFFEFRDETAEPSDTPGDVPFLQRVEINAVQIKLDFKP KRVDYAGLRSGRTTEFMNFFVLDGADMVLRHVIIYGVSGFDRMGQTLNDIWTPDVKQN QLPGVLAGLAPIRSLVNVGGGVRDLVVVPMREYRKDGRIVRSIQKGALAFAKTTSNEL VKLGAKLAIGTQTVLQGAEDMLTSPSAPADDDSFDEDEAKKISLYADQPVGVVQGLRG AFSGLERDLLLARDAIVAVPGEVVESGSAKAAAKAVWKRAPTVILRPAIGVSKAVGQT LLGAGNTLDPSNRRKMEDKYKRY PEX2_027800 MANPENEWELEEGIPQVEDPFIQQYLKGRDALIMEEKKRRHDAN LRKSLSPIAARATKIVSQIRAQEQNELWSSGLEEDLAHQTDEILYPGVMFNLAKGRME TTKLWKIVEKMPKGSLLHAHMDAMFDIDFLIDQAFSTPGIHMLALKPLITAKDYEEAP VLFQYSSQPLEVSEDKPSMWSEAYEASSLISIQKAAASFPNGGEKGFREWLNNRCVLV PEHSYHHHHGVDAIWSIFTKTFPIVDSLLMYEPIFRACLFRLLGQLAEDGIRYVEFRI AFVFQFRKEGNDKPEEGYFEWCRVVEDVVAQFKETDEGKDFYDPRIIWTTLRWFGNEA IVNSMKECIETKQEFPDLISGFDLVGQEDNGRPLVDIVPVLFWFRKKCAEEGVDIPFF FHAGECLGDGDATDHNLFDAILLGTRRIGHGFSLYKHPLLIDLVKEKKILVECCPISN EVLRLASSIKAHPLPALLSRGVPVSLCNDDPAILGHGRNGLTHDFWQALQGLENVDLV GLSMMAQNSIRWSCYEDESTAEWKAGIQNGVMGKGLKSKRLRDFAADFEKFCEWIVLE FAEFDAGDD PEX2_027810 MKVDKDEAASSSVDVDNIVDAEKSDGTITHPKDALPNNGAELPG VPFEDLQHGIQEVEAVAQTWSKVSLIFVFINMWFLYFTYAWISAVDGSLSTYVASSFQ QHSLSGLPTAIADAFSAAVFLPVAKMMDTWGRAEGFLVMSICATVGLILMASCNSFPV YCAANVFYYIGFGGMQFAVDVITADLSQLKNRALAYAFTSSPYIITAFAGPKVADLFY ANTGWRWAYGTFAIIFPIVAAPLFFVLKFNLSKARKGNAVVVRENSGRSFLQSVWFWT LEFDLIGVFIFTAGLVLFELPFDIASEAPNGWGTDYIIAMIVIGFSMLFFFAFYERYI APTPLLPYSFLTNRTVVGACLLDATYQLSNYCWNNYFSNFLQVVNDLTIAEAGYITNT FDVVSGILLFFVGWAIRKTGRFKWLLYISVPLYIFAQGLMIYFRRPGQSVGYQVMCQI FISIGGSIFIIVQQLAILAAVDHQHVATALALLNVVGTIGDSAGLTISMSIWNNTYKK ALTRYLPDLTNLDMIYEDLETQLSYAPGTKMREAIQKAYGYTQVRMLSAGLGVMVLAF VWTIMIKNINLKKVAQVKGMVF PEX2_027820 MKFFNVILVAALAALANAAAMPNPEAALDINPLEKRCKGAHSPC ISAKDCCNGACVSGGTINKFIKYCN PEX2_027830 MPTTSEENSIFDFEVSENEIDMAKSVPKLKGPSNWRDWEVMMFM VLGTNNRVYVQLIRDEIKMPPAPVYEDPSHDSVKALLFKEAEGDKEKKALITEAAIET RSIQIVTFNSELRKNHADGEEKWERANNRDFLQFVSTLGPEAFSAVSHVTNVREAYLE LKNVYWSPSHIAIYHRFKKFVNLRYKKGDPETFMIRFKNALGDYTAFVGNMAPMQELC HFKRAVLGNLRCRWFILNLRINEEDPDWIDQVYHDFIEAVRLNQMLSKS PEX2_027840 MDKTQEANPVGSQVVHHVDPVLEPENQHHHSHQHHTAFAEEGRQ DEVVYSKDAQFEKGIVPEQSPLDHGSKNSKDEEAGENFPAQRTWYRRVGKQWRHIAHA VVWLLFTGGWLIPFLLYLAITLRIIFFYVPITIISRPMQWVWERTAHPFVRMIPEKIR VPSAAALCIGVILIGSFVSEESQDNTRANRAVSLFGMVVFIFGLWLTSRNRKKIIWHT VIVGMLAQFIIALFVLRTTAGYDIFNFISGLATDLLGFAADGVIFLTSEDFYNFHDGF SPGSFFIVSVISAIIFFVSFVQLLYYYNILQWFIGKFAVFFFWSMRVSGAEAVVAAAS PFIGQGESAMLIRPFIAHLTMAELHQVMCSGFATIAGSVLIAYISIGVNPQAMVSACV MSIPASLAVSKLRWPEEEETLTAGRVVVPDDDEHRSANALHAFTSGTWLGLKIAAMIA STLLCIIALIGLINGLLTWWGRYLSINDPPLTLELIVGYICYPIAFLLGVSRDGDLLK VGKLIGTKLVTNEFVAYEALQNNAEYKDLSDRSRLIVTYALCGFANIGSLGNQIGVLS QIAPGRSNDVSRVAFSAMVTGAISTFTSATIAGLLITNEKQYFGTST PEX2_027850 MDYSMEDTQNSAPEAQQASKLNSSGQKSDTQSVTKRLQAELMQL MLSPSPGISAFPDADGNLLSWTATITGPTETPYEGLTLKLSFAFPNNYPYSPPTVLFK TPIYHPNVDFSGRICLDILKDKWSAVYNVSSVLLSLQSLLGEPNNASPLNAQAAELWD SDQAEFKRHVLARHQDLDEE PEX2_027860 MQHSQLAPLPNDVPFRIVSKTIGQGAYACIKKACPLDTDNPVFA VKYIHKDYAARHGKISARQLQLEVTVHRHVSGHGNIISFYQTGEDEVWRWIAMELAEG GDLFDKIEADEGVGEDIAHVYFSQLVSAVSFMHSKGVGHRDIKPENMLLTGDGNLKIA DFGLAALFEYKGTRKLSTTFCGSPPYIAPEVISSSTRGQNKGVGYHPDLVDIWSCGIV LFVLLAGNTPWDSPTDDSFEYHEYVATKARTTDELWQKLPAATLSLLRGMLTVDPQKR FSLEDVRRHPWYTRTNKYLSADGKLRDHINLATSMFESLHIDFSQDPLSQSGKGSSRS FDPMDMDGDEGGANAGFSSTQPEMLGGGMLMDWDSPQNADVFSSTQPFGKKFASQDAA IAGHLEDEPSMSQFSQQPSVPVSRTQNAQKFQDIIPSRSMTRFYSAWELKLLVPLICE AFHRLGVPVPSVPAVSAGDTSAMIRVVAKDGRMCPLQGKVLIECVSEGLFEVEFVKIK GDPLEWRRFFKKVAILCKDAVFRPDE PEX2_027870 MDKLPVEILSKIIDQLAPREKVQIQSISKKFFAIARDNNQWRLH CYEESWAARQAARSAARSSESVISDATVSLATLGQESLLDIIQPSAPPANGGSDDTRE LSWSERARASADWDSSAEGEHVDWYSEYIARHGPISLSWVEQPSVRKGEGKRGQPYEV KGMGLLKDWSSARQNKIIAPLEDGSVCIWDLNHSHSADSQASKGKILGVSEPGILMTN LSGRRDHSPSKTSLEFINLGEGVSVDSLRKRAYLAVGNVLNEVDLETLKVISQQRYPW SIFALSQETDYSVPLTLATTLSLQIYDSRLSAVEEEEAISSRCEQVASKQAPELGIFA HSDSPLFQLQSNGQPPTRIRSPGPSDTGANYAPLFQPGPLSILHPPAPHVNTILLAGR FPSILCYDRRYFPRLQTTVHSGGRLCGLASVPAPRFPVFSDSIYPESHSVVACGDYNG RGSLELYDLKPALNEDHSPSNMTSTLNPEYKNRQSAASSKLLSVGSHGNRIVFSDAEG NIKWTERNGRSEVRRWNINTSQPQIKFGRRTQQPTPQTEEDQQPRGLWPSQSQGNNEV ARKVLPTGGNLTGDELLIWTGERIGRLKFSIPADHEMEVDGKEVDEDDDVFMHAEVDE ATREEMRHRRRDDWEKEQRYGDYMRRALERQADEVRWMGNRGLG PEX2_027880 MPSPTEIAHQFDSIEDTIAAFKNGEFIIVLDSQDRENEGDLIIA AESITAAQMAFLVRYTSGLICAPITPDLATRLALPQMVTENADPKCTAYTVTIDSSDD SVTTGISAEDRALTCRTLASPTAQIDSFRRPGHIIPLQARPGGVRERTGHTEAAVDFC KLAGKAPAGVIAELVEDGEIAEGVAEIGGNNGMMRRDGCLRFGKKWGIKVCTIEDLVI YLDRVEGTGAAANGKH PEX2_027890 MSYLQNQLKSFNASVVESANRMPTQRRVVSNQPVSASSSQVPSS APTPSSSTEIKKKRHDPDIVYSQPANTGTGKDIMTQVLFAIEHMKNKNIPLKFSDIIS YLSLQHRAHDQGYVQALRSILQMHEKVEFDPSGANGEGTFRFRPPHNIRNSEQLLKYL QAQKTAAGMSVRELREGWPTVEEEINQMEKEGKLLVTRNKKDDHPKMVWPNDPSLIEH FDDEFKQIWDKIKVPDANVVNEELDKFGITPTNKNKVLGPRVIAPSKKSKKPRRSGKT TNTHMTSILRDYSHLKR PEX2_027900 MTHERPGSNSTFEASTIPHRTTGLSPLSTDLEEDSAWESLKVEA KVPIEEYVITGDKARPREDILKNSLHALLDWLLWGGCDSYPRSILKAKSDRALWDHFW VVCCFLAAPSK PEX2_027910 MAETTVQLPYLSSHYALPESTLTTLRQAPTVELVNQLLESINKK AHESDELKSDKLRLEVELENSVRSNESKVKVLKASVEKGHAEVADLRKKLHEAETTRS SLETEISTLKSSSTSNESETTSLKARISSLEASNRDTLGLLESKSAAYDKLAEELSLQ HKKTIELRRELSTAEQSLQSANAASASARFREQSLQQELDLTKKNNEWFETELKTKSA EYIKFRKEKGARISELQRENEEANSTIDSLRRSENSLKSRLDETEQRYETCLASIHQL KEEAIQATESFRIELDSSNRLAELQGSAAQTAKQRVQECQLALEKTKDDAAQEISRLR VELETESNDKGAAERRIGELEALVAQLESEPSRGRSASPAVSLNGGTPSTPLRSSIMR AGTPTGSFSPRASRGKGGMNVTQMYSEYDRMRTALAAEQRTCQELRNTVDEMVLELDS TRPEIEEGRAEQSRLDHAVVEMSTLLEAAGKERDAALKEARKWQGQVEGLAREGDILR QQLRDLSAEVKVLVLEVAVAKHGEEYDREELEKIARGEVEESAKDLNETGRFISKHLT TFKDLHELQDQNHTLRRMLRELGDKQEGEEAQAKEATRRAEIDELKELRIRAQTNRDE IANLSAQMQSYVKERDTFRSMLMHRKPTIEDQSVFSQSMPLGAAPQGAMETSGPDYAD LLRKVQAHFDTFREETTTDHKALRQQVNELSRKNSELMSDISRSSSQLAAASQRAELL QSNFNMLKNENTEIQKRYSALFENANRQDLRTQQAAEDLVEAKGLVESLQRENANLKA EKDLWKNIEKRLIDDTENLRNERSRLDSLNANLQTILNEREHTDSESRRRLQASVESL ETELQSTKRKLNDEVEESKKASMRREYEQETSQKRIDDLVTSLGAVREELVAAKTTRD HLQSRVDELAVELKSAEERLQVLNSRPSVSAGTAEGPTEGDESGEGSGLSREQELSIE VSELKRDLELAKGELEHAKQLAEDYQAISQASEERLESATETQEQYREETDRLVEEKN AKIHDLETRIEEISAELSASNAEMTKLREEQAEATRRLEEQKANFESEITRLKADNER HVTAAQYHQEDLNAQAEIAKHAQQNYETELVKHAEAAKNLQVVRAESNQLRLDIAELR TQSEGYKGDLSQKEESWAEQRATYERELSELQKRREEVLNQNSVLHTQLENITSQISS LQRDRINVSDDEQEGEQAAPNLEGLQEVIKFLRREKEIVEVQYHLSTQESKRLNQQLD YTQSQLDETRLKLEQQRRAAADSDHNALNHSKLLDTINELNVFRESNATLRNQLKQTE AVRDQKIARENELVQEIEPLKTRIHELESQIEAKDGEMQLLQADRDRYQQRIQNILQK YDRVDPTEMQELKEKLASLETEKTEAVSEREALQTQVESLQAQIAEFPEQLKQHSDER AQDLRSKLTEQFKARSKDLSARVKAKQDELNVVLQEKEVIQAELQTTKSELEALKTKV AESPANVSPAPVAAAVAAPEEEAPINATPASQFPTATVEIPGPGDAQKIQALEQKIQR LEAALAEKDGLLATQASEQDAKIKERADRLKDMYNSKLNEVRAAHRQEIEKLSAGEQP IPGTPGAGPDATPATPSKTFELAGFTIPELTDAQARELVAKHETIRTIVRNNIRGGVA REQQKLQQEAQASSGANEAALAGLQQKSAEEREALVKAHETELKEKVNSAVELVEKKT AARLSMLDTRFRTANAKIDVVSKAATETPQKPVVEVWEIAKTARPAPIAVPAAKPPVP AAIPVQPVAPAPILAAPQVPITAPSAPVPAPAPASVPVVAAPNVPTEQVAAPNVPSEA AAPQQGEAQPTAPANPFGQVQQTDQSQQASSLPSKPPAGNPPGLMRALQSGLPIARGG RGGRGGGQQFGHQQHDQQQQQGHGQSQNQRGPGLQRGRGGRGGQGRGGNQNQNNVAQA QSPTANRGNLSASARQFIPGGNKRSRDEGPDTTTEGGSGGKRQRGGGGPQPRGGGSS PEX2_027920 MATLKPPTNTHRSSSSSHSSGPPKAPLKADPTATIADTVVFQGR YSVTIGAGTVIHPRAKFYAYEGPIVVEDGCIICEKAVIGAPPSSSRSPSPSRPASTTP TESGASTPEPKKETSTRISYFVTIGPLATVEPGVHIHSSATIEALATIRRGADIGAHS KVXRGYRCALEGVFRGPGMRRKRARVVKGMSPAVVGAAQVSQTPLPSPAPAGKVIEDA RLMVLQKEREVLGRMLVPAAGGRKK PEX2_027930 MLKSLHIIPTFSDNPAANAKTIRALDYFDFIQIYPGNKTSHFSK IQQTSSVAYEDMLFFDDEARNRNVETELGVTFCLVRDGMTREEVDRGVRAWRKRSGIK LETAEES PEX2_027940 MSHPRSRSPSVPSEGEIVESGPETKATASKPPLNGTSVDRPTRA SPSSAPRSPASLKGTRSPLRQRSRTRSLSRSRSRSPYRENKTHKRSHDDLPESYDGGY DRRPRYEPPRHYRSRYDDRRRDRPASNRRPNSYYDYDREETHGEGLRYSDDYDRHDRH KDKRQRTRSRSRSPYRESRKPKQYSGDEFDSNVVNARSSADTGRRLPTEQLVRERGKA SVVAQDLKLGAEKQKNQVQASSNLQAHVADEYVYSVTEEEERATNIHPRETTPNQDIA QEPELAEPINEADALEARRKRREAIRAKHRSQATPLHLKALNVSEVETDSSTPGTEPT SAKETSGEFLLDKLSLASTKLTPINSIDSPRASPFEQPGEAFPGSIGKDMDMINSNAP VGGTDKDGPSAADYDPTLDTKEERQKHGNVQDGRDEISSAAYDETKTVKQDILLPDAA PAPPPKTEAFDMFADDDDDMFAEEVPDAKPVHASATAVPQGKELDISMMDNWDDSEGY YAVRLGELINGRYHVHQNLGKGMFSSVVRATDTQTGGLVAVKIIRQNAIMRKAGMKEI GILEQLQEADPESKMHLIKFDRYFEHKGHLCMVFENLSMDLREVLKKFGRDVGLNLRA VRAYGQQIFLGLCLLRRCNILHADLKPDNLLVNEQRNILKVCDLGSASPASDNEITPY LVSRFYRAPEIILGIPYDYAIDVWSIGCTLFELYTGKILFTGRNNNQMLRSIMECRGK YPPKLLRRGSLAPHHFDEMLNFHSVEEDKITGRLHTKIVDFKKPTRDLKSRLMAQGTR GMPDAEVKDLTMFLDLLDRCLSLNPEKRITPAEALKHPFLAPRL PEX2_027950 MGGIGREGGKAKPLKAAKKEKKELDEDELAHQAKLKADAKARKD MQDAAKGKKGPLNTGQQGIKKSGKK PEX2_027960 MWSKRPFHKPKRPSISGPKLNGNPPTTSDPVHDMVAKRLPTLPD DLHSSLQPDSVPRDDINKRPSDFSPAVSPMLEAHRRASQSSFCVSPIGDGDQFPDSDY ARQETRISSSPTPTPTPKVDYVETPSHPRVQPVQPVQPPQYEQDSRRFISGKSTRWDN FSGEPSDFGRASHVDPRNTSFHRPSAAPAPGAPASNLLNWGQGFNPKKTLNAARHRIS SFSKPEELSQKEPRSRSASRGFPASEHSRKRSGNHALKTSPQLDNFAFAPTTVTTITA GGPVSVPRPATEHAPSHLLEDKPLLNFDNDFSDMMLTSDEPRSRFSATTYTATEPGSQ DASPRESMQLENRSTDDVSTSSIMARRRPVPIGVPTSKKQTVSAMPVRKPTPSQVAQQ VGQMPAQSPSPPPPPEVPLDAQGRIKAMENKRDDLTQRRYSLEALIAELSKVIQPTSA VYDLAAKAEAQKSIKGIEGEIADIKKEEHDLGMKITRAWRRLDEKENSGDGNNLWVKR VTS PEX2_027970 MDNSAPQAEVMSIASPINLILVSLFGVLVYWHFRPKAPITLPRA PPPTVFKTYIPKTLIEFNGEEGRPVYLSVRGRVFDVSPGRNFYGPGGPYENFAGRDAS RGLACQSFDEEMLTKDLSAPLDDLKDLDAEQLENLQSWEDRFSEKYLVVGKLVAEGDA EAPKS PEX2_027980 MPYAVQNVFLLLGPTLFAASIYMVLGRIIRCVHAETHSLIRINW LTKVFVSGDVFSFLIQGGAAGLMVSGSNAKMGSNIVVVGLLIQVLMFCLFILTSVVFE VRMHQRPTAKSFNAELDWKTQLRTLYILSALILIRSVFRVFEYIEGTDGYLMEHEWTI YIFDAVLMLLVMIVWAIRHPGVLQKFLQQEKAQMRMDHELR PEX2_027990 MKLNLATGLICLLASTEVVAASSWWSKAIYNKWHETELERWLSD HNIPHPSPADRRDLENLVKNNWDSRVQKPLGQVADNINHELHHAREWVFDTWSDSQLK SFLDRHGIPAPQPRRRDLLIKTVRENYEKIATKIGETASYPGDWLYEEWSDSDLKEWL DERGWPVPQPSNRDKLIASVRRNSRIISLQSQSIAASASAEAEAAKETLSDQLFNAWS DSKLKEFLDKHNVKVPQGSKRNELIALARKNRYYLSGQVSSASSAVEEAFGAATTKAG NEYARATDYAKLKIEDAFETALNGWSDSRLKAFLDARNIPVPQSGKRDELIAKVRANA HKAAGGWNMYNFDTWDKEHLVNYLSAVNHKAAQQVDASREELIKNAEDSYAKASKTGG EQYASATAALAKVTSTAKDTTFDQWSQSELKRYLDNYGVPTYQGSSINELRAAARRNA QYFKYGTVSPQATIYAKIMDTLHWVVDQLKIGAASGRAQGTEAAEKVQKKVSEHTEQL REEL PEX2_028000 MTEKLTWFVTGCSSGMGECLVRAILAAGDQVIATARARNTNALD RLTPLQDAGAAVMELDVTATAEVLNAKAKEAWAIYGKIDVLVNNAAYIDAGVFEEIDE AFLTQAIRSNALGPLNLTRAFLPYMRSRRTGAILFMSSVGAYYGAPGASAYSASKGLL EGLVPNLSLEIEPFGLRTCMITPGYFRTSVMTPGNILYRAPNPLPEYAEMNQLIKAGC NAADGNQPGDPLEAANLIVDAVKGQGRCIGKELPFRLPIGPDAISAIRENSQAKLRIC DEWEDIASATNF PEX2_028010 MPLKQVGFGQYERPFDTLELFYHRIAATGLRFQKEHYHISSVIQ LKNVPSLTQLHQAWKELRHQHPQISAICDETSSRLIYTVPSPETLEEWLKKTFIVHFS ETRPAESLDTVLPPSSLFMLHYLPQSRELFFRTPHWRTDGIGMVLLQHDFLTLLARGP QSALEFDGSEVSRIPPSLDEAVGISLDITDEMKLGTEAELSVITSGAAPASITHTLQN KTPGNSRRLSTRISKDLSRKLIAASKLRGLRVTAAVQSALILAVRPHMVPVDGRLVCF NAYNIRDRVPAPWSGPQGATGLYHTGRPCSIDLGVNKDYDAIATILTSHYQRSLQPIF EIMPCYVQTTTSLLAAPLELSIQAPGAAHPELSSLGVIDDRLPTVHTGPAATVEIEDW WLGVQIINRVLQTYLWTREGEIHLTCHFNDAFYEQGFVEGLLEEWKSKLVGELIT PEX2_028020 MAKVLVVFGATGNQGGSIIDSVINDPVLANEYRVRAVTRNTSSP AALALKQTGKVDVVEGDAEDTSSLKRVLQGAHTIYSLTTTIYDERLEERELSQGKAIA DAAVATGAQFLIFSTLCHITRVSSGKYDKGRHFDCKAEVEDYIRTLPIKSAFFAPGSF MQNFSTNMKPYPVGDGTYALANIISPNAKLPLINIEDTGKYVAAILANPDEFEDKVLA AATRLYTMQEIVEIMSQSLGKKVVYNQLPEAVFRNFLPPNMADYLVHMLLYIQDFGYY GSETDDLVTWTAANARGKLTTLEEYFKTHPLNLD PEX2_028030 MDSLTPYCRELASPGIFQTVLSVLIILGILLSYLPQHIRIIARR SSFGISPYFILLGVTSGTSAFANILVFPSTAQDVACCQEISGIACFAGLLGVFQVAMQ WLCFFSILLLYVIYFPRATSPTDPVDTVSSTSNGPTYRTALVITGICLLHALATIIIS LAFELRQPAAVSAWANFLGVLSAILASIQYFPQIYTTFRLRCVGSLSIPMMCIQTPGS LIWAASLAARKGWSGWSIWGVLVVTACLQGTLLTMAIYFEYFGSKNKREVGQLAGQNG APNGSALSSESAQQGPAHEEQPSEETPLLQSQ PEX2_028040 MADFNAIAQQFVQFYYQTFDGNRAGLAGLYRDQSMLTFETSSVQ GVSAITEKLSALPFQKVQHQIATFDAQPSSGDGIVVLVTGALLVDEEQKPMNYTQCFK LQPDGAGSYFVLNDVFRLIYSAQ PEX2_028050 MPDESKGKSFPDVSAKLSALPKKSLFERQKAEAEAKRAREKAET AAVYEEFVKSFEDEDSVVPQSLDGGSNTFRGRGGGPPRRHFAASSSRGSGHGHLGPPP PSLSHKRSHETFPPSRRDHLKFENMLTPSEADRVLDEEERAAPKPTLYLASLPPGTSP SVIKSLIPSTLTVDNVKIIKPPGQLPSHRESSSALVTLTSDSAASNIETAVSTLQNKY LGLGYYLTLCRHLSSTSINSNMPLPIGLPSTTTSLPFGAKPIPPSSGTSLSRAPPPGP HRGGIPPPSSYGSTVGRNRPTTQVQVELPTDIRVLRQIHKTIEQLVKQGPGFEALLMS RPEVQTDEKWAWLFDPRSPGGVYYRWKLWQIITDPKKKNIDKPAMIFEGGPIWLPPKQ HLKFENVTRMEQFVSHPDYDSSDEEHSDGEDDRRNIAGAPPDGANTHSHDPNFLNPLK MAKLTHLLARLPTTHSKLRRGDVVRLTAFAIDHAASGASEVVDMAIRNVFRPLAYTGA NPHRELETSTARLAITDNDNLPPSDELLDQSSAKLVGLYIISDILSSSATSGVRHAWR YRQLFENALRSRKVFEHLGRLDKDLKWGRLKAEKWKRSVGVLLHLWEGWCCFTQLNQE HFTQVFENPPPTEAELQKQKETEKAHADQAAVAFAKSKSRWKTVDDERGGKFDPTGPA NENKPFTSVHAVDESMHGMEGTGMETSDLKTVDGKTMLKAPPSGDEDTQTEIPQLPQH PPQHPTQSDKSEESAGQQEPETRRRKPRPKAEDMFASDSE PEX2_028060 MGVYFKRNITVEDNAVTSAIHLTLRQSLLPNALVMILFFLWGFA YGLLDVLNSHFQTTLNITASKSSGLQASYFGAYFICPLTISGWIARKYGFRVTFMTGL AVLAVGCLLFWPSGVKKSFGGFCGSMFVVGAGLSTLETAADPFLSICGPPKYSEIRLN LGQAVQGVGTFVAPLLASRVFFANTVDDNAGLKNVQWTYLGVACFVALLIILFWLAPF PEITDADQEALEAQISEHGEDTGPFKKQYNLFFGVWSQFCYVGAQVAVAGYFINFCKE AGKTSAESSDLLAIAQGLYAFNRFVATGLMMMKAFKPRYMLTVYLILCCVFSVAAMTT KGYTSVAMIILVLCFESCCFATIFSLALRGLGRHTKRGGSLLVAAISGGMVFPPMMGA IVTNKNAHIAMAIPMMGFVLALAFPVYVNVFNKHVMDSHRDTELNVTISVGKDIALEE GPQTPGEEFFQNTSQRWIFNESDRLSERYVKFRPTELQRIAGEAVQQGYCPDIAKLAE GGFNKVFLLRAKNGREVIARIPTPIAGPRHYTTASEVATMKFLRTVLKLPVPEILAYS TLSDNSIGAKYILMERVEGESLSSRWLSVTTDEVKGVMTQIADMERKIFDFNFPAYGS LYHKKDLEDSETRVPIVDDFCIGPMSARQFWHGERSKTEIDRGPEANLYYTAATGVHN DKHMDMLKTPYLGMRQYVLRQTEYPWDADVITLRAALEWNESEQLLSQVREHLDIDLE GGTEPENFERAMKFNQQFRNEMVRQAEEGQQETCWRNWPYKDDDDDSMPPSGDI PEX2_028070 MSGHISSEHSRSDSRSSHTPRISGDLTPRHSFGRTSFSNPNVFA DEYSLETIDSDRIQRPHSPSSIASSSTLRENTQSRTVSTAPTENENPFGDDARVSFDE PHRSSLPQKGYNFANNRNSTSSLNSTPSMIQRNQSVSSRFSIPRALSPYTGATGPSHP YGMYPQVGVSRSPSVGSTSTIRPIERPLEESNGPQHPYAMYSQNVVEEGMDDDIIPVG FPGHNPPYQPPAGRQADDVGDIIGPDGHAEPLPPYSRYPTGVVPKPPGAETTADISTP PEEHRLNNESLRAPPISETSSRPLVPAYSGLGNDGGNGEERVAATTGIMAFEEKLKRK GKQTACCGLPVWTFVLIATVMLIGGCIGGAIGGVLGTKKAAEAAKQEDQEAQKSSALP IITVTATPQMDASMISTPTYLKQIPTGHFVVPSGYQNGSGLCVDESNYGKAWKCLQSP SKVDIFVGEYKGHHSIVFDNSSPTPTFTYGAQAPYWSASPTQALSMAIDTTDIGMGPA LFFLAPFDKLVIVPDDTFSNSLSKRSWVEDGWVNANAYKNLKQTASIGDKPWFCWWNN TMMEFFLYVNQSTSHSSTTTASTNSDMAASTAGVTTDSKRDESSTSDYPRRIKMEERR DDPSREAPYCQQMQIRNDGGLALLSGNIINIKENEPTPTTTYTNYKGGTAQTYTAQAS YASPCYCVSLTD PEX2_028080 MTFPPPHPSAQSSIASGTDKSSSTRTDIGAWGRSVSQSGARRGL TPLATNISSAAPPTASRGLDAGLGVSTPSSFSAVLSSARGLSGGSPKHTSSPFTSLQS GSQQHATTLSSPKFRAHTPSIGSHLASATGSTPGGGGTGGGGGGPGSSRGVFSPLSSG TTVNSPTGFASDKPGSTAAHSSQSSLTKISIAQVFLLLDSITEKEGKEKWETKAAQIH KLVTSNGMEVFSKYFRRLLTGNAPQIFPGVNKSVENAGNYPLLVQELQKVSSDMEQAQ KIAETVDTSEGDIFRDFDLSTFLDHFRLDPVVKVALALAFKLTSKSDLRAKADAILSN SIAPFLQSLASPTEVTKDYHNSFLGMTIERFILYPPRNFTDDVKAKLVYAANLRYQKL GLDMPFEVSSALQMFNFVNPQYVLVRQLHAKGARATANIETISELLNSDQKNWSEEHL ASALLFMILSQYWEQFSLEIFLSAYTDKPINWPLVFRHFDREGLRVDPKQFSKLYSVL SAVAVDDSSLDVQKLWGGDWEHRDTQMSFLTAFVASRIDPSHINNLRATFPTDFFEDA PDIVKLQGERAAKSPLRSMDAMKAIFDLALFSQASWAATESQLLIKAVVQFDLPVFLV SALAVPQPWSSVQQSFVLRTFIVFISKQEDGYQLALHGAWRQDRQWVSEQLFTTFTQD PTSTAVIYEHAAAYGWLDYLLGFTNGLALDLACYSHRKSPFDLEQWVRNAAQKGAIDM GGLLSKFLRIKAEDELHVQRKEQSAHQMVSLAVKTVYTLLSVLEEYVGDRENLTPVQR ICIQTYPRLINYGEGFDDIIDANGENGNTLPDSIDKQMQELFGKMYHEELSLREMLEL MRKYKSSRDPTEQDLFACMVHGLIDEYHCYHEYPLEALTKTAVMFGGIINFRLVDGIT LKVGLGMILEAVREHEPHDPMYKFGVEAIEQLINRLPEWAGFCHLLFQIPSLQGTPIY QKAEEVLREQGNQIGEAEVGPFDGLASVPLTNGNSVDSPIADGSVRKFRAVQLDPPLR SELYEDPDEDIQDKILFVLNNVSEQNIDEKLEDLREVLRDQHHQWFAAYLVEERAKLQ PNFQQLYLDLLGRIGDKILWAEVLRETYVSVAKLINSEGTLSSSTDRGHLKNLGAWLG SLTIAKDKPIKHKNIYFKGLLLEGYDTQRLMVTIPFTCKVLVQATKSTVFKPPNPWLM DILGLLLELYHFAELKLNLKFEIEVLCKDLDLDHKTIEPAIVIRDRAAHAEEPLPTVN APEGLTEPFEDLSLSAINPAIRNERLSPAAIMSTLPSLDKILVLPSSASSMVDPTILK QIVHTAVERAIAEIITPVVERSVTIASISTVQLVSKDFAMEPDEERVRHAAGIMVRQL AGSLALVTCKEPLKVSMTNYIRMIQQDYSEQPMPEGLILMCVNDNLDAACGIVEKAAE EKSLPEIEKVIEPQLEARRRHQASRSNDPFIDPSMNRWGLFIPEPYRQAPGGLNKEQL AIYEEFARQSRGPAPSVDASAGRQLPDVLGESYPAIPNLSTPAEQPAIPHRTPQAQPA VSQMPPVQTNGFLDAQSPRERVESLVSDLQQSARSAPEEHVKDLGRDSAVLQEYNQAL RSILSSTNGEELARLTSLKVCTLLYSQPHGSLEIEVLVHLLAKLCDMSSLIARYTWAL LSEVDDEHMFNVPVTVALIDAGLLDIRRVDTNLTRLIQSRNVPALELLGNLMDRVLFN EEPSALRSDFSGSLDAMSQWLAEDASVAPGLEIVRKLRESGIPEVVNTLLTDQARSKR DQMEYIFSEWIGIYKAPGATDRTFHSFLQDLHNRQVMNSQEDSALFFRLSIDISVAMF EHESQNPNGSLDEAFLYIDALAKLVILLIRFQGESTGAVKASKATYFNSILSLLVLVL NHHQVMRGEAFNQRVFFRLFSSILCEYSMNGLQHTEQHQGMIFALANKFLSFQPRYVP GFVYGWLCLVSHRVFMSDMLNMPDRAGWAPYCEIMQALLSYMGEQLKAANITYVAKDL YKGVLRILLILHHDFPEFVAENHFQFCNVIPAHCAQLRNLVLSAYPSSFQKLPDPFRE GLKVERIEEMREIPKIAGDIVAPLQVANIKDIVDDVLQNESISESAVQQLCDTILNPD SKDTGLFFVPVDVDVVLVNALVLYIGQQAAVEHASKGNTRSAFENSTHATLLEKIAQV LRPESRYYLLSAMANQLRYPNSHTYFFSFTILRLFGVDYSEQDDSDIRQQIIRVLLER LIVHRPHPWGLIITLQELLQNRSYSFFRLPFIQAAPEIGRLFDALLQHIQQQSPRPIA PEX2_028090 MADSEFGDDSEYGSSSVRAVIMDAVLAPFRALVSKSALRLYLNT LLFMGAASFLIGISAIAYGVFYFKFIPTVGLQREVHLQFGNGNPWGTAQFDSEFVSLQ PYDVSVTLELPRTPSNLDTGNFMLDLTLFSSRPASSLLPGPNNAPISQARRPAIMTYA SPLVDVARRVARMPLYVVGWHRESETLEVPMMELLEFAKGARNLPQSLRLEIQSDSKM QIYTARVEFRARLTGLRWIMYRWRITSFIVFSSLFWSVSMLSAGVTWLAMTWILGTIP KDEIKGEPEDSIKEDPEDQDTSDESSEVKKEEPEEQERRLLSSYLAEGDEAKTAESFD TNRLNVNGIVDRLGRLRQRKAGETFFPSILHISTTPPAMHILVTNDDGPPSNQSSPYV HSLVHSLQSAGHTVSVILPHQQRSWIGKAHIVGASVKPTYFRPGTLHQEDGTTHHLPR GSDGEPDEGDEWVLIDSTPASCVQIGLYHYFKERGPIDVIVSGPNYGRNTTALFALSS GTIGAALEGACCGKRSIALSYAFSSRNHDPVIIAEAAGHSVKVIEHLCANWADGVHLY SVNVPLEPGVSENKVLYTDMLQNTWTGSCFQAVDPTAADDPDLQERLLRDGGETEGKK PDQTVGNSEKSPYGPRIQHKHFKWAPSFQDVYRSVEESEPGNDGWTVKEQMTSVTPLK ANFMHAPGISGEIKLSANQPSLYSLVDCDDSYVQEMVDRALTRRLGSASKRVSSVSEL PDSSAPLFQYREYERLDFEHIMSRSSTSLSSAYIIRKALIRKHYLSNTVSNWISKHPD SILRHHFKPAFDFELDYAEFLDDALLEAYELNDSLAKNEERQDSEKEWWILKPGMSDR GQGIRIFNSEDQLREIFEEWEEDSDDESGSETNADDVEANASAALDTGIVTSQLRHFL AQPYIDPPLLLPSSSNRKFHIRTYVLASGSLKVYVFKEMLALFAAKGYCAPHEEDDVA DLARHLTNTCFQEGGSSNEGSVRRFWDLDHHVPGLSADWKEKIFEQICSVTGEVFEAA ARGMMVHFQTLPNAFELFGVDFLVDAKGDVWLLELNAYPDFAQTGEDLKEAVVGRLFE EVVDVAVKPFFGLGDGAGTDDMKLVADIDLGRHA PEX2_028100 MFKQLSRLPCRSTATPVAPSSRRYFQTKKQHSLPAAYYRGGTSR AVFFKQEDLPPNRKDWAPIFRSVIGSPDPHGRQLDGLGGGISSLSKVCVVGAPTHADA DVDYTFISLGIENTDVDYSSNCGNMSSAVGPFAVDAHVFPLQDPSPDSASVRIHNTNT GKIIHSSFPVVEGEAAASGDFAIDGVAGTAARVQLDFINPAGSVTGKLLPTGNVVDTF DGIRATCIDVGNPCVFVQALDLDVSGNLTPDEITAHSDLLLRLDSIRRQAGVKMGIAD EFEAVPGSVPKICIVAAPSTTDSRDIEQRQTSADVDLLVRALSVGQPHKAVPITVALA LAAAARVPQSTVAGVVGENPVDGAGITIGHASGNLLVGADFDVEGALTSATVFSTARR LFEGRIFWKNDN PEX2_028110 MPSPTRSSHRAASPSAPSESRHHRSRHSHSHRHRPRESSRSRSP HRSDRHRSDRHHRRGEEKDRGHHERRHRDAPAKPVVLPYQARELSGRDLKVYEPMFAM YLDIQKGKYIEDLSEDEVRGRWKSFTQKWNRGELAEGWYDPVTLDKARQSAEEEPAPG PRGGRESPDYTQGQRATGEAPREPPVGDDDDEEDEDDEYGPSLPHPGSGRGGPQAGPA IPTTQDLELKRESAIEDAIAARGESRDQYRAEIRSHKSEMRYLQDEIAPRAEAGTRER QLEKRREVASSNRAFADARGASPEAARDEDLMGGGNEFSALKREKEKDQRKKNDREIR REEILRARTAEREERAQAYRQKEQETMGFLQALAKQRFG PEX2_028120 MAPREELIASAITFLQDPSVASSPIEKRVSFLQSKNLTQEEVDI ALSRVGEDPSAAAAATASASPAPSYSSQPVPYRQPQPPQGYGYPPYNQWQPPPEPPKR DWRDWFIMATVVGGVGYGLYTVTKRYIAPLIAPPTPPQLEQDKENIDEQFSRAFTLIE QLSTDTAALKTAEEARTERLDGALKDVESLVADLKNSSRRRDDETRRISDEVKSLKDA IPKALEGAREGNENRLKELGTELKSLKTLLGNRLGGSGAIPIAGRTVGSTSIPSIPRP APEETPAASALPAATNSLAATVTPAEQEPTPAAAPASTSQSPNPLSQLGRSASIPAWQ MAAANRSKASSQSSTPATPAENTTSPSVEQSAPSS PEX2_028130 MGSTGRPQQPNFTRMSIGGPYGADAGLSSVRRSVSSNMFSGASA SRQSYAPGSLSSNPAPPQNPQRRSSVFSRPSTGGGPMGHQSFFTQVPTVAGVPRDPRP LRDRSFQARIGQELLEYLTIHGFELEMKHSLGQNTLRSPTQKDFNFIFQWLYHRIDPG YKFQKAMDSEVPPILKQLRYPYEKGITKSQIAAVGGQNWSTFLGMLHWLMQLAQMMDR YQSGEYDDACAEAGVDVSGDRIIFRFLTQAYHDWLQGGEDEDDDTAEKRLIPHVENMS REFAHGNERYVQEMEALEAENRALRDQIEEVEKSAPDIAKLDKHFRILEDDKRKFEDY NQNVKGKIEKYETRIKFLDTEIQKTDTDLQATESERSNLQESVDRQGITIQDIDRMNS ERERLQKNLEDTVARLEEAHARVMEKEAEASHKLEDLEQIVKAYNTLGYQTSLIPAAA ENAKGQDYELSLNINESNFSASQIGGPPSRISPEGDRLLAEPFTGYHPAHLLSLDLRG SVRNSLQSLRKEINERRKQGIDDDLDRRNLLDNIKEAMDEKRSEVEALEHRRRAAEEE FERTKEITTTQKLASDAQIEKMEKELAKMRATLSDSVQLMEQREMNTNIEYEQLTLRA NSLREELHTNVETMLNDVIRFKVHVQKGLEDYESFVVDEVEQELGGEEVLEEEL PEX2_028140 MGVTAALSIIITCLFASSGIRNSVYELFLVIHQGFACLILAALW YHLIVDGPDYVVFIWPCVAIWSFDRLGRILRLLIWNKVTAYSSAEYNSDANVIQIKAR VRQALSPSPGTYFYVYGWRSIKFWESHPFTLSSWNKVTTGDESYTELIFLVSIRGGFT SRLQKQLLHHGDSDMGASSAVRKMTLSVEGPYGPSFCPWKSEMAVFIIGGAGITVATS FMQQLLHSLTSQTDQKSDIRTIKIVWAVKNIELYRFVRERYISTWEHVFASNDVELSL DIYLTCSSKMNSETSISTEHVPQSLDLSGDTKGGVLPSPSTESEISTPSALREVGSEK PGPGPFLTTFYHGRPDISNIVTNQVEVLESAGGKRLALVGCGPKAMAHDIRLSFVDIS KIPQVNVDFHLAPFGW PEX2_028150 MLFLPLITTLLLSRLASCGGTPAPCGCTPIYAEEYRGSYVPKVI DTEFGPQVVAPDTPYVAATGPNELYFLDIRTTPETARHAKENLERARVPKVNEYVAID EILATAEIRNRVTNETIFVFDPVYARIFFSRGMNKKNPDLKLPEYEPPGDWVVTYDLD TIHSSVPQSP PEX2_028160 MFRSRSSLSGAFLSLLAASSGVEAYRWFNWQFDITCEATAYYVP ANESELVEFVKYHYPRKTLLKPVGNGHGFGNLTTCVNDKDTDRESYILSLANLKHLEI HDNHTVTFGGGWNLVDLMPTLEENGLQVANLGSEMVQNYIGASTTGTHGTGKQHPNLA TQIVGLRVLDATGEIHHITKKDTPELLKAYSIAIGALGIIVEVTIQVEPLAYLKRTTK LVEGPNNITELYELVAEIGEKYEQVNIVGPTLKWDQSEHELVLNPNITLVYWEETDYK GVRNCSLDFCSNDCGHCDRNYHCYDYKMEAIATTPAGICYRGFMGQFEHFFPKENLAE IGTDYLTYAQAQSERMKPHIDTSVIGPGLKGHYLSDDVTVITRFIKGDDNWLSPVNND NLKPNASGIFATLEYSWVPTYNNFTTQYFFQELASEYIPKFGETYNVQPHWNKMQFHN ETYSATIYPKIHDWVDIQEKNDPNCQFVNPFLAKALGIERCQYLFD PEX2_028170 MLCSTLLSLFSLALFAQGDVTKEHDDRLTTAVILETPTTGASTT PEGRAFLKLARVDGTTGSQIVEVIGEIGEQKLIPYPDDAWNSWNATTDSDVNSQNKYV SANSSKLISFNLTTNEVQRIYYLGDVTTEDGSLNDVRFNGKYAYFTEYTIGSLIVLDL ETGFARMVLRGHRSVVALMPLSSDGQLVRTQSTGRFEYIHADQLEVSPDGRYFYYQPG IGYMWRIETKYLNEALHNDKAADLLPNYVEPFSLTPSTGGTAIDAKGNIYYSDGDRQE IRVIAPNGTTTLLVRDARLSWVDALWIDTQQRLWMCASQLGHSTRFVQPDNKTNTIVK PIYVYNIETGNNPSPIDHA PEX2_028180 MHSASTALTFVLSLAIPIAAQTIPYYHMWADTNGVSHVSQCNFT DLQLQLLSTGDSPQYLDKIGSTFSNGTYSNTNFTTDITFTVQPAGWSAGWHKNPKVQF IVPLSGSWTIHMTDGSVATMGPGEVALGEDQFATAISSGEYAGAIGHNATNVGDEAVN LMIVQTDWIPKVGEPCWLE PEX2_028190 MTTSLSHAASPASTSIPSAFTVYDNGFYNILGSAPKLEVILENN DLPFAHEASVYVPTTDELFVSSNMFTDPVTNETTIKISKVNVTANPVTAEILNSTIPM PNGGVNHDGGILWTSQGTMNTTGGLWQMSAQSPNKAEFIVGGFYGRQFNSLNDVVVAG DGSFWFTDPIYGWLQGIRPKPKLPAQVYRYDPVTKAVRVVADGLARPNGISFSPDEKT VYISDTAETIGDGTTDVLKPATIYAFDVSTINGQPFLTNRRVFAMPSDGIPDGLKVDQ YGNVYAGCGDGVNVWSSGGVLLGKILVNGGSSNFSFGKKGQLYILNEHKLYRAQLNGT LRSTLW PEX2_028200 MSVSQPQAGEPRKRIVVAITGATGAPLAINLLVALRHLHVETHL VMSKWAEATIKYETDYHPANVKALADHVYNISDMAASISSGSFHTDGMIIVPCSMKTL AAINSGFCDDLISRTADVTLKERRKLVLVTRETPLSDIHLRNMLSVSRSGAIIFPPVP AFYIRPSSVEDLVNQSVGRMLDMFNLDAGNFERWNGWEKE PEX2_028210 MSTEKLSAASSLRTFLEECKAEGDVVEIDLEVDPHLESGAISRR AYETGSPMPLINNPKGKDGPEGLFRILGAPVGVRSDRATRYARFAKSIGLPSNATGHE IIQKLLVCKRADPIPSVLVDDAPLKEHKIFGDDVDLLKLPIPQNHAKDGGKYFLTYGL HSVQTPDGKWVNWAITRCMVIGKRQLTGLVDVKQDIGAIWAMWKAQGKDTPWACALGV PPAAGVASGMPLPKFVNEPDYVGAITGTPMEVIKCETNDLVVPAQSEVVLEGVISATE TAIEGPMGEYHGFLFPTKQSAQPVMTVNAITYRSNPIVPISVAGRAPDETHTVWALSI CAEVLDLLQQADLPITKAWCPFESQAIWYVVQVDRKRLVEMKTTPEKFCRQIGEIIFT SKPGRFVPKIYVVGDNIEPSDLHDVVWAEATKSQPQASDFFFVGNYPTYDLVPYATHG FNPREPKAKVVRLCMLPEEFETLDRPWIEASFRGSYPEEIKKKVLDNWQAYGYEENPV NL PEX2_028220 MSSINSPANNPSDSDQVYDLVVVGSGFAGCMTALNFLEDTKIKG KATKVALIEADNKFDSDFKNEMKLVSNGLADQAYCEKLESEVPNTVKFLLDHGIIIQH QDEKNVYLGFNTGQNFAKGEGGGYAIILKLFEHLLSHPNVGIMWETNAEELLTHDDGT IRGVKVRKNDGRFAKVLGKQVMLSCGGFEGNREMLGRYVGPRAEQLGLVAPGLKYNTG FGLKMGLQVGAATAGSFHGLHCELVDTRSSKPEATVWGHSFGIVVNEHSKRFYDEGNR SLFATCEVIAYETWRDQNQKAYFITDAPIMDRFRPGWVYDTTDLDPEKGETIEDLAVK LGLVPQELKKTVDEYNASINGKEFDPTTLDGKSTSGLTPNKTNWAAPIKVAPFYGYPL TAKIVFTFGGLKVDLDSRVLSTTGLYCTGELSGFYYNESKVASEFDTLVLSDVLHSVD LPENLLHEICKIGKLYTLIADGLGPPISISAMLIGLPATHGSYDIDLN PEX2_028230 MEKRLASMEKKVQQLWQAQGPVTSSAIDSHPADGVAQEHQSDTI SELREIDSSESAVDGMGTMNFTDEEESGFFAKAKSNGVVRAATPPSMQKRGGMISPVQ SQAPVVSREPIAEDSITPRHVNIYALPPEDRTRTLIEQYFEKTGQLLPFIHEMSFYET YLQMRLKGFSKIRRNWLGLLNIILAISTSLSMKDELTPEERIQESDIYYQRANSLCDR DSKRHASLEMESSGSSQLDGAFYTAAIKLYVILYRVLDSCYGKNLGLQNSLSTADSMS HILDEQRQLNEWRTQLVPSLGLHIHERLMTPEDVKHMDPHFMIRYRFDIVLSIRYHNL RILLHRRRLESLLETLWLPSDMPTEDKRIFMPMDLASVHSCLESASSIIAIVHSFTTS KVRHHEFLGAWNYTLYYTFNAALVIVGCMVVAWKDRDDSPSTWDTVDKSRGYIEKAIL ALRRLDSGNRVIARCVEYLSQLVLILDTLTSDRWHSSNTAASSMLSEYFDDLFTSEYT DSLPLAHPGDFVIDSDLEFLRNVFNPDAE PEX2_028240 MTKLSTPLHVGRLDLSNRIAMAPMTRFRADDNHTPLPMMVEYYA QRASVPGTLLITEATFISPRAGGAANVPGIYTSAQIAAWKEVTSAVHAKGSYIYLQLW ALGRVASPEQLKKEGDFQLVSSSPNPITPGTTVPHELTESEIQDWIKDYAQAARNAIS AGFDGVEIHGANGYLIDQFNQDTVNTRTDRWGGSVENRARFALEVTRVVVDAVGADRT GIRFSPYSTFQGMRMDDPVPQFSYLASKIAEFKLAYVHVVESRVAGNTDVIGATDQLD FFLEAYGKVGPVIIAGGYKPDSAKEAVDGKYKDYDAIIGIGRPFISNPDLVFRILKGV ELVPYNRDTFYPAKDPKGYIDYEFSAEFKTAQVAA PEX2_028250 MKFTAAIGVAALSMAPSAAAWKLPHANKADAATPTGSSSSGFPG GFPSGTPSVTPTGTPTGFPTGWPTEWPTGWPTGWPTGWPTAFPTGFSFGRRAPGHGPG LKGPGHGGHEGHGHGGHEGHGQGPKSTRFPTSSPTSSPTSALPGFPESTGVPPGFPGG FSGSQASSGSFTTLPIATATAGTVEQGDFARRHARHMLF PEX2_028260 MLCHAPNKSASSTALIEDRSDDHHNAHSSTTQKERPSQATGRLA CDICRERKVRCDRGDPKCGRCARLGYDCSYQGRKRHRAAQADMPRQLSELQNRLAHAE ALLRRTPSTAFTPTTARQIPPHVDLSWPLMPPSDRLGDHERLMQRLQSHAIPPTDRTF EGLDMLANLTEDIPDFWGVMDPALTPDALSLLSGDAPQLPTPFDTGYLHAASGNPVPP AFENSLFSTHDLEESILPSELAALHQKYFEIFYPVFPILNQSRFRREWAQDPASPRIR GLSYAVALIGSMIAPEYAHLQSPCYSNARKYIELCERDDDDVNIMSLNTFQALLFVIR YELTKECFVRAWMTLARAVTLAQILNLHHIDDVDATRDQGNRSQKNAIETGYFLNIRD PASIEEIRRSFWSLYIFESYGSVRTDRPCTLEEDTLCIYLPSPGELEESFLPSPMPFI SESTKLTGVGYLTSYAAVTIMVKLARLCFKHVSILSCSASDSGFWDRHYRLVKTINDY TAIFQRYLTAKAVREDPLAFSLHLNLCATHISLHEAAIRKVEEQDLPKLIAAESRKCS AAAAFKILGAIRMNWPVQRSERDHFTLQATFIGWPISMSLIALSRSLADGDTTPIGIV DSLRLLRTALGQVEEPDGYWHQASGGAVTALAKWDEEQRESRSIE PEX2_028270 MNADLEKQGSNGILARNSSENYVPKETSPRPIHGWKWAIAYASM ISTTFLFALDNTIVADIQPVVLDLFGEVSLLPWIGVGFALGTMCVLPWGKVYGVCNIK YVYLFNIALFEIGSAVCGAAPNMTALVVGRVIAGVGGSGMYSGTLSFVAVLTSLKERP IYMAGSTVIWGIGSVLGPVVGGAFADSSATWRWAFYINLPIGAVFAPAYLFLVPSVDP QPLKSWTEKCCMIDWVMTTTFLAGAACLVMAITFGGILYPWSSGSEIALWVVAGVLLL VCIAVAKFHPGVDKDNRLYPAHFLQQPILVNLQVQMFLVSGVVLAMTYYIPLFFQFSR GDGPLDAGVRLLPFIISMVVFAIANGALMPKLPYILPWHIFGSALVVTGTALMYAADI HTSNARIYGYSILVGSGAGCYVVSGFPVVQSLVAPKDIPNAVGAMAISQDLGMVIFLA MCGSIYQNIALQKVSRAIPKLSAADVSNLVAGTSSRAYKALSESERVLVAPQITDALS NVWLFFLVTGILSFVLTLPLGKTRMKGADSGDEKA PEX2_028280 MSRCLRPGRILPFGRRPAASRLPFLPYRSVSPFSRPTWTQFTRK TRNDQREINFPDEVLFLRQYNLIKHPRLRHDQKRHIEEWMPILRDCVPPRIAKSPDVA QPAGQPRRLRPLRESENELDRTHALLGCLWDGRIHFEFHLLAHLGFAHHEWSNVQALL NSMIDTYELLAPHMPPTHPSPGLDWNRPFSVRRRNESTSDSVSPITEEVSLSKLTGGT KSTSPWKWSRLQPMPSDNISLDTLTVEPAPRFFGERILAEILANLGSLVLYAARSRSD DSKHAMSCVFRTLARLHHAGMISDRVYQYPKPDASQIVFRPPALHLLSNSIMSVLSDA AWHEHETAVAAAAADAGEPSPFIPYTPGIRELGPEIWLELILWCCVEHGFCRTGTLLV HQMTRLSEWKAESWAPLVNDLDTVQRTNVSIEQSWRRPGQNEKPPTLKGQDKAPFNGL GYRTISSEVIASLRSGLANKAYVGMGDRGYLPEDLTNLSAPLTKLIDPTVSSREDLRP TNRFTNWHIVRILASGCLKPSSDPVSFEHLLRSQNNVVPPWEGNELSLAQVLDGKTRA QFYDESAAMTGLIEFNLNYYARDKQSGRLFHEYAWLQNIADASKAQHIEKFFERISQA SDEEASLLFDFKSFEPQDISQSSIPQLSCATFANLLDVATTTHAFDFGQQLLLDNDID GPAIPQSAYRNQALAPSILRFAAATGNVELGERVIGSLAIPLSVNTIKSLINFSIARK DWNRVVLMLNFLTDHRAKSWGYINIGVLASAVIRLDATVQHKTSAGTLTQADTEDLNQ ATDILLRLFRGEWHANHTREKVRNFQLRTLSRMHRVLSAIPGPLPDILKQVEAPKEIT GHHKATLIPPTTFHEIFAAVVETQGAIAGKQLWDKVCVDWVSPKRQLQSPGGVARLLF SDERANSHGSPGWDADYVNHVRSKATIADLNTVRILARSAVREYAECVKQTTGEQSHS RSPSPNMTQTKTTNSHKTASSSPFSSFLYDPTIPYKPSISRKEYPYQLKSGKMPQTEL ESILDFCLETFLKFGLPEDQVDIEIPGHMRRMQLRKVFSSPTRRPVRMRIKYNRSDPF MKSHWPKELAESLPNPEPEPKWPYDNHKI PEX2_028290 MREKNFVLPNLFPKKARRVTHGGLVALCLFIYFCLTRTSNDLGK KQSYSTQLTSNVGEQFPRKIWQIWKVNPLEFDGRDIEVARSWISKNPGYRYEVLTDQN DLDYVESNFGPLGLNRPDIVKTYRSLTAPIIKADLLRYLVLYMEGGVYADIDVEALRP VERFIPSSRDAGEIDMVIGIEIDEPEFRDHPILGPKSQSFCQWTFMCKPRQPVMMRLV ENILLWLNQLSIEQGRPISELQLDFDDVITGTGPSAFTGAILSEMSRTTGKDITWSMF HDIPESKVVGGFLVLTVEAFAAGQGHSDSGNHHSRTALVKHHYHASAWPTRHPRFYHP IFGEVERCNWNVDCVRKWDEEMSSFTALPQEEQDRQIATFQAIGEALKNMEPPPS PEX2_028300 MPMIHPSIENSSPQLNSSPERALEDGQWKPRFTHMTRPQHEMSL SSYMAPFLPTILTGRTNSDNANEKQRLPIRPTAYLDGLRGFAALLVYWGHHQMWAHDG GGVGNAFELAYGYDNKYYFACLPGIRTFFSGGHFAVSVFFVLSGYVLSMRPLVIIQDG DYVALGKSLSSAIFRRWFRLYIPVICTTLCYMTFLHLFNISTVPELQGSYRAELWNWY AEFKNFSFPLRTGGEPWFTYNFHAWSIPVEHRGSLAVYSAVLAFSRFRRNARLLGEVA LVVYFLYIADGSHYAMFIAGMLLKDLDLLATRNDLPRFFLRLGPFKTAILTVLFYASL YLGGVPSHTDKLQQLRDSPGWYLLSFLKPQAVFDYKWFYLFWAAVFLVACTSHLQWLK TFFNAPFNQYLGRISFAFYLVHGPVLWTLGDRLYAAVGFARDSHQQNCPWWINRLPLP NAGPLGLEVNFLAANLVLLPLTIWLADVTTRLVDEPAVKFSQWLYQRALVEKP PEX2_028310 MAKKIATHLILIAGLLSVVILVAKFQSNYFGLQSRFLFTDYSSG APYKQAHIDVGQNDIACSGVHGSGTSSHCRNGQATDEDRRYPGFDKDMSQTHHIVYSV SNANRKYFKIDFGKRSVLNPSIIPHPELLDTWIITAQLRKLPSARTASVWYAELVCNA AFSEDRGVLSCLEPPLQLPIPATFGDSTKCLGDLSYFSLSVGPHDARVFYGPEIPYTV YGSNSFFTCFGQWISDFRILVDWGIDTINEHEFRQYRELQRPMPWSSVEKNWFLFWDD SGRMFIHHEIAPTRVFSKLELDGSVGPNLAPNTAASDQQCLKRFLPETGKMHQATNSL AITLCARSDHSCQPDATNTFVLFVVQQKTLQGLRPLYEPYVVLMRRSVPFEIYAVSSK PIWIFGRSMRAEKSDEDSSSGLLEDASEMLYMTSISWKSHGQKYHGFIDDTLFLAFGR EDSDAGGIDVTAGDLLAELGMCAGL PEX2_028320 MRLSAAIIVLAAGAIARAQLSNIPQCSLTCFTSTLSGDGCSELT DFACHCQKPGLAADIVPCINKACDVTDRQAVSSLVVSLCSGVGHPVSISGVDTSAPTT TAVAAIPTTATSSNSTTVSSYGSGFKTSSTISAISAQSTSQFNGASSIAPGAVQMVGG AVLLAGMTFFI PEX2_028330 MGLTPRIHICLPRGPVTVIYGTIVALVVYFMVALSLAELPACIP LLAASIILPLY PEX2_028340 MTVEEAPSNYDGLISQSEASAQQPTDLAPPRFRPLSVATTVSAI GPKTANTAPTRSSKTLTAQTKVAIPRQRVAAAPRYNRRVPRACASCRQRKTKCSGDTP VCRQCRELRATCSYPDGWRERTKKQIKTLSEKAQEYENLLKDLGGVVDSNAAERIRSL LDKHGPEVDYSSHNSQSQSATPQDDVPENDEPTSPSSIGSLEAIDRVEEDINRSEHAR ATGYMGKNSEITWMQRLHREADQRAKGLPGSLEPGQDLKTDNALSLHAVNYHLDDLDI SVPGPVQLYAMPPREVADHMFEAYLTTVHPFYPIINKPLFSAQYRTFFDSAARPGDKW LAILNMIFAIGAKHGHLIDAPWRGDEKDHLVYMTRARILSMNGDVLFSHPDLQQVQVE GLIAFYLLASDQINRAWRISALAVRSAITLGINMKSTSPTTPDLSKEARYRVWWCLYT FEHMLGIMTGRATCIQEGVWTSPFPIPFQEEQLQETDALEVLTDTTLRDERINNVMAS ASIRQMPFHPVNGKDASQHTRARDTAWIKKLPVNYGLCYLYYCDLSVIVQEIVNKVYS VDCVMIPWAQIENRIGELKSRTETWQSNLPTGLDFTRKEDNGPDILRCKLTLALHYYS ARITLGRPCLCRRDARQGPSPSFSHEMAVVTLESAWHMLDLLPDEPDALQLYQIAPWW CILHYLMQAATVLLLELSFGTVHMPEKEKNFISLSKKAIRWLFAMSEQSIASRRAWQL CDLSLRKLAQGMKYDVSDMPSYPYIPEPKSTISPEPPSEQPISHTAGDYWGPQLEHPL VSASDVPPGEDQYTHPSVSTADLMDSLTAEAQDSYFPYDPISGEFMRSFFPHSNDNEN WES PEX2_028350 MIARAGRHGLFEITPPPSPMDGVLAPKIDTEGINDDIVIAVINQ LEKTGNRPHLVKELAAVLVTLNVNVANSANPAALLSSRLAAYMKRPWTALAPCPMAKE LILIHPRKVYYYLTTFPHQPIPETDDLVSAVGSKHITPSVSSLEDEEDAMVRERSPSP EVDLSSPDFEEHGDLNTSASHGGSSDHFSNASNLTRLMNSHRAASPPLEGDEKEFTQT ASAVRERASEEKAESSRSQSILSEGLSALEDRDMSISESPMNGGSMSPSMHGDGMSEE EYSDYFSHMPVDQMAQDLDEAAATALFGTSPSPSLTSIASSVSSGTSALTDLGVDGEE LAGALVAPQISLPEDPDAAPVSTLKRSLDMLNSGLPDVDLKMSDLTESHERLTLTPRA ISMNSEPVFESWRELQNPEMVEVDELDEMFGEF PEX2_028360 MNGLTITPFIANLPKVELHVHIEGTLTPSLRWELAHRNNIALPY ATFSELQASYAVTLNHRPELNGRQPGIPTFLEAYFAGCEVLRTETDFYDLAMAYLRRC AAMNVRYTEPFFDIQAHTRRGIPAAAVMDGYLRAQHDAAAQLGVHSRWILCFLRDEPV EQGLDAYREARPWAAGTVEGGKGLFHAVGLASNAYERPPMLFEEGFALARADGLHVTM HCDFGQKDTREHVTEAIFEVCGGKGAERIDHGLDAEDSEELWRGLVDRGIGLTLCPHA YHRRTPTEVLFPKIQRLVKNGVKICINSDDPTLMHDVWIDGNLQKVYTYCGFSRKEMV QLARNAVDMCWAEEDIKRAIYQELDEVDICE PEX2_028370 MAMDATTPDAGEQGTNHAMAKRVLRKIDRRLIPLLFTTYMFNFM DKTILSSASVFGMRHDTGLVGQQYSWVSSIFYFGYLGWVYPTTLLIARLPAAKYMTAN TLFWGAVVALTAACTNFGGLITVRFLLGVAEATITPAFMFITSTWYTRDEIPTRTGLW FAGNSVGGIISSLLAYGLGHVKDHVGPWRWMFIVLGCATFLWGFAIWILLPDSISTAN FLTEEERQFASNRVVVAGTGTTEETAWKWDQFVECLMDPKTWLIFGLELCTQIPNGGT QNFANLVIVSFGFTSLQSTLLTIPYSLITVAAITGTGWLAGRFRQLNCLLIVAVVIPP VIGSAIIYSRAHIVLGVQFFGYFLLSSGPAAMPLAMSLVQANYRGVTKKMTVTAMLFL AYCAGNISGPQFFLSKEAPNYNTAFRTIMICYAMSVVLALVLRFYLQWTNARRTRLEG FEGSAGNAGAVGGKGLEDDPDSKNVADMVNRVQLVSDDYEDVTDWKTPGFRYRY PEX2_028380 MNVNQLRRVPLVAKWPATPCRSASSKTPRPPKPSSQSSKPVAIK RRQVTPPRQPYQERPNPQGAQSEGPNAKPTAKPGHGIPPRALTFLGVTALTISTYCGY LYASYAHEVSKAQTLNVPRDVSDRYNTTAATFDADVELSEKAMGLGKKRRDLVLQARG NVLEVSCGTGRNLPFYELGERRGLDADGHAAVLGCRSVTFIDLSPQMVAITKEKFEKL YPEFPAVFRACDAGKVEPASDIGRSGVKTNGEPVYFDTIVQTMGLCSMPDPVATLRHL GSVTEPGSGRILLLEHGRSYYDWLNRILDNLAPAHADRHGCWWNRDIGDIVRESGLEI VEEKRWHLGTTWRYVLRPKQGAEKAQS PEX2_028390 MALPSKILIVGGGVFGLSTALSLSKRHPESKVTVVESSPTIPNP HGSSVDTSRIVRADYANAAYSKLAAAAIDRWRSTDWGRDGRYTQNGLLLVYPADSASA KEYARKSYANVRQIEGDNVTFLPTQADVLSVVPAYGSTLDVAGGYVNWGSGWSDAAGS VQYAKTLLDAEGKVTFRTGDVERVLYDTNGAKPKATGVVLADGSTLEADLIVLATGAW TSKLVDLRGRAVATGQAIAYMRISDEEQRELENLPTILNFATGIFIIPPRDNLLKIAR HAYGYLNPVEVPVPDAGASGSMVVSLPEQGVPVPLEGQEAFRVALRQLLPRFAEREFF DTRVCWYTDTPTGDFIVSYHPEHEGLFLATGGSGHGFKFFPVIGDKIVDALEGTLDSE LSKMWAWPEAAVSDEEDFDGDGSRSGERRAILKDELAKAQKASRSSVL PEX2_028400 MDSLTTHPSNAQQARAFTATSSLSFPGGAGDLTPPNSEKEAMAQ SGNGMNGQQVGGNAMNGTGVTPASPATPAATPGATTGSSGIVPTLQNIVATVNLDCRL DLKTIALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRK YARIIQKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYR MMKPKIVLLIFVSGKIVLTGAKVREEIYQAFELIYPVLSDFRKV PEX2_028410 MASKGAVLPLLRREIRSSRFSRATPSVSAIATRHSASPFTTSGR RLARQTALFTAPTQTRAFSQSLSKRFTDENGDFDPRTLERESDDVDVCIVGGGPAGLA AAIRLKQLANEAGNEEFRVILLEKAGELGAHIVSGNVLQPTALDELLPDWLSEDNPSR FEGATPAKGEKMRFLTKNGSYPLPMPPQMHNEGNYIVSLNELTKWLGERAEEVGVEVY PGFAASEMVYSPDGSVKGVATNDLGLARDGKPKETFERGMEFHARVTLLAEGCHGSLT KQVTKKYDLRRDSQPQTYGLGIKEIWEIQPEKFRSGEITHSLGYPLPKDTYGGAWMYH FGDNMVSIGLVVGLDYPNPWLSPYGEFQKMKHHPMFKEVLEGGKCISYGARALNEGGF QSIPKCAFPGGALIGDTAGFLNVPKIKGTHTAMKSGMLAAEAAFSALKGDNQGTVFLF DYEKSLRESSIWKELSEVRNMRPSFNTPLGLFGGLIYSGLEAYLFKGRVPWTLSHHGT DAAATKPASEYEKIVYPKPDGELSFDILTSVSRTGTNHEEDQPVHLQVEDLDKHTDLA WPAYKGIENRFCPAGVYEYVEDPSKKHGVRFQINSQNCIHCKTCDIKVPTQDINWQTP QGGEGPKYIMT PEX2_028420 MTEVSSTRLYLGNLPRNVTKSDIEEHFSSHGSGKITEIKLMNGF GFIEYDDQLDARDIVPAFHGSDFKGERLTVQFARGPRRKEAFPGPPDRNALPRPRRTM FRMQISGLPETSWQDLKDFARQSGLDVVYSETGREQGRGFVEFETANDLKTAVEKLDQ REFKGSVVSCVADVSAQPGSDLEEPPLTNSQIQNFEERPVRDPYRSRSPPRRPYPATM EEYDRRIPPPRGYSPREHYRERSPIPIRRDPYYERDGYARRTPPRPRMEDYPPPRRPY EDPYEARPPPPPRHYDDPYLAARGYGRPRSPPRGEYVPYDRPRYW PEX2_028430 MTDHQRIQLPPRPDVLDSVRLRDIELPLPCAPEAWHRQGKSQPC TATLKLSYSSIITAAETDNVSLTLDYGKLFRRLESDVRNMGENVSSSSHPSQRLISLE GTRREEMQSRGLGQDPRVIAGVVADAGLGLLETTSAITAAKQPNPISAAYGECEVLLH LPKALLRADEGLRYRGVIALGKEVAGGEPRGLVVLEEEFRIEGIRCYAILGVNPHERL EKQAVVVGLTFQGPGQLAWGSTVVDTYQAVTRAVAEKVDQTDFQSVESLATFIARIVT VDFGNERVTVKVEKPSALAFVQRSGVEITRSKAFFDSR PEX2_028440 MPSSNAEDLSVGDVFAPQADSEQSVIDIPANHRRPNAHMGNLSP PEQTAKGFNGFTNQTLNENKQRRKKKKNQFGPSCATVRGFTPLASGDEESDFSAASSR TPSRPPTALDGASPLVQASTGHGVSALKLQLNTLSLSDDTAGLGGLISKTPSAASVYS DSDQTEILTSYEIPLDQDFVSSDAVQPEVLDDRNADVPGMNPELRSQLCRKMTAEDFV PILCLGKGSFGTVLLVRHVVTGKLYAQKQFRKASLTVHKKLVEQTKTERTILESVNRH PFVVKLFYAFQDHEKLYLILEYAQGGELFHHLAMERMFEEDAAAFYMAEMVLALAHLH QNVGVLYRDLKPENCLLDAQGHLLLTDFGLSKIALSDDDRCNSLLGTIDYMAPEVIQG KPYGKACDWWSLGALGYDLLTGSPPFRGNNHAKLQEKIVKQKLMLPYFLGPDAKDLLT RLLRKEPSKRLGYHMQKDLQIIKKHRFFRKIDWAALERRELDPPIQPIVTDPALAENF SVDFTHLPLSPTITATGFDDYYGKGQDFPGGKGADGDELGAESNPFGGFSYVASSSLL DHGLGVMTAGY PEX2_028450 MASSAPPKRRTPGLPNPVLEAEQQKWLFTEEEFERTPSRIDKIE RGKEDYIRHRAVEFIWQVSVMLKMPPQTSMTATVYMHRFLMRYSLMGQYPEMGSDLMH PKVIAAVALFVAFKVDEAMRRMKDFVIACCRVAMKQPNLIVDEQSKDYWKWRDLILQN ESVMLEYLCFDLQVESPYRILWDYSVFLGVGDNRALRHSTYSFLNDSTYTVLCLQFPP RVIAAAALYAAARHCKVAFPDDAEGRPWWEQIDVRLDDLIRACTFIVKIYERVQQSLS KGYPEFALSDSTPNPNDPTRIFDTDPTKSASEQQSTSTPPTLTVTSDTPTNGRKRSRE PESHPDTQPHPHPSSPQNKPHSTLNGNGNRDRSPKRQRTITPSHEETPTTNQKSNPPA PSRPRVPAAALSQTKATPQFNSLSITGEKPGTGPGPSTHSPPKEESSTEEGELPEKQQ HVVPVALPPRPVPPPTADVDYRSKLDSQREEKEEGEADDDDRAGSEEGEI PEX2_028460 MATPTLSAMIDPTKQAEYPIVLGERLARNPNTSRLINVNYNHKS KSATSQQRATITHSRSADLYDLAVTDKAPNADQTLTYSYKGSEDPSGERSLVLIFDPE RKVFVLEPVSTTLNFNLRSAPGKTEKQVREQYEQLRIKEEDEPATSGEDRHGGSTSED EGPADDSNPYDFRHFLPKNRREDDKPPSGHSTPELAISRASTPLMPAARPAPRPAPPR PKTQPQTQANPLRQKKPPAKAPAKAYAPAKPAEPVSYPDPPEHEGSRSSPSDAGTGSQ LAGQSPSSNIIVDGDLIIDMGSPPPRPFVDRTYFSSNNTPADDDDDDGDEDIGEFSLP SPVGNTALPTSSVHTGTAIQEDEVEDDDALAAEMEAAFEQSAREEEARSHQYSQPTTR QYVPSDDESEVSEEE PEX2_028470 MGTFTFQWPYNASEVFVTGNFDDWGKTVKLDRVGDIFVKEVTIS SVQKVQYKFVVDGIWTTDPNVREEEDGHNNINNVLLPEEIKDSNFAPTMSGVTPDSTT AALAASVPKEPNGDLPGSFPDTPGQESEQTFSVDPIPASEGFDNPVSLNPGDKVPHHS TLHNNTVDSAVTLDKESYEKGQTLPFNTGNQNTDTNSGDLPYTLPPITNDLIPESSLP IGGPAQRAASSEAEPIYTGGPAQQAAMADPGYHIQSAGPNSTTAALAAGVPLESKGKE INGDKPVDEVPQVVKDSLAEAHKDPEAAANQVAVDEKHAIEEELQKKIPVEESAGAPA PTVTAATQAIAPHLTVASGVDSTDVSPLSTPPLDRSFAAAAPSSALKNAESSGPTVTT GPETTSTVETSTPETKAPTTAEPAGPSAATGVESTHTPATPAAKPTDPTVTTGAESTQ TPATSTAKTAEQSPKQSHSTEGASTIGDKKKKHRLSSFFGKLKEKLK PEX2_028480 MAQNSAPDLAPVINTRAAEKSTGIQKISEDDEFEVTSPTNLTFR QSSSSAAPPGTALFGGTAFAEGGSQTGRSLFSRSPFQDTSGEEDEQEGELAPLPARSA QQGFPSNYALGRRTSVSAESLNPTEAGSDSWSPPHHPKTEEQVSRLKSAVSSNFLFSH LDDDQFLTVLNALVEKPIPAKDIKVISQGDAGDYFYIVEKGNFDVYIHPSGAVQPGPD GLGNKVASTGPGGSFGELALMYNAPRAATVISTEPKSTLWALDRITFRRILMDSAFQR RRMYEAFLEEVPLLSSLKAYERSKIADALDTIKYPANSTIIHEGDPGDAFYLLESGEA EATKNGVSGPVKNYHRGDYFGELALLDDKPRQASITTKTDVKVARLGRDGFKRLLGPV EGMMRGAEYDLDGEKSPVSKPI PEX2_028490 MAPKFKKPDPKPQPKTTTPNWPPLRPLLPAADLTLTPLLTDQIY IIRNFLSGTLCKTYASFLASLPLTTTPGTPKKDEALRVNDRFQIDDARFAEMLWSTTA LKELVTTRFEEDEYEYDQDMEDDSALAERARQLWGGEPLGLNPNIRIYRYSAGQFFGQ HYDDANNITLPPPNSRSKATPARTTWTLLIYLTSCTGGETIFYPESTRANRNPEPIAV APEVGMALLHRHGDHCMIHEGAEVTGGEKWVLRSDLVVPR PEX2_028500 MSSQTMTAPAVGHRPPDPGPDSPLYDYGSSPDPDSPSNPRGDSA IRAMQNNSAPSTPNGMPAQDVAIKTECSDSHNFSAAQHQQDANTQNAASALLAQLLGN QSAANSTPTTIQPAQQDEDQDMHMDIKMDESISQSFDMAAQNTAPIDQSLHRDTASIS REIQDLLNGKAIGDELMGTNSVQDMDHAGFNSDIMGTLSDPMNPKLTTDQPSLLPPLD FSAAPKNAFEALHQNELLTAAFLSQNADLSALGYPDMAASIAGSEPKIQAFAKLEFDD GHFYVNTYSFILGRDVRAARAAHHREFQYRQAVRSTRAKSSSGGNTSHTPNRMKREES AAMMGSVVSDRGGIMGFDPDIPPHLPNNMNMSRRSSRSSFDDAVVPLHANPAQLQSTT DYNALAMQSLQDGNGDAKPVDALALLPSPDSCPTIPIHPPTTVDGSAAGHRGISRRHV RISYNFDRNLFEMEVMGRNGAFIGADWLSPGQVRPLHSGDYIQIGGVRIRFLLPDVPI GETGADRMEEKMAEKHDEEEEKDARASIEMDDDVDESERLGSDSGESREPSKKIILKT KDSKSSQAMDSIENGDNDSQPARRRGPGRPPKDGIMSKRERAELAREQKIAAKREANG GITPPPLKVPKAGKTVAKESVVPESPTNKPSEKRKYTKRKKPDGTPIDSPLPSTEGGQ MSAEPLQEYVKPPPVKKRKPSRSPSPNYPPESAYNAEDLAKPPYNYAVLIFDALTEAG TPMTLKQIYRALKLKYPYFRFKCETEGWTSSVRHNLNGNGHLFMHAERDGKGWSWQLI PGASVEKEKKRRPSPPPQVSHPPAPAPQQYMPQMSHSYAPPQGPPPVPNPPQHFQFPS IPPAPFPASVNVGGHPPQSSPYPPPSKAPVPTPTAPPAPPVQAPAPAPPPPQAPTPAP APPPAPTPVPVAAPIPSPAPTPTPAPVPASAPAPAPTQAPTPVQSAPPPAAAPAPTPA PPINPAASFPIPSQLRNNLPAAFAATIPSTYTSPYASAPAPQGGQQQQLQTPRPPHGP PQHHSPYPQQKPPSSQPPHNNPQPRAYPPPSGGVQFQHQHQHQHPHQQPVQPQSQPLS HPPQQQHPLPHHQHQPQHQHQHQHQPQHQHHPPPGLPAHSHHQQQHPVQQNSAPGPPE SSSFIDRANKAIDDFEAVLMEDYEDKNYIREVLRSARARVLGDAPESSFPGGEPKDEA VIMDVLRNLVGSLKDE PEX2_028510 MGSSRPLLFPTLAVQDLKLTDVETIFHLTQATNTDWDQFRVSFE VPDDCSMIRAQRRYEGRANCTVFGLSTDNEQFHFLRINNKGEGSDARINELEQIQRSE KKLETAHENDKLKNVLERYRDR PEX2_028520 MPRRFSSNSSNDTSTNPPSFSPSSGKGGISIKAPSVKSNRLSQL FSASPKSKTETVQSGHPQPNNSSPPISLASLSLPTISLSTATADNPNMDELPTTLFQP PTPEEARRLAKQHAQFGPIGHPSHRYSSRHPGGVFPEPVMDEPPYYYLLTTYISYLIL IAFGHVRDFFGKRFREENYRHLKPRDGYGALNSDFDNFYVRRLKLRINDCFERPVTGV PGRTITLIDRGTDDHNQHFFLTGTTTDTLNLSSYNYLGFAQSDGPCADIAEDSIKKYG IAAPSTRAESGTQDLHVEVEDLVARFVGKEASMVFSMGFGTNATVFPALVSKGCLLIS DELNHASIRFGARLSGASIAMFKHNDMKDLELRLREAISQGQPRTHRPWKKILVVVEG LYSMEGSMCNLPGLVQLKRRYKFNLFVDEAHSVGAIGPKGRGVCDYFSIDTSEVDILM GTLTKSFGANGGYIAADKVMIDQLRATNPGVYYGESPAPAVLSQIASALRIISGELVP GQGEERLQRLAFNSRYLRLGLKRLGFIVYGHDDSPIVPVLLFNPAKMPAFSHEMLRRK ISVVVVGYPATPLVSSRARFCVSAAHTKDDLDRVLTACDEIGNVLQLKFSTGIAGGAL PAAEQPTPPPELEKEWHRKRSENISPPRWRVEDVIRRGVQDVKNPLY PEX2_028530 MPHIEIFEPEVKELWRPSSPETTQIYDFMTKVNKKYGLILNDYN ALWKWSVSEPTQFWEEIWHYTKIHTHNPYQQVLDSKDVLFPRPSFFEGSTLNFAENLL YPATSPDEDSVAVIGATEVAREFISWKELRERVRLCANALKEAGLKTGDRVAGFVGNH ANTVVAMLAAASIGAFWTGVSPDTGVHAVLERLKQIQPKILFADNGSFYNGKVHSSHA KVWEIVSELPDLELLVLLITTPELEVNLNDLRPANGKAKVYDEFVSEVKNPQAPLEFA SLRPDHPVYILYSSGTTGAPKPIVHGSLGTLLQHKKEHLLHCDIRPGDRLFYFTTTTW MMWHWLVSGLASGATIVLYDGSPFRPFDDEGGKGEMAMPRLIEELQITHFGTSAKYLS LLEQAALNPRKHTHRPVSLKTLRAIFSTGSPLAPSTFEYVYSSFHHDIMLGSITGGTD ILSLFASGCPILPVYKGEIQCRSLGMDISVFDYAGNDISATGEPGDLVCVTPFPAQPV MFWPPGPTGLEKYRKSYFDVFGPSVWHHGDYVRLNPQTGGVVMLGRSDGVLKPSGVRF GSAEIYNILLKHFADDVEDSLCIGRRRDGIDADETVVLFVRLASGNESGMPAELAARI QSTIRKELSPRHVPGIIDACPEIPVTSNGKKVENAVKQILCGLNIKIELIIMRRTALR AIESAKPLTRTPRAVSRSLSTINDSAKDPAELEQITTLPNGVRVATESLPGPFSGVGV YVDAGSRYEDESLRGVSHIMDRLAFKSTKARSGDEMLEILESLGGNIQCASSRESLMY QSASFNSAVPTTLGLLAETIRDPLITEEEVIQQLATAEYEIGEIWAKPELILPELVHM TAYANNTLGNPLLCPEERLGEINKAVVERYRELFFNPDRMVVAFAGVPHGEAVKLTEQ YFGDMKPRDISKTTGPVLSGSGIETTLSDSQAVAHEGQVPTVPSFTPSSTISSPAASQ KTHTSLLSKLPFLKNLTSSKNSTVEPLHSSLVKPSVLNLRQPAHYTGGFIALPSIPPP ASPMLPRLSHIHLAFEALPISSPDIYALATLQTLLGGGGSFSAGGPGKGMYSRLYTNV LNQHGWVESCIAFNHSYTDSGIFGISASCSPTRITEMVEVMCRELQSLTLDTGYSSLQ AQEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGRKVSVREMCEQIEALTVEDLR RVARKVFGGQVDNEGKGTGKPTVVLQEGELEGYKLRSFPWEEIQERIARWKLGRR PEX2_028540 MVKLTEVEDEHFTDKPTATKHDALLVSDDEDDYSDTDSEISDDE DVELEAESLYERIAALKDIIPPSARLTVSNTVSSITDLTKASFSFSGKALWIISTSAF LLGVPFALAYAEEEQYIQMEREQGMIKGANEMLTPGTETEKLAQPTL PEX2_028550 MPSRKTKKKQTRLAFAASTATPSADVSPEQSSRYATLTYNNPSL GTYRPPKSSKSKPETSPEKKRPKLPTKTKANDKPAKELSIKDQSDNEFLDEPIISASQ KRKQAAPPDVMISSPPKLNDPKDAKKPRAQKPQRSLQFSKKQTENTNDSDSEELSRPR RTLKRKAASPPIDPSDSDEPVASSVAKRRRSTRGGHSSSSPLILSGDDSNESVAPSTV KRRRLARPAVVPKSDDDDSDVPLASSPVKRLRRGQEKEAPQAPHTPRHISKQARLDIA EDLEDLQDSVVKKSRTRGRNVESARDKRMKHLEALRRRRAGVKDEEQDDEEEEEEEDE DEDEDEDDSDVQEIGRPGSDASPSGCGLWQHHNNDYSDIESAIDPNENLDRYEDDFVL EDEVDDLGVPTEEIPFEFTRHAYKQPKEYFRDVIGWMVQNKLNPAFPRSDDMYKMAFM KLEDEVKGRAGSQLISSVWNPSFVYALQARPHIEIAAFPTEENHPCDACRRSGHPASS DIKLYGKAYSLQTLEPLEDENDDSDDNDEDSNNDDDGKERDRDGHVITPENVRYFLGK QCKARAQLAHTLTHWRYHLNEWVIDFLDRTGHMDDDEILRRNDMSIKRKTRNANEVLG SMTETGEVDKLWRDFHINLKSAREKESIYG PEX2_028560 MGVLMTRKRAYNWYISCVAASCMVLYGYDASVFNSVQGSKNWLA WMNHPNAATIGSINTAYTVGAIFGGFFLGGPCADFLGRKLGMGIGCVLVIVATFMQAF SPRHDLACFLAGRCIIGIGQGIALTAGPIYIGELAPSEIRGKIMTFWQMFYSVGSFIC FWINFACTKNVSNLGEWDWKLVVIFQLLVPVLILVLLPTIPGSPRWYIQRGNHIEKAR EALQRVRDTEEEVEQELLEIREAIEYEREAISSNYSALWKDKSLRKRMGLALVLNAGQ QITGQGSLNSYSTKIYQKVFTSDSQIALINALNATFGIFFTLNAVWIIDRFGRKFLLI VGGIGMGLCMIIVSAVETETPQLANGAKSEPVGISIVFLLFLFIFFYKPSWGATVWIW TSEIFSMNVRAQAVGMASQTQNIANAIVQQFFPIFLDNEGFYAFYMFAGINFLLAVFV WFLIPETKQVPLEEIDTLFGGANHVAQGEEVLAHQKGVQMSHEVGEKPDAVTVEHARG PEX2_028570 MAQNPDNKAAWLSASKATPLQISPAPYTSPGPHQIVVKNSALGI NSVDWAKQMLGEALLGHISYPFILGEDVAGTVVEVGEGVERFRVGDRVVAAAAAISVN SSPEGGFQLYTVVRDWLTAPLPDSITFEQASVLPLAIFTASHGLFDTRYLGLDLPTVP ARQSSDKRAVIITGGSSAVGSTAVQLAVSAGYEVVSTASPKNFDYVRKLGATHVFDYK SDTVIDDISAAVKGLHLAGSYSIGDGSIDLLAAVLVKHEGPSTNKVIALAGGQPGGGT IDPSIQVRFILLGPDAASPDSVVSKVYTSYLPDALANRQFVPAPEAIVVGKGLEKIQE AFKIHMQGVSAKKIVVSL PEX2_028580 MTVTMADSNELLDKSKVLPEEASDVEKYGSDSVTSNNEAADDDS KFPTGVALWSILGPVTIAYFLVFLDMCVVSTATPAITERFDSLVDIGWYGGAYQLGSS ALQPLTGKIYSHFNIKWSFLVFFFLFELGSVICGAAVSSPMFIVGRAIAGAGSSGIGN GALTIISTTLPRRKQARFLGINLGIGQLGIALGPIIGGAFTQNVSWRWCFYINLPIGA ALAILLLLFKIPEPTTKLPPRQVLSTAVKSLDLPGFMLISPAAVMFLLALQYGGTVHP WNSSVVIGLLVGAAVTFILFLIWEYHQGDGAMVPFAMIRKRIVWSAAGTLFFCLGAIL VAEYYLVIYFQTVLDNRPIMSGVHLLPATLGLVIFTMLAGMMTEIFGYYLPWSLGGSA LAAISYGLMSMIKPTTSSSKWLGYQVLYGVGSGAMTSAPYVAIQNLVPPPQIPIAMAI IIFCQNMGAAVFLITANSIFTNGLRKELQKHINEIGVNPDIILGTGLSSIRSIVSGDK LTVALKSYTTAISHVMYLGIGLSVATFAFGWGLGWVDIRKVKQLQAIQAPNTKQNVDS TTENSITP PEX2_028590 MAGRSPYGQACLGCFKTKCKCIPRPDGNGCERCHRLKRPCNPAD VSRRRSDKKQNSPDARIAKLEGTLGQLVSLLQAGNINVGTMNNVHIDRVNYQPPPPII PYPDEVTEGTEGSGTMEDSRAALIHEDDDDTDINAVGASASWWTTENVNEFSTNGTPL ANLPSSTMSGAFMSPPVSPSSASISLDTFRSRMLHHFPFVHLPAHLAAEQLQLDRPFL FRAIVCVTSASAEEKMASSLELKRVLCEAVFLQQSPQQKQQQPQQTVDLLLGLLVYIA WSWEHLRSRRSLSRLMVVAISLVGDLLFLDQVVPEITRTIHHLEPQSGFNNVCGNTTA TTTTGAGVTDTQLHLERQRAILGCFVLGSAVSAYFSQIDAPRWTPQMDEGLAAIIANG NSASAECPSDAALAIQVRLQLLAMKAAQVRERAQLPDHPPPETLSHQALLYIKVLMGQ LQELRATVPPAFQQDFVLLAQTYYTEMCIVETIYNQEPTRSSQPTCGPTRISCFWQSA LAIKSCTSTFLTFSPSGLLGVSFIQWAQLARCVATLHQISTLQEPGWDLATVHRLIDL PVLLSCTADKLELAAAEAGEQRASADGVFTQLARGLRMFQSAYHDIGQLTEHGEAYKA KEEGNRAGSRANADTATIAITEEDTDTLTYGQHPGYLMSPTLWLDQFFDFQD PEX2_028600 MHFTAPAIFGVLLTVSAHTVPYGLVERGNQLSSPPEPEPIEVIE LPLPPVTTNETEGGCTLSVNPHGTGCISQTTFTLLGGNFLPDGDHVTVSLEFVGAPAA PDPSSIYTGLNLIIVRANGTLFPNGDAWKCITCGVPEDQQYGMTSTMDYQYPQAFTDG TRVLVGSHIVDCGTAQLASTECTPEKVHIYPIRWNTSPDGSGDGGAIRELRKHPDDVH LLFNSMSLTGPTVTQFAYFARLVFNPAPTTGTPLTPRYDLVNVTGLYEPGGTSFFFTN GSTLSLNPQSISVGEARGLSGLGDEVTYVGFPVESCNIDIFGINLQTGKVNRVTYGPE YVDPIDTSPDGRWDVIMDSTTTNRLMFVAGMRGVPPLIDTLVTAAISSIRNNGQRRFF QPWIFDREVAPYSEDSGYYTGQKVNGAGEGSPGSVNDPFWNGAADPRWSLDGTKITYF ELLNVAPDCGGSNPLPCPKSTAPGGRNVRVMLATLTSRKPLPIVAVDPVSDEVPWGTP YVPGATPPETILPFGNYTLTGQVSGYANVTLTPDSAGTTLETVEATYHNYSDDGLNFI TGKEKVTTLHPNSTLIHVDWFSDLSSTGISNSTKVTGPGGLQFEVDVQLNKYYGNGTL TTTVDGVVYTQPDNGA PEX2_028610 MHFINLIALLAAPAIVAATLDPASSNTKGYKPASLNCSATKVSK AIQAAECSHNTRTAKTQTFAVFETDHQYDSVKGAPYGTCSAYTCTAPTSSQLEKDSDY WIFYWGNGGTSTGYGTTCIKSPQTGECGCENSDGTFVAGSSSCK PEX2_028620 MKVVTVLILLLASGTYFDPQRVTVGGSPKIPKNPSRDFTLTPSS PWATLDYGAEVAGFPSFEISKISGPTQIEIKYSEQCPGLLEPFSDGPSLFVSSLADSF RVETFNITRNGKFSSELLQGGQRWQSIRLLTHTTVKFRTVSFRSSVGAVDTGNIPGTF HSSNEIYNKIWYLGARAVSLQGALVSSSVPSYSALTYNLTEYDLEFDAKITRGGLIWS TSYNFGIRSKEGILINLASKYPEESTFLNTNKTLFPPIYHHPGVWNSFSVPFDVQEGV WYRIGTTIRSGHLAVTLNQTQLFNVSLSTYYAGGASISSSGAFGFGAWQDQSARIRKV SARDTTGKLVYLNPMTDAKVVLPEYGVHDNYFPTCVDGAKRDRLAWLGDFGHTTRIIG VTTNRKDHVTGTFLQLLSYQLFTGQLPMAPSLGYSPEIDPKAFTVNGMAYLLPDYHIL ALMSFASYMEYSNDTTFAQEHWSSWKSAVDWLALYQSNSTGLIDFAVFGNTFLGLVSG SAISAASVGAFRGMSSIATVVEDTSSAKRWTTLAKSVKVALALMPKVSMDGVGQNGRY QTSHWRDCV PEX2_002800 MAGREFTLSFETTPPTAAQPGSPFTIPVVIAVNPIGTPAQNVQH LVVSASLRDEAGTGAAIGLSGNLTASVRSRTDNAMSGYAKLSPLTISQPGKFRLRVML SAASYNGVVTKEYVDSTIIHVHAGAAAQRPTPTQVARLQRLTTENLDISAADIAAWQR A PEX2_002810 MPHIRHHRRHHTWPHCGSELCMREPRGLEIHVSKLGNGPATYIP LSFQRTRAAPFSTEQFLCDDMGMPELSRQPKRMPRLWFRSSAKGRSNQSSVSGSLGQT KQVYRSVIRRLTRRPPLNKTQSLFVVPSNMGSGDLYTIPGMITPHYVAEQAVCSDSDM ERSSVSGVDAARFMAERRHRRCHSEQPRAWRKPSSTLWTLQEQEE PEX2_002820 MGVTWSQIFPPPPILTEANLPSQKGKVFIVTGGYSGVGFELCRI LYRVGGTVYLAGRSEEKALDAIAKIKSLCTPTSQGGNIIFLPLSLDDLRTIKPAVKRF TTAESRLDVLFNNAGVSYPPQGTSPQGHDMELATNCLGPHLFTQLLLPILRHTAIITP IASVRVIWTASIVFDAFALETGIELAELFQKDADKSRNYLNTKVGNWFLADALASQIG GDGVLSLVQNPGNIRTNIMRHSQALVPLMLGPFLYTPRFGAYTGIWSAFASDLKIDDG GKYILPWGRMHPCPREDLLQAMQGKEEGGTGVAAAFVQYCDNQIAAFL PEX2_002830 MDIQHIGRQLSRLTSTSIFCGPHNVPHQTATVFCPNFISNERST TNILHRLKNSRWKHCHCFCQCYQQLYPPPKRHYRLTWPFSRGPCFYAGEINICPCFSI KFPDLRHLIDMLKSRKDRLPDSRKYHDYPMFHNLRLSDVRDHMVHYCAFTQHPLVNIQ IMTILWINEPTQTLRVLTCFAIRGSKFILSQILANPKALAHRDIAKWLRKIFDEAGST FSGWQKNGYFLVSSNRPEMPKGHEPNLLEISISRNLGDGQWPNKQWKANAHS PEX2_002840 MHTQSNIYPKRSLSQTSATSTSSHTSQSSQEKKRSRLSKPLSNL FRSKSPALIDIPKSHEDIMSQPRDIPQSNHKSSYFPDQDYTSTSPTQMSPSMGSPKAD SPKRASTPTRKSSGDYKRYSGTVNHYGRHSNDWLFGGFSVRYTVRDSLDKLRSQDKES PEX2_002850 MSQALRTASRLKPEIRLAQAVSEFEADLSGEQKAAFRANRAKTI QSLPNTQDVMCLAAEIDRASGRSGRCLGPRLMNLLQAIQKFAALGDVIVGGSQNLIAC GIWTIVRTSLQLLTTFSSYLEKLSMLFMNVGRSAPRFESMALLYPRSRDLQSSLCEYF IGVVHLCHDILRFTRKSNLKKFGSSLSDSNLNKYESDLESWGNTIKEEVALLMATRIE EEANKNSKLREVSSRFFRTASNQQKVQARQRVLDICTNFDHMVVWKQIRKAGNTNTFR QCLHYQRWISTTTSNTLIYTGKLGAGKSVLLANIVENLHLQVQVEVIPVAFFFARHDV SESLKAQTVLGSIARQLLERVSDLTRPAELLERINNMDSPERIFALLQSAFPHGYRAF IVIDGIDELDQEERKFPIQHLHKLQTMFVISLCVSLRQDANDPLNIRSEQLSVVTMTS MPENTSEIELFISHELQRCIESKKLIVGDVSLLLEIQETLTMFSQGMFLWVTLQIESL CAMETDEAICQALKDLPKGLSETFWRILQRSQKLGHSYQRPILELVTVAQRPLTLDEL REVLSVVPGDTKWNPTRLLNNIHSALACCGSLVIIDEEELTLRLVHHSVKQYLLTEFK DSASRSVTSVEAHARMSDIIVTYLNYSDYDRQLSKVVIPEIKSDETMNGIIRSTRYSL DSVSSSLALKLFRFQNDSGFNMAKALAEAKAARLRSMDHFFFRDYAMLYWQSHISRSL PLSPASSNLLERLFERKALDLVTMTDDGASFLLFRAAETGNLSAVKYIISSKPGIDVN KKMNHHGHTALHAAAWYGAETTTQFLLFWENTDQAATDLDNNTPLDLAIKRGHVFIVV AFVGHCGSDLTRADHVIRSLHHHLDTTSNFNFDVISGVLLVAARDGNERLIRMILEES RVDIVLRRRIWRAIHAAVSGRQLHIVELLLSYSRIHRLPHYAVQLGYDSLQQAVNLGD RRIVKSLINSNKVDIDSINQDGLTALHIATEFNNLAMVKLLLFHSRLSVVYILSSQNQ TPLQTALSYGCEEAGELMVQHVLSATITKGNIETGDFSAPRWEAKPVYTPFHYAVEKD DVSMATSLIEFDASWVHTLDHEGRYPLHLAAMNGNLDIVNLILAIENVDVFHIDNRGY SPRDYAMRFGHAVIARLLS PEX2_002860 MPGAFDDSDGTAEPKEPLSPLHAAVDAEDVDQVRQLAITADPVD LTAALSRSCERGKITSAQALLETGRCDVNAVVEGDTLLFSAAKKADLAIVKSLLQHGA DATIRSKNKRSGDKPPAFTPLHGVADSTRPYEKLEDLPRYEELMQLLLDAGCDINAQD AHGNTALLLSVHNEIALVPFLLQHGADPNIAEDRGGTSAHFLHHPLDQPDWFRGLMAN GARLDIIRSGDGQTPLHAYAFKCQLGDLSLFQPFVSDWMITDGNGNTLLHTAVARYHP GSKTIVELLKLGIDPNQRNHKGQQAIHMVEGSEQNVQEVLDILCAAGADLEARDYHGC TLLTKAMRGTPQWNCRELLPYLISRGANINTQDYKGNGVLSYLIQPYHFRSEYLDFLL SLGADPKMVNYEGDTFLHHLAANFATINQDNVLLAMIQLIKMGVSPTIQNFRGWTPLH MLCSQSSDDFFAATAEGGKCAIDLLLDAGLGVGLNMSDHQGIRPIHLAATVSEHLVGK LLAHGADATVLTKDGRNLLHIASTARQSNSVGLILDHCDSKTLASRLNARSEDGRTPL HVACRSGRLETVNILLAHGADVNIEDKHNRTPLDACSESIAEDQLWQGADDQENMMNT CSAAGVLAEDSNRPKQPTSKMKTPRHNTKSLGWKGEITSESSTLGIGRIVRALASHGA LAYEKNFGTGPMYYAVAEGNEEMAVELDRLSREMSIDMGNFRFVNTEFYLLRSKHLPD QLREQFKEYVSEHNVLPMILLGYHHEVAQALEKNASIIEDKSSMPAIMASLARWGFSE LFGRIGSTITGNWINGGDTNLGSGKMTPYLLAAGQRDLPNLDVIQVMVEKFNVDVNIR FEADMVDKPRVYYQSTMALARHYKPGDTILHQLAQGTHWWYKGAIRYLLEHGGDPNAR NDQGKTPLCNAVSRRELGGHLQLEITRILLEGGADPNIPATCGYTPLAMSAHDKQLFE LLIEHGAYPSQDHPMELFVALYSFNKDVVSALLEMDLDCNTTVLSDAQPHWHTHRFKK VAVNSGLILSPLFYISMLPFNEANSRDHACRMIRFLLERGADPFLPCDANDVVLHQIF ASGGIIQPWLDMPNLDLERRDSTGRTLLLAAAGCVTGTDSYACSVSAYPLRGGQLGPA FWKEGDLTRAMSLYERGADLSAVDYEGNNVLHYLADVDCSNDQFASIEVRRTLALFVD KAPELVQQTNVHGQTPWSIAAEKNFEEFMEILRTDIARAG PEX2_002870 MPGQLDDESHDLNETPEELGPLQTLSPRPPNTQWKASSRAVEET LAKGLSNEDLWMLIRRFDKQIYHVKAVHDTASLNLDLNRTENEKFPPEKLRMTLERFY ISVVVGVTEFFRHITRLRSWKEPVRTTIFCAGYFVAWAVDLLVPTILGLVVALIMVPS VRLLLFPVRLKKDESNGSSPTEGQVQSEDSLTGAPENHKGEAAEQEAKNLVDSFATVA MESASARYGQTVSEDASDRHALIESTEAADAAAGTSTVDSPEDKTKKPMKKKVSHATD KVMRILSDITDIYEKFANILSPTPPFLLITSRLRIASIFTLVSVIVPFTSSYWIIKLV GFALGLGFFGEPILTYTLDLLNAKVPNWKDHLDLQKTLLAGVPTNAQLTLTLLRIGEI NSSPLPPAPSSDENEPVWPIRRKKSQAASSTDVSRQGSSGDLQSTNSTTSLPETKVPK KRFVLKLFKFLRRTIATAIKGHIAFDRAMAIAGSAHTKNLIGMLQTGHFAATPFGPLK FDAKFERKRGAAVIDSSKEPPILYFTTNQSAGLDDLRIESRKKGTVLFQIPVTEIKEL KKTEGLGWKGKLIVELTAGSKEAAGGLVVSGDELGQSYHLTGMRSRNQLFNRLVAIDA QFWESR PEX2_002880 MAHKADVIGVEPPPVEDMVTGSMKDIPSQEEGDLHKTLKDRHLS MIAMGGALGTGLLIGTGSALAQTGPGGILVDYSIIGVIVFMVMAALGEMTSFAPMSRG FGGYATRFVDPALGFATGYAYFFKYLLATPNQLSAIALIIEYWTGDKVNPAVWITIAL IAILVINFISVKAFGEFEFWLSSFKIIVMTGAIILLLVLALGGGANLERTGFRYWSNP GAFAEYKLKGSLGRVYAYGGTELVAVTVSEAQNPRLAMARAVKLTFFRIVIFYILSVL FLGMVVPYNSSELAFAAGSSTSAAASPFVVAIKLAKIRGLDHVINACLLMFVISAATS DYYIATRTIYNIAADGNAPKILTRTNNRGVPIFAMIIPTAFCFLAYMSTSSGAKVVFS YLTSMVSTFGMLTWISILVTHIYFSRAVKLQKIPANLFAYRAPLREWGSLGGLLILCL LTLTKGFGVFLIEFDYKNFILQYIGLPIYLGCLFGYKFYYRTGRVKAFEADLVTGVSM EPIEITKARQKAEMEESIATKPAFIRVCKKYLALCF PEX2_002890 MVDRFDSDGSLANQAKRILYEKNLGHDYESTTGNPEFLKSAAAI MFGEACEALTSGRVASVQTISGTGANHLAAMFLARCDTSSSPKVYLGTPTWGNYEPLC TLVGLEVVKYPYYDPKSATVNFPTLIERVLQASPGSIFILQPCCHNPVGMDLSQSQWD MLADAMKEAHIFPWFDIAYQGLGDSLVEDAYAVRHFVEMGFEMVVCQSFSKNFGLYGE RCGTLHVVCKSDTTAANVYDQLRCLIRWEISSSPLYGARLVTTIIGNNQLKQDWSDEL SIMRNRLRRNRLELHEALKGNPGSWDVIINTKGLFW PEX2_002900 MFKGISDHFGSIIVALLSIQGVCSALEVEPNQTSGQTNDKEVAE LEVRTSDEYTIVHKWSGGAIIGTAASVGLVITGVANVITSWYSSTPDAQKICGKSATI STTGGDGVGYKYYVYSYTTGSNCDSTQRAKTITNKLSDAFDDLHSQGASAVCLDFDHH GTWHGVLGLATVGSGIDPQSACSDHHAGAKRSDADFLELEEDRNATKRNEIGLVKRTS ISVSESNKKSGSTKFSDANKSQPVILGIANKMYQQSQAGSCSSVTGTLQDYNGVSYSY YFYASGRNCDTTAQIKTMVSALDDAWDGLGSTSALCLTMQHGSGTWRGHLGISAMQGT YPAQSLC PEX2_002910 MSNPLAHAKIALRRSSERGYAEHGGWLKSFHTFSFAGYYDHRFQ NFGSLRVLNEDRVAARNGFPTHPHRDAEIFSYILNGELTHRDSMVKKGSEGEQGKEFY RMKRGDVQFTTGGTGIAHSEQNESNKSVHFLQIWALPWKQGLKPQYHTMAFSEEAKRK AFVPILSPLAAGPEATPAQEDAAVPKISGTIPIHADFVMGAGIIEPASTFKWTIGAGE AVESRKKRNVYIHLPMTKQGKSKVRINGREDAVLEEGDGAFITMVNAGDALCVESVGE VEAEVIVLDSN PEX2_002920 MGLSIKALGIRLVTNPAVVQLAKNGGFDALFVDLEHSTLSVNDA SQICTAALQIDITPFVRVPYQCGNGFVQRVLDSGAMGVVFPHIHNKGEPLDSIENVDD IAAVDGVDVLLIGSNDLAIELGVPGQFESTEFRNALEKVSQSCHKHRKIFGLAGIYDA PEIQDWALNTLGARFILAQQDSGLIAGAGKKCADVLSRIYKQ PEX2_002930 MGKHSPTCPSRFLNQSLAKTALKSPRSPLNSVMNQVLKACQITM QSAALLKKEVSELRAENETKKRKRTQSRGQIASEESLSVLEASTLTMQPEQAVLTQIP REAESAPAPLQPRTRALPKCSICVIQGHKLTTFPMRTRF PEX2_002940 MAPLCGIIPEYVLEGIIEKGLAPQDTIRRCQSTLEKTKQLQDVR ERHRQSIAAAQPQQTSQGIIPPYILETLARNAATEQQREAARHTLAYSAKHRTVAGRV RQLNRTVYDAQNSRDDHPPRDKILIPEGGELLSQETDPTNNANECYVGLGKTYDFYFN FFGRNSFDNNGIKLDGFVHAGDLYNAFFNGEELVFGDGDGVLFDGFTDELDVIGHEFS HGVVEFTSLLPYWYQSGALNESIADAFGIMVKQWGEGNPQTVDHANWLIGEGIWAAGV NGRALRDMANPGTAFDDDRVGKDPQPAHWRDFKKLSNDNGGVHINSGIPNRAFFLAAT KIGGYAWEGAGPIWYRALSSGKLPTDGEATFKEFADLTIESAGEHVDKVREAWTAVGY PFPEKRHEL PEX2_002950 MTSNYEVRLLLDPDAVLSFKLELADTVLSTFHVASTTKMNVQFL DTCSKDIYTAGWSTRIRKAENDDLELTYKKRYATLPVHSGRWAIWVFECPSLTPTARG HAHYAYRYIKLS PEX2_002960 MPSNLGVIEIPALTKVTWDVCNQCQILAKDAPTGLQRLVTELGS LQGTLRAISDDVSSNSSFFEKMDEDRKQTFERTLGTCLATLQRLKELLARWKGFETGD GMVFWQKIKWATQRTQIEDIRSRIMVHTCNLSLCSSSKGNVSLSPIEKTMVLAMEEDE QVVLSTEASPVRDTDSVVSPMSPALGVVELPANNEEECEIDIIQDVRARMSDLANNRS SVSSSSNVKYTSTSSESAMTQSDDSLFNSTPATSWLSLDTSPFLSTRGRINYTRIRSE QLLLGGSIDGRTLDGGIWANESDLAEKQVVHHKRSYSDAEYGHPWVVEAVTSAMQNLR EVRHQEHISRPIRFGPQNELHKPTAETLKIFEASVNDGLQITRLRTRDWLRVATWWLL KARTTLANCNRHNYVSARGSLSPSIESRSTSHQAYLDLLKASYILYDIVLEEEATSSP LIDEDRKSIVEVSDGINEELSQYTSVDIPEPSNLHAQNLAIWEPMQPEEIWDGGIDLD LGLDNLRWIAVDLEDAGNEQEKVLYRTFVNASIGGKRSRMRTKGAPYMLLLATREGES EPKIILCNQSGSLCLERDFVLDDLPPLIQLSNAALTGFPGARVSEPVPFKFEDMSVSI SFQSDGDLAQFINIPKAYFDAVWQRELVDASESTESVIFKTSVDMFEQLNTPTMKSLN PPVVVKSCEVRILERSFGEAWRSIRRMVITSSAAEKSPGTMELFMPLSGIQINRGNMS RQVVLQWSDTCQARSDKTDGSYNTLHSYVYDDTAPNIGVGLQFHSYLEAWDFEKAVLE MNFRPEFSWSQPCGSGLVYNVVDAGTEHKQYKAVLVFRTRASWRYSDLYYIYRDADYE YDHSLFRIHFPRIYSTDYISTHVDQLYHPETPVNFSHCDKKTSQTTIEFGNDLVARSF LSSLSPLYELLYSRRIQSLSTKGNSLFGFQMSGKRSAEIQLWRRGTAFQFAARWDDSD SDKWLTISVPSEFIDFSKENTRLTLPRLPYLRGMTLDMMNVMARSPKNSNAKKKEGAI SISFQISEGQPAPSLLELNLKFLYHTNQYRNK PEX2_002970 MASTASFTLDATTVLCIVFSLSMMPIAYILSKTLVPAHRTRDRV LFFWHAYDALTHIFIEGSFLYECFFSYTTLAANQSVEPFFLNDPTRLYGPAYGTRPTS RLWQEYAKADRRWAGADLTVISLELLTVFLGGPAAVYICYLLCRSSNEKISAKSRGGV KATLWLVSTVLATAELYGGFMTFAPEWLTGSSQLATEDPVYLWLYLFFFNTLWVFIPL WVLWEAAKELRGAFVAAESEDERKNQ PEX2_002980 MAPNRDAVRIPWWFHHESWTTPWSSTSGDFPPEETEREHEQRVR QLKIQPWFKRASCEATGLLTYELPLRWPWGYTIYRTVYTPESDLYWEAAADAIRSNIF ATLDWELHHGRRQHEQSHRILRDGYRSLVFDDETRFDGASIAQVREDFRAFVESEVGA LGNRFRWCLVIDEEALKCFIRHQKSLAGQSEQDSTQESEPWVTVVEPKYEQEQSSQYA GYMRIPLSRLFRLARLGDMVPMSQMCTISEDIPWYEDF PEX2_002990 MCAVRSLFWPENIPGGVYSHINFAFATIDPETFEVKPADERDVE LYTRVTDLKKGDPNLEVFIAIGGWTFNDPGPTQTVFSDIARSVANQKKFINSLVSFMS TYDFDGMDLDWEYPEATDRNGRPEDFKNFPKFIANLRKALDHTGGRNGISITLPASYW YLQHFDVKALAKSVSFFNIMSYDLHGTWDLGNKWTGGYLNAHTNLTEIDLALDLLWRN DIQKGMVVMGLAFYGRTYTIDPSCTDPGYIYLSGGIKGACSREVGVLLNNEIDQIREA KGGKPTLDRDATVKIYTWDNQWVSYDDVDTFKLKTNFARKRCLGGVMVWAISHDTKNA TYSKALGTVTGYIGNIQYDYDSGKVTEKNHHDQCHWTNCGIPCPAGWHMIQRTDKWKN YDGEPMIDASACGEGLGYRSWCCPPGKSPTCGWYEFNDGICDGGCASDMKEIGSTRSG CRQDTHQTACCTSGIPSMALYDSCEWGGSPACESGACAGKGSTMQTELGKSGTGSGGN FCQDHTGKNNDYWPSLQLGEQKYCCNTEKEEKRWQNCEWVYHFGYIDKDMSCVGFCPA GKVKVAMDTYNHGCYQSGYGTYCCESSYYTETTRYSDEVQYFKNALKAWGNNPTCAVK PDLKQGHIETTALATIDKTETPAQRIRSFILSMVVAYHMERLGKGWSNLACDDLYANL QDNSEHEAYLVQYGSYNVSQSLTCDPENWNDLLQGGNEDDIVCDFDSCISDPFLCTEE GFDNPYFTQNSKQSIHQHTQWADQVPHLLGKRTPEHEDSFLCDDGTIKVVIRFWRQAY PSSGEWPTANTIYDEAIDNEDVECTNTETNVQTIVLADNTDYHTEHIIELQSMPLFFA WLVSNIKCQIDCDFFLNFFNKNVLSGTTAMPGGYNPGTPSLRIMEALGSWTNDKKFRL LQRRLNGMKAQLWRLVAPVEEKKWGTAVGKADPAEAITAIKKVRPFRRGHLRVQLSEN NEVWSRLKATNKLIRQELKSTQDAYNKATGKNTKILDCWDEWFAEHLSTIVDHATDWL TDALDDMENEWSGKKDKLKAKVIRIIKGLRTQVGKKVKLDLNDLY PEX2_003000 MRALLASQGICNFHNILSVELVRQAVPVFGYMYFPPTSEKVYGV GNDAILGNHHGHAHLHHHFHRGGNNNPTPIEISVGATSTRIEYSGTPVAVNDSAELVS QALGKLAVINKERYENITYNRYEFADAHQIVGQKINAPPLNYGSNVTQSLPNISSHEK RDDHEGNSTRRLVYSISPELAQAARILAESQPPTPSTGEEADLAMNVRMKYFAESNDT NTPQQAHRHSDGLSEYAVTWADEMPLANRTTVENDGKLLKRASSSDYWMANLEQRGAS PYAPTGYKVFRNVKDYGAKGDGVTDDTAAINTAIADGNRCGADCSSSTVYPAVVFFPR GSYLVSSPIIQYYNTQFLGDPGDYPTILAAASFVGLGVITSDVYVGDQDEWYINTNNF LRSVRNFKMDITRTDPNAYVCAIHWQVAQGTSLENIDFYMSRAAGNTQQGIYMENGSG GFMANLTFVGGNFGAYLGNQQFTTSQLVFVQCKTAMQVHWDWAWTMQDVVIESCGTGI LLTGGAGGSFSTGQGVGSLILVDAIIANTPTGIMTSLYGQNSTEFLLQNVGFFNVQKA IIAERFSDPILAGGNEVLIDAWGFGLYGNASGVFFAQENDLPVMKRPEALVGSNKYVK PNLFTRRRPQYYDLGGSQVIDVKAYGAKGDGVTDDTDALNSVLSTAANLSSIVFIPYG VYIIKDTLKVPEGSRIMGQAWSQIMATGAKFEDIEKPHVAVKIGDHGDEGIVEIQELL FTVSGPTAGAILVEWNIHESTQGSAGLWDSHFRVGGAKGSMLQAKDCPKRASTLNKNC IAASMLMHITQGASAYIWRDSWYREPTDIVGQRNFTGYYLYSKSRNNALLNRLSNTCQ TAMTRLINCPDETYAFLGRGWPQSYTNKTIASMVCSRGCKDSIQSWYEEVTTHCKEFD TKEDVMNFRGGILWAGWNQTCLQSPQGGEYCSDVIAGFSPVKHAEEMLDSELCSYCYT TVLQMAQQSPYSFYDQSYQRLLNLTQERCGLTGATDIAKPVEDRSDDPNDFCASDIVY TTIQGDTCDSIAVTHTISSAALFIGSPEIIDCTDIRPGLSICLPFSCDNVYTYPANAT CATIEDSFGMDSGVIRRLNPWIDGGCTNLQEWSVNYGHVLCVSPQAGTHTHMAPPPGV TSLPGSDTGFTDQDIAPPANSTVANGTTMSCGRWHVARDQDTCTAICVQGGIEFSLFL AVNPSLSADSCTTELQMGAAYCTGPTQGWGLVRPNSTTPRSSVVATNTALDLGI PEX2_003010 MSLLMPISLLPLFDRAPPTPPRRETGFQDLPAEIKVIIVKYLDG DTLTLRHVATCNRQLYLMAMPELYAHVSLGAPPNYRIWPGLAWPADRRSIECFLLTIL RNHHIAALVKSLDLTDLHDLCYTHRHIHTHPDREQLIIQPLLSQDHKMIMDAGMSLPL YPTVRAALHRALEPDLPRSDALLAVLLGYLPNLKRLEIKMESEDPYSDPPVTEWNLVE RVLIGIVGAQPIYMDENDIPSFSTPMLSQLTHLKAEVEGTRPLADIDMLMVLLQIPTL THAFGTQWTNARRWLRPEFQNADRLIHLELRDCTFDAQCLEKLLKQTNKLETFIYERG WTKEKYWVLKVQDLSKALQYIYKTLTCLELSFNQSGRRIYEDLYLQPVDLSGLVHLKR LRISAGYLVQTEQKISSFQGRYLRLYDQDGVYNSAMPLHELLPQSLEELHIFQIRDGL EFLLMSEKLRESLYSRGLPISSEPPHFQHLKEIIIEAPFEDKGVYFFNALSQITNHVG VKLTTIENSADYVRSWMTGEKRGSCPDKKIDWGFDGEIQWEHPFTQRGELNFDLQ PEX2_003020 MTEFKLSYESQLDVYHPSYRYEAHDPRDWKSAALRGPALPALGN ATAGAVGAAISNVVVYPLNVIVARLQTQKQKDSDSSEKSDEDENADENYTSVVDAARK IYAQQGIAGFYPGLAQDTWKTVADSFLFFLAYSAIRQKRIVAHVGVERAAKSKNIVLP ILDELAVGVLAGSFAKLFTTPLSNIVARKQTSAARKGGNATNLSTSDIAARIRAEKGI LGFWSGYSATLILTLNPSLTFFLNEFLKRTLLPRSKRDKPPAALTFLLAALSKVAASS ITYPFSLAKTRAQVMSSDSKSKSGTAEKARSSLLASLTPEILSNVAAIARTDGIIGLY AGLHGEVLRGFFSHGFTMLAKDAVYAFIVKSYYLLLLLGRRYPTPDELIQRAREQASE YTEIAREGARDLAERAKEGAEELLNAGSGGNAADASGSAHTGIDASSGLKAHISSFSD EVNETAELVGDYVEDEAAEWKSLYHWFWEKDRGSHRD PEX2_003030 MASTQAPGDLSKSSRLVYRAPENAEADLVFFHDLMNDPTIQTMS TGRLPRPCPKTSAGEFIKMLQDAILGVVICLPPQNSTEAGNTPPSEIPTLFGPAQDYK LVRIGHMSLFNVNGPNEAHHRSATIGISLADGFRGKGYGGEAINWMLDWAFQHLGLHR VSISAFSFNHNALKLYRKLGFVDEGREREAAYYRRAWHDVVILSMLEHEWEVLRGIVP DQSERIPQSSTEPSN PEX2_003040 MINKTINKINKFSIPKEEVRMRINRLWGNPGESGEIADLTGSPR EFINSNINLKTKRTGTKLLLVLRSCYHSRIRAKKMAKACNHSNLNEEERETCTAGASP TCIRCSRLKIGSTARRTKRARYLSSSEDFPHGDLRVSTIVSRHRAQSKESESHASSSS LSECSSLSSPTRDDEVWSPESPDVMIISPEKLLAAPTNFQTTSDALRTVMNVEQFSVI HAPFVFGESFIPTSQKTIYVILQLSAPILTEGYLAFLGLMTNYQKSPVIRRNEPDMFM AAKGLQRLRSVKISHDYDAACALFLGQTMYVFNILTAPYSCTAHSIVRSSLMSAKPWL PRLMHTPIMDTITMSPILIDTVECLVHREIPIIRLDPQPRLIVDRYLGLCATLLPHLY DICECSNTLKREAPAARSESYSALHDRLDELEELIRRWRPQTPSGLFDSYGQHAVLAM VTHANLYRLAALLIIHRLRYPLGVEDEAAWKLANGIFSELSFFAKSAINVKGSTALPL VFPLTMAMFEIHGPGEDLIDRLALASFTVQSACLSRLQDFVQLARASRESGYEGIWFE LVDKHLHVAVPP PEX2_003050 MASSLVKARFFYPHETARHAATILGFPSRVSIASAYYESACVDI ANLASVISAHEPVRLYARPEDIQKAKSMALSIEWGRKHDLDAHDRASDGLDWPVMAPE QIEENGSFARRVIESDVLPSPVTLVESPIRLEGGALAVDGEGTLLATESSIINENRNP GLSKTTIEAELRLNFVRPGVVVLSRPHSSVPKAWVKIYEEIRGILGQSVDAKGRPFEV HIVDEPNPKIFGVLSYDEPATNYVNFYFVNGGLILPQFGDSRRDQEALVLFQKLCPDR VVRPVFLSALPLAGGVIHCATQPVLFVGE PEX2_003060 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G PEX2_003070 MPYTAPLKTLLSAQHIEYSRPTEELCEKPGLARSSSERVQNSRS YSSTSYTRRHRRSPSNTKPTVHTAPESPAWASPSIDPHTSLRQSPPPISNAIIPPGAV ISPPESGPNSSDEESPHRSGEGVKFDELEAAVRSIRLRRESSPERMDQSDRPERQVRP SSSVPSTTEAKPTRPKLPHLPLSKEARKISHSRSSTETAIELARESALTSSPEESDVE MSCKPLMVRKKSGELVRPALRPSSSRRRPSSMPGTPVYSKAVHFDSHLEHIRHFLQLD RPLAVSTETSPVENHDTKAEFPFSSTDSRGPSWEWEIKLANWPKDPSSRATRPVRLER LFLSADKSTLIGTVAVANLAFHKNVTARFTLDYWRTTSEVAAAYCHDVRRQQAADGFD RFSFDLKLNDQANLETKTMFMCIRYNVEGREYWDNNDSVNYQIDFHKVPKTPTSKPAS GGSRPALPRSRSFTSSHTVRPQSMPPNYDFPDLSDKTSFTNPFSGTNGAPLTRTPSDD IDTVAPPKRRENSNRQAFGNRYDFGASLSAAMRSKAPLDRTALTAHARSGETVVPETS KPTKKTPSFEQGHGSPVSDNTRTGSRVGDLKPSSLVSSKPQLESSVYQELVDKYCFYG SPQGSNLKAQPSISSGREGEAPKNVSAPACPSPPLSPRSPAPLAAPVAEAPRASTSPR ASPLPSASASPLAFRFPFHGGFMNDPHSPTVIRGRPLMHIVDDFREGDLVVLAWNLTD PSA PEX2_003080 MSQVQSSGLADLEKELVCSICTELLYQPLTLLDCLHTFCGSCVK EWFSAQGSRRSRASPPFTCPSCRAEVRDTRPNATVTTLLDMVLTAHPDRARAADEKAE IATRYTQGESVFPALPSGGESAEEDGEDDRRILEEVRELSLRESRAQARRETRRTDQS SRTRERSTNTERPTEDSRSRRRRDDDTTRQQRTLRPDDSDRTRRVEHQSSLRSLLSLS SDAETMEEEILRQILEDGLLDNINLDNLGPRQEEELSERIADAYRRRHMQRPQSRQRP EADGATRTHARSESAHRTAEPTAATREHNVRRPPVSRPHLFDSAPTRPSTAGHQRRSS EQVSGRRRTSPVRTNQASASDEAIRPAARSASDITADRHVSQTGRLRSESASARPRRA TESEQSISRAWVTGGRERSSSRQSTSQSATNSPISGAAPSNSSRNSLHTEHTIPSLSS PLVPIRSDRRTRPSSSRSNVPASPTVQFPEPSISCDRCGKGNIQYNLHKKCPSCKDGN FHLCLQCYRLGRGCLRWNGFGASAHTTFQRIISSSTRRPLQMNDPGHVLMWFKYLRPS ETAHRTMSGERQMTSDNPVRRLQSGLFCDTCQSQANDCFWKCNQCNDGDWGFCNSCVN QGRCCTHALLPIRRFTHGPPPSAPSTTPPPAESNTTPTPSEVESFKILSFSTNCDICT YPIPASNTRYHCLECNGGDYDVCTNCYLKLVATGKINKENGHNGWRRCLAGHRTIVVG FEDHEEGQRRVIVRDLVGGRALNEKHVAQSQLQSPTSSPASGGPVASPEQGIGDWSWE DGPERRKKASRLRGGPAPMNSTTGSTTAGSDPSNPPPSSATATPIIPPFRRFPPDGGV GLIVYARWSWYPEEEVEDELVFPRGAHITESENINDDWFWGCYAGRTGLFPGSHVEFV REVHR PEX2_003090 MESSQSNPPTSPNSATSPASPKSPSKIPTRSSSKRIALPDLKSA LERAVKARGITYSQITAFVCYWDDDNTRAQEDGETFSHMMADLFGVASQTFVMKHENP VPGWDLGERLHQEVVSKHRISKPTLFVFFYAGHGTINQVNELSFTATKKEKFVPWRTI EGELIYHTYPMDTFCILDCYYSGTAIASNPMTTHVLAACGSSAFARSRVTGISFTQRV ARTMRKLSHSGKISISTDEIFNAVQNETPRGCYMPRFSSHSGVNPIVLPFHVTSTSTP PSPGISSSGHASSSSLGHALHSRPLSQLPSGRETHVLVLLVLEGNPKLVVQDFEKVVE NLPAKFKVKITDAYETNASAGVFVRMTWEAYARFLSSVELDALLPVIGPSLIRTHELR EISVIFSHQEIRVGFDHSQ PEX2_003100 MHHSAAVREMVPLVSTIARENGAALFIFSSLTCMFSCAKPSEEG EFLVLFERGNLSEWARLFRGTSTVISTGGEDLRTGKLAPIFTIGTYLVAAHREPQALE QGKPHVWELQQMIWRECAADPSLRAIYQHVLDELARILGLAIRPAVMRRLETADVFRW LLDVSDEYLELLRQEEPIALIIFAHWCASIRQIEWMWWMEGLSSRLMTQLYSVLDPKY RDWLSWPQEIINGSHEQFQG PEX2_003110 MPQGTGTLTSDQGRVLGQFEVEGAQYIFVGNLTGFGQSFSIPNA DVTYDSADQLHKESEEFEELPIEKDQIELRLKNGVAITGGFDMPFSDGEVKKGTIVGG EGRWLKFLHTMSYQSNQPPYGGYPPQQYGQQGPPPPQGYQQPYGAPPPQGQYPPQQGH YPPPQQGHYPPPQQGHYGAPPPQGGHYGAPSPQPHGYHAPPAQPPYGQQQHGYAPPGQ PPAGYPPQGQPPIGYGAPAGGFAPQGVPSQPSPGYIPGQVAPGEFRPQADALRKAMKG FGTDEKALIAVLAQLDPLQMAAVRDTYSKHLGRDLSKDVKSETGGYFRDGLLAVIDGP LLHDVESLHSAIDGAGTKEWLLNEVLLGRSNADMNAIRTAYEIRHRRSLSKDVEGDLS FKTSTLFAIVLRAARNEESVPINPQTIETDVRSLQGKNVTEVCSIFAKASNAELRAIS QTFQSRYHIPLEKHIEKEFSGHMEDALLLMLRSATDPAMRDAILLEQAMSGMGTKDER LVARVVRVHWNRNHKEMVKRAYQHKFGKNLIDRVRGETSSDYQRLMVALLE PEX2_003120 MDAKSSDPEAQSVRLPDEKVNEEHTAEASEYDVFWEQPTSEDPE NPMNWSSWRKWTIIGMVSFITFLTPLASSMFAPGIRQVLEDFGSTSSVLSTFVVSVYV LGFAFGPLIIAPVSEYSGRTWVYNVCNVLFVIFNIASALAPNMASLIVFRFFDGFAGV AAITCGSGTIADLVPRERRGQAMAIWSLGPLFGPIIGPVVGGFLVEAKNWRWVFWVLA IVGGAASVVFFFVVPETYAPIILERKAARLRKAKGDTAYRSRLDSGIPPKQLFIRSLI RPSKMLVLSPIVALMSSYIAVLYGFLYILFTTFAIVFEGQYNFSASASGLSFLGSGVG MLLGLAYVGTLSDRKIKIKLSRKETPVPEDRLPPYLTIPGSLAIPAGLFIYGWSTDKM VHWIVPEIGNATIGFGMITILMCVQTYLVDAFLAHAASAVAACTVLRSLLGALLPLCG LQMYDKLGLGWGNSLLAFLALAMAPIPILFQTWGERLRTKWTIDL PEX2_003130 MGIIFNVQYALVTGLFTAILYVVYTVIYNVYFHPLSRFPGPLGH KISRLAYVHKSIRGTLPFDMLEMHKRYGDIVRIAPDELAFSHPDAWQDIMGHQKGKPE FSKADWFYRPVEDSPPHVVNESREQHGRLRRQMAHGFSEKAMRDQEPMIRGYVDTLIA RLHDFCKSGSPVILSDWYNYTTFDIIGDLAFGEPFGCLQGSNLDGWIKGIFDAGRVGI TLQALSFYPSVKRTLLSMMPASMTDAHEKHKKLTEQKMMRRMEKEEGRSDLIEGLLRK REELNLSIDKLISNAEILIIGGSETTASLLSGVTYLLLMNPTAHQKLKEEVRTVFQSQ EEINLVSVNKLPYMLACLDEALRMYPPIANGLPRVCPEGGAKVLGEYVPANTFVSVHQ WALYRREEYFKDPNTYHPERFLDDPSFADDRREVLQPFHTGPRNCLGRNLAYSEMRLI LALIIFNFDMEIADEARDWIKQRNFLMWEKGPLKVHLTRV PEX2_003140 MPHDASSLNLSRTNAGAYARPPRSQIARPVNYTPRSRTSSFSDV EDTAPVSAASATKRSTSRGNRLSMLPRPSIGNTTSPTTPVSASSQNSTQPIPSSLSSP EINTPSLFVAGQQLPPPKRARNVLRRRAPTIGKHVEQSHSDKLSLVIPQESHSLVMNN VSGHENSSSSLSEQSASSYKPNRKTESNVTVNSVRSRFNGPVELASLRTTVDTQNLPA PPAPFFLASSPSTRYSESPSIWSRGSTPTSLSSYSPGITKIGRLRQPSPSQTRLPVFS PPPAHASPQQEKPELKTQKRALPTKPSVSSSIAADKSQRAPPSKSPSTVPGGPPFSPP PRKSSVNFSPSKSSEIDVEQARREVEEAERSLFDPRRAVECSPSRNAETPQTPPRPSR DGTHRLDLETSPIVQSNLRYLRTTGHTRRESIEKILATQQQHPTPNQAAAASIDSLQS KTISQVSSQDGGSPILSKKTPRTSTKETPKEKPEPKQIPTQKRFGLFTKKSKPDMSEN VTEQARTTRKGPAAGTGHEGYGKYGQRGRKASGSGSSGTRTRSTSTTRSAVSKGSQSS RPELDIDDFLMSRLEPVIINGGGLDGASLSRTQSEQSFSSISVASTSNLPRQTLLSYS TGQSTESLATSTGTFGETITPSYSNGTKPIGSKSPGYQSNATDRPIASKSRMPVPRGK RHGVFANPQAAVTNTSIHSHEPSNTTVHQPKRAQKSPEKPTKPEQVEKQQAKKGKTSM WNFFQKNRANEQTSSTPPTTSSQTAKLHAAISPVLNTRSVAHYALVDADSDELDEIIN NIEDSPPTEEETIFHPVEVPRGLSIRKRKPSILLPSPPKMHGEFENDDRPSPRTAMFN RNLMAPELEMSPEQRPRLASVGRIPQVISRRDRHHHPAMQSFSRPFSVVESPSLTVPT TGSSYEPPLVANVPLDLGIGPSESSNWGDGFNPTFSASEETSALEFLAGPFSTYEFLQ FPPKKGSTSSDSSGALAAVTAVPPIPGSPPTEDEVWNEYDDLIDHVLSPDEPKPEEAE KTEEEDRFELATMASRALQDELNNSNLPQPVRGGNSVRSSGSSVHLRRSQIVSALQSS TAPSSQPSYSDLVAGYRGSNEEKTQQAANPTEQPSSADQRREQQSTFLNSLAAVPSPR PKFHREQEAASSEREWDAVTRTNMRSASLMTSRWLSFGRVLFSPAHNHVKAGGQGRIL VIDGLGNDDWSFYCSLTYPDAEVYSLGGRPISAAPPHPAAWQPPTNHHTVYHAGLDNP LPFPKDYFTVAVLRFPTVCSEAVQCNIIQECRRVLRTGGYLEMSLLDRDMVNMGPHTR KAIRQLKEMTCLSDSSLSLKPTSDSVQRELGAQGFDSLRRCMVRIPVAGMVLRSSDSS SSAHSISTTTPSTEFSLPTISVTTAGGSQSTGTASKFPSNDANISLGDLLSDPSPSPA NDESIAKIVARVGRWWYSKCYEDPIFSTGNTDPSIWNDRKVLRECQKRGTGFRMFIAY AQKPSEVLRRTASV PEX2_003150 MATDYSKKTNAELVEILKTRSLPHTGKKAEMVSRLQEDDETKPS DAPAAAPAAAKTDAAEDVIDWDDEPAETIIEPSTEAGAAAIAAGGQGAVSNPVAVPNQ QLDENPATTEDLKVEATGAVAEPTTQPEAATEQKPAVDYTRGLPQTDLEAELAKRKAR AQKFGIVEDDDTALKEATKQLERAKRFGTGAEAETTPAAGVSRLDQALPDERSRKRRN EGRNDNRNDQSGRGGKRRDTGAGRNRNRQRGDGNRNRDRGDGNKTNAGVKKPNAGGNT AQKSWSEKDNAAMEARKKRFAAAA PEX2_003160 MESPQDHQTERPSPTTTTSLLYIIPTELRLKIFALCIPRKCAIQ VTNAMYRPYGVEPVVSTRDPSLRDRFSNTTPLWTNILHVSKQMSNECLDILYGENLFE VYLDKGVEATLKKTFSKENIQRIRSILAIAPGPCFSGELNPPDIPFWAMMIPNLKMFG WVTEPDKRAEYLTSNFTMMQGLEGWKEWMEAYLDCFGEFMMPGVEFKMRLENGDGEYR RIGRVSFRRGPFFNKDGE PEX2_003170 MAASFARLAGNAPKRLCLRPSSLNTSIPRSRSITTSIPRRQAEA TSYQATRLVPTDPTFTSLANKGSPENPDAAGLESEAEGIDRKIRHYTVNFGPQHPAAH GVLRLILELNGEEIVRSDPHVGLLHRGTEKLIEYKTYMQALPYFDRLDYVSMMTNEQC FSLAVEKLLNVDIPERAKWIRTLFGEITRVLNHLMSVLSHAMDVGALTPFLWGFEERE KLMEFYERVSGARLHAAYVRPGGVSQDIPIGLLDDIYQWATQFGDRIDETEELLTDNR IWKARTQGVGVVNATDALNMSFTGVMLRGSGVPYDIRKSQPYDAYDQVEFDVPVGVNG DCYDRYLCRMEEFRQSLRIIHQCLNKMPAGPVRVEDYKISPPPRAAMKENMEALIHHF LLFTKGYSVPPGETYSAIEAPKGEMAVFLVSDGSERPYRCKIRAPGFAHLGGFDQVSR GHLLADAVAIIGTMDLVFGEVDR PEX2_003180 MAPLVASQEELQRRRIIGINAETVTNIPSTDFPGHWPGESHGWA LDEFKNNFKVEFHRNERFEASFSLIGLDAAVANAFRRILMAEIPTIAIEDCFIHNNTS VIQDEVLAQRLGLIPLKGSIEGINWMHWFKKASEDGGEEEDGPCDFNTIVLHLDVECY KNEYADKDEQDPRKLYTNAHVYAKDLMYMPVGRQEQYFVGDGAIAPVNPDILIAKLRP GQKIEMELHCQKGIGADHAKFSPVATASYRLLPVINIERPIIGEDAKKFAKCFPKGVI GLEPVTSAEAAQHGSGYEGHAGEQKAVVKDAFNDTVSRECLRHDEFQGKVKLGRVRDH FIFNVESTGQFESDMLFLEAVKVMKLKCNRWKRGLTDLMG PEX2_003190 MDVDVETPDAPESPIYVDQQGRWKHLYNVLSKRGPFTDDDWNPG PEPINALESSKILVIGAGGLGCEILKNLALSGFKDIHVIDMDTIDISNLNRQFLFRQA DIGKPKAEVAAAFVQKRVKGVNITPYVGKIQDKDEDYYMQFNIVVCGLDSIEARRWIN STLISMVDEDDPSSLKPLVDGGTEGFKGQARVILPSISSCIECQLDMHAPRPAVPLCT IATIPRQPQHCIEWAHQIAWQEKRKDDTFDSDDMEHISWIYNAAYERAQHFHIHGVTF QMTQGVVKNIIPAIASTNAVIAASTTSEVLKIATGCNPFLTNYMMYAGEEGVYTYTFE AEKKPDCPVCGELARKLNVDPNMTLGEFIDSLGERAEAQLKKPSMRTEEKTLYQRFPP QLEEMTRPHLGKKLADLIEDGEEVAVSDPAYTTTFRFRLHFK PEX2_003200 MEGLLTAVKTVKRDSDALVASAVEPLRADPLARNDFDPEHLSSR RIIDLLKTSPDREQLSAILGALDPFSKSKTTKDFDLRIASPITGQILQLLVSTTIPDH WGSLDAKDSKGKDAKARAALLRCLSSVAGIGSLVAQLRSLINAARASAQQVQGSSSQL GIRDLLAVLAALLEPKDFLLRLSSDISVIYDNKTRQQVTWRELVSLVAAGKILSTAAE ALTVVDESRSVSSISWVGNGSQYASWLGRNVSHMATRLAPDNESDWASVALLTGRASS LGYTDQFVKKIYSDLLVRQSSPAHFGILLDHLRRTEQLAFVEAIFRDIQRKHFSDDLS GTVGQSITPSEPIKGMAALISTVISSRPDLENQVVEWLSKSQGGSINTLGLRRALLAT FINRGSVLKPLLIRSLEQFGDKFSIKHVPNVVQNATAQVILLAAGHLYRLDQAQVKEV GRSRAYLNAVSNRLAASSNRARLLGMIVGTGISELIEEPGKVLKFDLEEMRSEEALWY LDLTKVQDEVGSPESIKALQEPLPANPEIVRPKPKKQPKAQFSRQHTSKIVAIEEVED SDENEDEDEDDDLIPYEKPDDDAYDSEDDPTLIQRNKPTAPVYIRDLIPSLRDTENVE RYHLGITTAPSLIRRKIGFGTELAEQIEELALTIVGLQNDNNHPSFHESRLQSMIALI VSEPFKMGRWFTAIYFDGDLSQVQRSAVLTALGLSARELAGNGEGDAKALRLPTTGDT SFPSKRLSPALEAMYSGSNESPIATLTREMSRTSLEPLAANAADTMTGPNALKVRTFS SRMEVEKKRQQRDTQRQNSTIKDLHKVLAEGFFFPLQGRFEIMMLQFSSSSTSSYNPF FIPHLLTLFLQTLSLILSTTGPHTPFLPALTHETLSLLLPLHTASVSSEPTVTAALLS LFLAIVDLNIASGSNGEQRLVTEYATQVIELREWASQVFDRTPPSAARADPSSAVTDP QEQIRTLSAGVMVRLGEVIERYQGRLMGVHSGFSY PEX2_003210 MAPLSGSDADYFRDKARRDLLTLLEGARGKKNLVISQELAGPVG LFVKFSVLQEYGVDRVFLLENANIDSSQRNVVFLVRGEKARHVRIVAEQIKRLQNNGN VEHEFSIFFAPRRTLVSNAVLEEAGIIGDVNIAELPLYFMPLEQDILSLELDESFGDL YLHKDPGCIFHAAKALMGVQQRHGYFPRIVGKGDNARRLTDLLLRMRKELDAEESSGL VDPSARGLLPSASIENLIIIDRDVDFGTPLLTQLTYEGLIDEYVGIKNNQADVDTSIV GPAPSQQSQESSKPPQQAKQGLKRKIQLDASDQLFSQLRDANFAIVGDILNKVARRLE NEYESRHTAKTTGELREFVNRLPTYQLEHQSLRTHTNLAEEIMRLTRSETFRKTLEVQ QNNAAGADSTYQHETIEELIARDVPLKTVLRLLCLESCMSGGLRPRDLENFKRQVVHA YGHQHLLTFWALEKMELLQPRSSATTMLLPTSGAQAGSKTNYGYLRKNLRLVIEEVSE KDPNDISYVYSGFAPLSVRLVQCVLQKSYMVSLIKGGTPAVSLNTANTTSPGWLGFED VVKSARGATFSIVQKGDDKAVRARQTLSGNSATKAVYVFFLGGITFTEIAALRFIAAQ EAPRRKIIICTTSIISGDRMMEAAIEKGSFAKAE PEX2_003220 MGLFKKSDGDEDSSRRALFGSRKKDKSPSNTSNPYAQPIPVDPY TKAKIGAGVAPLPANYPAANGGPASQSPHSIPSDNKYSANKYGNQGGYGSDRFGGSGA GAGSPASGSRYGSGGYGGLGGGDPNDPAAADSARNELFGGANKNKKQSPDNNSAGSPP PYSEGQNGQGQNNYGGGSNEYSMATFQDRQLTAEEEEEEEVQATKNEMRFVKQGDVAS TRNALRAAAQAEETGRATLARLGAQGESVHDTEKSLDMTTIEGRIAEDKAKELKTLNK SMFAVHVSNPFTASRRRRDRDEKVLNTHRDDRDIREGTRSEAHATNQRMEKVFRDIDR DAAKQGKGKKASVTERAKYQFEADSEDEAMEDEIEQNLDLLSGATGRLNGLAKATGKE LDEQNRHLERIMGKSDFVDDQIAMNRAKLDRIR PEX2_003230 MPLPQKTDILVIGSGNAGLSAALSAAQTNPTLRITVIDKSPVSW AGGNSYFTAGAFRTVHNGLHDLLPLVNNIDATQTSRIDMPSYTEQDFTADLQRMTGNR TDPALSAALVHDSRAAVGWLSANGIRFQLSFNRQAYEVNGRIKFWGGLALKTQDGGKG LVEDELRAVKNAGVDVFFDTAATALATDPTSGAVIGVEVVNSDNSTGAHNKLTIAVGA VILAAGGFEANPQLRAQWLGPGWDSARVRGTPYNTGEMLRIAQRDVSAKAAGNWSGCH SVAWDADAPADSGNRGVSNEFTKSGYPLGIMANRDGERFVDEGFDMRNYTYAMVGRRV LEQPGQIAFQVWDARTVAWLREEEYRGEVVRRIEGDSLEELAGKCAEVGLVDPRRFVE SVKEYNASVEGGDGQKRWDPAVKDGLATKGLAVPKSNWALPIDKPPFLAVKVTAGITF TFGGLAVNPETAAVISETTNDEVPGLYCVGEMLGGIFYDNYPGGSGLTAGTIFGRRAG RAAAERVGKSGRLERL PEX2_003240 MTMVMENQNRPYGGMSFDNVYHHTPPQFTDPWAAHTSSHSTPPV YATSMGNGASMGLSQVKQEEVNRSAMSMPYPNIPVSAPSMVAGSTYAAASYGPEVMGM QHEVPRTTFDQAPSYTTAPPMSSFAPSSYAYAPIHPSPQDARRISHSDAARVGASASA PTFGDALDASRGMVALSQDLTPRNIYGPGPRGTRGSADSYGFPSAQSSASSISSGGNY PYYSASVGSVDSSVTDYSSTTSESYESRTLPRPTSLLAGSAPPGPQSMMSQFSSKMPS NTQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFACDVEGCGRHFSVVSNLRRHKKVH KGEKDTGSGDDDE PEX2_003250 MSSDLQNKNSYPSHRLVFPRSQNHKRTQKYERAMRTTGCKPCFC FPPLSGIETAGHQRQRGGTAQPFMGGGG PEX2_003260 MKTEEFRYLACLTAILHFSTCTNTDYSRPLGLVDARHAIFSTSA IGCTTVDITLLRTPYRHCTYHIPVPPVLYNVHFILYTLPVESPRSSFPACYHDLRIYE PQFGPMVLAAKVNPDSVPCPPLR PEX2_003270 MSDADIEAVRRLQAERNAAAASQKGSKTFDQSSQRTDNSTKASL TESFDTFLYERDGAQKFSGYDTSIAVDGDEEMEDADGGHKLVGQYTATRSQIDEMARG AGVEEEDILLGREKAARIADRETDYQKRRFNRGALTPTRADPFAANADVEGESQTYRE VMALREIAREEERVQKLIAEKREKGEDVTEHQATLKMVEHDKENAEAGSTVAVSSEKK RKNRWGEPAAEPGKRSRFDEAPAPAEAAKSGRSRWDLAPSLTAATPVGNQGLATPMHP SQAGAATPAAGFGDVPGQVIGWSDEELDIMLPGEADGYKVLDPPPGYEPVRTQARRLM ATPAPMSSAGGVGGFMMQEPESVQSVGKDLPTDIPGVGELQFFKAEDMAYFGKLMEGG DETSMTVEEMKERKIMRLLLKIKNGTPPMRKTALRQITDNARDFGPGALFNQILPLLM ERTLEDQERHLLVKVIDRILYKLDDLVRPYVHKILVVIEPLLIDQDYYARVEGREIIS NLAKAAGLATMISTMRPDIDHADEYVRNTTARAFAVVASALGIPALLPFLRAVCRSKK SWQARHTGVKIVQHIPILMGCAILPHLKELVGCVSGNLSDEQAKVRTVTALSLASLAE AANPYGIESFDDILSPLWTGARKQRGKGLSAFLKAVGYIIPLMDEEYANYYTTQIMEI LIREFASPDEEMKKVVLKVVSQCASTPGVTASYLKEHVLTEFFKGFWMRRMALDRRNY RQVVDTTVDLGQKVGVGEILERVVNNLKDESEPYRKMTVETVEKLIASLGAADISERL EERLIDGVLFAFQEQSIEDLVILNGFGTVVNALGTRCKAYIPQIVSTILWRLNNKSPT VRQQAADLISRVALVMKQCDEEALMGKLGIVLYEYLGEEYPEVLGSILGALRAIVTVV GITQMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPESVNAREWMRICFEL MDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLAALLGNLRVQERQSRVCTAVAIGIVA ETCAPFTVLPALMNEYRVPDLNVQNGVLKALSFLFEYIGEMGKDYVYAVTPLLEDALV DRDQVHRQTAASAVKHVALGVIGLGCEDAMVHLLNLLFPNIFETSPHVIDRVIEAIEA IRMAVGTGIVMNYVWAGLFHPARKVRMPYWRLYNDAYVQGADAMIPYYPDMEGDVDRP ELSIII PEX2_003280 MPKATGAAATLFDASCIASSSLSLLHEVPALISATPLESLAFMA ALVGQGTRSTNLIIGEHYFNAAGDPVFDMRLSGSNSWAATSKIASTSAPKLKSGSSGD VPWLKLGYKKGNDIREVYRVVTSQGDPPSTCSGQDAAIQVDYAAEYWFYG PEX2_003290 MQEHLPPGITIVKCDNLEEWQMDIKILDQNPLYLDQTYRLKFTF SNKYPIEPPEVQFIQCPASTGTSRTIPMHPHIYSNGIICLDLLGSAGWSPVQTVESVC MSLQSMLTANNRNERPAGDKEFIATNRRRIRDINFVYEDDNGWPATAMLPVERLKQAA NNALSNTSIFTEGFAYGPDEGYFPLRKNIAEWLSQSYAPAQPIGAERICITGGASQNL ACVLQVFTDPAQTEIIWLVEPTYHLVFRVFEDAGFSGRMRGIPEDGEGMDVAALELAL DAFERSGDSGCQDDREKTYKPHRPYRKIYKHVIYCVPSFSNPSGTTMSHSRREALVRL ARKYNALVVADDVYDFLSWGVTHPANKVPHLQHRLVDIDRVIDSGPEDRFGNVVSNGS FSKLIGPGCRVGWAEGTKDFIYGLSQAGSTRSGGAPSQLTSTFINDMLEDNFLPKYIT NILVPEGRRRHCILASAIKTHLGRFGVSFTPDPETSPVAGGYYIWIQLPAALTATQVC HEALELQNLVLGGGQKFAVPANVPGGDLHRRLRLCFMWEDEGRLVEGKKQDWYHLLGF K PEX2_003300 MLNKLSGQPESYEKKALYKFGRTLGAGTYGIVREADSTDGKKVA VKIILKKNVRGNEGMVHDELEMLQSLQHPHIVSFVDWFESKDKFYIVTQLATGGELFD RICEYGKFTEKDASQTIRQVLDAVNYLHKRNIVHRDLKPENLLYLTRAADSQLVLADF GIAKMLHSPSEVLTSMAGSFGYAAPEVMLKQGHGKAVDMWSLGVITYTLLCGYSPFRS ENLTDLIEECRTGRIIFHERYWRDVSQDAKDFILTLLNTDPTKRVSSEEALKHVWLTG ETASDRDLLPEIRTYIARARLRRGIEIVKLANRIESLKMHEEEDGDAEDIPSAVDMGS STEDSGATEPPVPSSDASPAPGHVKKKSLASAARGAIFREVVLAKVREQKETEEREKV EREAREKAASA PEX2_003310 MPPAASLPFGVFSPPRNSYEWKRALLDVKWLCFNQQYKQCALRC NQLIDTASSPLYPIRATYLHYYAATSYEYMGRAAHIFSAVKVPLLTSAMEQFQIAYES LPATLPPPVLNPNQTYSPVTFLHSPNTSPRSSLSTVVWSPTISPTYDLPPGPGPDPNA QALSVQNLSAHNTKLAQTPPSPVLSPSSPSHSSITTAFCVTPPPTVREASFLNYDVPP PAFHIPPPTRKPPPPPHGSSPRPATRDQLLSFNSARDGLPNGGSIVRNIARMIDNSII AGADDPFVTRVPPKHDSLKRPPVRLSPIKFPAELEDPAKRNELIPPPLAIRKSSGEVL MCSSSAMVICGGSEQETSRNEVNRRVRSRPPRLPLKIIPSGRLNANTEEASPSTLAPQ PKRLSPVLSSPSTITPSTPTPVMRKKIPILGSPFTSRAGSPEQRSTKSPSLRSRKSSP APVSAERAAQINQFNSAVKWLREHIPADVTGLRKQIKHVSDLQQARRSRNTTMARSAS FWTFSPVKPNPDSGAAQEPPVIEGPNIDEYGNVIRVETKAQRIKRLREEDWRIGIRSK HSHWKGTEYYDELCETALAELGETGYGSREWLYR PEX2_003320 MDSRISRLLDPSSAMAAITKHKAEAFKLAREQGVAVQEMCRRAK TEVPPYEFEELIGKGAYGRVYKGHQKPSGRVVAIKVLDIDSLDYKSLRDFRDESIKDF IHETKVMKQVKDSGAKNINEIIEAISIHSQLWLVCEYCPGGSVRTLMRATNDKLDEKY IIPIARELAVGLHAIHEAGIIHRDVKAANILVHEEGRLEICDFGVAGVLQSQRDKRST WIGTPHWMPPEMFATRGEAHQYGNEIDVWAYGCTLFEFANGNPPNAGLRERMQIGRQL NRKTPQLDSDKYSQGLKDLIAYALDSNPETRPTMADILAHPYIADTDEEYPTTSVGEL VRNYYQWSQRGGQRISLFHPGGAAAAELPGIEESEDDWNFSTTDGFERRFSVIDMDEI AASLAEMEQSISPTDTTPEHEMYETFSEDDLNYEDKVNFDERVRRGAEAMEGLFDEEK PSYTYETKNDFVPIEPAQPTSDLPLRAETDRSSVTSTFLDIDIGSFEASHYAAGAPSA QPFQLVDANTIRANRSSFRLHRSSSETSSKSSDSGVNDDANLEDTFVPSGPRPPTMDW KFPSFTQDEEEQPEEEEKEEAPAQEPITEESFQAEKRATMQWTFPVMGSVPESQTYDD RHDTLRAPLPEVKPPPPSQPLSIDEPGDSRPSTSASNTSDSDYDPFRFDRPTTPEGAN SLHHSHFFDTELPAMMELAGYSEYEASGVLDGPGPDEESHPVWKEHSRTSSYEDSMTA LRTAVPIPQTPTASSVTSGPVSEPGSPVFETMRNVRHDTSEIPMLQAEDEGPIPFPAL NPPSAASLMEGMDDGVVTAELDRLLSDFLESLSATGEALSRAEIRHEMNGVHVVEQV PEX2_003330 MADESPSLYDLIEELGFPPSEHQFLLRLFGSSDPFAGLPGADLE ANHSSTSIARRLASTRLWQDIPPFTRWISLTNLPHHSERANQFRLRSTNNHPCNFREG SEGRDLVHYEEEWTSLIGTDSESLFSELLQQLATEDEPHCLESPELPGHSKASENIAR PGSVEPPSSSVYDSETGEPPNPVDNLNETPGTQGNCEASQDPRTPNQPGSFEQLLESF PRPPSHNHTARDQVLSHLDPNAERVPPKDSAQANTSTLTSSQIKPATSLPRIPRFSED FGDSTPIGKQDSFSSCAKADTSALDTSGLRNTSTTSSRSSPPPSNDTSTILNTRNVSP QIPSLSPSVPSRELHLPIDRHEGQQVDLESSHQTQERANRYTYAIFPEYSSRVRPISF VIRKQHSFRSIFEGRATPTASPRYYQKTPLHISTRPSTVSFASAKRGTSKRKRALKRC VKKLKHLLCRSRKRTPSTPNH PEX2_003340 METTTTVPLIIDGKDVVLASRERWMPIPTLENSTSSFFQGATKE LAIQAVESSARAFTTWSSTTPVQRRELLLKLAEILRNRAAEIKQICEREVYSGPFWAD IITNDSIGIIEECAALTTPIATGSIPFTKGNSYGFVFKEPLGVILGIAPWNAPVILGF RAVIAPIAAGNVAILKGSELSPRVHYFVASLFREAGFPPGVVNFLLHRPEDASEIFDT LITHRAVKKCNFTGSTQVGRIIASQAAMALKPVLLELGGKNFTIVLEDANLDLAAQEI VKGAFLNNGQICMSTDMVITSSAVASTLEAKIFSLVQKIDHASTVISPAAKSKLEMLV ADAQAKGAKIYTSPVPFTPLSPQEFLPTVITGLTKEMVFYETESFGPVVGIVTVESEA QIIEIAEQATYGLSASIISSNHYRALKLSESIKAGAVHINSMTVHDEPTLPHGGHGES GWGRFGSQWGMEEFMQTKTVTLHP PEX2_003350 MASPAPQHFPDRPQFSDFMKPCRFEGEVQNLEVHGDIPNDIDGT FYRVMPDPQLPPFIDNDPWFNGDGNVSAFRIKNGSVTFQQKYVRTEKFVREREARRAL IGKYRNKFTDAVEFTVRSTANTNVVSFNNQLLALKEDSPPYAMDPETLETQGLYTFDG QLPSVTFTAHPKTDPVTGELICFGYEAKGDGSPDICYYTIGPDVTENWVLFPVIPQLC DLERLKQGGEHWQWSPETPFYIGVIPRYGAKSSDVKSQWFTYKNSFPGHTANAYEQDD GKIVFDLGLSDKNVFYWWPDAQGNSPEPSKIHSQLTRFTVNPHAEEGKLPEPKVLHHG NSEFYRIDDRFSGRKYSHSFFDLMDPSLGTDFAAIGPVMGGGYPPYNSLAHHVESTGK TEVYFPGRTHLVQEPVFIPRAGSKEEGDGYLMALVNNYRTLSSELHLLDCRDFTKARA TILLPLRLRAGLHGNWVDNN PEX2_003360 MNLIPPPTNHKYDPLRWPRWLKVSVVLSTSLFNFVSNMAGAGPS VAVKDFMQDFSKSQAHVTQLLTFNFLLLGLGNIFWVPLALKLGKRASLLMAMALQVGA LIWCALAKSFDSLLAARIVLGFAAAAGESIVPEIVADLFFLHERATMMSIYVILISGG TAVGPLIAGFMVQSTAQTWRSYFWLCVGLAAVNLVLLFIFCPESNFRRPDFEDDGQIG MKPVGDEEATEKSNTSFVESASTNVEYTVHLLPFKERLSLIRYNDSINFLKALVDPLR LLRHPSVLWAIYAYGCSLSPQIIMIFTMSTLLMPPPYNFSSGDIGLMEIAAIIGFVVA CFGGGYISDIITTHIVKKSKGEIRPEQRLVSLLPGMFIAPAGCILLAFACQYKLSWVA IAFGFGMVSFGEVYTPNIALTYVVHRHQKHAAQCLVLINIFKNILAFLFLYEAVPWVT SQGFTQVYMIMFMLNMITLVLAAPLYFYGHRKRE PEX2_003370 MVNFTIEEIRTLMDRRANIRNMSVIAHVDHGKSTLSDSLVQRAG IISAAKAGEARFMDTRPDEQDRCITIKSTAISLYAKFPDPEDLKEIPQAVDGDEFLIN LIDSPGHVDFSSEVTAALRVTDGALVVVDCVSGVCVQTETVLRQALTERIKPVLCINK VDRALLELQVSKEDLYQSFSRTIESVNVIISTYFDKALGDVQVYPDRGTIAFGSGLHG WCFTVRQFAVRYAKKFGVDRKKMLERLWGDNYFNPKTKKWTNKSEHEGKTLERAFNQF ILDPIFKIFAAVNHNKRDEIFTLLEKLEVSLTNDEKELEGKALLKLIMRKFLPAADAL LEMICIHLPSPVTAQKYRAETLYEGPTDDKACIGIRDCDPTAPLMLYVSKMVPTSDKG RFYAFGRVYSGTVKSGVKVRIQGPNYVPGRKEDLFIKAIQRTILMMGRFVEPIEDVPA GNIVGLVGVDQFLLKSGTLTTDETAHNMKVMKFSVSPVVQRSVEVKNAQDLPKLVEGL KRLSKSDPCVLTMINESGQHIVAGAGELHLEICLKDLEEDHAGVPLRISDPVVAYRET VAGTSSMTALSKSPNKHNRLYMTAQPIEEDVSLAIESGRISPRDDFKARARVLADEFG WDVTDARKIWCFGPDTTGANLLIDQTKAVQYLNEIKDSVVSGFQWATREGPVAEEPMR SVRFNILDVTLHADAIHRGGGQIIPTARRALYAAAMLADPALLEPIFNVEIQVPEQAM GGIYGVLTRRRGHVYTEEQRPGTPLFMVKAYLPVNESFGFPADLRSATGGQAFPQSIF DHWSILPGGSPLDATTKPGQVVQEMRKRKGLKEVVPGYENYYDKL PEX2_003380 MEGYGWEREVGGKNASTAKLVARRQQKRKKQNPRSKTKCRNSSE RTETLMPRGFKIHVRISKDGTIEANTDE PEX2_003390 MQFTDAEAADVKKWVVKKLEDISDADSDVLADYVLALVRSDAPT EEIKKVSVENLEDFLREHTQPFVNELFTTFGPKPPAPPPPAPAPQPQRQPQPAAHIPS PQPPLNAPSGPRAAVTPGSANRKRTFNEGFQGEPEQDDGGFQNRAMKTARRGGRGGGR GDFMGGHQMQQSQQYPPQQQGQLFAPQQQGQQFPPHQQGQQFPPQQPGGFPMMPGFPP FDPNDPMAAMMAMQGMGFPQMPGMPMPGMPGPPGQPGAEQIPQSNQRCPFYDTQGICY LGNTCPYQHGEGGVSKDDEYDPKTAGMHAQRGGAPTRGDRGRGRGRGGFSGRGRGRSD FSSAGPNEDQSVTTIVVEQIPDDKFNEDSVREFFSEFGNITEVSLQPYKKVALVKYET FPEAKAAWSSPKVIFDNRFVKVYWYKPNRDEKHDSAQPETPAFNQEEFEKQQQEAQRV HEEKMKKRQETEAAKQALERQREELVKKQQEERARLMQRLGGTTDTSDAASAGDAMIT DSAADENISDETKKLRAQLAALEAEAKSLGLDPAADPSGRGGYRGRGGFRGRGTYRGR GGYDPNFRGGYRGRGGFAARGRGGVLRLDNRPRRVAVSGVDLNSDKDEALRQHLMGVG EYESIEAHPDQPNSVVVAFKERFQAEKLMFSPWNIPSVGEVQLTWVANPPIVLPTAGS STEFKGGLGQDEPEDTVMSSTPVANTAPARDMDYDVAEDDSWGA PEX2_003400 MSPENKFHLPQEEGLFNAAPNLNPPPTPATKDYKLNHLALRIQD PARSLHFYINLLGMRVIFTMNAGPFTIYYLGHPPADAKTEEDVTAWAKSTSEIPVMTR TSGLLELYHVHGTEKAGADGSDGAVSTGNVPPHLGFAHLGFTVPDVSAAVKRLREGGV SILKDVGVCSRETVPLSEWEEERGIGCGEIHGNYAWFFEKFAMVSDPDGYTVELIPQS V PEX2_003410 MSPSPLTASPEILTLLNGLHTKSLTQETTVDWPTLPAQCTAEFD SIMLDKFIALDQDKCELVYHILRSINAKTVVEAGTSFGVSTIYLALAVAENAKRVSAT TKPLVIATEKEESKAKLARAHWAKAGSEVEDVIDLRVGDLRETLTSDLGTVDFLLLDI WTPLALPALKLVQPHFRPGAVIIADNTVMVGDKYQELFAYVDAEGSGFRRVTMPYKGG LDMIVYQ PEX2_003420 MLGQLAGTDAPSVGPTTPSPAPDFGYALGGFGPGSYHGHDFTSF LDSVPLPNHPFSPAYQPLPLFPPLNFSPVPDYDQSSDRGTLTETTPSTPSSSILPRHG AQLPSLQPEGYHISSKARQPTGFVPVTAQCREKLSHMLSEYANVVPDPSLPSRHALSR CVTGYVTGFHEHYPIVHIPTFDVDSMTLPLFLSMAALGARYCREPETSMRLYQIAKPV VLEHCRRVFQSGKLFPANIADDIDALETLQSLLYLTSVSLWFINNPPYHEGLALRSLM EMLMRKGGLNRLPEDDGTWSSWIRRECVKRTKLIVLCFFSIQTIVFDVPPMILTEDFT IELPCTEKEWQAARADLWQAERLKSPGEPKFQDALSALFGPTSDVERFSSLGGYVLIH AILQDIWLMTKAGRLPVSRRNRFTPSSVTSTPELVKVEQALERWCQCWERNQESSVDP LSPNGPLSFTSAALLRLAYIRLNADCGSARQLQTWDPVQIATSLRDNLSVRRGDRLTR AALHCAHALSTPVKLGIGFVAHSQVALWSNQHALCSLECAVLLAKWLEAITVSDPDPR LTEQETRLRDFVLEMVMEVQHGVSREWLLATNTRLSAAVTRLWARLFTADYIWEMVAL IGRSLNSYADLLEE PEX2_003430 MASATPESLKPQPTAMEKREETAESLCGSQDIEFRYLDLETPLP TPCITLPPGPAQSPPPEAPSLEKYTSPFLWPQWRKSMMTYIACGVTALAGYAAGEVSP AAEELTKLWGVSTVVYNLSITLFCCGFALAPMVLAPFSEINGRRPIFIASGILFVASL IGCGGTQSFAGLLVARFFQGVGGSTFSTMVGGVISDIYHAQDRNTPMALFSGSALFGT GLAPMISGAIITRTTWRWVFYSHAIVSAVFVVMIYFFFNETRGSVLLSRKAAKLNQYY EQLEEAGHHGVILPNEEGSEEKCIRRIRWKVKSDEQRASIVTMIQISLYRPFHMLLTE PVVFFFSLWVSFSWAVLYLQFGSVPLIFRTNHNFTIEQTGAVFTSMCVGVIIITVISI YQERIAMRFGKYSSSPEGRLYFVCVESVLLPIGLFWFGWSSFSSVHWIVPAMAVGCST MGIFSIYLATFNYLADTYHRYASSAIAAQSCCRNLLGGVFPLVTTALFTNLGYPEASS LLGGLGAVLTLVPWVLALYGPKIRARSKLASELAH PEX2_003440 MNDGRDALSNRGVPHAEASANTHSMNNATSPVPHKPMPSAKAQS TQSPKPLSLQIDGLNAVTLARGEGNPNPAYIESSERGSDTSGDGRRLDLSATTVTDSL SSLLSSSTNGNNSQASEFNNSHTLNGHTSVPWVDRELLESQLAPHMQPVNGGASIDRW QPSLSPIKAGNASISPPPSPKHSRTKSNFDARLAPSQKRTAAGDFKSTLDIPTPHNTD ANGAARRRSKSTGSSAYGSRIAQLSVHIRNRLSYAAAKVEQARQSREATPQTALRTHN SASPLSPSALSDIASLPAGNSTPFSQDSQTSSNGNSNHFSSHNRSRSAFSSNQFLPIP KLAPPVDIISSNGHNQRRRPNPNAVSNPSNHSPISRHRRHHSHQENGLAQPVNGQAVL GPGTPLLSSSLTHAPTSTPNGFYRHRTHSQNALMEQDAIETLMFMSSPENSGYRFSPR PLQPASTQSSLNESINASSNGAHHDGSQGSQSSESHNGRELRRKPGLEAHAGDDIDRL LDQMDSDSEDEDRYASYRPGINGAHPFRGHQRPR PEX2_003450 MAPLPSLVNNNTLSPRKYEVDMEKEMFISTLILGFIAASCAIFL GITLYLKLKPKYEEEWKPKLKPKLRDCKEKYEDWKEKIGTWHGPKGLKAPAPAHVARS RASEAPDVNQITCDLTPDYLKMSTAIIV PEX2_003460 MEQQANRAHRPAKEKKKFEGANPKAFVTSRPGKLNKQAARSHDV REKRLHVPLVDRMPEEAPPVVVAIVGPPGVGKTTLLKSLIRRYTKQTLSSPQGPLTVV TTKRKRLTFIECPSDSLAAAIDVSKIADIVLLMIDGNFGFEMETMEFLNALSTAGMPG NVFGILTHLDQFKKHSTLKDAKKRLKHRFWSELYAGAKLFYLSGVINGRYPDREVHNL SRFLSVMKNPRPLVWRNSHPYALADRFLDITPPTQIEENSKCDRTIALYGYLRGTNFP SHGARVHVPGVGDLTVANIEGLPDPCPTPYMDQQIAKATGKSNRRKLGEHQKLLFAPM SDVGGVLVDKDAVYIDIKTNTFDRDSDEDSDDEERRGLGEHLVVGLQGERKMLGEADQ GVRLFRGGEALDTADDDETGRKDRRRARVAVPEPDDMEIPEGFDSEEEADEDMDEEDV TDDEGELDMSAPADFEARFKAKQNGNAGGEEEDMAFADSDSDLGSISSVSDQEIESGD EDEDEDEDEDEDEDEDEDEDEDEDDEDDEEGNVRWKENMLANAKSLHGKRPPFRVSDL SRMMYDESITPLDVVKTWRGEDSDDEEEDEEDRTADDEGEDFFKKTNNEKEDQADFRA VPEYDYDELERKWRDEELLELIKLRFITGKLSGGGSDDEDGDMDEDEDDEDEGDGDYE DLESGEVFNGIKEDGDEDDDEEGDDEPEKAADLEAERERNAKKKEELKLRFEEEDREG FGKVQDGTHDGIDGEFGEDDWYDLQKAKLQKQADINRAEFETLDPTSRARAEGYKAGT YARIVLENVPYEFASKFNPRFPVIVGGLSPTEDRFGYVRIRIKRHRWHKKILKSNDPL IFSLGWRRFQTMPIYSTSDSRTRNRMLKYTPEHMHCFATFYGPLVAPNTGFCCVNSFS NKNPGFRIAATGVVESVDEHTEIVKKLKLTGHPYKIFKNTAFIKDMFTSALEIAKFEG ASIKTVSGIRGQIKRALSKPDGCFRATFEDKILMSDIAFLRAWYPIKPHRYYNPVTNL LDQVDGEKGDNGWQGMRLTGEVRHAQGIATPQLKDSAYKPIERQARHFNPLRVPRQLA SELPFKSQITKMRGHKDQTYMQKRAVVLGGEEKKARDLMQKLTTMRNEKQAKRSVKQE ERRKVYRAKVADSLEKKAEREKRERNDYWRKEGKKRKNPDEDSGGRGRGKKRK PEX2_003470 MSARQPPPAYTAPADSGVHATSQLYRTISKTASSPSARISKEAF TIRPCSAQAWVVPAGHICRLTTPKGPQVGDLNIWNANNPRERMWAARTRQIHSTHVSV GDRLWSNLPYLRPLVTITGDSLGGGQLHDVLGPDGKRNPDVVFGPTQFGGRVHDLMGT RCDPYVNLLMGGETFDFHCHSNLTRSVVPHGLTELDVHDVLNVFQVTGLDENGKYFME TSPAKAGEYFEFFAEVDVLCALSACPGGDLSNWGWDDKEADMGATCRPLGVEVYQLAD DKVLEGWKAPESPKYKGMHGMKMPPREEDGYTGL PEX2_003480 MPLYNITLKTNAPTEELEKAKETAREKGGVIKHEYSIIKGFTVE FPDDTVQTFESTEYVHVEQDGAVTTTCRSLPIARPRILRQSSTQSQNWTGRPLDVAKD NVAQLAASPRRPLTLADLLKHGRPPLNKEALLASANFTLSLLPARLASRIQALRNLPF IVVSNPHVSKIYHNYLHSLSTLIPYQQRRITTLEEEKQFGDVLADLVHTHTNTIPVLA RGFLECRKYVSPADVTSFLDTHLRARIGTRLIAEQHLALHYASQPISDDPPDSADAPA PKNSIPSNYIGVIDTALQPARIIRQCEDFVGEICELKYGVRPRLNIGGEPEATFAHIP VHVEYIITELLKNAFRATIENGNEREPIEVTIAAAPDVPGNAPAVPGDTDAGFELDSE LASANENENIGYSAPSSQSITIRIRDRGGGIPPEVLPHIWSYSFTTFSDIDLQGSENG NMDALNTISASGGQVSSIAGLGYGLPLGRAYAEYFGGSIAVQSLWGWGTDVYLTLQGV GRID PEX2_003490 MVPRKVYNMCQGQTVTSELALDSSQCPQKVFHKLFESHHASHTY DGVEESDVDKSSEWESLNELQKAHACGNFGSTETSDLFLKVYHDALCSLEKNPMSGVV SPQLLGSTGVLPLTIVAPLPDLCRHLANCIVRAEHEVFLGTNFWIHSDASTLVTNAIR ELSKRAGERGQKIVMKMIYDRGDPRQAWENRLSVHEDQYVGGKVKLPAASEIPNVDLQ VINFHRPVFGTFHAKFTVIDRRMALIQSSNIQDNDNLEMLAHIEGPIVDSFYDTALLS WGKALDPPFPLLNSPARDAPIPCHEERKVDLPTENGDRALPEHTTDSPHYDRDFEQEA RRVNDCIHPQGDETRTQAVSRHLNTTIQPDTTGDAPDSDQDNTFNPYMTIPRHEPFAM ALVNREPFGSPNHSSVHTPQNAAWLSAINNAQHSILIQTPNMNAEPLMEPLLNAVRRG VVVTCYLCLGYNDAGELLPFQNGTNEMIANRLYKALETDDEKSRLRICYYVGKDQTRP IHNSFKKRSCHIKLMIVDEQIAIQGNGNLDTQSFFHSQEVNVLIDSALICCAWTELIN RNQNTAKYGAASTKDGCWHDPETDEIPAGSMGPIPVDIVTYVYHHTLNQDDEAIWKCA RTALLDAMGCAIETAATSTECRKLLGPVIEGTVVPGGFKVPGTEFQVDPVKGAFDLGV LIRYLDHNDALGGEEWGHPSDNLGAILPVMDWLSRASLSGRRVHGGPPLTMQTLLVAL VKAYEIQGCYQMRNAFNVYGIDHVVLVKLASAAVVCWLLGMTDEQAMATISHVWMDGH PNRVYRSGTNTIPRKGWAAGDAARRAVQLALLVQDGQPGSTGALSANPWGFWERTFGE AGFVLPRPFGSWTVQNVLLKSMPVEGHAISAVEAAVLQARRFRHRGLADPLEQIQRID LRTTAAAFLIVNKHGPLHNAADRDHCIQYVVALAFLKGSPPEAVDYLDESPWASSKEL EALRSKIVVQSDPKLTEDYLDLDKKSIGAGMTVHLADGSSLPQILIEYPVGHARNPKT PAAVQEKFFQNMGLIFSATEISRILGAVQNPDTLISDFMDLFIQLPAKARL PEX2_003500 MSLCSEIHEPQYRVQQRGDSKIPPIRKRIIICCDGTWQSAVSGK KNVPSNVTRLCRALNNVGTDEHGNQWQQIVWYDSGIGTTSSPLGQKIEGAIGLGLEGN VIEAYNFCVLNYKPGDQIMCFGFSRGAFTARAIAGLISDIGICRKQDLNRFPDLWEVY KEKKHGERFYCSDLWFEWMDGKADENQGAQGDTFLFEKRGKGDWAQEGSRDVEVVGVY DTVGAIGMPKVLGMKLPSWLLWWAGKDGWENVGLSPNVKHAFQALALDEHRSAFSPTL WYLHKLGNVTSEQIQTQKKKFDEEAQKWDDLLQDAIRLKASGRASDEDVNNIARKLNQ TARDWNKETRTLLKLEDDYKHQCKPRTLTQVWFPGYHINIGGGSSETLKDEGNMEEMS NITFSWMLDQIKPYLSLNEDFISEEREEMEDHISTLVENTVNNESLGGWAQRKAAAIA SALTFKYFSTSPVKPVDKRRSYGWGTGPLEDSFTPFYYANGSERRTPGGYDPFDKNGN LLGETFEFVHPVVGFREKQIKDYTPIGPNVKFARRKAVDEKGRPGYVYDLGNSPKPLP EWRLGGLDSYERLAITGKVEYDYVNELDLYLQTEIKTPRQSALGVRDVDVGIEVGKAG DIDSGIKVPKAEGFQQGGFKSTGLGTKEMQWKISEVSYAEEIVTPI PEX2_003510 MVALSMADGYARLTGKPQAVIVHVDVGTQGLGAAVHNASCGRAP VLIFAGLSPYTIEGEMRGSRTEYIHWIQDVPDQKQIVSQYCRYTGEIKSGKNVKQIVN RALQFATSDPQGPVYLAGAREVMEEDIEPYQLNQAVWGPVAPAALPTSGVELIASELA AAKSPLIITGYSGRKSQAVAELVSLADSFKGIRVLDTGGSDMCFPADHPAWLGLRYPG HEAVGTSDLILVVDCDVPWVPTQFKPSASAKIIHIDVDPLKQQIPVFYIHSMASFRAD ASTAVKQINDHVASQESLQQFIGTQENITMGQRRDEEHSKRRQEISDLAVVPEGGSEA FLNINYLMAQVRQGVPIDTIWAIESVTMTHFVADQVSATQPKSWINCGGGGLGWSGGG ALGIKLASDMQHGGRGKGKFVCQVVGDGTFLFSVPGSVYWIARRYGIPILTIVLNNKG WNAPRRSMLLVHPEGDGSRATNEDLNISFAPTPDYAGIAKAAAGGELWAGRASTVAEL AQQLPEAIQSVLNGKAAVLEVQLDGTTGKYVEN PEX2_003520 MSPPAAIDVNLVGVTDTSAVPIPEPLTVNGVSAWRQKTAKVPTG VAAASNSDMFKSPICYTKPKAKQFEHRFSLESKSRQASTLKSAARYLKNPGLISLGGG LPSADYFPIEHLDIKVPTAPGFSPEATRESGTVLRAGKHDIQEGTSTYDLEIALNYGQ STGAPPLLRFVTEHTEIIHSPPYADWQCTLTAGSTYAWDTTLRLFCERGDYILMEEYT FASAAETAFPLGVKAVGIPMDGEGLIPEKLDEILSNWDVAARGARKPFVLYTIPTGQN PTGATQSAERRQAVYKVSQKHDLIIVEDEPYYFLQMQPYTSGDASPVPPPSTHEEFIK SLVPSFLSMDTDGRVVRLESFSKVISPGSRVGWIVASEQIIERYTRNFEVSSQNPSGI SQIALFKLLDEHWGHAGYLDWLINLRLSYTARRDSLVHACEKHLPREIIHWEAPAAGM FQWMEIDWRKHPGVAAGKTHAAIEEEIFLSAVNEGVLLSRGSWFMPDHDVPEEKMFFR ATFAAASSEKIDVAISRFAEALRTQFGL PEX2_003530 MGSTSLTSAFLTPDPSFNWFFILELIVSCILALFFLLYFNRLFA NLISYAVRAYTWHYYRAYVDINALQISLLGGRIFFKGIRYHGVNETIFIHGGFITWRY WTRAVERNDLTGIRRKAGLAHHTSKEEHARSPHDGTDDNLGEQGGMGKTTELPCRITI NTYGLEWFIYNRTPAYDSILTGFGYSPKNVDSDDDNSNPPGSRGTAEDGNASNAFDFQ DTPGHQPSMKPMSERSMSERGGVASQSTTVREPELSDPVSSMLQLLPMKLDCRKGAIV MGNEHTRSVLTTTFDTGTGTIDASNSGPLDLYRQIFSFQLNNPVIQMRPNPDFKQNQS ATAKDLGSVQEKEPGSKKKPQNIFNYQFQRRRVWHSIRDLIPYFQTSVESFHIDGRHA NSMPRSQAEFPEVRWTGLSRYLDDGCEDDHEKWNSVEYGRFSTIVDSPSLNIAYFWDI PGRVIIQPTSTVRSQPMNSNINHAPSPEWGIDIKIDGGTINYGPWADRERVGLQNVFF PNFYRSAEPTEQLVPGVLRQSTAFRLRVEINKELTLRIPTREPSKDWQWKGRADAVGG ASRAKKPNDQKNARAKEGEKGHLGPEIRPFGWLSLCAAADSTINYTMDMVGSSAGFRN ELSIDLRESRLSSSINHGLLWQCPRQQVTCDLSNPLSWNSLRSWKFTAESQDLQLFLL RDHIFLLTDLVSDWASGPPSDYYAFVPFIYNLDLNFSDFQLYLNVNDRNIISNPSDLE DNRFIVIKGKRLTSNVMIPLNKYQPEQNAIEFRVNLEDGSVDYTTPLWDTLHEFLPQK SMATLENLFIDGSYNYFQSTSPELTDTLILNIDGTSPRLYLFGFLIKSFMTIRENYFG EEMHFKTLEEYQELVYADEPPSNPTGINPNRKSNDMDVLVRVTVHGPRALLPVNIYDH TKCMGLGAASLEADLRFTNYYMDLQFSIAPLKIDLETTQLDGLSTISSTQLFIDGISV YGHRLFGLPPLEPTYVCNWDFEVGRIVGECSTEFLACLTSSLKSFDFSFDNEENALPM LFPELLFDVTFLRAKIDSIHVSVLLDQTAVILSTRPLNVNFNDWANTKFSKRMSLLVP DISIAAVDRQSLSQHKPPGEQMISPIGLLQLTIGLKMALRKSDIAESRRLQQEHIKIH DQRTHRAQWLLFDWEETGPASTLAPEDDVFPPTMAIPSMPEPIDGRSDSAGAMSYRGA SRPRPTGSARSFLVQSEASSMKSVRIHTANGPRAASDHVSKPRPFLPTRGSSPSVSRT GRSRDGLNSGPRTRASSSSATRDANPWIMPHFSYYKLHLDTSELPLPFTDEDDTMGED SAMNHKSVFLTFDDDQTKYTNLACDLPFGIRGFCTPKFLLSLATLLDGLEPKHPTRII DSLQKDVISNIVGYDKAMSQPKISTAVALRVPSIQLRLVDLSEAPNNKQIEFRDEYSI EIRRLHTEFRRKVQRQKGDLLEGLKQGMTVHAAADHVSISIEGSRADSFHEKAIFNSH MEDMNFWLVTSPNVRSNLQMRTFNTITSAKSVERLAFLVRRATTMFDSVASSFQQIST ASEKRLQYLLYSLTQSATDIPDPIFLARISYVLRVSSNHLRQHDSWKIMSRIRKIYKG LPAHYKRELEQKCLCDNFPLQANAKKAVLSGFDHWRAWDLAHVAKSYVMRRVWPHATE RQTPQPSMFLSSTVQTFRFSMDPGPKESDLIIGNLSTVASFAPDSPEGEDGRSKKLIT LQSYCGSTALRLRWEILDLVEGVFKVMSNITLESSPGHLPSDKAQEKTPTELQFMFGT DFGSITLDGINVKLALIAKALRGSIVHKSLGAKKSEHEDLAVLFSAEGCSSELQSQST SLMLSRIADPYMYLSLASEEDADECRHDWKLAASCRKLRYDMKEDPVGLAHTADRLIA DEVRYIRQLMDIVKVPKLESDQSLAPNKPTRDTFHVAMFLEDYRLTFSLLPSLTYLVS GEVARMSVMPAEASKIEVDFDVKKNSHMFVSGEGDINVLSMLEIPPVNGRILANLLSG RKEVEVDITIELIRLETSAVRSLLAVLTGPDISHLICDLKQNLDVLQLHLEDVLSLQR VSPTPKPASGGQEILYKSRLTMAGFEIHAIAPGLNSKDYSAEMIFSLGMMRMRLQNGL DRGYAMQHPEFNIDAPQIIFELRRQEKASSQSYGGFSAGVKLQGTSAVRENGETTRAY HFTSDRFDIELFAETAALVVDIAVYTQERIKTLDLSHEVKRLRKLRHGGHIETKDGAT DVPEIHVNDDSTPETFLNALYSLQFRTIQIAWNMATMGNKSGRQPEDLVFSIQQVELS NKKKNAAKLRIENMQLQMVPFGADRGKRSLNSALMPELVFNVAYSSKGKEVWLAFQAA GKSLDIRATSEFIIPASMIQESIATASEALREGKAVWATRADSPENATTNKNGSLFGN RRLRSLLVDVDFAGATVTLQGKLGHDHQTLLAATWKGSQLSDAKYGQYVQGDAATTAT LRAPGVALKVQFEDNGTDDPALNAELKVDPSTNTLYPTLVPLVKQMTATVKEIMGNQQ GQSRRSSAATKLQSQKLMQEKPFDAADPTSILGRCKVNLGLLFCKQEFSLSCQPIARV AATARFESVYVTVNTVLSEEQGRFLALSLAFNSLEASVKHVYSNESTASFEVKSMVLS LMNSKHLGRMNGMSAILRVSPMKVAVNAKQVQDFLLFKEIWLPSDNEPTSGETVQPEP SETQTYIVQRYQQVASASAFPWNTTIAIEKVEIQLDLGSTLGKAQFAIVDLWVSTSKT SDNEQNMCINFGSVAIESKGRMSGIVELGKLKIHTSIEWPEGSDETGHTPLIQATIAF HHLQANVSFDYQPFLVAQISMFNFLMYNVRNTSGAQSQRLFSVLEGDKLQLFCTSLTA SQTLALFQAWQRLIQDVQAAYKTSLSEVERYLRRKSSVFPERLDVSAKGLTKKDEDQP EKAPISLHTGVVVKIHHVNLGAFPSSFFDNQIFKVEAYDAEARFAVSLESNKIHSALG LTLGQLRVALSGITRPTSAQLDELSVDEIAERVAASRGGTILKVPRLVASMETWQAPG THQIDYIFRSTFEGKVDVGWNYSRISFIRDMWEAHSRALASRLGKPLPPSAVRITGGP GIGGEGGGASSEKQEKITAVVNVPQSRYTYTALEPPVIDTPQLRDMGEATPPLEWIGL QRDKLPNVTHQIIIVTLLEIAKEVEDAYSKILGS PEX2_003540 MTTAAGGTGSSLARAIIIVTGVSALVSSLLSFVVPIYAVSSWTS IISLRAAQFLDPVRDIYEAFTIYTFFQLLINFLGGERAVIIMAHGRPPISHAWPMNHF LPKIDISDPHTFLAVKRGILQYAWLKPILALASIIMKATDTYQEGYIGLGSGYLWTGI IYNVSVTISLYSLAMFWVCLHDDLTPFRPIPKFLCVKLIIFASYWQGFFLSILQWLGA LGNVAGYTPDNLAAAIQDSLICFEMPIFAMAHWYAFSWHDYADPTISAARMPVKYALR DAFGARDLVEDTKFTIRGKNYGYRVFDSGDNIIPHEESGSRVKRVMAGMRYERGGKAK YWIPKPGEANSRTPLLRGDPSKRSPRIERASQTSRERFRSYSDIDETAMDDEDERLFI NARALEFGDWNYPVITANEVPRDQRLPPSRRNQDSNRGGDVKKARRHRKSRASDGESR QSPKPKSTKPGPSSPSPGPLQRNVSSTGSTGTQRSQLVDLVVENTEAEEEDRAQIQRE YGSAWAEPEPRRFSRPSGEPIEEEAETNPTQPESNYDEDRPKWAYDGIEQDNVWGK PEX2_003550 MKLVVYDDLPSPSYHQHRNSLSSWFNDSRNLASRASNRASMSLK RSSTTPLKIGAPSDFRRVQSFHDHRPQSFHSPPAPTYQPLELRIHRSGNRLSDLPSFE AFQLNESPQRKTPLVPTRALTTSTDVRDRYGQKAFVVSRKPVGSQKRRSLGNVEPLLV KEPVRTTSTLVPHFSKVTPIEKPLPEAQAASPVHGRSKSEGTTLTTNTPWPSDTNTIP PWGRVPRTPPAPKSLYTSSPDTSPSKYSAMSRESPSSASSRTMPSYFSSPRRPSIPLP ENRDTIDSVPSSLSNRVTQWMFPTPTKPSTPPKQAFVPGVNGFNWERARTLSGTTVAS TTTTITGGARARNNSSISSAFSSAITPRTSFQVSSSTAEKDVDPGVCYPTIFEGQQQH HQLSSYHDNGHMRYHEPGIGLAF PEX2_003560 MATNGANGVSDSRPVFFFDIDNCVSALDFRSFCATTDRAYLCVA CNIHDEMQTLINKFFVKHLDLKSEDAHMLHQKYYKEYGLAIEGLTRHHKIDPLAFNRE VDDALPLDNILKPDPKLRKLLESLDTTKVKPWLLTNAYVTHAKRVVELLRIEDLFEGV TYCDYGKLPLVCKPSQDMYAKAEKEAGAPSKDQCYFVGTVIELPDGRQATVRFVGTTH FADGQWIGLELDEATGKNDGAVQGERYFDCAPGHGMFVRPTVVGRIVQPEPESKQTTK PATSAAGSKAQPKPGISTGMRKQTGLPPTAARRQSTNATSTPTPAPRGVAARSSLRSP TKSPTKQLATTAGRPSIGSTSRTSTVASNRPRLAPGSRNSLGPSPTQPAASRGSRPSI SGPAARTSRPGSQSTIASSTAGLTKRPSLRQVGNTKASDGGDAVMSGRSGDPTDAESP DTEGDGAQDGATAPKTSRQSMTATRPVASRPGAPLSASQRQGQSAALNRELEELNAKL RVMEKKRADDKEKLKTLEQLQAERDKFETIIQKLQAKYQPQQMEVTELRKKLKELETR SDSVERMQAEHESLMEMATLDREMAEETAEAFKHECAALRSRLDELNLEVEVLREENE EYSQETTPEDRTTHGWLQMERTNERLREALIRLRDMTQQQELDLKSQIKELEDDLEEY AAVKSDYEAAKERLLVAETNVDDLKQQLETALGAEEMIEELADKNMRYQDEINELKAA IEDLESLKEISDEMEYTHIETEKQLQEEIEYREGVFSDQCRKITQQDEVIEDLEYTLT RFRDLVTNLQCDLDDMRTTKQVSEAETTENAMRHRQMQDLNMKLQANQSKALTKSIDV ELNRMESEENAQHLSMIKLYLPEYFEGERNSIQALLRFKRVAFKANVMSSTLQEKGSE HSVVSNEELFQAHVVLEYLMWISNVCDRFVNYITACSPEEFGSIKVAMFEMEPVERML NFWIEPMKKDEVNLAKFAVELQRSIALLAHLSETLLPSSLEMFADELCMRAHLSQSYI EHSAGAISRVNLIISSKMLAPAEGDEENLIALNKLDTFASQARGYKVAMSKISRSLDD LRSRSLALPKEADEPFKKIENETRQLSEFARKLGENLVVLTSDEARTELFSPEEILDC MSQAAMSFVSSSETLEESNDPVSLLFIRLREVGDQFEELDSISSDLSHTTEFERASFP WVARAAELKSNKMTSPDADEEIRQLRNEIHEASAALGVKDNTLEEQGLKIEHLESRMR EASKKAAMVKDLEAKIEEIQRAGSELEKTVEQQKKELQTAEAERDDFMARLERMKRMS GTAGLATTGNGAVIASEASLAAMDENESLRAEVESLQAAVRFLREENRRSNILDPYSV QRSTDMHAWLDAPLARAKPTPEQEKIQRTALESRDVMTHLLKLTKESRVPDLKSTMAA PASDNNDNAGRTVWRPSKTRLRYQVLQQRENFEHWAEWRDEIVNHEREQDRLAAAKQE RAMRDRVSRHAHKASVEFPQGLGHGMMGRAWQILGMQKHRKTDSISTPAPDGVEIVTT D PEX2_003570 MAATHGPATHLSAGPMAVSALTPEDEVREYEKILTINDQVFSGT HPRLNVPQHLVRKSGRNAQNAPVRTHLPKNPPPTAVLANAPVAAPTKQPYNSSQSASA RNALPAPQPARVASKPTSEIDPIFLTKSDDLIRAELQLQRQRLERALRDQVEFRKQET KQRPAVQDTKPDFDVSEVFKQALEAVKPVSLSDASEASGPARAASDSFDENSFYSSRA PDSPPQTARQQKSSPVAIVAPTGPATRVPVTHYADELQRLEALNRPGSDQEMPDAYLV ADQRHPHSQKQARNHKAEAPVSRLRDSHRFETVDEPEYSPPAPAAPPADHREYTRGLE SGAGRTSRPDARYTDRTRDIRKPPSPANVKVVRNHITSPAAPRPSRVSPLAIAKVPSV QQIRDERSEHGSEQVYSDPDSARGSPNAQVFHVMSRKRRRLREGDGGPRQTLHRTQNA EPVETFIKEEPVSPPPFADDSTVVRTRHPAERPVYIDIASPQYTPVYESRGPLVREPV YEIDPYHEPLPDAGPQRTISRLSIRRPIREEADLRRVASSQYARQSDYAREYIEAQPR PVRAASYVVERPVQERPRYYEEAPSYTQHRYIAADDMPPPTYRETYYEEAPPARIMAP SLRRIVVDEHGTQYYELPQVPRLQPMAPPPPPRPVSRMSKDVYDERVHHHAGSVRAPS VVQDHYGERHYVQEMPPPQPVYRRVTSEYARPVAADERQGYAAPLEGHEPYSRSSSVQ VAEYLPRHAVYVDERGVPQERIIRTASVRPPQPRYENSHEVVQRVGSVRPTGPAREPS AFRDRQPAEYVERPYYIRDRRYYENEDEEGDRLALDGANDTIQRGAQRY PEX2_003580 MLHIKRPVRLLPSYLERPPRLWVNSGNVQLSDVTRLRKTLWAAS QGQLTVNSPQQKSAYLPVETRGRSDIAPIHLDLDFDLEGVEVSNELPQQCQLRTVLEA VTYLTTTPMSGLPDEVRFRDDPNAQVFRRSLCLWKNKGVALNWVERNGKTSGSRQAAI LVPVAVPEEASISPTFYHCHISREYFMRVELVVGRSQPLHLKLPLQVYNSLGC PEX2_003590 MELQEPHPPRRSKFRLFVIMTALSLSMFIAALEQSIVATAIPTI AAQLHSASGYTWIGGAYLLANAASACIWAKLSDIWGRKPLLLLAVGLFFLASIVCATA VGMNILIVGRSLQGVAGGGLLQIVTITISDLFSVRQRSLYLGLMELMWAFAAGIGPLL GGAFSQYVNWRWIFWINLPVSGIAFVLIFFSLDVHNPKTKIMDGVRAIDWFGSLFFLG LTLMILLGLNFGGVTFSWKSPQVICLIIFGALCSLLFIYSEKRLAKYPLMPLYIFTRV SNTATLLVTFAHGFVFIAGEYYIPLYLQSVHGSSPMSSGILVLPLVITEAVSGVFTGV IIHYTGRYLELIWIGLAFMTLGNGLYINLTVDSHISEIIGYQILSGLGIGFLFQTPII AIQATVSQEDTATATATLGVVRNMANAFSVIIGGVVFQNSMTKRQASLLDSGMSPSMV AQMSGDSAASIEYIGAINDKAQLLAVREAFTWSLRNMWILYTCMSALGILFSAFIQKV KLNKEHVETKTGLKIEATPAVENIPSA PEX2_003600 MFIVTGLFIISALCIYKFFLYPLFFSPLASIPNANWLSAITPIW MQWKRFQGEEVSTFQNAFMTKGSIVRVAPNEIAVNNMDAIRVVHGYAQNNCTKTSWYS VFMHNGARNCFSSLGPDHSMRRHRISSVYTKPYVQASPHVRTLLSRLLKTRLLPALEE HARAGTALDVLPVNFAFSLDFVSSFIFGLSRSTNFLQNIEARDRWLESYATVYSGGPL FWLQEYPDVVAATRKLGISPVPKKYFNAVNRFDSWIISLVDATEVALLHSNKSKFEPG EFPEMYHQLRSGIVGGSENSSDIPSSTQRLELASECLDHLVATRDTFGIVFSFVLLYL SRHPKAQERLREELQTMKTAFHFSGGTETSIPSAKSLESLPYLNAVLKESIRLRGNVP TSNPRITPASTLTKLGPYENIPPGVRVSAFAWCLHRKEEVFPDAEAWIPDRWLDDNQQ FAPGEQERWFWAFGTGSRRCLGQNLALEMLRFSMAAIFSNFEVSIHDDTRFINASGFV TGKKGESLELMFKPL PEX2_003610 MIVDALILGAGPAGLAAASALGRARRHVLIIAGPDYRNRASPAM HGVLACEGMNPIEFLTRSQHQITALYPTVRLESDTVVQRAAQIGTQEKPVFEVQTATG AVYQGRRLILATGAIDELPNEIAGFATCWPDHIYQCLLCDGFERAEGPFGVGVLAKPL SAHYVHLALRARCFNDRVVVYTDSLYTKEQQDEAAKLFAPALAQGVTLKHTPISRLEP NNKGLGVDIYLEGGQLEHVDFLVYKTLTRPASTDLALQLGLQVNEIPGQGSVIACKEP TGATSVPGLFVCGDAGSLIKGVAPAMAQGLCVADVVWGGLLEEDKS PEX2_003620 MTPPTSIDPTVNLSFNVPFSSTLAGPDVEDVLHASPGALQRWTF PEDTLEGTSVHQLPVHTNNVEGLQRLCRKITEEKGGRVEATLTTSEAKAVPALQRRPN GLVTNVCVTGDGEMARKMRARILNETPIMLRCATVDVDMHLIIDGSPKGIRASVLEHL DTLAAYTGADIFLLTPKLHDADSAVVSSYGYASDNGLDQRFRVAIYGDLESSEHAKTR VLIMIDQILKRHVDAIKLDSTMHTLVCGRTRKNIKLIEAATGTAIYFPPPFPQIFGYI PPGANRRSEDEVYITGETPEQIARAKQKLRELVMGVKIFVKDVVVSSSKIDNILLDRL DKVRKVMEMNGSYVLFPQLGSQRGLVRIQGTEVLHVERTVREIMALAGQFYSASWWII MPDPAQGGLRAPATADIRSMLSDICTNSEAEVSFDNLTFTINGSDDAVKAAMTVINQI PFVMRSQYQMRVKIELANEHKEFVSGKKNGKINKIMGQSNVQIIFDGFNEYNFYIDVC GNQYESTKNGLDLVEQEMPASISFHVPDQYHKRIIGIGGQHIQRIMKKYSVFVKFSNA MDRGGMGKEDDDIKVDNVICRTPARNAQSLDLVKQEIMDMVEKVDAEYVAERVVINRL YHRELLARMTEIDELEKKWNCKIEFPSTELASDVVTISGPEYQVPQAVDALLGMVPES HELHFQSSVELQDYFKCSDFLADVRTKLKEQYEVDINVDVGTDLPTTREEGSSSPTPG PEDRVVLGYTRNNAGGLKDAIDFLISRLVAHGLDANTVKGAIPRPKSDSFEESLPFFD SKLLQHAPAPLSTDSPTRPNFSDETSERGSIFERLRKPGSISSFSSFIGRKNHSASPG SFFKHASSNASKASLVSMESRDSGYRNPWNDSGVNLPEDDLPVLGSSHSHKSSTSNGW PTRFDAKFPFGTAPGDMTPKHDPRTSFDSGRPSTSNSTSGYPAPIGPPR PEX2_003630 MATSYPRPDFQRSSLNWNTLDGPWTFTFDDKDEGLSSQWHKTTL QGKPSHQITVPYAFQTPASGVNLIEAHEVMWYERRIKDIRTAGEKQNGNKLLLRFGAV DYECSVWVDGILVGGHRGGHVPFDIDISDALTDGATEARLTLRVRDSPYDMTQPRGKQ YWGPVPQNIFYTPSGGIWQSVWLESVPTMRLLCGSGGTVFRSDDIERGQLHAKVHVAG RRVGSAAKVEVEVSLGGLSIAKVDGELMQDKTFAAVDLGIKVTEAAVGNLKTKSPELF GVDGVWSRGVALWAPEHPVLYDITLRLFDGAGSLVDEVQTTTGMRSLSWQNGDGTFRL NGKPYLQMLFLDQGYWPETGMTPPSSEALKTDIKLAQKLGFNGCRKHQKVEDPRFYYW ADRMGFLVWGEMANAYEFDTDYIERFTSEWTEAVKRDINHPSLVTWTPLNESWGVSAL KDNIEQRNHVRALYYLTKILDPSRPINDNCGWEHVKTDLTTFHDYSDSVELSAACATM SGILELKGGHEVFTKPIYSGYSGSVIVDKGARHTPGAPVICTEFGGVNIAPPKDSAAA GERDWGYTTASDPADFLVRFEKLVMAVVKPGHICGLVWTQLCDIEQEVNGLYTYDRKE KVPAEKVKAIMDAARDHYYQHLTSHHSKGFKKLLDQYKNIVHR PEX2_003640 MSNRHSVFSTQSTGFSGGPRPQHATQVSTTTLLNALHSFYTAGQ PYQLDSATSLVVNTWVTAATTLPDGRTGATVDRDLAIRAWEHARRRAEDGCIVLGALH QSTPSLLEPFIAAIPVETPELALIALSALRPFLTAVTAFNPSYSLHSALAATYTLSLQ GSVVGLSFALSTSGINVRKGLLEIQPETGYRAFDAFYYLLTSTSTAAEREFLDLKDPA AYTLFNRSSTYNPPHYLPAADDAAAAEDFRASLKSIGIKGASQRGLLSVLAGLLKLGN AVGFEVDQEDLEEVCEDAGGLLGIDPEILLHKCSTDEREVLIAGIYEALVDWVISKAN EAITAEIQAALENDSSNGGGAAQWSSDDTVNLTVVDIPRPALGKAIMMRGIFDDGLGL NAEMKDDGIPLPPIGASVISEMNSAVAQVEPDLNIITGLAGREREHDLDKRQGVLEKV GVELEIDAFLRRVLFPVDTEGITVGKRGRFDLPTTLNSSRVWYHLSLHPTDDMPAQLA SSASTAWSAGAVSRQLRDWRLPEWANRRLKQLDFTADFDVEEFVGRYARLGCAEGQDG VENWIIERGWSNGDAVVGEQRVWMREGAWWEAESMLDLKPEEAQVNPFMYGPALYESG FTPEGTAIHESSNLLGMPPGGAMAPSVMGGAKSIAPSAPFTNAANTGDYGLGRKGDDK KGDIAYYDEYGRYVGEFDPEFGDPKHIEKKTIPWSRRLWAGFVWAMTFWIPSFVLRYV GRMKRPDVRLAWREKLVLVFLILLFNAIVCFYIIAFGDLLCPNKDKVWNEKEVSWHTT DNNFYVGIHGRVYDISKFWRTQHSDITGEDTSSDNMRQFGGEILDAYFPPPLNRYCAP FVTSDTLALQHNDTDAVAYPTAEHKSGALSLTTTTALHKDTWYENKFLPKIASYYKGD LVWKRSAIQTQANDDSRYWVIKDQKVYDLTDYFYTLKTMNNLDQYNWLPSSVTTLFKN NMGEDVTDLWQDTTDFRNAQTCLDFVFYVGKVDFRDSPRCTVNNWILLAFTILICAVI LIKFLAALQLGSKRRPTPQDKFVICMVPAYTEGENDLRKGLDSLTALQYDNKRKLIFV ICDGMIVGGGNDRPTPKIVLDILGVDPKMDPPALPCKSLGQGSEQLNYGKVYSGLYEY EGNVVPYIVVVKVGKESEQRKSKPGNRGKRDTQVLLMQFLNRVHHRSPMSPLELEMFH QINNVIGVDPELYEYCLMVDADTTVREDSLNRLVAACAADAKIAGICGETSLQNEERS WWTMIQVYEYYISHHLAKAFESLFGSVTCLPGCFTMYRLRTADKGRPLIISDKVIHDY ADNDVDTLHKKNLLSLGEDRYLTTIMTKHFPAMSYKFIPDAYASTAAPETWGVLLSQR RRWINSTIHNLVELAALEDLCGFCCFSMRFVVLVDLVGTLILPATCVYLTYLIYLVAS KTGPFPMISIIMLAGVYGLQAIIFIVKRQWQHIGWMIIYLLAYPIYNFILPLYAFWKQ DDFGWGTTRVVIGEKGDKRVIAVEDEPFDPRSIPLQRWDDYAMANNLPGRRGDLSASQ EKVYATGRYDDMAMEMDDMHSQYSSVKPASTILTGFPGQGRHHPYLPPQSPAPFVGGN LPGNRNSHMSNFSRYTDMPQAGAQMGGHSAQASRHMSMGGLSAYQDNPMAASRHSVGM MQSSDNLLGNAGSRSPLPQYTSRPTSTAFDFRAGSGPDEGAITEAIRGCLAEVDLDTV TKKQVRVLVEHRLQTSLTGDKRAFLDRQIDQELANM PEX2_003650 MVNTFSGSGPAHAQPSLPSLPAHLQSDTHLTAHLASRFHVGLPT AHLSSQALIALNTYSSATKGPDGGKEGSAAGEAEDLARRAFTRLGARAENQAVVFLGE SGSGKTTIRSHLLSSFLSFSSTPLSSKISYAAFVFDTLTTTKSVTTPTASKAGLFLEL QYDGSSSVNPTLIGGKIIDYRLERSRISSVPTGERSFHALYYLLAGTSAAEKSHLGFE TGIHVQTGSRLSGGAVSHKRWRYLGHPTQLKVGINDTEGFQHFKTALRKLEFSRGEIA EICQILASILHIGQLEFGMGQSTTTGAEESGGYSHEGGETVTVVKNKEVLDNVAAFLG LSVDALENSLGYRTKTIHRERVTVMLDAKGARENADAFARTIYSLLVTYVIETVNQRL CAAEDSVANTISIVDFPGFAQAPSTGSTLDQLLSNAATESLYNYCLQSFFDRKADILD REEITVAATSYFDNTDTVRGLLKHGNGLLSILDDQTRRGRSDAQFLDSVRKRFENKNP AISVGGTSGSGGYMSQTRSAFTVKHFAGEVDYSTTGLLEENGEVISGDLMNLMRSTRS DFVRELFHQEALQTISHPQEKTAIMQAQVSSKPLRMPSMARRKTGPPSRFAAPSTHPE SEEGDDNESQVAGSKRATGRKSGMPSGPAQGAAGQFLSGLEIVNKCLSSSNLNPYFVI CLKPNDRRIANQFDSKCVRMQVQTFGIAEISSRLRNADYSVFLPFGEFLGLADIGNVV VGSDREKSEVVLDEKRWPGNEARVGSTGVFLSERCWADIAKVGERVVPSYGNAGADEG DGFQTAGGNPDSKLRLLHPTDPSPGAFIYGNDPKQGYFGSRELDGRSDAGGSAFNSGD MFKNLDTKEQMLEKNNEKKMEEVDEAPTSPGRRRWMFLVYLLTFFIPDFVIRFVGRMK RKDVRIAWREKLAINMIIWFSCGVAVFMIVGFPGVVCPTQAVYNTAELSGKNGAGTAD SYVAIRGVVFNLGSFMPSHFPSIVPQKSLKAYAGTDATNLFPVQVSALCQGVNGNVDP SVPLDYRSNLNDSAATASSTTFDINAKYHDFRYWTNDSRADWFYEQMVMMRSNYKKGY LGYTSKAMKKLATDKSMKVASIHGKIYDLTYYIAGPRVPRRPAGKNATGTVDTNYMEP LLVDIFQGKAGDDVTKYWEDLNYSTALRSRMQLCLDNLFFIGKIDTRDSVRCQFARYF ILAISIMLVSIIGFKFLAALQFGRKNLPENLDKFIICQVPVYTEDEESLRRAMDSMAR MRYDDKRKLLLVICDGMIIGQGNDRPTPRIVLDILGVPESVDPEPLSFESLGEGMKQH NMAKLYSGLYEVQGHIVPFLVVVKVGKPSEVSRPGNRGKRDSQMVLMRFLNRVHYNLP MSPMELEMHHHIRNIIGVNPQFYEYILQVDADTMVAPDAATRFVSAFLSDTRLIGACG ETSLSNAKTSIVTMIQVYEYYISHNLTKAFESLFGSVTCLPGCFTMYRVRTAENGTPL FVSKAVVDAYSEIRVDTLHMKNLLHLGEDRYLTTLLIKFHPKFKTKYIFRCHAWTVAP ESFAVFLSQRRRWINSTVHNLMELIPLQQLCGFCCFSMRFIVLIDLLMTVIQPVTVAY IAYLIYWCVSQPDVLPITSFILLGVIYGLQALIFLVRRRWEMIGWMLIYLLAIPIFSL ALPLYSFWNMDDFSWGNTRVITGEKGRKVVISDEGKFDPDSIPKKIWEDYQAELWESQ TSRDDRSEFSAHSYGTRLPPFPQSEYGYHTNHTNSRPVSQLDLPLGSGGARYSVAPSE MMSNFGMEDLSHLPPDDEILEEIRKILATADLMKVSKKTVKQELERRFDVNLDAKRPY INSATEAVLSGVL PEX2_003660 MAETSADAPPPPPPPPPPPLPPKNNKQKKREWWPAIKKEKRERK NARRARIEAIFNALLNHYHEMGGSHPDAIHVLSELAKSLEEERHPHMHVKKG PEX2_003670 MLPQHSIPPKEGRFAPSHPRPSVKTRRKRMLLRITRLTFAVVAG WLVISFLLGSPASDANNLRSTSSLVTDKNLQFQPTDIRTVELPAHDSTSQGTPDEKPI VKNPIGLQENIDSATRKDQESLKPLENNVENTKKNTNSKNLESEFFGDALDSLLSTIS NDLYLRELIQPVIGTGEEKLHELALRTRAYKKLFEAWENVHLVSNDDHTYVRDDIFPQ IRDRFSGSTFPEALHKYEAYRHFITEFSALLFPWTSPYFADHMSLHVSTRHGGRGIVT TAGNNHAPFLLAAIPSFRLLGCDLPVEVMYLGENDLSEDFRKKLDALPGVTTRDLSAM VSDEGWRLNGWAGKPFSILLSSFREVVFIDADSLFFVNPELLFDDPDYVRTGALFFRD RNILPESKKEWLQKILPKPISQSVQQSRMWTGESGHVQESGVVVVDKWKHFVALLLVC RMNGPDRNKNGVDTVGTYDMVYGDKETFWIGWELAGDTSYAFHQGGSGVMGVVQTPDN LNSTDEGLASTVGTETEPVPTKKVRKMAPGHESDLTICAPQLLHLDRDKRPLWFNDWL YENKYAGSKRVLGNFEMFMEEPGESLDRAPWQLEESNLCCLSNSVAKDFTKQEAEWLG VLIDMARTVES PEX2_003680 MVGGSIHDRLVRAARAEAQALPVNLRGRLPPPTAPVQARKRVRS KHPEFHTGRNPTGAEPHVSLAVEETENMPPPVPNPRSSSSSASSFGPTSANNKSNSYF VVHGFVVVLL PEX2_003690 MLSPTCAGVLQTHQLIENHSILIRDEIYGEELVHEPVLVELLQS PEVQRLQGICQYGVTGFLGLAPRVTRLEHSVGAFILVRRVGATIEEQVAALLHDISHT TLSHVMDYALSKPGEGSYHEVHKTRYLEMTRLPDILARHGINQKVFEEELFPLVEMPS PQLCADRLDYALRDAVSFGKLAMEDARRVVGSLKAFPSATATRRLLVLDDPYLALILS RAYITTDKDVWSSPAHMDIYQRTGWVIGELVEAGSVDDKVLWQVSDAEFWTLLRQTAN PKQLRAIERLEEEGIPEDNGLRLPHCAKIRTLDPDVWQGGEKQPAPLSIVLPTWGSER QQYILSRSQHQ PEX2_003700 MFNRISSLDWPWGQSVAQAGAAGLFLAAASARVAHLKYQSIKVN PSGLRRSKLFVLIIFVTLRLSVLILWTLKPSSIAAPVAGSELVASIALLVLSAFEHDR SVAPSDLIALYFAVTLPLDISQIRALLSLDKPSSALALETTIDPIPTISIILVVVKLI ALVSEALPKRHLLLASYRDLSPADTSGPYSKIFLWWINPLLWRGFFNTLTPGDLYSLD SALSSQKLEAQFRGEWKRKRNAGANRLLRVVLSTLKWQILASGLPQLLLIGVKFLQPQ LIRDTTNFVNNPTGHQDGFGWRLVSTYALVYLSIAVLGAASKHQINRFIVSIRGGLIS LIYQKTVNLSITELEEKAALTLISADVERITTAFGTLHETSAAFIEVVVALYLLYTQL GPGFVAPGFFFSCAVVAIAVCTRLFPKYLDIWLEAIQTRTSSTADMLGAIRNIKLLGL SSVVGGLVQRLRVQENQVARKIRWVVLVQVVFQNVTSIAAPVSTFAIYIFQERYTGQR LDIPTAFAILSILQLLEPPLMMLVRALPELVGSLGCFSRIQEFLLSPSRQERRTLQSS APRLVDENLMGQRTDDVLVLRNSSFGWGDDSPVLHNLDLRVKRGSLVMVIGPTGCGKS TLLKGILGETPFSSGTVWLSTPSVGFADQTPWTINSTIKAGICGSSSENEPFYREVIH CCGLSADLSNLPNKDRTIVGTNGIALSGGQKLRLALARAVFARKDLLILDDIFSGLDA DTEDNIVRRLFSQSGPLRSQNTSVVLVTHAVARLPHADWVVVLSKDGKIAEQGTYETL VQQTGGYVAGLAVRFKDDSGNADEAGSQSGSVETPAKHPAVDMTHEEEEDEISTKSIV SNIGDWKAITHYFAVAGRFSIGLAAFWSLIYVTAIKAPGLLLSYFASPVDHSTIVSTS WFLGSLGLAAVISLVSLTLLVLGQFFYIVPRVSNGLHQRLLHTVLLAPLSFFTSTDSG TVLNWFSNDLGVIDNELPGVLIGTMIQLAIFVIGAGLIAVSASYLLAIIPLLVLVLIA IQRFYLQTSRQLRAMRQEQQAPLYTHFQETIAGVVSIRALGWTEQFCAKNSELLDNSQ RPVYLLQAIQIWLALVLNLLVAGLGTLLIATIVSLRDTVPPALVGLGLLNIMSFNESL CELVQLWSMTETSLGSLARVRGFIDNIEPEVKLLETVSPAPTWPPHGAVDINNFAASY SESSSLVLQDVSLQIQPGEKIGICGRTGSGKSSLLASLFHLLEFRQGSITIDGLDLTL LSRETLRQRLNIITQEPYWVTSETVRFNLDPWGATTQDDEILIRVLEKCQVWSVVESK GGLSAIMAGDFLSHGQRQLFCLARSLLRKSKVVVLDEVSSSVDIQTDRIMQAIIRDEF QGCTIISVAHRLNTIVDFDRVVVLHEGRVVESGKPQELLAQSESRFKKLYEL PEX2_003710 MSKSDISSNSEADEAIPASAKATFWSRFKAHMKKFWWACLIAFC ISVFVIILPLFYVGVPNFANDYINKYDYDYDGLEITNPRPTAFHIKQKKSLKIKGAFS GSGHITPFNATCRLKDTNEAFAVLPVPRIAFGNGAVLEIDQDLDLSCVDCLSQLASAV ASNKSSSFLIEGSPDLKYGALPTAHLNIHKTIKVDSFNITSFLNMKGAFNITSMELLV PPVDGYNFNATISVRNPNPIIIELGHVTFNLTLGGSDLGWVDFPYLFLEKSVTSTVVL GSVSKEALIQEAISGNDDFGTVTIGNIYGREYLVDEFRDD PEX2_003720 MTLTDRILHSIYGLPPPTSGVRKEPMQVLAVGISRSGTDSLREA LHILGFDHTHHGFDTILPPSSLEGIYRLLQKKYTTAPEKNEVKKLTAKDFDSILLNSV GVSDLFAAEFAPELIEAYPDAKVILNVRQDLDGWYRSMQNTMGYFDKNPIDWDWCKSW FSADLFWIRQTMSRNMMPRFFRGSFESNGKWVYEQHVAMVRGLGLPEDRLLEWSVEDG WEPLCRFLNKPVPDIPFPNGNPPKAWAERIGKTMAAHHKRAVRNMLVFGGLAFVIPVI LCTY PEX2_003730 MAPKLHGTASRHLPEHIGTSSFNDTYLANKVGEIVNSQCQAEGR SPIATRNGVKLDLYASYELIACVQKLGFGVSCGDQHTDE PEX2_003740 MVLRGKYLHWAVTAASCQAFLLLGYDQGVMSGLIGANNQFGQTF NNPDSTMQGLLTSIYDIGCAVGCLLSLVIGHKFGRRKMIIAGGSIMIIGTIILGSSYT VPQFLVGRIVTGLGNGINSSTVPAYQSELARPEQRGMLLSAQGTVTILGLCIAYWLDY GLSFVDTPVQWRFPISFQAFFAICLVLQMIPLPDSPRWLCEQDRSDEAASVLARLQLD QPADESNPEVVLLRRQIESSIEIESAGGPFKYKELWSGGQMGNLRRMILAAVVNIQQQ FTGSNMINYYAPVVYQNAINLSRNLSLVLGGCTSLAYLVGSVIPLWSMDKFGRRVSLM VSAAGLCFCFVMTAILLSIGTAPCAYAATVFVFIFQLFLGIGYLPVPWFYPSEISTTR IRARAQAFGGFVNWICVFIVVQITPTAIDNIGWKTFIIFACFCFAWIPMVFFFFPETK GLELEDVDHLFSRGGITGGVWETRGYPVLPGHHRTLNTERMEKPMVETAHIEV PEX2_003750 MDNPTPQPNPNPNTTADPVANTPDDQQQKEALAAFTATLLSVGT NLETPLRDRAANIQSNAAALERQEVELAENTQRLARQNQQWVGLADETREGLKEIGDV QNWAEMIERDLLALEDMMDDVERGHGEHGNEDDEDREDSDTELNGGVGGVGNGNSNGQ NGHVDVDANGKKVDQPAKGWLRWW PEX2_003760 MKMATIQAIEARSVHQIQSGQVIVDLCSVAKELVENSLDAGATT IEVRFKNNGLDLIEVQDNGSGISPENYENVALKHYTSKLSSYDDLSNLHTFGFRGEAI SSLCALADFHIVTAQEKQVPRANRLDFEQSGKLRKTQIVAGQKGTTASVEGIFKRLPV RRRELEKNIKREYGKVLNLLHAYACISTGVRFSVRNTVGKTRNVVVFSTNGNKTTKEN IANVYGAKTLSALISLDLELEFEPATATKRAGDDQLSKIQVRGHISRPVFGEGRQTPD RQMFFVNSRPCGLPQIQKAFNEVYKSFNVSQSAFIFADFQMDTNAYDVNVSPDKRQIL LHDAGAMIESLKVSLTQLFADADQTVPQSTVKSKPLPKQQPLSSLPGFVTARELSESS GLTTPNQDRTKESSVETPGASQQSAIQRFASSQAGMGSAQATPSPARSMRTPRAATNR SSMSTPAPSELETPAAAISDDELFVREATQEEPDPTPISQEPSSQPSAPDETPSRARG DPAEIPNIVQNAFDRMRPRREPAELATITIGNRTITSMVGSGPPRKRFSEDPPLMREP PRRKRRIHTPSRPNIFGEHMKAFAAPGSQLDDEEDEEGSASEDDQAEENRDDILSEVE DTQDAEQEPEDEGSPPPEDVDYVPDQDIVLSETENTETKDKETAADESLDEAEKKAQE EATVQRLIHQAEETAMLPQENSVNRAKKMSKGATHRDATIQLVGTVDGSISSLQSQLI KLQRTMQAGPKKTEEEATDLGEKTAEDKLSLTVSKNDFAQMRIIGQFNLGFIIAVRPG EDHDELFIIDQHASDEKFNFERLQAETVVQNQRLVRPQRLDLTAVEEEVVLENRAALE KNGFLVTVDESGDEPIGRRCQLVSLPLSKEVVFGVRDLEELIVLLSESISTSNGASVP RPSKVRKMFAMRACRSSIMIGKTLTSRQMERVVQNMGTIDKPWNCPHGRPTMRHLMSL GQWDEWNEFQETEELDPWKQYLEAEGEEDEDEEE PEX2_003770 MATRIAYALILLINSILSWIMLTPWALKKLQNLTLDYMEIRCDG KECHGWVAVHRINFALGLFHLIFALLLLGVKSSKDTRAALQNGFWGPKVILWLAFIVM SFFIPEPFFFVYGNYIAFFCAMLFLLLGLILLVDLAHSWAELCLQKIEDSDSRVWRGL LIGSTLGMYLASFVMTILMYIFFANSGCSMNQAAITVNLIVFLIISFVSVQPAVQESN SRAGLAQAAMVTVYCTYLTMSAVSMEPDDNNCNPLVRSRGARTTTIVLGAIVTMLTIA YTTTRAATQGIALGSKGGHSYIQLGTDDNEHGLVTQQPNTRREMRAEALRAAVESGSL PASALDESDDEDDYDTAKDDERGSTQYNYSLFHIIFFLATTWVATLLVQGLTLETTGD FAPVGRTYWASWVKIVSSWVCYAIYLWTLVAPVMLPDRFGVY PEX2_003780 MSGSLHRTARIISVIAGTLVALSCGTNYAYSAWAPQFAQRMKLS STESNFIGVAGNLGMYAMGIPMGLLTDARGPRLVSLIGSICLGLGYFPIYMAYDNGQG SMPVVFLCLFTFLTGMGGCAAFGGAIKTAACNFPDHRGTATAFPMAAFGLSALFWSNL STLVFKDDTGRFLLLLALGTSILSFASIPFLRILASEPYSSIPHNAHEHNTSDLRSVP ENSDLHGSTAFDHEQYPAHARSHSVASNSQGRAFANDDETSTLVSKHDHPRPSFDTLD DDFLDEVAIEAHQNDIRGLAMLRKVEFWQLFLTMALLSGIGLMTINNIGNSVKALWLY YDDSATDLFIQHRQVMHVSILSFGNFLGRLFSGIGSDLLVKKLGMSRIWCLFLSAVVF TLTQLAGTTISNPNSLVVVSGFTGIAYGFLFGVFPSLTAHTFGIGGLSQNWGVMTLAP VFSGNVFNLLYGNIYDGHSVVGHDGDRECPDGLGCYRSAYFMTFVSGLFGIAVCLWTI IRERNKDNIMNKKLDHRLA PEX2_003790 MATTLTDDKRPQLQPVCQNCGTSTTPLWRRDELGSVLCNACGLF LKLHGRPRPISLKTDVIKSRNRVKTGQGPKRKSGGPVDTNGIPPRSEAGTPPLGSHGY RRASRKMSSGHSDRSNSPVSRTETPGFGSMHAHNSNIAPQHMFDSVTLGDSINPSSGL PSRQMRQPSPSAVDRQLDSPHTFESLLALNTSLKTRVSELEFVNELFRGRVTELEQSD ASARRSEMIVRDSEVRLRRSLEDAQRREEDLKRRVSDLERQFGSSSAGDAESGEPVAK KIRLSDVVESGEDVLVKSPKSV PEX2_003800 MPKNRPATSGYARLAQAEEERGYLHDDSEDEDIGAFSTASTSAP RYAPISSRAQMQASGLHTPPGHRRRHSGFQYPQGRRNSGVDIKAINARLEKWADEIAS KFKINRVKGKSYEEEKLEIYHSVFQAPPGVTPVTAEALESDEFEGQQRRARAEYEEII ESVRLAIEMDIHPRMISQGSSGSYFARNPEGKVVGVFKPKDEEPYASRNPKWTKWIHR NLFPCFFGRACLIPNLSYVSEAAAYVLDARLHTNLVPYTGIVRLSSRSFFYDFWDRRK AWKGKKVLPPKAGSFQVFLKGFKDANIFLREHPWPDQANTGFRTQDAPKRKKRPWNEA CRPSGAQSEDEDDEDPGYVPSPAGSGSRDESAERRFYWTENLKQSFREELEKLVILDY IMRNTDRGLDNWMVKIDWGTEQVSIVAEPPKQTGPQPKHDEDELMPPARPVSVNSNGT PNPSFHPYKRHETMMAISRTGTPLASSEQSASVQLGAIDNSLSWPWKHPDAWRSFPFG WLFLPVSLIGQPFSQRTRDHFLPLLTSTAWWSGTQMALRSVFAQDDDFKESMFARQIA VMKGQAWNVVETLKQPDHGPLELTRRTRVCVWDDLVDVPVAIPMRAPSTDRPRNKSMN YDPDEEEMDIGASASSQPIPEHDLLGLNSSPSDLPNPNRFELTRGRPDADSERADGSV GSPATINDFGGRNTGGSLDGWPSLPSRQQKHRKNGGAKPFRVPHVNTFGSDDLEGDLG YAVAEGMEGNQRKVIVERLEAVKSKNPVFTWC PEX2_003810 MASHAAMLLNPKGAKRQAQGNGNPPFSSSPASDLPPVDAVAMSR ELSHDTPESMTSSSAFSSPAASSHVDTMDASAMPRDLNDSPEAPVHLGTQMDTQPISE DMMHPAQSCVFSAPQMDTSVMHTTSLSPTDSSPPRAPNSPSPSSSLSSHNLSHLVTSP PADPMILNSTDSQGLLLEGPGKQPGNGSSSASPMAPSGTTAATRFHQPTIRTSPSAAS GISTPAMKSESSLTVQFSTTHDEDNESDTKRSHHEMSDDEDMIYRPNLIENVYGVEKR KNQPSKKIKTDHDVEDKQNMTKAPISIPGDSGLGKWMKEENAKSTPVSTTPSVVDLTA DLPSTPQDDDEVQCTGSTDLSAQRVCFGKVENAMIVSHTVPKPGHSVFTDWSHAWPSI KLDIQRQPVKGNLQIHVADPHGKVFGSIDPKTAQGLCPLLDSEPKIIDVTALLDIRRT SPDEEIWGPSSGLWRATLNIYGQRKHAEAVGNFLSHRNIWLGTPNSVDKGITVFNPHA ERRRQLAASTAANNSGRSRPGPTVRYEARTAEEANDAVMKMFDQLANANIPTMEPSPH ITTKMLHHQKQALWFMTEKEKPRKFGRKEEDNNSLWRMERAPNGKTQYREIITGMISE QKPEEALGGLLADMMGLGKTLSILSLITSSLALAEDWTEMAPDPVLVRRAPGIRNTRT TLLVVPLSAVSNWVTQIKDHLKPDSISYYVFHGPSRTTEFRELSKYDIIITTYSTILS EISGRGARSGKLSPLTKMNMFRIVLDEAHIIREQNAAQTKAILGLNSERRWSVTGTPI QNRMEDLLSVTKFLRIAPYDQRSQFSQHVSSPVKNGDPNVLARLRVLVDSFTLRRVKD KINLPPRTDNIITLEFTEQEQQLHDFFRAESNVMMSVIAGEEMRKMGGRMYHHVLKAM MILRQVSAHGKELLDTSDRERAKGMSVNDAIDLEEGDSDETPAAIDKKAYEMFALMQE TAVPRCGNCNRELDEPLNSIGAVARNSPMAFALPCSDIFCPGCFSGWKEAFDSSPDAQ IRCPRCEGWVHMKYSTITPAGFEEYEAQKESNRQTRKLGKNLGEYEGPHTKTIALVNY LKESVEDSKKLEGESPIKSVVFSGWTSHLDLIEIALQNNGLDGYTRLDGTMTLAARTK ALEEFANNDNIKVLLATIGAGGVALNLTSASRVFIMEPQYNPAAVAQAIDRVHRLGQT RPVQTFQFVMKGSIEEKIMDLAKKKQEMADTSLNRVKRDKRETQEARMREYRNLFR PEX2_003820 MADILTQLQTCLDQLATQFYATLGYLTTYHDNAPTTPPPNVPNA VPALAKITKNSSSPPVPAAIANKVGGAAAVAGNASPPHAPPQQPGAAPGTALQGDDPN LPPAPDSPSTFASRQRELARDLIIKEQQIEYLISVLPGIGASEAEQETRIRELEIELR DVEKERAAKVRELKKLRTRLEDVLGAVAVGIHGDGYPSK PEX2_003830 MRLLPLRHGLAAGYSPLRLRTNTCRCFSSSQVHYELTPFTRRLF KLPSAPSPPSQHHNDLTTFLSYAEHISLPETSTVHVGTHYEYTVLQTLRRYALSLNRI GGRDDAGIDLVGTWHLPERERERALRVLVQCKSLKAKLGPNVVRELEGTFRQAPVGWR TGETVGVLVSPREATKGVRDTLARSAYPLFWMMIERDGTLKQALWNARAEELGIGPLG VETRYRTTEYAASGAATKEMLLTWDGCDIPDMDQVEQNLIEFAEQWVASWGMDLSETQ KGELLDAIERVLPHDGSAQLLDRTISDTDRKKVIQALQERLQQQPISE PEX2_003840 MLLDEDPGTLIHHTIGNFNIHPDKQAVTRINDSLATLQQSRDLR IREAESAMRKLSRHLNSLSTQHEEAVAVHDSGKHAAEIVELDTKKFRIAKAASELEIE SERLESELEMLKERLVDLESQGLEGDETTRREREADDATILRLKIFRSLGVDIEPDEA GNFNRAVIRNSRKGDVHVVNIDPKFSRFFYANYFWSTMQG PEX2_003850 MSNLPYAADAESPLKPAELQVLRAQYEKEGDYVGIQTKFNYAWG LIKSNTRSEQQEGVRLLSEIFRGAPERRRECLYYLALGNFKLGNYGEARRYNDLLLEK EPANLQAASLGSLIDDKVAKEGLVGVAIVGGLALVAGVVGSLVIKGARRR PEX2_003860 MSVAKEFEAANANYVASFTKGDLQLPPQRKVAVVACMDARLDPA RALGLEEGDAHVIRNAGGRASDALRSVIISQQLLGTREIVIVHHTNCGMLTFTDEGIR DKIRVDLHQNADHIAFLPFGDVEQSVRDDIQILKDSALVLDVPITGYVYEVETGKIVQ VRENQ PEX2_003870 MAATTQPTPFGKPMLKHWMFDPAYKNLNHGSFGAHPIPVKDAQR AFMDLADVRPDPYIRRYHAEHLDEARGAVAKLLNAQREECVFVKNATTGVATVLYNLA FQPGEALIYFEPVYGAVEKGVVSLQEHSSLQSRKVSFQFPITEDELERRFREVIRQTR EEGLKVRASVFDAIVSNPGVRFPFERITAICREEGILSVIDAAHGIGNIHLDMEKLQA DFFVSNCHNCAVLYVPRRNQHLLRTTMPTSWGFIPAPDSAETIASVLQDPNAPVTKTA FEQLFEFVATSDDSAYICVPAALKFRAEVCGGEDAIIAYNQRVANEGADAVAAILGTE VLQEPDLKPGEQSRMRQCSMTTIRLPIAVAAAGKETESLNHTPLVVLSEEEAPKAFSW IQTQLLDKHNTFLPVFRHGPWLWTRLSGQTYLETSDFEAIGVVLRDICGQIAKKEFKA PEX2_003880 MSEETAKPSGRKRGRPRTVTNEQEVPERRRKQLRLAQQAYRKRK ETTIGNLQNRVHELETGIENISQSFLSFSSLLIQEQLLSRYPHIASALQDITQQCVSL AKAGSDDPTEGAFHLIQAAKESQTTNNNTISTPVLDNEYSETSTDAEDIIRSAATKWP GPPTPPYQGHSILPFDLVMSSSTVQFPEITPPLSSNSSTLDLLSSNISPDRQWNIAQR LVRTCCQGGYRLLIDNPNSPAVLRVFGSVPSLSARNRLISGFYDVMQDKTGVLTDPKA NVLHALQSNMAIFSNEQLQVPSKIWQIALESTTGAWLDASGVQGYLRDKRVLFENFPD SSGRFDYTVSSSLDITMFIKSLSKEAICVGNGPVFRRQSVEKVLRLATRNIYL PEX2_003890 MNYTEMPDAPPLPDPPSLPNMSPKSGFFAPHRALAIPEIVCEIL LWANKNSKIWCRTPWLLNCALVNKTWCYEALRILWSDMEADGESLDEVMMDISPDRRQ MYYNFVKTATVTTYSQETESAIRPALENLFFSQLHTLRLIPVFHGSRTEKRIRIPTLS MPNLETLYVNRSNGPVYLCPDQWDYFAYQIPKLFPGLPKLESFEFEKIVSLSDIEHIV EDRFTENWDDEDTDEDTDNEDLDNGYG PEX2_003900 MRFFLGAALSLLSSTLVVAQTYTDCNPTKKSCPADTAFGQSDKT FDFTSGASDGFKSTGSVTYDQTNGATFTIAKQGDGPLIQSGWYIMFGRVECTIKAAPG TGIVSSAVMQSDDLDEIDWEWLGGNNAQVQTNYFGKGDTSSFSRGAFHDNSGNHDDFH TFSIDWTSSQIVWAIDGKTVRVLTPETAETNQYPQSPMMVKVGVWAGGDPSNPKGTID WAGGQTDYSQGPFKMYLKSMTVTDYSTGTSYRYGDTSGSWQSIVAEGGQVNGNKAAEP KSTESAPTITATIDSVPVPWSGTHKETSSWVTPNVWPWVATGSPTTSSTGYQYSWESS SGQNQPPGGGSMSELPPESLFS PEX2_003910 MPAWDRNQPDNRQGSESSGNSWMSQDTVRHSESLSRDRDEGSTG GHNADPFPGSLKMDSKPDSSKPPRIDWGNSMWSWNSPDISPTEQRKASGFSNHREALI VPGNRDGPSQSSVSSPRPPPLHPSRADSDEIESIAPWSTLPSSGQPDASGTFYHDYSE HEASPASFTFRPTTGRTIASEPAEYEYHGEHRRPSAASATTVSSQGSRSSISQKFRKK HLKGLLGDDYHSPGELQTDDDGSQNPPSRRGGPVDQLKARERANSDGSRNTPEGSNSS QRPQRSRANNPLPSSDITPWDYQSFNDIPQYGEAPVRHVPIGPNGQRLPSSENGASGQ REPSRRGPGRHRSSRSKEENPTLAGDLAWSQPRPTTGRDDIGLRPFNENYLHSVTDMS DTTTIAGRSTSPTPSVRSAYRDHDQNSQHPRLGGFIKKILGKSHDKSRNSSPPRRDRQ GSLEGSTSSRYAESVDSDRKKDSGKGLMVGRKLGNRRVFTHQGGDLYNPNKDDKNQEE NKHVFHLDVDMENLKGIVRPPSPGQMKRGRDGTITPGGDDSKLEKPWNAPESWQVRGP IDPTLEDPTLEEPTPVGPRDREASYFIRIFRIDSTFATLSAGVNATVSEILLMLGRKS FLQDHLNNYEIVLRKHDLSRQLDHNERPIQMQKRLLEQVGYTEKDRIGDIGREDHSYL CRFIFLPTKLSGYSSLEAEPGFNKVQKFSHVDLQGRSLVTIPITLYAKSSEIISLNLS RNLSLDVPKDFIQSCINLREIKFIGNEAAFLPQSFGLASRLTYLDVSNNCLEDLDHAG LDRLTGLVSIKMANNQLTKLPSSFGNFQNLRSLNMSSNGFKVFPDFLCNLKSLVDLDI SFNGIEELPNIGRLATLERLWMTNNNLSGPLDDSFGELVNLKEFDGRFNAITNIDALS SLPRLEQIFFGHNLLSRFKGSFPRLRSLHLDHCPMTQFDVDAPMPTLTSLNLASGKLS QFRDTIFENCPNIAKLVLDKNHISSVSAQVGKLRRLEHFSMIKNPLSSLPSTLGCLAE LKHLNLRECNLNSLPAEIWHCAKLEILNVSSNILSSFPKCGAPYPQIPGEPTNTPGTT PGIAGNPSYEDIGPLDEPSLRRPSQTSNGLMSSVPPNGYRHPSNAQSSRKVSAASPPY TDPNSVTRKDSNFSQQMAMTFAASLRTLSLADNRLEDDVFRELSLLPELRIVNLSYND LTELPQGILKRWPLISELYLSGNELTSLPSDDLEEGSNLKVLHINANRFQVLPAELCK VSKLSILDVGSNGLKYNVSNWPYDWNWNWNRNLKYLNFSGNKRLEIKPNIASLGPPAA NGADLTDFNSLTHLRVLGLMDVTLTIPTIPEETEDRRVRTSASLAGTLAYGMADSLGK TEHLSIIDMIVPRLKQDNVETLVGMFDGQTFSSGGSRVAKYLHENFTSTFSFELKKLQ REQGETPLDALRRSFLALNKNMAGSAYRSIDDREVRQYHRGSTAAKMLNQDDIQSGGV ATVLYLNNMDLYAANVGDAQAILIRSDGSMLSLTQNHDPAEPNERARIREAGGFVSRT GKLNDVLTVSRCFGHFPMMPAVIAAPSTLHTILTEQDEMIVLASKELWDYVTPEVVVD ITRREQPDLMFAAQKLRDLAISFGATNKLMVMILGVGEIQRRRPKPRPSLNTGSSTFA EEQIIPTAKRPKKRDGPGDSRLARFEHVNAPEGELTIMFTDIKKSTGLWEICPDAMRS AIQIHNDILRRQLAIFGGYEVKTEGDAFMVAFSTTTAALLWCFNCQNQLLEAEWPTEI LDQPQCRVVVDMDNNVIFRGLSVRMGGHWGEPVCAKDPVTSRMDYFGPMVNRASRISA VADGGQIFVSSDFMTDIHRSLEIFADTERSASTSSTDSNSRGDSLGHNIRRELQQLNS QGFVIKDQGERKLKGLENPEPLYLVYPSALSGRMTSSEESQDRDSSVATISPDNQLDI QTNVIWRLWEVTLRLERLCGALEHPSEPSLREPNVALFNMVKRHGGELNDSTVLSLVD QQVTRIEVCINTLSLRHMMRPFKPGDNLSDHAVPITEVLQQLQTQLAEFQALKEQMAV GAAGNIGGPPTHDKMGPSGNSDSGITSASSSFLHLPGDANRSFDSGRG PEX2_003920 MADELEYLHPDFDLNSLTVPRLRSILVSHDIPYPASAKKAQLIG ILEDEVLPQARKLLRDRDTVRRTSMGITDMSSRSTSVASDANDRESMPPPPTPSTVGS TRRGERGTSRRSTRHSTVDTDDGLGPATPVRNTKRTSVARSVGKHARTSDTETGDDTF ATPIAATRPTPRKSTARKVGRSEVLPSTEVDEYTPTGFKLESRYESTFTDDNPFQSGS PEAPKSARMSYDAKRKSVSRLSTDSPARGRGLRSRKSATPSSIQQDGGFQPPRRDSFD FASRLIPPQEEPEGESEESEDDEESEIGAGEEFTPEEQLAMSMENDQYSRQTQPRRRP QKQGTLSRLAPWVVILSLAGSFGTWWRKEKLEIGYCGLGKPTWSLAETKVPEWANVLE PRCEPCPSHAFCYPDFEVRCENDFLLKPHPLALGGLVPLPPTCEPDSEKERRVKAVAD KAVEELRERRAKWECGQLKEDGKGARSPDISEPELKEEVAKKRRKGLSDTEFDELWKG AIGEILGKDEVISKTKQNSDILILSSTSIARLPLACAVRRHFRLALLAYRLPISLLIA AIGLLVYARARVLARRSDIARVPELVATTLDRLSTQAALYARGDAKEPHIAIGQLRDD VLRFELQGKRREELWRRVRNFVEGNANVRASVREGRSGDVSRVWEWIGGINAVGEPEH NGRRESARFSIPSPSGNIVASPHTPAGESQPEPISSPRESRRWDEGRPIY PEX2_003930 MYYNAAYSRGLPPDPLPASPSPLLTPNGYRASEDLEGHTSSPNL QDNGRDSTVTDNLLSIPNKSRNQHQQAVSSRNSPEPGSTDFEGNYLGPSSGVSFINRV WSRLHQDETTHYPDELQNESSRNTAVFMFGDKPYSNPSEAEFTLPSLEKALELVGIYF DYSMVTYRFVHRGNVEDWTRQVYQNNIGLSNLPVGNMVARTAIVLMIIAVSTLYMEMK PGGMPGGRGERLESERWYAASKYMSSLESGPPRLETIQARLGQCLYLLSSSRANECWY SFGTTMQIVTALGLHRKGPAKVSNNGCSHLESELRRRIFWSVYTLDKYLSIMFGRPRL LHDEDIDQELPEETNDEDLLEEDPTRRTGSTDSMMIASVLHYRLGRILGDISRQLYSI NTLSRDSPLETAIRLTSELEKWKETVPPLFNSVHPTSLIPPLCRQSQVLQLAYSHAMI HVTRSFLLNDFTDLSRRPKVPHPMVSSHVQKCIQAAEDIMTITDGLAHQGVLIQSFWF THYVCFCAVLVIYIHTIQQHRKSLSGPRASVSSVGSPHDSDKLRQLFYLAESCQQHLA EATRKNCPSRRYGIILEELRQEVHRQIGSNGVSVETRTHSASGNDTIYVSGEGSQNVD VKSVLFDAQAADFMMPPAELGMNTGDDAGFLESLEGSIWWAQLDSWALSNLPNDPSTF NF PEX2_003940 MYPHSNPARPRDELYMTNTISEDLSGKNASQEQPVPALLGGRAP QPLDGTSYIPNPSTQQEHHNNQDYPFQLMLLEQQNVRSQLAASQSSAYQRPVEYHTPI PSFGPSVVNNQNMQTPGPFPPGPVPYQYPQAPTYHPNALYTSARQRNLSPPSVDSYDM DPQNANTVRSNMHTPPSQGSISLSEANDLKAQVTALQNKVRELEGKPALPTASKYQIL YRIEKDDTYPSDNHSMDDNDDEFSHRPRRRSHRSPPRSPWMGIYSDPPELIHRNMGAP YLRCNDPLTNFELYLALNRDISFVVFRNYKRRAEPQSPNTKYGKPEPFSETIFPVSED LKDVIAEFLRGEEFQSMSRNYRDKGEVQSPYLFVYHHQGDVEFEIKQGLSSEAQRQFD LFMDYVQEACGTEYAAANRLLEKGKIRPEYIQYLFKPNEVLVSNKSKEHIGYVVKDWP YPIIDLDGSTYWRISVQTWDFDGEFYESRTNLSFEMPKSLEVDYLSHGSSLPLPDSNA DKECAITDLGVFPIKYAPDYLVRKLQRRGEIFWTFRTHKYVSYEATEEENFQTVADDR YIIDMKTYNRLHPNPTRYFDDGPRRKTLNDRVMAREQPPQEPFSMLMPPRVTGFNLRR KKWFDLSVDRISPIEWNKDAFESLAIDSKSRDLIEALVTNHIELEYSADLIAGKGNGL VLLLHGGPGTGKTLTAESVAEIAERPLYRVTCGDIGIKPEEVEKYLESVLHLGKIWNC VVLLDEADVFLEQRSLEDMNRNALVSAFLRVVEYFEGILILTTNRVGTFDEAFKSRIQ LALHYPPLGEEQRRSIWQTFIKRLDGFDEDAIDVEDIMGNLHVLQREKLNGRQIRNAV TTARQYAKWKREVLTYDHLKDVIEVSARFDEYLENTHRSSLMQF PEX2_003950 MPAPYDTDTTADELVNDYSHLIKDKVVLTTGVSSGSLGGFFVQS IAKAKPAWLILAARNADKLQQIANDITTAYPDVKVRKVNVDLGSLKSVRDAAAQVNSW DDIPVIDVMVNNAGIMAVDYQLSPEGFESHLATNHLGPFLFTNLIIKKILASKSPRIV VVSSDGHRMSPFRFDDYNFDAGKTYHKWFAYGQSKTANILFAISLAEKLGLKRGLLAF SLHPGVIWTNLGNHLDWSVDLDGIRSADKALGNREGWKEFDTKPLERGVATHIYAAFD PALKANNGAYLIDSHVADPLSDTVKPWVTSRFEAERLWRLSEKLVGEEFPY PEX2_003960 MAAIVMRLFTAFVPPKVEKKDDAIRFGILGAAQIAPLALITPAL SHPEVIVQAIAARDHARASAFAKKHNIPDVRTSYEEILEDPNIDAVLIPLPNSLHFEW AVRAIRAGKHVLLEKPSTSNAIEAEILFNLPELSQPNAPVLLEAFHNRFHPAVRKFRS FITPADVVHVHTDSMIPWLILDKDNIGFNYKLAGGSMMMLGTYNFSITRMIFDDNPVE CLTCEPGIFGDGIHDQCDTDFKATFRFPNGGIAEASTNMRGPILWKPSEARVTHKEVV VEDRSLPETQEKLRTRVVTLHGFIHAFVWHRIDVKDTFVIRDKGDRRPVKTWTESKSH KAYSYKEAGGEFANLPGEDWWMSYRYQLEEFVNRVKGRSTQYWVEGQDSWDQMKMIDM AYEKSGLGLRPTSEFR PEX2_003970 MTASNKKDTTRRSLFNLWIPAKVVSGKPDTSPTDSGATESPASE PIIPRGKDRLRVRLTRSGSKILLLLGLRGSSRSNKGSSVDTDCCDSAQAGDESPDSSN PSSPGGNGDDTPGYLTAAESPQSEPIPVTPSLSTPTEGFGTLSEPLPDLRPSPDSQGE LAVVNDVQQHATNEDPLPTTVTEQPSSTSSKFVDRFSHRISLAFGQPTVIRRAHLQSR PSVLRLNSPVLDDLSRQSDGANDSSSPSASPSSGRSPAGGQSTSLTPPTSGGPSPSSD KDKLQDGHETAVMHLPSLSEINEAVNASDTIVTAITPSIKTVEATSVAKVYLELYFNF IFQNKDPRQQRQLELEQHIYAFDLTPEERLMTRHNWVLGENDYLRQCRVLKSNRYCTQ SENAMSIAGYKAIKVLGKGSFGVVRLVRRNGSDLNSSHEDDPLALKDNNVHYRSNPLG ALISAVEGAKQSRRRYMTGEKKEVYAMKVINKAEMIRNSQEGHIRAERDFLVASETSR WVIPLTASFQDANNLYLVMDYMVGGDFLGLLIRKDTLREDWARFYVAEMILCIEEAHR LFWIHRDVKPDNFLISASGHLKISDFGLAFNGHWSHDQAYYNSHRYSLLEKLGIDIKG DSEDQKEVSEAKELAPEIKLHSLDDYTLHQSSSTSLLDWRNNKGRRRFAKSVVGTSQY MAPEVIRGEMYDGRCDWWSLGIILYERFQCLYGFTPFACENRHDTKIKILQHSRTLRF PREKSTDKLVSQEAVDLITRILQEREYRLCSPKYQANDILNGRPVSTQMLYSMDARYR NIASYYVYPNDAADIKIHPFFRGIRWQNLHMCQPPMVPRVRNWEDTRYFDDWKLGGDD VEHGVGSEPEDHDQEPDQVAPGNQEISPAEDAVEPLVAQTTPHAAQEQAKDAEKKKEK KRPRDKILRDKQMTKTVLDIRKKGAFLGYTYRRPHDVALAFSTERGRQPYTRGQLTGL YTS PEX2_003980 MPHATPKAPEGEDDADFDDVMRQLNNYEDTGPSLDFLSRDLEVG EKADDAIDYEDFEDDELPEEEVAGHPAPALPAVDDNQDPFANLGSDDAALFGNGDDLF GEQVEGAPAQDDNLDDLFGEGPFSPPPAGQDDPTRGLFEDEEMPLTDAPVDLPPIPSA PEPQPEPPMMEEEDEFPDDDSIMSEDMNPVELRAWKLQQQLFAMSGVENPPAPPENHE ELLHSLFPSFDRNTLPRWLELIPHKKAIFIGKQPNKPPKPVLPTKVNIELAADQERAF RTGQPLKRGLDHETHGLVMITQSDREEEGDEAEEDTKDDLDLDDVDGDEVLPGGFTMQ DLRTICVDWDVKDDVSSIDSEEKPLTQRKDVFDEDEADWLMETEVPNKKRKTGPTPMD VIALSHIDIPLLDDPEQATMKVAKRVTIDLNDPNILVDELRADAVANKPKHAAPRTRD EVDLNLTRRLTQRYNISNDQAYDMLKQNHQNKIRSTLGNVTLEHGLPALRLQWPYYKT ELAKAEARSFHRPAMAFRPGQTSWFKNPVQLRRKHLRGKDAKTVYDSTKSLSMGDNSN VLLVEYSEELPMTLANFGMCNRFINYYRRKNIDDPTRPRADIGETVVLLPQDKSPYSI FGHVDPGEISPAISNSMYRAPLFQHQPKSTDFLVVRNTTGSDGCNYYLRNIENFYVAG QQFPSVDIPGPHSRKVTTVAKNRMKMLVYRLLKKSPDERLAISDVTAHIPNTTDMQNR QKVKDFLQHDKDTKYWKPLDPVLPDQDTIRSWVQPEDVCLLESMQIGQQHLHDTGYGN DAETGGENEEDEEFESFEQQMAPWKATRNFLLASQGKAMLKLHGEGDPTGRGEGYNFI KTSMKGGFKAIGESVEDKLDAQRLKELGGHSYNVARQQKSYETSIRRIWDAQKSSLSS TIEHSDQESDVDQEDEYQDQFNKPTPRSEAPTPGPYRRDDETTSQFSKMSFNSQRGKV LRITRQVKLENGEIVEQEQHVFDPRVIRHYIQHRHHNEAMHTKLESLQPTGDPEVDAR NRKLIEAELGRLNRNKERRFAREKQKGIPRSGDPDGKPAGTQRKCANCGQVGHIKTNK KLCPLLNGTMKPEDRVTDSAFSMSAPVL PEX2_003990 MADEDAPPEVHHYSSLHEVPWDIQNYWAQRYRIFSKYDDGVWLT DDAWFGVTPEPVANVIASQIAESAPAGRRILVDAFAGAGGNTIAFALTGKWKRIYAIE KNPAVLKCAKHNAKIYGVEDKITWFEGDCFEILKNQLKELAPYSVVFASPPWGGPGYR SDQVFNLKTMEPYSLQRLYDEYSVFSKHMVLYIPRTSDLKQIAKLVPDGDKATVMHYC MEGASKALCIFYGDFNVS PEX2_004000 MFGALNRFIGRLDGEPGQQPRNGPSDNAFGFQVLRNKDPELPLE PWFDFIVGINGHTIEDPDPNLFATECRNCAGGSLTLEVWSAKGQRTHTVTHPIPPTNP TLGLALQLAPLSSTQNIWHVIAIPSPLSPAYRAGLLPYSDYIIGTPSGTLRGESALGE LVEDHLNRTLVLWVYNSEFDVVREVELVPTRGWGGEGALGAELGYGALHRLPIGLGEE VEGPGEVVFETREDGTAAPVGGPESAIPGMAAPSGNLLVPANITAPPPLASTQARVGS PLQSRSPAARRAGRSRPAASPNRAFDDYFAEGEEKSREQDYAPSRHGTPLPPPPKVGA EPSHSESPAPEGSPGPAQEE PEX2_004010 MSFRPMLQQRAVAPIAATLLAGGIALYPKKTAFAEEPRDNRKPI YDDFPADIPEPSKPSQLAAPTTPKLIAAPAAQQAPSSPTPTDILTAQVRQARLFLYSH SLAAEQGFNNFLSRALNIENCFTNTVASLAPSAESGERLLPGGVYVVVAAMAGSIVSR NRGIFLRTASPLAFGTAAAYTLLPVTMRNVGDLVWEYEKKVPAVAEKHLAFRERADHI WYTGLAHSGMARQMMEEKIGDTRKKLEELVSKGH PEX2_004020 MLRPLRRTWTCRKCLHLRPQYRAFESAASSPSTSFEYIPADNSA PRKKTDDHTLRRVFDSQPFWNEFSQQRTTTIPRRAGLVQNQYLTSPEGFRTFANVSLQ RCQAIVAKVLAASTVEEYRALARDLDQLSDLLCRVIDLSDFIRTIHPDPRIQEAATQA WALMFEYMNVLNTTTGLHEQLNKALNNPDVTSHWTEAERIVAQILNKDFSNSAIHMPP NVRQRFVNLSNDVSQLGSDFMNGAEPARSQVVFGANSLQGLDPMVISKIKRWNKKAPV PTMGIVPRLALRSVHDEDVRKDIYLATRTSSKRQIQRLEELLVKRAELAQLTGYNSFA HMTLGDKMAKTPEAVSNFLTSLVSSNQGPVREELSKLQDLKGGSSLRPWDHAYYVHKR VLEYSQARRSRHMGVINEFFSLGTVMQGLSRLFDRLYGVRLVPHEASPGETWHPDVRR LDVVDESENHIAVVYCDLFTRPSKHPNPAHFTLRCSREISAEEIAECASLDQSAHPND GMATGVDPQTNTLRQLPTIALVCDFQEPQANGSGQPTLLSEQSVRTLFHEMGHAVHSI LGQTPLQSISGTRCATDFAELPSVLMESFATAPEVLRLYARHWKTDEPLSESMMQSME LDRTAHGSIYGAVENEAQILMALVDQAYHSIPADVSSAGIDSTAIYHRVFSEYSSLPD PNNVQPRTSWQGFFGHLYGYGATYYSYIFDRAIANKLWQDVFQSGQAATDRAAGERYK NEVLRWGGGRNGWQCVAGVLGNSNPSNTNGRLAEGGDEAMREVGRWGLGREGMSG PEX2_004030 MPNILIVNDNASFASSDTFSTRDSIEQSRHSLRRRNTVSQLTRK VSKRISQKILKAGAQEHVLSARNLKDLNDAADIDPHNLCSPAHQVHDVKIYDPIHEII EEECPIFDVEAAREMRLHQSYATFCQNFTLSGTTSRSRKFDLSMGTEGADEHTQSSHT DLTLKNNDTSEFSGSHASPEHITVHSRPRPNTVTFPISYPSTDLDDTPIPHSATVKNT SSELPVTETSKVDDDFDRISSSFEITPSSNYPQPPPRIITPTVWMDMQRNERERKAAR RQRLLNPFRSWFMTSQPLSGQRYEVGK PEX2_004040 MDSSDRMLCHACGRVWTKEDDLTCPYCESEFTEIIEIPPELPSE GSPSHRADSPSPSRANPWIDHNPWERDTQERQAPGLLGSGTPPFTSLRTYRSPDGRFS FSSATLDTGTASSQRNNGPNPMVPMMMQSFDTILQNLMEPNPRGYRGYGEDPFHPQSS TSPDWLEDDHLRGYHPGLSPRNTDAPQPNNRNPMDINEIMDAIRADLGVQTMRSSRGA RGLASPHALSILSAILNMSRNGDAVYSQQELDRVISQLLENTGGTSTAAPPASDAAVQ ALPKKKVNEEMMGSEGKAFCSHRTQWSILVVFIAQSDFTTPVPYTG PEX2_004050 MSAVQPVAVYALRVPPGAMVQAVPNAAASFRISMAAIDPDEAPE FEDDQDSSKPSRSTLKIIRAPPGLDLDEDDEDDEDYSDEEEEESDEEESNGGPSDKEK ARKLKAAAALKDLEDAMEEDDDEEDDDEDFDLKAAISKLIKGKGPALDDEDSESEEGL ELDENVICTLSPSQIYQQPLDITVCEGEPVFFKVTGNHTVFLTGNYVIGLDEGHDHDH DHDHDDEDEDDYDLSPDEDELDMDELMAMQDDDESDDLDDIEDPRITEVESEEEAPKL VESKKGKNKRAAEDEVTLDDLMAKANKAKSTKAEEPALTKAQQKKLKKNNGDAAAVEP KEVKKDVKKDAKKEEKKDDKKEAKTDKKVQFAKNLEQGPTPSGDKPTGTLGVKEIRGV KLDDKKLGKGVAAKSGNTVAMRYIGKLEDGKVFDSNKKGKPFTFKLGRGEVIKGWDIG VAGMAVGGERRISIPPALAYGKKALPGIPANSKLVFDVKLLEIK PEX2_004060 MGSRHEINSSAVRKRIENHDFNDEAGEEYEASSFGGFGDYMRRK KLKLQNLDAEIRASAGDCPPIFRGVVAHVNGYTQPSLQDLHRLIVSHGGGFLQYLDGK TTATHIIASALTPKKREEFRRYRIVKPTWVTDSIKAGRLLPWDEFRIVDEGQAQRVLK FDDGRFTSQTNTPRTGYKEQSRASWYNSQLRDMSTTEAGLDSSLKPLIPSTRSKAPQM VTPTMPSQSSQSDYGDFPSFTMEKEEEVHDPQYQQETPANDVTQFTIPQQAPNSDQVP TSPDPLVDLESTSPLDQISPSKPALTSEEYNAQLLSDPRMQKSSVVNPEFLQQYYRES RLHHLSTWKADLKAQLQAATKENAQSQISKKKPFPGSRRYILHVDFDSFFAAVSVLKR PELQNKPVAIAHGSGAGSEIASCNYPARAQGVKNGMWMKGALQLCPDLNVLPYDFPAY EDASRKFYTSILAIDGTVQSVSIDEALIDVTNLCLEAGGSDGKTISEGSIYREQAKAD EIAQALRDSIKEKTGCAVSVGIGNNILLAKVSLRKAKPAGQFQLKPDAVLDFIGDLTV RDLPGVGSSMAIKLEELSVKFVKDLRDLPKERLISALGPKTGIKLWEYARGIDRTEVG DQTMRKSVSAEVNWGIRFVNQDQADDFVRSLCEELNRRLMENMVKGKQLTLKVMRRSM DAPLEPVKHLGHGKCDTFNKSVALGVATNVPEVIGKEAISMLRSFNFSPGDLRGLGVQ MTKLEPIKSGPSGPESSQRQLKFQKSPPREKVILNADPDELESPQKDDSVRIQADPVL SDSAHKPLNISGSQFIMPTQADPKVLAELPTDIRSRLVAQAKPRQDPRSGSPCPLPRE ARQPVQADLPPQSQLDPDALAALPEDVRQEVLGYYNHPATNVAPPLAPAVPESRPSTS SSLKLRRPVSPPKKRRGRPPKSATTANKPKPKPLDQSSFGFVLSRPAAVSASLNEEAL SRQVSPNVEEPAEASAEFLAALPEDIRQELLEEQQRSRMQQRLRATEPAQRPPSRAQE APPAPIAVEKLLPLPPLPKRPVFTSQRLSQLPDLRDAVGSWHEVFADGGPYGDDVTSL CKYLSSVILQEKDVDKAVSVIKVEPWSTDIEPIMPPVTNGQFSFNVDTFYVAASGGQI HRRAAPAEIKALYDTSRADKSTPDHPGHWYEAQLLHYGLPPSKVKATAKIRLLKAVQD GALSVPKESLQIEKNLKKEWKKQDSEELPQANTKITTTKTVTTKTITVSKTVACKTTE SNTTASKAAAKPAATKAAAKSAAAKPATPQTAPAKRKRTSNDEETANQPQTAKRRVYG KKAQDNTNDPKPSGWPEQPEYQDAPPSYEFACGMNGEHPYDALDEQMNIDNASWFNAY SDPPSPPRTLKHTLGLLNGTYKVRSSDIEDSWPHAIPSDGITLSLRLNGREIWGAYEF GMFEGVLWMPERPMRPSFGRIPFKWRGRETGEGEMSIEDDQEGWIEFLGDGDIVGMIS CYGNQHFRGQRIDSFVRTASDLRDEWDGYNEEEYERERRSRWGRW PEX2_004070 MNCPSRTDDTLLHDGWNQNPRLLSPDLTTRQDLNGISNTRENKD DDSGPGTLGGSSNWKGIPPLEEKDAGNYLSPGCEASLTMSGRLAPQNTHVGIGHTGLN PNKDVFPSYQSIKDWALWLLSVLLVSAVISFGSLKQYFTSKQPLILEAPATQQYTEPV KRSSCAQGGTRGAYDLPLHVAALFIILATSSIACAFPILATRFPRMHIPPAFLFFVTH FGTGVLIATAFVHLLPTAFTSLGDPCLSNFWTKDYPAMPGAIALGGIFLVTVIEMVFS PAQSICRGGNQVPAEKPASRTAAPIPTLDVPAYPKHTRVPISHAARMDGRSHLRDMGP LIGRSASISRAINRMGEGTEDIVRVSSAPDIRTHHEKDNGAIETDVERHDDTFALTPE QKQKKETMQVYLLEMGILFHSVFIGMSLSVSVGSEFVILLIAIVFHQTFEGLALGSRI ASLPWSEKQLQPWIMSLAYGCTTPIGQAIGLATHTLYSPDSEVGLLVVGVMNAISAGL LIFASLVELMSEDFLSDESWRILRGKRRVYACILVFLGAFCMSIVGAWA PEX2_004080 MANPVFRVLSTLDSTPHAFIEPSKKIHESQDVSTFLTSKAYTDI MTWILQLNRSMFPVKVSDDTLQTWTINSDAIQYSAPVRQLQRLLSRLEDIIQEVPPDT GPRRFGNISFRRWSEVVESRASDLLKECLPADLLDRKLSDEGATAERELKSYFLGSWG SGQRLDYGTGHELSFLAFLGGIWKLNGFPNAGPGVEERAIVIGIIHPYLELIRLLIKT YNLEPAGSHGVWGLDDHSFAPYIFGSAQLSPAINDTDLTPEEGSLPNAPDPGGVANAK VVERERQTNLYFSAIGFIYDVKRGPFWEHSPMLFDISGIRAGWGKINKGMIKMYNAEV LSKFPVVQHFSFGSLFSWDRDPNAPLPPSTVHATSGPQARPVAPDSGHPSVAASRPIP GSGTQAPWAKSGAGTQAVPPMASTAAPWTGVRREGIPNSMPSGASRGNSALPDTSRVP PGPMAPTRAPWSAQPRPSPSQTGNADVHTKAPWAK PEX2_004090 MAPKRSNQSTDYSLGLLNNINVLGRDTVKIQDPKRRRTTHADVF DLPISPSPTKSRKTPQTEPKTTSRLNLRNRTVLRFTRPTPPPNVSNNEEDNGLSNDES GSGDSESELIQHGLSGSGEEELYDNRDELQRQAEHDPFPEPVDLFPAEDEDQADDQVG YEARNAQADASRGGYEDEAEEEIDTEAGEEPRNAQDDASGNGSEYEDEEGADDQAGEE AIDARDNASEDESEYEDEEDAEDAEDEEDEEETGDHPLAEVQNHSTPTQQITIADALE AQILESVPMNQKDSIHISRVSANAQESQNASSQLQEVPETPTIQSARPNQDHRSTRSD IFTWLTETTKESGFKDTWEAIRRIRKALKAHADPFTKEHFRGIIKLIERLRGLFETMA KDPASASPLKNQCRLIANSIFKENQWIVYTEAPEDEDDGAHLVNQLEAHIVPRLIDLI ILGFKTYKTINDQGARHFCIILDLLWGSCDRISSLAQMHYDISGGVMAHSKLAMRHVK TLKDALKDGRLRETPHRIPQRPLAYRQFELEEVESHISCGRWTSAEKSALRDGLQLYG GEDRYIDIKCDNTIGGQLSERILQDIQGQAVKLGLDDR PEX2_004100 MSSNDEPVVVPAPVEVDENEPPATPIESSVVSGGEEFVKPSPEV SKESPAASPAKASPGAPGTAKRPLSSGTATTKRPTSSSASKPMTGTTRTTTSTLNKPP TRPPTTTSTRKPLSTSTVSSHRSRMSVSSSADEKPRSVASSGDERRGISGTAKRMSMA GTTSTRAPLKPTSSSVDRRSSVASITAERKPATTTARTATSTSTSTSKPVGRLTSSAT PASRTATSTSTSTTRPATATARPTSTTSTVRSATATDPKKRLSTLPGSISRAGDSEKL QALQTKLTESEETVASLKTELETVNEKLSQLSVAAEQPLGDAGATETIRADHTAEIEK LTAAHTEELQALQARLDEAESQRKELEESSQKELEEARQSAAAQGDDKTVALLDELKS THQTQLETIEKELAEHKSAATHFEEQIIALKKELEFRKVALEEEKVLELENFNRELKG CEQLIEKLNTEIVKLNALKEQEVRAAEESAQESVSGLQEQVASLEAKLAAAESASQES SEQNALIAEKDQEITELKQTLEKTQGELQEARDAAATELSSKVEELETAHEVAIASLK AEHEAAITDISASHAEKLTVAMAAAESSGVEHTAQLQELRDALEASKATAQKGQEDAV AELKTTHEAELKLLQEKLEASENALGESRRALDEGNASAQDLAIQEIDALRQKCESLE SQLTTGTGKINALLEEMQSKQAEAEAIHRTLRDVEDHSKQEGVQKDNKMKALEEKAAE AVFLLEQHTLQAAGVSEKHAQALEELKAEHAAQLASELERAKEASGSHESTLSDLQTK YDDLLAANKELESTHATRVQSLDAELKAALENHAREIATHTEGREKETTELKNQFEET QSKLQAELSALQNSSKADAEIHEQQIAELQKGFEETKAKLQAEIEAVQTSKSADVDAE HSKAIAELQQGFEETKAKLQAEIEAAQASKAAEIDAEHGNAIEQLLTMHEVKLSSLKE ELEASNKTKIDDLQKAHDAALASANEQLSQAKAAAQDTSALDDLKATVADLQAKLADS EKAHLAIQESASTTSKEIEGRYSAELAKIQADHAALGEKYQAAVAQVGEFEKLAKDSD SQKSHLESIMKQLSESRDQLLKVKADNAAISDSLVDCKSQNKTLSEKLEAGERDLNSQ IDKNMGLLNQLGDVDSDISASRRRVRELETELAVLKADNGEKSSSSGLEASRWATADE GSENAEDGGPATTEGEDLGPSIEGTVGDPRLKKSSYSSDLPAFHPFT PEX2_004110 MQGFNMGRYVPPDQEGLTTGNKLAGKHALGARARHLHTTGALIV RFEMPFAGVRFNAEKKKVGNYYSTPIYSFRMKHTVCGGTIEIKTDPQNTAYVVTEGGR KRDTGEDKELQPGEIAIKPYAREIDPAEKDPFSKIEGKVEDKIRAKTEAARILELQER QNRDWEDPYEKSMRLRRTFRQERKGLEKAQAKSEALKDKMSLGIELLDETEVDRQMAS MVGFGQDPNTLSSHAARVTRLRPMFEQREEKPAGSTGPGEKKSRSSRRPRKDDMAETP KASLRRELAGNTRAIIDPFLVDADDKNAWQPSIKKRKTAASSTPSLREDPSGTEVDSS AVAPTPALVSYASDSE PEX2_004120 MDQDTPQDTKHLLLELLLDDVGDKIDNFEAQLLLKDTNQPAGRL EEIDPDRGVALHIWKAEIERQRVILSDFRMATALSLGREAEETPLPKETKTFTKLIST AIQKLVHSLKNIMRSIKVLGLADSQPEVCTSCREVCSEIFKTQCSHFYCKNCLIRMVT KSLQDESLFPPQCCHNPIKGSGMKKMIGAALVQEQKKRATELSDPDRTYCSDLTCSRY ISPTTKFWPAFISNTVGTCKCGVCTCRKCKRGAHGGRYLSQLDKSLEKLMKRKRWQRC TKCGRVIELQEGCPHIT PEX2_004130 MADQNTSLGSLMEPKRSAELADHITSDDSDEDMLDEGPNDDDAA EISEEETETRQQCASAAVEDMIGIEMAKRYKERKTEMSDYGRTYCAIPTCSHYIPPQN IRRGVGICGLCKARTCTDCKSQGHRGDCNYKKVKARKKAIAKEKAAAKKKATAQKRAM AKEKAIAKKKAMEEEMANDENYQLLEKLAKKKKWQRCSKCSRIIERVTGCWHVVKLPS KKTMKV PEX2_004140 MTSIKVALAGASGNLGPAVLKELLAAGFDVTVLTRQGSDKTFDS RAHVAQVDYESLDSLKAALSGQDVVVSTLNVGAVPKSTHVRLIDAAAATGVKRIIPSE YGCDTTNALTAKLPVFGDKVSVQEHLKNVAQQSGLSYSLLITGPFLDWGLQHNFVLNL AGPAALYDGGDRRFSSTTLGGIGKGVVGIINNLEATKNSPVYIEEARVTQNELLELSG KSIEKNVVKTTDLEQDAFAELAKPAPNPAIFATKFILRAIFGEGFGSLFNSEKLSNDL FGLKTLSKEEIRGLIPHDLSTAYTKLNPKQKLHVVREGPQTILPKLWKHWGVTHLVFE KDTDAYARDRDNAVMHMAQKAGVEVIVKMGRTLFDPDEVVRKNNGKPTMSITQLEKAA VKINNGNPEKPLDSPKSIPDPWGEERMDLGSLKRESIDSQPDLNAEHRTKKDEQYADL MGPSRDFAVPWQDIGIDLSQATTPHRGGEQEALRILGECIKNEDYIGRFEKPNTSPAD FEPQSTTLLSPHLHFGSLSVRKFWWDVQGVLTKQRKAKKPVSTVPTNLPGQLLFRDMY FAAQAPLGHAFSYTYGNEVARFIDWRLQTNPPNQDGSIDGSYEVDSQEAEEWFQRWKD GRTGFPWIDALMRQLRQEGWIHHLGRHSVACFLTRGGCYVSWERGAEVFEELLIDHEV ACNVGNWMWLSCTAFFAQFYRCYSPIAFGKKWDPEGSLIRKYCPELAKFDKKHIYEPW KAPIADQRKWGCRITGDGSSSSSEDSAHTYPKPMFDFNERREICLAGMKHAYGVGLHG NDTKVKDGSWKKEFANDGEEAGGNRPSKRQKT PEX2_004150 MASLRTSLRSLAQTPRRFYSGAPTPAAKLNLPIDYKTTPILHHT SSSLSNTSEYPAGATSKRLNLYQAINSALRTALSKSDRTIVFGEDVGFGGVFRCSMDL QTEFGSDRVFNTPLTEQGIAGFAIGAAAEGMKPIAEIQFADYVFPAFDQIVNEAAKFR YREGGTGINVGGLVIRMPCGAVGHGALYHSQSPESLFAHIPGLRVVMPRSPAQAKGLL LSSIFEHNDPVIFMEPKILYRAAVEYVPNEYYTIPLSKAEVIKPGKDLTVISYGQPLY MCSSAISAIEKTMPGASVELIDLRTIYPWDRQTVINSVKKTGRAIVVHESMINYGVGA EVASTIQDSAFLCLEAPVKRVAGWSTHTGLSYEQFILPDVAS PEX2_004160 MAQGKVCLAYSGGLDTSCILKYLIEEGYEVVCFMADVGQEEDFE AAREKALKIGALKCEIVDIRREFIEELCFPAIACNAVYENVYLLGTSLARPVIARAQI DVAQREGCFAVSHGCTGKGNDQVRFELAFYALQPDIKVIAPWRDPVFYERFKGRQDLL DYAEEKGIPVTSTKSKPWSMDENLAHCSYEAGILEDPNTTPPADMWKLTQDPLTAPDQ PEDFTLYFEKGVPVKLEYTEAGKQKAVTDSVELFLTANAIARRHGVGRIDIVENRFIG IKSRGCYETPGLTCLRSAHLDLEGLVMDREVRALRDSFVTYNYSKLLYNGMYFSPERE FLESSITASQKSVNGQVRCRAYKGTVSILGRSSETEKLYDMSESSMDEIGDFSPAETT GFISVSAIRLKKYGLMKAAAGERL PEX2_004170 MEVPKANVPLEVIVVGAGIGGMAAALTLGLRGHHVIVLEAAPKL MEVGAGIQVSPNMLRLFDRWGVSDLIHAQDVALEHIHVRRWDNGKLLGTMPVNKTFGQ QVVIHRADLHNAIIDRALALPNVELREDSTVTDVQFYPASVSLANGQIIRCDVVIGAD GIKSTIRDHLLEDSTIKAVATGDAAYRIMLPRHIMEEDPALKKLIDEPQATRWIGPSR HIIAYPVRKHELYNVVLLHPDSHGVEESWTTKGSKQAMVDNYDGWDSTVRKLIDLVDD NEVLEWKLCLHRPLKTWIRGSVALIGDACHPMLPYVAQGAAQAVEDAAALGVVLSAIS SREEIPHALSVYERSRKKRAETVQQSGSENRVTLHLPDGPEQIARDAQFKASASGNNP DKWSDRRTQEFLWGWDAEKAALDTWNEDQGQIRANANL PEX2_004180 MAPFGQTIAVIDKSGKVVSTSKQLFGVFSHAKNAYRERKSAFQS ERNAKIAEQQALEGLANYQIDDAPSVAASRRSRGTRSRHHSGRSHRASSHYDDEQTVV SRRDSHYEPEQTLARRHTHHDLSVRDNAARPSTARSRSDAHIDMDLAYGDASHAALSR YNPPEPKNEQQQLDGLVNRAQWLLEEAHCMQHGATATIAHLQKNPDAMAAVALTLAEI SNLGTKMAPAALTALKSASPAVFALLASPQFLIAAGVGLGVTVVMFGGYKIIQRIKAG AIGEEGKPAEEEMELEMEEMMELNTEDLSSVEMWRRGVADQQVHSVGTSVDGEFITPT AAAMSGIDVTTARARRDPRFKFDEDESVASSRRSRRSRTTRAPTHAPSERHERRSRAP SEAPSGFFGRSSSRSKAPSKAPSRAPSRAPSRAPSRAPSRAPSNAPSRAPSKHSTYVS ETEKRPKEKKKGPSRLRLMFTSSS PEX2_004190 MDLVESGSTSEEDQKSWDSDSRTQSDASALPNSDCGIGHRVLAS RSVLALAVDEQCVFAGLQGGDIVAWSLETYDLVASVHAHKESVLGLYLSEDGNLLFSS GGDSVVNVWSTRTFERLYSIYSHHDVGDIFTVVYSSDNQTIYCGAQNTSIQWCDLSEE GLTLNQASSAHPSKRTHRFFDSRGPDGTRAPGSSDGALSDGGRVLSFKRDHHKLFAHH GYVYCMLLVKGLVETAPSEEVLLTGAGDGVVKLWRLGQDPGAAPSQIAKLQNGDAVLS VAVEGSLLYCGLAGGALNIWNLDSQQLVKRITRHAGDLWAVHVIQGIAICGDSSGTVK KFNSRFEEVGGWVAHEGTMLASAAGRFKDRRIYATGGNDNSVGIWDLTEFFMTQEELP PISNDEMVNSLAKFVSFKTISASPKFAGECNQGAAFLRRHCNYLGAKTKLLTTGQDTN PIVFARFNATSPDKVDKTILFYGHYDVVGAETNRPKWRTDPYQLTSINGFLYGRGVSD NKGPILASLYAAADLARTKTLRCNVVFLIEGEEESGSQGFHETVREHKAQIGPVDWVL LANSYWLDDYNPCLTYGLRGVVHANLVVTSDHPDLHSGIDGSTLLDEPVKDIAMLLST IVGRKGKINLPGFHDAVRPITDAEQKRFEAIADVLLLQHPEIPDSQALIKSLMHRWRE PALTVHSVEVPGSKSATTIARRAKATLSIRIVPDQYADEVAADLTAYAQEQFALLDSQ NDLTVEITGKSDAWLGDPDNEIFATLSEAITAAWSPGQKDPKRQYPPLSQSSNTRPAT KPKPSAGPIPELRRKDSDDSLASHVERVITSTTTSSAGKEAARKRSTQTAATVPTSST LTQATPTSSEESVTLPIRAKSTPDAKTPTTNAPAVASGAAKVKPMFIREGGSIPTIRF LEKEFSAPAANLPCGQASDNAHLDNERMRVENLYKSREIFRP PEX2_004200 MGSMSHPVYLLDRNEEETKRLNDQHRFLVDLSSLIHPSIPRDLT AIADLGTGTGIWLQDVANLLPNKSVYLHGFDISSTQYPRRHEIPRPDQKPILLTVHNA LRPFPAEHLGRYDLVHIRLLTAGLKQADYITVLANARALLKPNGWIQWEEVDHTAFCT DAVPEPAAITRLRECVIEAMLKLGLWPFAPQRVYDEINADGFTDVVRETYTTVGKEHL RPIAQKWVAGVMRALVPPSMLAIGQAEDIKQARGITEKLVGEFEAHCESATALVNFGV TVGRRVN PEX2_004210 MAESMEIQDAPRERSKVQVTAIMIALSLSMFIAALDQTIMATAI PTIAAYFHSSAGYTWIGGAYLLSSAASACIWAKLSDIWGRKPILLLAVGWFFLSSILC AASTSMEMLIAGRALQGVAGGGLLQLVTIIISDLFSVRHRSLYLGLMEVMWAFAGGVG PLLGGAFSQYVTWRWTYWINLPISGVTFVLLFFFLDVHNPQTKIMDGVRAIDWFGSLS VLGLTLMLLLGLDFGGETFAWKSPQVICLIVFGSLCSLLFVYSEKKLAKYPLMPMDIF TRFSNIATLLVAFAHGFVFIAGEYYIPLYLQSVHGSSPMGSGVLILPLVVMEAFSGMF TGAIIHRTGRYLELIWIGLVLMTIGNGLYINLGVGSSVGKIVGYQILSGLGAGFLFQT PIIAIQAMVSQEDTATATATIGFIRNMATAASIVIGGVVFQNSMGQKQSSLLASGMSP SMAAQMSGDSAAASIESIKFITDPEQLLAVREAFAWSLRNMWILYTCMSAVGVFFSAF ILKAKLTKEHVETKTGLNVEKTPVVEENV PEX2_004220 MVWHELKKKTKRKFHRNAATRSTEIPSTQASLPLIQPATSSTTT NSPNLDTTSETSKSDPVVEIVGPASNSRPNSTDAYMTPPPPPPPPPPPPPPPPXLSPK EQQQLDAFRTQGQLTFSEDAQKSYIKDLIDITIGKQKEWEDRRWVLKFDGHTIVPREY MEDIVNCLTLAGDIGVNFLPQPADVVWPVIKGAMQAPITAEAEIGATLTVTDLLVRYI SCGNAYEKNYLPKATDQLKERLKSALEDMYVACLKLICVTLKQLQRHTASRVAQAFWN PQEVQSQVSELEQFYSTLLGVTQNCQSEIVDQIDDRILDFVKNFNNFESFVANNFHMI LERLDEQRITEMLDWISPTKERDRHDPTNTDRLLDTCEWLLQNSTFKEWDMSEGKATL WLQGSLGTGKTYLTSKIIDHLLKTTLPWEGLAYYYCKRTEGHRDEKPDDVIRSLFRQL AAPDQNSKISKDVQSLFLQMKNKTSSPDINICKEQLVKLVNEYSRTTIVLDALDECDK RTRKVLFDFIDRLQSCSEKPIRVFFSARPDPDIKKRFGDHSTIQTRTTDVNGDIRLLI EEKVKDIQCWNDMSEENQMETVDKLLEKGAGMFQLVNLQIPYLIDCGLKQDVFAQLEK IPEDLTKAYEEIYDRLSQKRFQKRLVDRAFIDSWGFPHASVIDFIEEKLWDVHTAHCH AAKVCLRFLMRVYGKSMSDIPTTHNNANEIVSTRSQDQDILQKNHPFHRYCRHHWVRH VQYQEFNLPNEEGEFDMELRSLLHRFLGSPTQGGPAYQEWYQDIVNDDREFCPLNSIF RFEIQHQDLSPPILPVLAMSRLSFDGILSDWWDSYEISLSHINDRNEAPLILAAKAGC FSICERLIRKGASVNQCANGFSALSAAAQGGNMNTAKLLIEHGASVDLLIQGYLGSAL AAAACDGNIKMAKLLIEHGASVDLLLQGYFESALAAAVWYGGNIIAQFLLDHGASVDL LLQGKYGSALAAAAYGGEDKIVQLLLDHGASIDLPVQSGFYGSSLAAAAAKGNALVVK YFISQCNANVNLTLTTGRYGTALNAASYWGQIDCVKILLQAGAIVDRSLTPQGFSDAF LASEAVVVTNDSYRFPESRCWGDRTEREMADDKQEVTRILKESVSSKAISE PEX2_004230 MAPWAHLALVSLLTPLLLASPVPDESDSTTALHARAAEGYESPP YYPTPPGGWIPDWSEAYSKAHHLVSNMTLAEKVNLTTGTGFFMGPCVGQTGSVPRLGI PNLCLQDSPLGIRNSDHNTAFPPGITGVGLGEEARGKGVNVLLGPSVGALGRKPRGGR NWEGFGADPTLQAFGGAQTVKGMQSTGAIAAIKHFIGNEQEMHRMSSVVTKGYSSNID DRTLHELYLWPFAEGVRAGVGSLMTAYSDVNSSSCSQNSKLINGILKDELGFQGFVMT DWLGHYSGVASAIAGLDMAMPGDGAVPLFGDSYWGSELSRSVLNGSVPVDRLNDMVQR IVATWYKYKQDENYPLPNFSTNTQDKEGLIYPGALISPSGIVNQFVDVKGDHNITARA VAREAITLLKNDMDILPLHSNDSLKIFGTDAGGNPDGLNSCVDQGCNKGVLTMGWGSG TARLPYLITPQEAISNITKNAEFHITDKFPSDVTANDNDIALVFISADSGENYITVEG NPGDRTIAGLNAWHNGDDLVKAAAEKFSNVVVIIHTVGPILMEEWIELKSVKAVVVAH LPGQEAGNSLTDILFGDYSPSGHMPYTIPRSEDNYPDSVDLISQPFGQIQDTYTEGLY IDYRHFIKANITPRYAFGHGLSYTTFNFSQPSLSTGTLLDSAYPAARPTKPLTPSYNT SIPDASEVAWSSTSFTRIWRYIYPYLLNPQLITATKKYSYPDGYSTEPHAVPRAGGGE GGNPALFETVFSVQLEVQNTGKRTGKAVAQLYVELPSSLGLDTPALQLRQFEKTKELA PGQSQTVTLHLTRKDVSVWDVVVQDWKAPVNGQGIKIWVGNSVADLPVLCVVGGKCSI Q PEX2_004240 MASRIGPQMFRAFRAAPRPSWSAQVPRAPAFRRFISAKMEQPRL RLGSIAPNFKALTTQGEIDFHEFIGDNWTILFSHPADFTPVCTTELGAFARLQNEFDA RGVKMIGLSANELGSHDQWIKDINEVGSTQVQFPIIADADRKVAFLYDMIDQDSLGQK EIAFTIRSVFIIDPSKKIRLTMMYPASTGRNSAEVLRVIDSLQTGDKKGVTTPIDWNV GDDVIVPPSVSTADAKKKFGEVREVKPYLRYTKI PEX2_004250 MKPIPEPKIPSYLPIANSHGHHAQSSIPFKLWQKAGSKKINDAR QRDIHSWLDVNPRLRHEIFTDDSADEYVREHFADYPDVLDHYLSLPVPILKADLLRLL ILHVDGGIWSDLDVTCHKPISTWIPEQYQNRTNVVVGLEFDGSQFASWTIMAKPKTSH IVATIKYVVDKLKATAAQHHVTTAGLNMTMITDVVDLTGPQAMTVAILQNLQKEMGVP VGRANITNIKEPILFQDVLVLPNAAFASRQGGYPTDRGPYLVEHHYAGSWKNVNGGET KS PEX2_004260 MRIISVNPYVIRGAHPSSSTQDPNWGYSCLVRIETDTGLVGWGE GTTDLGWGAPKLLERINTMFAPTLIGRDPTEPMAIWKTYKPSRAIDIALWDITGNAKG QPICKLLSSAIRSHIKFCVEVSLDDLWVQQFSSDSPWQLASATIPEAQSLLDLGFDTF QVKIEGRPESNMQVVRATREAFGRHASIVVENYNLNAPGKELVWAMSSARISLFVEAF KPHSKDNLRPSVPVAWGENEWDGKKLCEGMAGGGVDIL PEX2_004270 MPGVNSFVFFVLLAAMAAYWHRDLFQLVGSIVVVFTVSVAGACC FSGVAKDALPKNPSKYPSKYPSKYPSKYPSKYPSKYPSKYYSKYPSRYPSRYTLACEP THDNHHAEATEAEAEKKRLRAQVGLLEQQLRGTEARVRDSEVAREIEKKRHEKEVRHL DARLATISRGIKESLLAKDRVIVECRQWRRKFEDLEDLQQQALENPVREMKGRPRWVP AKKNGVGKGGRGRPAKTFTAIAQVAIVNAAWESKLVKFENEARDYVTRTDDQLRSLHD AATALSNENAYLKQQVQATPDIPHELAQQQVRDAVRSTMLYADQQHEERVDLLKQTFS KELMAAKVDYEGKLAEATTEINAKETAFAQQREAAEKVATATAQEIDSLKRELGELRA SNAQIAGDLRVKEAETAKLDEGLQKEAAKVLKLGQENDGHKQAGKRMQRDYEKLQSAN ATLVGEKQDLEQQLEDQDAACHDLAEDAEKWRRKSKGWKAKTHELGQELATIQTADLN QAIGGMGFVNHFLPPPLRSSKQEHGPSVTHALMNSLQNRSFAAQPLVLSLAKLLLIAV SQLPFTTAAPIFSPLFLSSRDTEPPKEPSDPSLWLYLGFSAALVLSGGAFAGLTIALM GQDEVYLQVIKSSGEGHERKNATSVLNLLNHGKHWVLVTLLLSNVITNETLPIVLDRT LGGGWPAVLGSTALIVIFGEIVPQSICVRYGLPIGAWMAPCVLVLMYIMSPVAWPIAK LLDRLLGEDHGTIYKKAGLKTLVTLHKTLGEAGEQLNSDEVTIISAVLDLKEKSVGSI MTPMDDVFTMSADTVLDERTMDHILSQGYSRIPIHAPENPMNFVGMLLVKMLITYDPE DCKRVREFALATLPETRPETSCLDIVNFFQEGKSHMVLVSEYPSEDRGALGVVTLEDV IEELIGEEIIDESDVFIDVHKAIRRMTPAPKSRVAKGKIVEEPPLNASVVTDGDLIDV DSTQPDSTQPSSLPKPSDLIRRRSSVEAPLPRFQLRKTNTNTDINPDSANEWVTQVGT TDEIREHLKHLGPSNLASRPRQTRYHAVKIKRNSTSPSRSAQTDFESGQSNSDSQKLI PSSTGYQGGIGAGLLNSAGTDAKDGAHALKIGYGTMASQDSVSKGTGAQQYKDLPQVS IPEAVREEHEDQPRSGSTRAASSDKGSVESSGTPGFVYHHRGPARSGSITEQVVDVNG IRKVVLHANCTSSSEGESHPSGHHRHRDHHHTDGSVLDTDDAKSENPDSGHAKSKKKR RRKKHGKASKSDGGPSEDQPLLR PEX2_004280 MYPAYNKYSSHNPQGKPSLSTTNDSRKTTDMSSTNQDAASLQYT CNTCLVAFQRSDAQRDHMRKDWHLYNMKRRIASLPPVTLETFNEKVLAAKATSNEAAA KASYEKTCHTCNKAFYSENSYQNHLNSSKHKQRAASLRKDGDAASVQSSAFSLGEPVT KSDNDVSKVTEDLKTATIDEEEDEDMESDVKKDEFSPSRCLFCKTDSTDIRTNVDHMR IDHGMFIPEQKYLADLDGLVNYLYRKITENFECLYCHAIKNDAQAIQTHMRDKSHCMI AFESEEEQVEIGQYYDFRSTYSEDEDESTGESGGVKVNGDGEDQGWETDSSASSVDED DLDSYRNASGAYEADYELHLPSGKSVGHRSLAKYYRQNLHKYPTAAERAARQLAIANG EIEEEPPRVRPSHHRALVTRANGGMGMIGVTEEQKEAALTSERRERTRALRQENRYVA RVQRANNHQKHFRDPLLQ PEX2_004290 MTRLRGGSIDAPNIAQSPGHGRTHSYRSKLPPNAPDALVQNTIE VSDPSRVLPRYRDDRRRKFNGLVRSLDDPETGLCLNLPKPPPQLTAEDYHRISLRRTR TLEAQTAAAHRSPKPDRYDEDHSAHDVSRPSQNRSAVSEVKEGGSSPQIRTGGSYIRD LDHTAEQQLKDPKSFTQNLFDTISLRMVEWLPLRRAPATLEPNSKLPAPGAANHPSTH EKHHHHADKIPGRDVKTTGSSTASNQPGSQPRTPSSRTSASQHPAVELKFQNQHVKRL SVSEVDHWRQSPRSSLEDKRKPEFNMKLPVASSDEFVSLPSPPALKHRPQKHRGRIGD VDSVPPKEQRKSQRRVSWDSQKLLDEVSPIPSTRSTEPMPPPRPLPPSVAQSPSERKP KQILVENSLDTIPLAQTVTHLTPEIIDGLSQIMIESAEDADCWEEELDRIQCTGSFEQ PDWLFATLRQREVFPFVAQSAFFVFSNPSQILRSFNSESSDMIGATFGRLDVSRLRLS LQRLFTICPWDIALHSLWSSLDKLFVPPRGFTSSGRPSRRSSRSSTMTGPAGPPVVPR RMSESASEDHMSDPDAAYIATIALFTLVSFVPNIDLGTWRTVVRMRAAGSVASLSDMR RLPSKNAQQAIELTDRLEHELGLRLINRLVRALTARLAYDEISKSCQVYSLDVPKQRR LSVLDRVVDYLSEHHISHTAGSDEPADSISPASLIVEWLRTLFLREWDGNPEMARSSP AGGAIQILASMYKERNRLGLSPEDFHTPLLTERLDPLDMPVGWVGGLSNNRTMHLLSY SFLFPPSSLVIYFRALNYSAMTKSYEAAMTTTRHVTQTAFGAIQISDDPRLLTRMKTS MSTYLVLVVRRDNILSDALSQLWRREKRELMRPLKVQMGMDEGEEGLDHGGVQQEFFR LLMGQAFDPSYGMFTVDTRHRMSWFQPCSLEPLYKFELIGLLMSIAIYNGLTLPVNLP TAFYRKMLGLKVKHLDHIRDGWPELSQGLDTLLAWKDGDVGDIFTRTYEFSFEAFGSI ETIDMQKVDRDAAWPLVSKVKITAPAPTAGSSAWMDVPAYCDPAILKSPTPVTVEEAT DVTSYKPNSGTAAKSVSESTPLQSPLPPAEEAALVTNKNRHQFVKDYIFWLTDKSIRP QFEAFQRGFNTCLDRSALSIFSPEALKTVVEGIQSIDVEELENHTRYEGGFGPDHRVI RDFWDIIQEYPNEKRAQLLEFVTASDRVPVNGISSIMFVIQKNGVGDLRLPTSLTCFG RLLLPEYSSREALAKKLDKALENAQGFGVA PEX2_004300 MAPIIHCVRHAQGLHNVCTANHVIQDPLLTNLGHEQCQTLRENF PRHANIDLVTASPLRRTLYTALESFAPVFESKPDLKIIALPDIQETSDVPCDTGSEPS VLKEEFNTGVDLDLVQDGWNNKLSGRYAPTNKALKERARAARRWLKARPEKEIVMVTH GGFLHYFTEDWEDSSQYQGTGWSNTEYRTFSFSEETHTDDLEGYQLDGDNASLEETLD SRQRRGKTGPMPSREEQKTLYKKGTQGWDDQGLQMSTADREAAKVTGGKEVNGVRI PEX2_004310 MIDENLPTFFLKNNSKQPQISTIYHSQHGNDPEPAYSLRALDPT SPTSQNRYGVALYDPYVTDVVYGEVAVVPDWTQPSMSADAIRANGGVAPPPEPILPTE FTIQLYNPDQEITVQYHTKTWNKPARWEFEMPQTTFRVPSASALDQTQIDPSIADITP KLRFSWRKDGKLSKDLTCLLNGKTSTISDTRTKSREPDITVALFQGLKELTLYEPNLY RVEMEDFKGLEVVLLLAAVAIRDIFFGPAKEAFHITPGGPNQARKPVAGPTATAAPVT PTSDPRLNPQARPTGPAMSGRPSPPRLNIPNSSSPAPQERRRQDELARQEEERRSQEV LAAEQKARHRREAEVEKETRRLQQLYGKEEEQARKQRHTPAPSSTPYLSPRHTGPPQS YSHQHSQSSVQLSSQPTGRPSHHPRQQHSPGAQVPTFANNPYLNAPGHLDPRAQSSIH LMQSRPQQARPQPRPQSTVGFLPTSSGALPAASRPQIQPKKSSFFGFRRNKEESNATK LEKKRSSMF PEX2_004320 MALLRASRPKALVPEKLSPDGLALLRGSLDVHERKGLSADELLQ IIPDHDALLVRSETKVTATVLRAAKNLKVVARAGVGVDNVDVAEATKLGIVVVNSPSG NIGAAAEHTIALMMSMARKIPESCASLKDGKWERSRFVGVEVKGKTLSIIGLGKVGLT VARLAKGLGMNVNALDPYASPAVAASASVALISSLPELLASADFLTIHTPLIASTRGM IAEAELAQLKPGARVLNVARGGTFDEDALLAALESGHIASAAIDVFTSEPPAPDSSAA RLIAHPRAVVTPHLGASAVEAQENVSVDVCEQVLEILQGSLPRSAVNAPLILPEEYEK LSHLNTFDLIYEGELSSINNTKPLFAALIKGLLAPISSMEGLNINIVNAELVARERGI YVSEQHSRDPSDHSSYSSLVTLVARPPSRASSRAPASGDTSTGSIPDQHQRIISGTCS GDQPLITRLGRFEASFEPEGTLLICENYDSPGKIGVVGNTLGQEGVNINFMTVAPVSN KLAINESPEKSHPTDEGSQEPSAKGQSLKEALMILGIDRGVPAHVTAALAKETGILSA CTVTL PEX2_004330 MESEEHLQDAKDNVDTVPEVQDDRGSSDKDELDLADLPTRGRAK PIYKSPEEFWETVKVHKYIETGTPHALQVVRAPGRSLQEFLVGYNVAKIKQMLKAEIP FKFIICLNDGSVVPETMSMKLYFQSLEPLPPKKEAQPPATGEKKLTEPASKPADGGAN KEKKAGDSAEKEQTKPADPKAHNTKARELTRDGTSRAPIGIVYVKELPATLSPTDIFK NLPFTLRFVQKANGKNKYEASISSSRFPSDQLPSLILGHLRPLVASMSAQSQKHEFCL QDETPFEDRTLLSTYLSHSTLSDKKDGKIPIYDIYFSSPTQRSEWSAASDVVKPQKID TKVDLSTGFTKLSSLETIQGKAGDLKNQGLAANELNDLVKITATSANTLHASELDENQ WHSVLRNCGLMHGWTVDLERNIVKPAPRAGGYNGGPDLWKLIAFKLRAGLNMDSPISL PHLTTGSTTTPVAPKAPAAVLPPTSTPGNTKAASAAIKTGSTTKPTEEQPSAAPNKEV AQASTKKELTQDASLLPLAIPVEASPQVVSAKAMTSDLPKKANALPSFVVNDESKITV SLVSHELQESMARNSFSSTSFEGEVSGGFKGVSVGVTAGTKSSTTKGEAKSETIYEKK LIAEFKFPRATIFLRPEDLEPTDALRSAVDKVRRTKNINDLRALQAEFGHIFCQEVIV GGSLQTTRVTNSKSTVSESRQKEEFKAHFGLAVSTPWGIGGSSKASKQTGSEDEEAIK ETNVNDMSAFEAKGGQTIYAANPPTWCASVLPFNNWRVIERSEPLLLATAISSCSDVK MVDVKQWFLSAVPQLSQYLAIPPSRCLDVRLKVQSDIPGLTQEIGDKETPIEKQHHIC NYLGHQFGKAIHPIRMGLKRRQLKVTEIKRSGGTSFGMPVTDITRDFKQLDVQKQLAL FSPARFQAPVLLQYEERLKKIEHLSVTDYQETAWQMIIPDGQHLKHDSLVALRSYHEK MNIYLTVFRNEQGVVLPAMTDSGEFPLWRVQTVGGSSAQYIKEGDPIRLCWRFSDQTC GFRDFFNDVYGRRRFTKPEEIHDSLYLRTPFPGFQRADSIVPVQVQVKDPVNTGAIPN GIPDMVTPAPSPGNTPGSTTEQNGAAMVMSPSSSVKPILTKLSVIADKGDYLKDSLTY NLFDVTFRIDMIGNRPSVEKMDYMTQNLDQRTSTIVTTETGSRSMTWSIGEGQQAADN YAEAGKQVLGVLVFGAHYHYLRAEYQPLSRILASW PEX2_004340 MPALILSPYNDSMRLGQGYNSFLQTPCLEDSVTIQQAPGSQGTR PENQSQTVSYSTRCVDRISEVVRLMGVSAGSSIKSGSIDNSGAFTIDEVKFAESDLNV VVSVKVINQIQRHRGSATFMPNAKIGELTEAEFHEIYGDCFISGFLEGGELHGIVSIR VLDSSRRNAVIAELKSHLNTTSSAPGWSLGDTSKISSYMSETETNITVNWSGGGFIKP EQDEWDFDTLIRVASSFSHRVAQCPHKTWAILTRYDALDSFIEWARIPKIKIRKYDNI QRHVADLLDTHLEYKSNLARLNNALSNPEKYIAATGENAIPVTIKSLVFERSRLKLEM DCIVKEIEDLDAAPEQVKMMELKPRVTAPEIWRARLPVLKKHEMETLSSIDNEVDYLR GLPSILGDNGEPENHPLVDHYQDLKERGTSSVTGTPAVKPAEKSVEQPIETGLSQHAP PPSFESASVTLCDPAILSHLNQNEVDFVTSAKNAELYKAFYFGRPVGNLYGGSFFNDA PDLLNAVGADEWPTRLEMDATSENVVHRIKLVYPKFTSSHAAEHSPFSAGGVANVRET LPANAKIVEIRFTERLTYVAVELSNGRKYACGTRPSNAAALITFSVPEGFKGLKGFWG REGHSLERIGPIWG PEX2_004350 MSKEDRIFKTSIGAYDQVIALSQVMINEGLKNLWSKSVFAEDLA LARSRQTILEKGEELPELEKPLGFSCSPTPNSFLDEVVFGPPQLILKPTGSDLSSIEY KFHLASGELQAICDENAESSAPEPVKRKPGTRAPIKKASRLYSRYTIKDWYVTIPIKL SLVPMEPTSKEFAEAMKLKKKGNHSLQKLLVDTSTINWATATVDLGYWAETDEMVDED GFARTIEHKVVGNHRLWEQETPTFQFYFRQMIEQGFKDTWEKGLGRLCTIVKDKEDDS LTPTFEINDVRHQTYPYREGNTDVLHGIPQGNNHYLLYLETVGSNIPPPVTQEGATLS PSGGNWTEGGDYHSTNNKSKFGTYVLSKKCFLEGHLLPKLTEFNRIMQLDIMERQAES DAQFFHWYAKVGYKVHLGKGFEDRVGNSAKFDWTPGNPVIKPYWDDQHWYNKFRDQEE GQLIWSNVDEEWDTSGAYHHNGSVETWAYGSTITINRVVVTPGSNVIRMHGMSWNRFT YKVDPSKIEWNRIGGFLNVVHWEINFVLQASRDGGLFIEVKSELPRVEFPWQANGKWG LEPFRQKLQTSYETVQKNFEYITEGLKNCLQGQDRLILPSTGVYLFSNPLMGHNGDLV CNLEYVEEPFDADGEVKKDLNEMTNFKRTPTRDLARHPVFEIAGNVQASEADHKEEI PEX2_004360 MAQEVDQVAATLHRFGEDNTLYNEGYGSKWNPEGKPDNCVWVSV SRFFNHPVPEWSSMVSAAAPPGGASVGEIAHFIRQTCTMARCYMKDVEPTDIFYQGTL VCYSRPDGSGHCVLQREGRYMCFQHSDDGIDLSDEVNDPDNTIFYCWTFVDSVY PEX2_004370 MVGVPHSTGCALCRERRIKCDEAVPECNPCQKYGRACPGYKRTF RFQDEGPNLARRHRSSTRRKGRGASAGSTTSAGSTASATSSTRTDRSPPIHGDSATAA DVVRGNAIALIQRHSSLGGWDEKVSPSLVRKSFRAAQPQLFLDFISTSFPTLYYHNRF RSGDAPGFAEYIVMNFGNDAYLDSAVCCLSSVYLAHLTQDKALLQASRQMYSKSLREV IRSIAKPEHAKSDNMLCTSIILSVFEMYAQTTPDAWVVHSDGAKRLMISRGPEAHGTG FGRWCWIAFRGFLIATAVYEGKPCFLDQEEWQSYATKVRVEDCQKSGEWSAYGEISDL AFMEIAKCPRYISETRDLLSMPTEPDSTTFTNLIARIHNTSRQLRSLTTELRACISAH SERQQGIVQRPGSFIGPVPEIFPDTGPSLLLNGAENMLETLQQLSDRLGDRLRFVSIA DSPDSSVATPPSSGSEYSTSPYPATSKSFTLPFRIHSELGQGPSKTSDPHDPRAVIWL DRIASSMGVLGTKVLLGDESDSVQMVGSSPQVVELP PEX2_004380 MHLSTILPLLSLTTLALSTPKIHNNHHGPRDIAFSAYPSSSSGP LRPLSTPPPAHRNLGHAIVHNNCKFPVYLWSVASTVLPERTLLPNDKYTEVFRENPDT GGIAIKISTNRDGLYTSAPQMIFAYNLSSTKERGLRQDKVWYDLSDVFGDPLVGHPVS LTPSEPLISWKNGVPPAGSQVRAVDASTDLVLSLYIVKESGYVLQYTHPPNCPCHKNP NHHHNHQQTPSLLNHVKRRMSTPVDPSREKEYAFEMAASSIRFGPGATKEVGMDFTNL GAKRVCIVTDSNVAKLNAMKQAVEGLTREGIEFTIFDKVRTEPKDSSIKEAIAFAKPY KPDAFLAVGGGSVIDTAKLMNLYTVFPEADFLDFVNAPLGKGLPVDRPLLPLVAVPTT AGTGSETTGTAIFDLVSKKAKTGIAHRNLKPTLGICDPLNTRTMPSAVHAASGLDVLC HSLESWTAIPYNERTPRPTNPINRPAYQGANPISDIFSLAALRATVKYLPRAVRDPND HEAQSEMLLAATLAGVGFGNAGVHLCHGMSYPISSQNPGYKHAGYEVDHPIIPHGVSV AVTAPAVFRFTAASNPDRHLAAAEAFGVDISNVKRESAGEVLGAAIAEFLVTLGDQPR GLKDLGFKAADLEGLVEGTIPQQRVLMLAPSLSKELEAERGELRSLLEQSLDY PEX2_004400 MATDHISKPSEADALILEAWGQGLMVGSLLIMAAITLANMKSHI LLHKLIFAELILAMAHGTFIFPHEPVYGWYLSVTAIGLNISWALHNVIAWMKNRPFLS RKVSIIYITTVILCWPYWALEIYANFTYFNNINKIFLTTRPMEPLFRDPWWIFTTASL FWTIKREYNFGLWELVVVSPRFGIMLAAMCLSIIFMIVDTCSVLNVFSSMLPTGVEPF WKLSFIFKCLCDTVILDDFKTALDHLRVHWMRKKNGELFVTPLTTPSSSPRTYRTRRD IETGDLPTTPTTPTKGSYARAVHMDDV PEX2_004410 MDSFNIPQTQTVALVHSLGGQVEFRTDYPVPIPGRNEVLAKVLY TGVCQSDLHTKSGTAAGPDGNSITKIKLPHVGGHEGIGRVVALGQDITHAAGLKVGGL VGIRFASRICRRCEFCLAGTEQYCISSTNHLHHEDGSFQQYIALDADYLTILPDDVDP KVMGPVLCAGLTAYKAVLNANVRPGNWLVVVGAGGGLGHLAVQYARAQGALVIGVDTG PGKGEFVKGLGAQEFIDFACEDPVKRVLEITGLGAHAAVVTAGNAKAFAHACDMLRVG GTLSCVGIPPGRPSLETPICTIVIKGLRITGNLVGSLKECMEAVDMVQRGIVKPVVKV RPFKDLPQVYEEMEKGDISGRIVLQITE PEX2_004420 MANVILQLLKCFEVANSLADTVILSPHKISSRLELGPQDFLHAL YQKIAPFLEQDTMLKSIIRAKTAEALVAAPARLLTIGEEDAGLQLGGNGPGGQAQIFG YIPNSELDEQNELIPDLSDWLEQPICR PEX2_004430 MALSAPSTDPKVLIAQETSAEQIFPTFTADTAWQLGNALRERIL RLPSGQRQPALISIALSGGAPLHVVFQAVTESGTIPDNENWVRRKRNTVLRFGISSWA MRQKTVSGLPADADADQVEAAFVKKYSVPSANGGAVADEYAIHGGAYPIRVRGVDGVI GVVVVSGLKQEDDHQVVAETIQAFIAQQAQ PEX2_004440 MARLSARDGASKPFAWTTIFYLLFVLVAPLAFFGNTAHAQEENS PESYGSVIGIDLGTTYSCVGVMQNGKVEILVNDQGNRITPSYVAFTDEERLVGDAAKN QYAANPERTVFDIKRMIGRKFDDKDIQKDLKNYPFKVVKKDGKPQVKVDVNQSPKTFT PEEVSAMILGKMKEIAESFLGKTVTHAVVTVPAYFSDAQRQATKDAGTIAGLNVLRVV NEPTAAAIAYGLDKTGDERQVIVYDLGGGTFDVSLLSIDNGVFEVLATAGDTHLGGED FDHRVMEYFVKQYNKKNNTDVKKDLKAMGKLKREVEKAKRTLSSQMSTRIEIEAFHNG EDFSETLTRAKFEELNVDLFKKTLKPVEQVLKDAKVKKSEVDDIVLVGGSTRIPKVQA LLEEFFAGKKASKGINPDEAVAFGAAVQGGILSGDDSMVDVVLMDVNPLTLGIETTGG VMTKLIPRNTVIPTRKSQIFSTAADNQPTVLIQVFEGERSMTKDNNMLGKFELTNIPP APRGVPQIEVAFDLDANGILKVSASDKGTGKAESITITNDKGRLSQEEIDRMVQEAEQ YAEEDKAIKGKIEARNGLENYAFSLKNQVNDENGLGGQIDEDDKQSILDAVKEVNDWL EDNAATATMEDFEEQKEQLSGVAYPITSKLYGSASPPDEDDEPLDHDEL PEX2_004450 MSRHRIKDVEYDDDDFYDDEDAPADPEEQEFLQQCTTAVLQQLA AGQPSVTATKEEVQDALWHYYNDIEKSVNYLRGKREKEATKLQKSKIQSVPAYPAPPS TAHFSAADFFRDCPWLNIPSHRKSDILIEPLYPRLGLLGGAPESGGKLSKLAALAAAR KKKEGEKAPPLPETSSPSTPRFEQPRTSSLDNLGTSRSLRDRLGASGRSTPKTSEGSE SPRRLATPSSTLHPTPNRPESETKKPSVSEVSESMQRVVLEAKEEPEPQQIVSTIRAA PSTFASTIVGAATGPTAAEPSHLHSNSSDLLRIYGQDHAEPFDFAAPSPDDVVLNAQN TAKGMKSKSAASKSAAVKKGQSDLAGGMKDLSVEDKVTVKSKNLDVISEYKKSTRKRS ANFVVIGHVDAGKSTLMGRLLADQGAIDQRTLDRYRREAEKIGKGSFALAWVLDQGSE ERARGVTIDIATNQFETDKTAFTIVDAPGHRDFVPNMIAGASQADFAVLVIDSSVGKF ESGLKGQTKEHALLVRSMGVQKIVVAVNKMDTVQWDHERFEEIEQQISAFLTTAGFQD SNISFVPCSGVLGDNISRRTDDPHASWYTGRTLIEELETSEPYTHALEKPLRMTIGDV FRGGVQSPLSISGRIDAGSLQMGDQILVMPSGETATVRSLEVDSEPSDWAVAGHNVVL NIANIDPIHLRSGDVVCRPSSPIPTITSFTAKVLAFEHLMPMQVDVHRGRLHVPGRIS KLVASLDKASGAAIKKRPKIVGPGVVARVVVEMDQAVPLEAPTRIVLRAGGSTVAAGL LE PEX2_004460 MAPFILSQCSAADGTALAANSIPAFWADPHWVLAWRHRTLEYHI SQIALRFPRNLLNRRETLRHQKAVDIETGRILGYARWCLPPSYEINPDDGTPIWPEAQ VPAVEPEKEAEIRQIAETVVWDPNDDADELLDRVNALEKEVTPKTPYITLEYLAVHPD NQRKGVGSALVKSGMDQADKMGLQIFVHALREGAELYKRMGFRLIAELVQDDSAYGGS GEYGTYFFIYEPRLRTDST PEX2_004470 MEADWDELSRIPMPPPSPHAMPTVATATAFDDMMELLWTGNEYG RVSSFYGPEMQRYTSVRAHPVSEGAVRQIIFHERGVISLSSKSVHMITRRGLTQWHLT HDEMVDLRCMSFTAQTNRILVAGSQRVMFTVDIDKGTIVEKLPTEHGYTMMKKSRYLC AATDTGSVNALSLLDFSVVKSWKAHGTGVNDMDARNDLLVTCGFSVRHLGSPIVDPLA NVYDLKTLTPLPPIPFHAGAAYVRMHPKLHTTSFVASQTGQLQVIDLMNPNSVNLRQA NVSLVLGIDLSPSGEALAINDAECSIHLWGSPTKVHFNELSKETEFADVPTRPPQVEW SSESPLSMVGMPYYHERLFSAWPSHLLFEVGSPPAPLDQSMLPYLRPAEMGHHAPNPR KTRRYQIENTRALTTAEPALIAPKFLSEKARDYSKSDGLVGDAAEALAGANINGESDD DPLLKYSNVEIKYSRFGVDDFDFRFYNKTSFSGLETHISNSFTNSLLQLFKFIPLFRN LALNHAAGSCIFEHCLLCELGYLFDMLEKASGQNCQATNLLKTFSSFREASNLGLLEE NLTNKSLSTAIQAVNRFFLTQVAQDFRMIQPSSEELDQRLATIASESIRCMFCQNEIV RPGNSLANELIYPNIDIKHARRNPLFRFSNILRASIERETQNRGWCNYCRRYQQVTIR KTIHRMPLILVLNAALTNPLCRRLWAIPGWLPDAVGVLVDASGQVMCFEGDDLRVRIQ NQTPGLAVYDLVGLVAEIDIPEHQKPHLVSFVNVSISGAESEEQGKWHLFNDFLVTEV DRDEALRFTQPWKQPCVLAYQVRDPRHVVDDSWKNALDKTLLFREWSLNGGRQVESCQ TLTEEEMPQPGTPVALDTEFVDLEKAEIDVKADGSQEMVRPNKSGLARVSVLRGVGVH EGVPFIDDYITIREPIVDYVTQYSGIKPGDLDPRTSEHNLVPLKVAYKKLWLLLNLGC VFVGHGLASDFRKINIQVPKAQTVDTQYLFFHPGKNRRLSLRYLAWAVFKEHIQEEPS PDTVQGHDSIEDARMALRLWKKFQEYEDAGIVSQMLEEIFREGSKLGFRPPPKNGGTI TVLSRPGTAVTMQNDSGRNTPSTPDTRSVVPVAPTSAAPIAGSPSAPTTPRQAFRRSI ALTPSNGSFSGPGTGDFFGGSPLR PEX2_004480 MDSPWFTEYLLVMAMAKLMDVKHPTSQTPGTDLFAEALKRLPPL HHMGGEGVIAVEILTLITTYLQWCDRKHDAYLYIGLALRLAIALGCNLREIDQRCLPS QSAHRLRLWWTVYMLDRRLSSGLGLAAGADERQLRTELPRNAMGFQSPIALSINVRIA RVTDDIMSSLYGNKSITQLELVQKIQQILQELHDTGRSFPKSLMLDFNRPLQLVTRTG ASLYLMLFQAIILCTRPILLQRARFRVQSQQQPQSPDPAPSMLLRLCDTCEEAATRSL AILESLRRQQTIPRYGFFDLDATFSAAFVLVMVGFLDKSQSQPPPALDQASKVLQFLS RSGNLAAERRLQDIAQSYLHVWPDHVFDANASHSDVGSRDKTQTFAASPDSRQDALLT SLDAHPYMAMASSSRSDHQDEIRLLEPWSNMDVPDAMFDMQGDWNLDLSGEAEGIYSS FHNPTLPLTGVDYIDWLEIEKVFNGPLSG PEX2_004490 MSIHPIHIAVLDTDIPCYPVYAKRGLYSSQFNVLLTAAANRINA SQYHQHRRALNVHITAFDVVGGSFPHLKSLRVSPWSPTENESPGFPGPVDAILVTGAA AAVYDKLHWIPALKSFIEKVYADYPMVKIFGSCFGHQLIGQALLESDKSYTSQGSSFK ISVEASSDGHEIGMQPIILNPAFVSNFPPLARFTPEQPFHIQLIHGDAVISSPEDTPV SGVKGTILPEPWLHVGSSLKCPIQGLYKPGHVLTLQGHFEFDAFATAELCHKFADQFN WPADLLASHLENIRRSVVLGGEDKDDSKVAAEAVLLFFAGEDSDSSADTNSFSC PEX2_004500 MSGAAYTNARMNRGITPKSSAPRYALKAPERQVAFRLEHIATEK TMLLLKPHGDPQSDHAYKISDEEGSPVFTATGRKYNDRSCRELRDSSGLPLFDIHTKP FSNPLGWVVTLPGSKISDATIAKAMPKLAWNSINLIFSFRNGAAEERKSEEDKQLTLT IKKHGEALAFFDIVDGDRRIAELRESIIHNEKLVLRKMKRGGDHRPALDIIVSPGVDI SLVTVIAVIVSDWFFRSG PEX2_004510 MAPQITSWDDLTKVWEKYDNKTREIQQTSFALFDSNESFYYGML ETPKAEITFDQVTNNLKSVPDEEIFTQWPVLGIELIQAPATLIEDFYIKRPDPQMYKV MKEHNALSQLSASLLAEAEILESLSQHPHPNIIRYHGCWVLRGYFIGLVIDKHPHDLY TYLKNRVGTIEKLSFIPALESSLLHLHKHGLAHNNLTPHNIMVSEEGMPVLIDFGGCQ PIGTYLKHVRGTRGWIDGEIKDHNTSKKEHDVSALAKISTWLDKPVFNC PEX2_004520 MSSDNDNIGGAALGVCWALSAIAMVITTARLYTQAQITRQLGLS DALLSIVLTFSSIITVQYHYGWGQHQALLSQHDRIQALKYNAIGQTFGVLGSTFGRLS TITTLINLFGITPRLRGGLWTLFTAQLVTNVVIVVCLYAQCTNVVLLWDEGAGSGSCW NPNVQTYLGYAHSAFNAVTDLFLTFFPAYMIRNLQMNTRRKVGVAVLLGLSILAFIAV ILKIVKLAALANRGDYTYNTVALFTWVLTEAVLLNIAASAPILRPLYKRLLPKKHLDS SYEMHHSYAAKIQVSHGRTGPRHDDSTSDNAAILSKDSLTGDNYYHITITQSYSVAVG NAHHP PEX2_004530 MTRLLSLAMTTVSLLSTTFALHPVDVNVLYNFGNGTWAENLAVR ANGHILVSRLDTPEVLQLDPTGASEPIVVASWDSTTYKGCLGVSETSRDMFYVVTSGF VDDNFVLTSGVNSIWEIDMRKFAVSKKTGEVTSKAIVSKLVDIPTSDFLNGATTLSKT SILVADVYNGWVYHVDTQTGAYNVAINDEKMKFDVVPNPAVNLGVNGIKIKHGYLYWT NTAAGTLNRIRITNQGAAVGKSEVVVSNVPKADDFIFKKNGVAFIAQNQMDELSVLYP RSSAAQLIAGSNTSTILAGVSAGAFGRLRNDEHILYLTTSGG PEX2_004540 MTPTNMPQLKKALGKRGRAPVSFDKNHEFLLRCITQSAVRIDYA AVAECEGISVKQAQWRFYRLKTQISSQENATDDADTEAKKQGRGQKDNKDNKDGSDAK QNN PEX2_004550 MFRAQQNQFDDAVAKATDENLTSENWEFILDVCDKVGSEESGAK EAVAAMIKRLAHRNANVQLYTLELGNSLSQNCGLKIHRELASRSFTDALLRLANDRNT HQQVKSKILERMEEWTEMFASNPDFGIMEQAYMKLKTTNPNLQPPSKPGKREITDVDR QMEEEELQMALALSIKDKATPAAAAAAAPRAQASSAAVASASAPTNQEEPTETQPALS GTSVATVSRVRALFDFQPSEPGELQFRKGDTIAVLESVYKDWWKGSLRGQTGIFPLNY VEKLPDPTVDELQREAQMEADVFGQIKSVEKLLTLLSTRNTDLNVQENEEITTLYQAT LAIRPKLIELIGKYSQKKDEFTQLNEKFIKARRDYESLLEASLGHPAQPQYGRPAQPQ YGYPSAAPAGYPSAPQADPRYYTPRPQDTPPTQANAYAPYPASEQAMPYRPASHSPDP RLQAQAGPSQQPPHADPYQPVSHRPQSTYDHPQELSTSVYDSPVDHPAPGQRMPYPPT AQAPPAAHPQFQQQQQQQQQQQQDYSPSVYPAEETAQIPPASSVPQIPHQFQQQQQQQ QAPYPDSPGSHQPPPSHQPPPVPGAAQQPQYTSYNPAPPAASTGEYQAYQPPQGGAGS NPASFYRHDEKEKLNLRLHTMIDSLSTEILLTVLECLSPLDLLSASRASATLYRTFAA YKQHLLKHTLRQAIHPACRADVYTALDAQNIPQLIKSGEKIVKVKAECFSLFATDRTR RRQNVKQFSVDNANLDTLFSLHVNIERLIDSYCQWSLGNLISHHEKPTQFQTTTVHPR ANLSSTEHARLQRAFYRCEIYARFQRVLHLLTDKSAALNFSQIVLSFVSQFTLYEFEE IISVRQFLAQFIRSLCERVEDGFMASYSLTGSVGAGVEEEASGDAISTKDQDPGDVSF FTKSYRDNHHLDHVKFLISCGLPYLLRLSLMELPALKHAMLESYVESQKNTVNDFFFQ GGLDIESCDEKQKDIVLRGKLVSISRDEVGSCNLGWKWGTSFHGFVKPDAPAMFDLRN QGYVFWDQERLTESGLINEPFVVHVGTNYFPSGHKGPFLRPSVEERLGGLWVDAEASK KVEDE PEX2_004560 MNQDNFPLKFRRASSKLHKEAPSFSSRILRTHQSTTSLKRTPSA PVYPRSSPSGSREHNRSRSNAQFPGSSSSSLDQNSGGPSPSNDESSGFFSNLTSRSRT RSSNRFSYNEQSSDELNAPYETRGMLSALDENSAETDPHSQQKPGLRSAHTSPDTRGR HSLRQSASFTTLTQRMDPFAHRETERPPNNAAKRYSDDGNPPAAPRTRQSKKASFSRF VDSMLGTPGRNMKISAPENPVHVTHVGYDNQTGQFTGLPKEWQRLLQENGISKKEQEE HPQTMMDIMRFYEKNTRGDSDDEVWHKFDNAQAAQAAQASQATSPREQTSPPGSPRFP QNHESSFENPRSPPPIPRGPPAGPPPVMSPPVGGMVPHRAPPKPPTGMTPSRPPPQPP VSNSYGVPQRAPQDNYAPTFGTPTIPESEPLPASPHRSRSSSRNGTPAPSIPSIPNVP NVIASPTQYQRQQEQVMAAAQQTLERNRTQRQQAQQPPAISTSTTAPAPDLSSGASPS TRAPPAARPRQRQQRQSSSLDIRARLMSICHPGDPTQIYYNFNKIGQGASGGVYTAYE HPHNNCVAIKQMNLDLQPKKDLIINEILVMKDSKHKNIVNFLESFLHGLDLWVVMEYM EGGSLTDVVTFNIMSEGQIAAVCRETLGGLQHLHSKGVIHRDIKSDNILLAMDGNIKL TDFGFCAQINDSQNKRNTMVGTPYWMAPEVVTRKEYGRKVDIWSLGIMAIEMIEGEPP YLTESPLRALYLIATNGTPTIKDEHNLSPIFREFLHFALKVDPEKRASAHDLLKHPFM SLCAPLSHLAPLVKAARLSRAQEKAQKGGA PEX2_004570 MVENTVSSLAVIAPEDATVSYLLSRVLSGSKICKAAELAAFLPQ WLSDVKEKKPVSPDIPELLAHLLLPFGTPAILGQIERLQVPDSLTNRQRWMVKLIILM DNKAHERLLQKLESIPDQQISFEDLCDQENAQEDNTDDYLVVDPNRSLQFDYDMRDVM TRGDKDAMRKHTDVFYVTGSVAWTVRSPYWNVALATDGEGNRILSDDVLDKVLPKWRQ ILTGETIPTPKYGVVDSFRSWLASEEKKATDLGKSWPNRHVNAVYSVSKPKFVSPRTP EPYSRLRSMNASHLSPLGSTPNVTDSEQTELLREILTEIKELKNIISQDIERKQQVEV TEDQMQEYWQQGYPPIPQEMQPNYFVPQQGSFDGAQYPFPQPESDWQRWNPQYQDPTS MSGPGTDSTRL PEX2_004580 MFGLVPLLFTALVSAYPNRGPCTGDCWAHDPAMIQRVSDGKYYR FSTGTGVSTHSSPSVKGPWTDLGSALPDGSKITLDGVDSKNIWAPDVHYQNDQYYMYY VLSKIGTQNSQIGVATSKTMEPGSWTDHGSVGLPANDNYNRIDPAWITIGGKNYMNFG SFWGDIFQVQMETPLKVGGATPYQISWNATLNHREEGSYEFKYGDYYYLLYSAGIAGG YTKTFPAEGAEYHIRVCRSTTGLGDFVDADGKSCKETGGTMLLSSHGQVFGPGGPGVV NDKDLGVVMYYHYYPLATKQSTTEPNNANYRYAWNVLGWENGWPFVKAT PEX2_004590 MASEPTTTANGGSLAAMLEQQHARDEVHKPTVEETVDEEDLKHP PPTSLQPEQPLLVDSNTPEPTVSPASVPKPATKKAPTFDVQSEELFPALGSGPKPAAP AAATWGAKKPSAATTVANGLPAKSMEIPRIMALPGKHMEQLRLAPSQMQPRGQMKKPL RDILRDISRRSKANVDMRGGPGGSIIFEGKGSVDAVRQALREVAQQVGSKQSVRVPIP TSARAHIIGRQGTVVQDIQTRTGARVQVPRADGNAAGADDDDDDTIDILIEGDAVAAE MARREIEAIVKERGSNMSFRLKTVPPEFFPFIAGAHDANVRAIEERTKAQINVPRYDT WSSQPPPQEANPGQVQFVAVPDKHILISGERAAAQEARAEIERLAAQLQQQLTLRQLA INRGQHQFILGNEADALHQFVAQTGCAIVLPPASDESEFLTITGPLDQIEAGIEHAMD LATSMQMASIDLSRQHPNAPAGPHAHASALSQYLRRRQVIKELESTYDAHIALPPSVG GPITWEVYSRDGKNTIRARSDIMNLVQALPPSRLRHISVDPYFHQYLRDRSAGTLQSD YGVHLMVPDDINSPEVVLVYEGPSATASRFEVPRQRPSPADVAAFEKGLQEAQEFLLG ALGDQLDIVAKTVSVPAKYQEKARKFITREQEAKGEDSIPVRAIVGDAKGSQCEVSLR GPSALVAELIAKVEAFVVEQEKDDLERGYTTSFDFPQKYANFLIGKRGENINKLREEF DVDIKVENGKVEVKGPKAKADATRMRIINMGKKLEDETTHILKVPAQYHRELIGQRGN QVNRLQDRYSVRVQFPRATVASDDSTETGSDAGGARPQRTQQAPDEVLVKGPSKGADS ARDEILSLLQYVIEHGHSAAISVAQSQVASLIGQRGREMDKLRADTGAQIDVPSANDA PDASGRVEIRVKGTKQQVAEAKKILQQRASEFDATVVKTIEVEKKYHKALIGGGGANI RKIVTEAGGPTDGSAARMVRFPRPESTESTIRLEGNGKIVESIIAAIEEFVKERQDQV TEILDVPTAQHRMLIGRGGDTRRGIESKFNVTLDIPKQGSGRTDVKLKGPTSAVESAK EHLKSMLKEQHAETIEVPSHLHHVLADNGHIFRRLRNDHQVTVDHAGQPVPVPAPSAA EDTRTTAEGTDMPLIIDDPSVTADAHSWTIVDNNTSEIASTPFPWVLSGTPENVTKAR AVIEKALASATQQSTTGYLILPDPKTYRFVVGQGGSQINAIRKKTGCRINVPKDQARG EAIEIRGSSSGLEEAKEMILEAVQNGLHGAARETDSYTTLLCFKFERAGTVSF PEX2_004600 MSEVKQLTLQEVSEHSTKKDLYLIINDKVYDCTTFANEHPGGEE VLLDLAGQDCTEAFDDVGHSDEARALLDDGMFVGDVKRMPGDPVPKAKPTTSTDSLSS SSASGFGVGLYAVILVGGAIAYGAYNYLQAQQAQQQ PEX2_004610 MSSDAATLPSPPKIPPWKASRRPYSVGENMTTSGQINRPDSYPK GKAPLIPPRRPRFPNIKDLQDQAAALNVNDTTPLSILLPTAVDAIERAKNLADDDYND KAYIQYLRASEITINLIPHHPDYRIVSQRPDWYKEFADLVRAVRSKQGTMDAIKRDII EDNLVSGMQPTGVFTSRSPEQISVTPRGRENRDSGANLARMPSPTEYQRTPETEAHPQ RYSSPPDDMLAQRFAKLKASPPSNQHGLGASTAGSGSPIVGSAGQSPLHPSNVPLGTY SSPPPRRPLGPRGMGSSSNVPTIPPKLPLNTSLPRAPDPAYSPVFTVPSKPTSNPPRR STESIHSSNPRYSQFSNSPRVSPTRGGFDENPYRAMTPNGLNSARETRSNSPDLPYST TITAQSLLEDLRKFNVLLIDVRARDQYDNGHVYAKSIICIEPVVLKENVSAEELEERL VVSPEHEQALFEKRNEYDLVVYYDQSADSVSYLAGSPVGTSAPHLRALHDTLYEFNAY KPLKAGRPPALLLGGLDAWIDLLGPQSLATSSTAAAMSSLQARKPVLRPGRPLGRVPT MASANSSLEIRKRRLREFTPLNSKELSEWMEKSKVEEIDTSTYAEEDSLTEEPEETGP EPSSPFVHTYEAFLRRFPEPHDVQQSMMHADPSPPSTAAPNYAAHMSVAPSRPPPAVP RRSYSGVSDGRQIQPHLHRQNSANRTALYAPSSRLDRLKIPRTGLTNFGVTCYMNSTI QCLSATIPLSRFFIDNRFRYYVQKNWKGSQGVMPGLYANLTRSLWKNDVEVIMPTSFR NFCGRLNREWGIDRQQDAKEFFDFVVDCLHEDLNINWQRTPLRPLTFSEEMQRERMPM TKVSQIEWDRYCHREESFISSLFAGQHASRLRCTTCRQTSTTYEAFYSISVEIPPTGA GDIYQCLRSYCKEEMLSGDEVWKCPHCKCKRMATKQIIITRAPQILVVHFKRFSASKT QSARKIHTPIEFPLHGLRMDDFVISHPHPPPPEPGMPPTTGATVPPFTYDAFAVLRHL GSSMGSGHYISLVRDAERQCWRKFDDDRATDFNPRELRPRERLQNEQAYIVFYERVPA K PEX2_004620 MAGWFSSTSPLDEQIERATASSLEDIALNLEISDMVRSKSVQPK DGMRSLKRRLENRNPNIQLATLKVKHYPPLTDTCVKNGGTHFLAEIASREFMDNLVSL LKSEGAPLNAEVQGKILELIQNWAMAAQGRMDLMYLGETYRKLQSEGYQFPPKTEMSG SMLESSAPPEWIDSEVCMRCRTAFSFMNRKHHCRNCGNVFDAQCSSKTIPLPHLGILQ PVRVDDGCHAKLTSKSFAPPGISDRSAFKNNSISKSNTMEPRAGKADTGFDEDLRRAL QMSLDEAEGRGPSGFVPQTGNAPEPAKPAPEPEQHVDEEDADLKAAIEASLRDMEQHK QNHAAALKNVPTPSSILRDSSSTPAPLPKNPYELTPVETENIHLFSTLVDRLQHQPPG TILREPQIQELYESIGALRPKLARSYGETMSKHDTLLDLHAKLSTVVRYYDRMLEERL TLAYSQHNLGHGAVPGAAQYPNLQPSFPAHPPDVQSGAENFYYGTQMDSSRPPASMYA PQQVNGDGFQGPPSAFHNPAYPSQPQHGAPTNESHSHAWGGNTYPTLGSPSPSNVIPN NYTNAPAPSAPAQYHGGPHDQDSVKSPNTEPPYQPSPIMHQESQYQASAPPGGPPGPE QHASAGYVQSPGYSVPPTAPALHQQATGPSQSYYYSSQPPPQHPPNAVPSAYPSTNAG QPGPYVQETSQPPYQQPARPVEENLIEL PEX2_004630 MPTSNASSTSSPVKRPGLGRRAVSSHAVVTRSAIPNDLSLSHTQ KALLHHKPHRAHVVGAHRNHHRNTSTSKNFNKLQRFQLAPETAGRQHQRKKSAPATPI ASPKESGHVRWDNVSDDRTTDPSMKRNYSTPVLRRNPSAVGKKALVTERPPPGTVKKK TVGFELGDDETDEAEWEDTTQSPESTRRNSVAPSNPSADNSTVLVDHLTFVKRPYPQM PRATSLPESITTKFVRQRLELDEDEDDDGDEEAEQHDSEEEEADGSSQHTEQGDIATR LLSSTHSSKAPPAMSSISAMVKPEANNLNPASRSSASLNLAAGQENARRTFSTTSMAS MPGSQPQATSSSMEGGVSRFILNNKNSTQASSRTDSDPNTPSSFLPHYHPQTPPSPNS AAAKLTASPARPRGADLPSRTQQKLWLQRTATLNNSPPDNHGVTAAVPPSAIDPTFNA ASHSTYDASRGLNGDVRAGGAGHDSETRHIRKAYEKTSLELMVVRRFQSPTGQSFARL RHIARANKAEQGSALGKPVKAVPSLPLLQQGKRPSRLSSSPASESQLRNPNPITSEDP ATNDEPIDTAKTGKSNDPSHPILSTSAEASRDLSGDNDEGFQINDSAMLIRRMWESRE VAIHG PEX2_004640 MDLVPLVTGQILCIAYYTTGLFLVSIVLNVIKQLVFYNRKEPPV VFHWIPFIGSTVAYGMDPYQFFFASRAKYGDIFTFILLGKKTTVYLGVEGNEFILNGK LKDVNAEEVYGKLTTPVFGSDVVYDCPNSKLMEQKKFIKYGLSQEALESYVPLIADET SAYIKSSPDFKGQSGTIDLAAAMAEITVFTAARTLQGEEVRSKLTSEFAQLFHDLDLG FSPINFMLPWAPLPHNRKRDKAHGRMREIYLEIIQARREAGEEDNVNGRDKSKGTDMI SNLMRCVYRDGNPIPDKEIAHMMITLLMAGQHSSSAISCWILLRLASQPEMTEKLYAE QINNLGADLPPLQYKDMDKLPLHRNVIKETLRLHSSIHTLMRKVKNPMPVPGTEFVVP PSHILLSSPGVTARDERHFRDPLRWDPHRWESRVEVEDSSDTVDYGFGAVSKGTRSPY LPFGAGRHRCIGEKFAYLNLEVIVATLVREFKFFNREGMEGVPDTDYSSLFSRPVQPA TVRWEVRS PEX2_004650 MSNKLWECFIQDDVERFQRALANATFAGPRAAGGSGGTSRAATN LSSKTGSPGSMIASSPNTPRSKKNLESSTGTSVPDWAAFTRGGATLSRADVNARDHYG RTLLHHVASSSKPTAARFARALLEVPFVDIYAQDWESGWTALHRALYAGNATIALALM ARDLQDMTDFSKGGNTSHPSGGLIKIKDREGCSPFDVFGATIRTREIKHLSENWPNLD LDDDTLGSDAASNAASNFGDDEEDGPYALKTVLKGAVNISADEVFTLGSNKNLNLGLG DQDDRQFPERISLKRPEHLLHRFYREYQEKLEHLGLEDSVPKSKSTELPTLITNKPMK FQDIIMSKLHTAIITDDPEANLFMCGFGPGGRLGTGDESTRFTFVCIETGGLEGKKVV SAALGQDHSLAITEQGEIFSWGSNKFGQLGYGLPRTSNKNDIPIQTTPRQIFNPFKKE TIIGAAASSIHSVVFSTSGLYTFGKNEGQLGLVDSDARSLEVQTTPRRVGASLFNCPI KMVSAIDRATSVLLQNHEVWVFSSYGYSKLSFPLEVSSRFIRDSFMVTRYDKTANRVV KVVCGGNTICALSSSGDVFTVQANQSDNSSALTSTTNPAKIRNSLATPVRAWSVKKSY MAASDVDVGQDGSIIICTTSGSAWRKERRTKNKEGASKEYKFARIPGLSRAVAVRSNA FGAYAVAQRDCEVTREQIHVEQSHLWDDMLPLSPFSIPGLEELDLILEDEAQNIPLVL SSGKSIQRAILSSTDIESQFLPVWTEGTIWVTSSLSDLCIPVHEFLIVGRSSILGKSL AEFRQSYYSSVPDVFDIEYGKDGHPQIRLLGVDFLTVMNVVFFLYTDGILDVWRLARS SPANSAHFRQVRTEVMRVSMQLGLPALERAARLMVEPQRSLKADMAHAVNHSFLFDSA DVTVQLKGDTIKVHSQVVCQRCPFFDTLFNGYAGGRWLDSRKADPNQNVYVDLKHIDR STFEFVLRYLYADTEERLFDEVRTKDLDDFIDLLLDVMFVANELMIDRLSQVCQKMLG RFVTTRNVCYLLNSIAPCCVTEFKDAALEYICLNLEAMLANRYLEDLDTTLLWELDLI CRENQLACWPISRGRNSEEYVFEKYPEIVDSVEADKQRRIDAMALQSRLGRLEPYDAR ARSTPNEKATSSPSVRKAKASLSGGSPNFGSSPTLKPRQSVGDLMFQMDDETLMSPSA SKGKGAVRGLRFTEGIPENRSYPDSPALGASVPEAESFGDHGFLKDQMSSPQDPLLAQ SPSESRAIIKNQKQALASSSNPSSAPWTSPMISSSKKDIKDIMGEALQSRVSNLTLGM ADRRESSGSFVPKISQKERKKLQQQQIQDQLAAQQKAKDAPQNPWQKPANSSPASLPK LGPLPGQIAPASGAVKSSPQRPMTMRQTVAGTPPPKSNTVATPTQTQRRSMSGNPQPS SSHSKSSTPRPSTATFNNQPTSPQPAIQSIRHIPRPDLTGSRSPSSGSYSLSTILLQQ QTEKEAIREAATAKHKMQEIQAEQEFQQWWDQESKRVQGLIDPEPNEPGAGKGGRGGK APGAAGGPRKRRGNKNTGDGPAPQDNRRVSAPSSGHTTPKTNQSGQAPTQPQKAPNKS RAGVSGNANGRRGGGNQRGRGKNEA PEX2_004660 MAVMSTPKLPGQGLGDPVLLDKIDRLLACNLGEYVNLPLLVVVG DQSSGKSSVLEGLTKLPFPRDSGLCTQFATHIIFRRIKADTKRTINASIVSALDKDPE HASRLAAWKGANMESLDSESFAETMREVHQTMGLSGSENDTFKPTFTRDVFRLEICGP EEDNLSIIDVPGIFKNTTAGLTTKQDMEMVRDMVLGYMRNPRSIMLTVVPANVDIATQ EILEMARECDTQGNRTLGVFTKPDLVDKGAEDKIMELIEGKSHSLELGWIVVRNAGQQ QLLDQSSDRDLVEAKFFRENHPWSNLPEDKFGILALKTRLQDVQTTQIRREFPKMRTD VGRKLKAMRHDLSALGNERSTPEQQRSFLLDVITRFQDIVSQAMATSYGTNELFDKEK HSRLATIIRNRMDVFKSDMEKYGFEYQFLSEIIDELPAIQLMEGGADQEDGSGIPVRK HLDVSENSGAIDGILHEQEVVTEPTDDSILVWIEEQYRASRGFEVGTFQTSLLCTIMN RQSGKWTDFALGYVSDVIDIMHTFMLKVLEVICPDEQIRDKLVSVLTDELSKRYREAM EQAQLVLDVERMNIMTLNEKFHDTLEETQKRSHQEKYEPTEDEPRVLLSNGERTVRYI HDILAAYYEVASKRFVDNICMQATGYCLLTGPRKPLGLFSPQFVANLSEDQLTEIAGE NAALSRRRAQLKKGIQDLETGRKIMM PEX2_004670 MNFSSFTTVNTLTAASLGSISVYDTDCASSQPNALFSARALDGH KARSRWVADTTKLHSAGLSGFFNLNGLSFKPLGEVPRGLILEIIAWEIRDSEAQNVYN TWAAYTEGGQQDMQYYDFTMFGGFWGETVNMVEIVIRAPDEEQKEVDWAFCLDDLDVE FLDRGLDE PEX2_004680 MLLWAKDIKLTYQQGDLQGAGRILRCPEWFMHGVLPVIRGRVKI VALFTSLGNRNQSHS PEX2_004690 MALSLARMMRLALVGLVAFTSVASALPANQLSRRTVQPPDNDPF YQPPAGYASKAPGTILNQRDITAAFFGLVPVDVDAYQLLYRTTAVNGSAIATVTTVFK PKNAKLDRLVSFATAYDSSSTKCQPSYAYQLGASQDSLIASVELLIIEIYLALGYTVV SSDYEGPEAAFGPGRLAGMGVLDGIRAAKSFKTLGMTDNPMVVGVGYSGGSIATGWAA SLQPKYAPELNIKGWVQGGTPANVTGTLFQLDNTAFSGLLPPAFVGLSKPSAYGADLA PFLDKVVTAEGQKKLASAASQCFTADLASFFEQSIFDTSFQTLGKEFIFDPIVQSVLK QNTMGVNKDETPTAPAFIYHATDDEVIPYADAKAMVNSWCNWDATVKFTTYASGGHAT TEIIAIPETIQFVQNAFAGKTKSGCTTNTELGSILNPLALGAALEPIFIKLIDALTHL GDQDSKVKNDPVTVLNTSL PEX2_004700 MHQHPRSSAVPSPAPNFPPRPTARDDRREQEATPSSPTVDMGSN SARGLGIEPGHHPSEQGRNVALGKEALTRLNQIISNYHTKAALIILHSRVALPPSYNK GSESPRVNRWFNVELDDTDVLREPLRPWRTCDATDNRPPPLIIETYLDTKGLTNNQSL VILDENGKRWDVRESLAELEGARAKPHQSENDEIILERWRIELGGSSSRPPADLGSIL PTVYKKSIVLFRSLFTYSKFLPAWRFAKRNKKLRQSPALQIKYRVVDGSAARDDLSLD HLTAPLSEGSEKVVDTYSFGVTESPAGPFSVQVTYRTNCDFRVDDSEALLSSRFMGAD DEIFRPSLPSDDVNRPNPEVGSVPVERRTVENPDFTRAYGSLSTFHQVGPTTGASPLS ALRAMRDSGAGSPSPTESPKRLLPSAKVVPSGRAAQIAGEGGASSFPRRPSVSFQPFK APPLSASPALADSPLGVSPRNMSSRIPTGTSGDSRVMPPPSSAASARRPITIASEQAI SSSNSASPKPTPISRYSSSFSHRRGRLSAGVNRLEDDNSSGRASATSSNAQPGSGLLT EATGTSAESIHADDENISEFLKMLDSKKDLMNSSTSASIQPGPRQPNPTAAALARFRG MRDSNAALSDSMSQSMHLHRSSISSSKQLSGVPPMVAGTSISTASSPGKPISPHTPHT PHTPAIRSRLSSNSVADEIEIDPRSRIPRIQHDSTLEEHPGTENSRGPSSTAGAIDIP TSPRIFDPAYRRSSSAAVRRPIVTSEDDEIFPFGMRSLSLGADEPSHATLGAAQQQNE SQKSPQSPAEHPSGPSGCATGPYRDNASLRGQMSGPTSASASSNPHVYQPRFASSRGR GYSGGHSLSSASSSLARGANLPPHLVERDHDRDGNASGSNSGNSTLEIRRGSAQRPST GRTLSAQAPEDDEPLLFAMSDFGASRRSLDEGRHGNHGGAESATGSRRGSGRRGAGLP GFHVWS PEX2_004710 MADQAVARLAGINVGAPAHFAPSADFGLIGLAVMGQNLILNVAD HGFTVCAYNRTTAKVDRFLENEAKGKSIVGAHSIQEFCAKLKRPRRIMLLVMAGKPVD DFIESLLPFLEKGDIIIDGGNSHYPDSNRRTQYLTAKGISFVGSGVSGGEEGARYGPS LMPGGNEEAWPHIKDIFQSISAKSDGEACCDWVGDEGAGHYVKMVHNGIEYGDMQLIC EAYDILKRGVGLSSKEIGDVFAKWNTGVLDSFLIEITRDILYYNDNDGTPLVDKILDK AGQKGTGKWTAINALDLGMPVTLIGEAVFSRCLSALKEERGRASSILSGPTPKFEGDK QAFVDDLEQALYASKIISYAQGFMLIQNAAKEYKWKLNKPSIALMWRGGCIIRSVFLK DITNAYRNNPDLENLLFDDFFNKAINNAQNGWRNVISKTALWGIPAPAFSTALSFYDG YRSKNLPANLLQAQRDYFGAHTFRIKPENASETFPEGKDIHVNWTGRGGDVSASTYIA PEX2_004720 MSLPPPPGLKKTPSSLPPRPPPSANTAQLPSANPAGHGGRSRPT GYSAFTAFQPRAVASNQPHRANTHSVSTPPVSAAGYATPTNSYVSHYQQPQAYQSAPS YYGQPQYAENTYGPTVPHIANPFGSTPNQANTGYPQTGNAPGFDAETEAQIAQWQSAY NKPTEDSKNQGNQPGSGMNTGTGTPTIGAGTPVPQSDSQKTVIRSGGGETWTDSTLLE WDPAHFRLFVGNLAGEVTDESLLKAFSRYTSVQKARVVREKRTQKSQGYGFVSFSGSD DYFKAGREMQGKYIGSHPILLRRAMTEVRPASNPKHGKHGKKQGKGKGPNGDNAGGAG GKVKQDGIKKPAKTKGGLKILG PEX2_004730 MDFPGGANTNIHLVDGFSNIYWRIYTEEAGIANNPQESPANGYT ILKHLSRLKDLEARLRGLNCLASCPRRLGLWVFSPTPEFESLNPLYVRGNDAESNRIV VGTTTLKVSALGSVSSLDLVKGLSSDNQSQLGTQPAGQPRPNQSQPSSRRQDGYSSSA AIYASFISAVAGSIGLQLIRRHAALPLGSRTLFTAVESSGYESPHINNESILSTSCLT TLNIQLTMSGTITVSAQTVSQTGIMRLCSPCEDIAEIIDVQPGTDLWLCPNGTIARLV TANVNSPTVPSLGYPPPGNLSAKRIQWKLDVVQWLRNFGLHVDTIDEEPWVEVEVWEP FFARLAGEAWRQSDDSQSALPLKRMLWPARFCFRRASSSNISSEAQTSLLDEPLDFAE RWSTMASSLKLDHIAYTAQNTPITQDPQSKDHEMMSPPKAEPLESIESLSRIAQYPDL QSTNLVYPTPPDGAAAVGLNNPNPSEAFADDSDFGIPHAMQRSSRRNAPGSDISPVQN SGVGIGTGRYDASDEEDLFGEMNERDFGSKGITDADFSFFDDPDFDGMEGDSRVEDAD EAHEASHPQSESEAEAMLDAEPSPNQPPGIPTHVEAHEVHASFIQSEAPRLSEEPVAP EEPVHSPMDRAGQTISPPLSPVEVKKILFPGPEADNHQNSTDNRGQGHYHPVAFPKLL GDWDQKYGAAGKFWFSSGGSLDTLNHTSDIPTIGIPHRARSSANALGSSKEHNTTSLS LIQSENSLRSASVSSDSSDDSIEIISEHVPTPAAMPTMPSLKRKRASSESDIISVTSQ EKSLPGTETSPAYAAENSTFLGNFLANFSDWTLTGYFSTFPPQQHPVLFRREGQLEIA QLLVDQITQSSLKHPLDEKIGLFDLESESLSLQALDTTLLGETSKLDFKRYTSLHDEF VANQPQQQPQQQPPPPKDSPKSFILKLSAPHIRVRRGKEYLEALPPAVSFWETFGLEP AHGPKNISAYCIHPQAASKAADVFLNRFGLLYQSCSLGTHARGDDSVAFEDGLKPWKS ETSSYESMMQVLKRTCEQLGSELSQSPATTDNHVVYIINPFTHAAALADICSAFWHLF QQLVAGSERRQTRISNELVLQIIPLEFIMSSEAMVVPPQTDYLNLALEVYSRCRPNDV DMSPLLCAPPILLADALPRAISFRLAPERSSPLQDGRSLHIAYSKSLDQRWISVAWSD LPGSIQRTMSYCLRYRQSGGARPISEIRNEIWATTKHIMDKFQARWKVQLATTEPIET DEVEAWASLADHHNKLKPGSLELTILAVNTVPDLILEPPVPPISMAMLNILSSSTPVS TPNPSASVASPEQSGNAATPTSAGPAAYSAPTPTETSLETDSEAVLTDICDDSWLAIL SHRLNSSPHLTEFRPALSSGYLLRRKGATDGDGVFSITVNLIYSPRPPASHDNVLKDT LSMYRDLSCLARAKGICSVQNNTLPWHVATALRGQELLSYVF PEX2_004740 MKLSNPSEVPVYTISGSDSARPLPEWLARRRKRSLKNDPEYANR VELLQDFEFEEASHCVRVSEDGEWVMSTGTYKPQIHTHYLPQLSLSWARHTSSLNTTF ILLSSDYTKSLHLQSDRSLEFHTPSGCHYTTRLPRYGRDIVYDRQSTEVLVPAVGVNQ DGMGEVYRLNLELGRYMRSFEVDVGGDDFTSTGGGTLQGGINTGSVNTGAIAEQSHNL LAFGTSLGTVELWDPRAKGRAGVLSTPTQVGLHDERSEITALEFNRSGMSLGTGSSNG LIHLYDLRSPVPFQIKDQGYGFPIHTLQFLEQSSATQAATIEPKLLSADKRIIKLWDI EDQKPWTSVEPAVDLKSVAWCKDSGMLLTANEGRQQHSFFIPQLGPAPKWCSFLDNLV EEMAEDPNDPSAFKSAQSSSVWPGFTLVPSEEVAKWDAKLIKAGGEPIDLASYMQSGK MVPYSKGYQVSNFVYNSITGLFPDTENPRAKKVAEIMNKERESRIRGKKKVAVKVNRR LAERMLNAEEKRERKHAKRLLEGSGDQEMVDAAAATPSAGEDKNVLGGGRFAQLFEDQ DFAVDETSREFQLLNPSTVPQAAAPSASRKERGLTAVEQEAIDDVPGSSSDSDSDSEA EKPAKTAASKKISSADYKRTKRPPPRMQVSSSNQANSTRDRSFGSRAQNMKSRQKPAR RVGVVGEQEMSFNPAGKPKRAAPEVRYDKTDTSFRAKERRSASGNTFRKM PEX2_004750 MAYTVLPFGATSASQKRENYPLLLSDIVRDCTDAIDSLTLFDEL LSSQKHENDTAGFKAFDGHVGETACHNAQAICLKLTSDNTCPSRLGFGSKFDAMDKII SSLGWTEPEYHPPSNDSQIPEWDVENQHVVIRYLIAMFILGKYRQSCKSPTQNTVIRL QPKDAAAYASQLISSFWDQKNSLYKATGSNRLDVRFKSLQKWISALSCSWVRIWAAKL SFSEVAQRLVENSIKKSPKGHLVIAAYVGFLVCRRAWAANNWPMLLVDRHFCSEGYHL NAHIATLQGPRTPQDLGHHIIPQLHWELTPVTFDELQKSTLTHVPMICILGNSIHGPH EEYIARISDGPPESSSTSPAPPHTHGSCAENKEHEETFIGMDHDRLSQAILADHRAYP FPLSSPTSGDDDGLYLLDIIRSTLPNDLVDRYFLKSRSEVDNIGGGRKDMGTFRWEHI FAETPGRLAEMLQGSMATGLFA PEX2_004760 MRIVKAAYHYVSELERTIPDGLGYLGIGSSILFLSCVFLIYIVV KAIVRLYFHPLSDIPGPRLAAVSRWYDFYYNVIHDGTYSGQWLQMHKKYNSPVIRIGV NHVHVADESLYHEIYCSGTQYNKDPSFYKRLGLDGAILTITDPEQHRAYRNIINSLFS ARTTNELGPIMALELQNVAKYLSKKNKENTPIIIQRVYRSVSADMVCELMFGKSLNLV ETPDAYHDLMQSVDRFSAITWLKMHFPIINNIVAAFPGFLIDMILPGWLGYRKKLGSW YAESSNRYDLGKISDNRPTFYDLIVNAQSKGGEMRFDRDLLVDDSLNYIIAGMDTTSY TLAYATYYILTLKDVKSKLCAELDEAAPFIRTTTDLRKIQQLPYLTAVIKEALRLSVA APGGLPRLVPAQGMEIGKIFVPEGTTVSLSHRVVQMSERIFPNPDEFTPERWLGRDGL ALEKWNIAFSKGPRQCIGMNLAYLEMYASIAYLFSRFEMTLFETDKSSIASFDRFAAR TKSEVKVKILSDRWEEN PEX2_004770 MGSDENFKYEFDVSGENERLARQHQAVKLGMGKLVLAPMDTSRE GLKVLDAGYWLKEFGPSLLHRQTCELIGADITGERFPDKPEGLKLVVQNSEGPFPDSW NQSFDLVHQRFTLSGIQNYRKCVFALLGLVKPGGWIQLVEPEDISSEPNGPQMQCFVD WVKLFAINSGSDLGFQNGGLESALREAGLIRVGTMVAPVCFGAECPEPNMREQTVKAC CHTASQFRNSYKRHHNGSDGINPTEGDSYVPRLADELRERGGYTAVRVVWAQRPF PEX2_004780 MTTGPVLQNLWQKHLVQWGIEFPYILHLILALSALHLAHEQPNL QQQYIQQADEHFSFGVRSVASVLSQLNAESCQKVYMSAVIICFIYFGRGPRPGEYLIF SDSGPAQWLILMRGVRVIVSSHHEKVFSGILKPGPNDRSRNLTAEMRIELHEHIVHTE AVQRLIERDIADEDICGRYLAVIEDLFEIMREVYERRSGGSCGVDLMDLLMGWIYRLP DETIGSLEQKEPHSLVVLAHWVVLLKYMDSAWFMDGWAEHMLSGISAHLHEDFHPWIE WPLKQVYQTQIE PEX2_004790 MDIDFHPGVNGSSPYVDFYPYTPSDTAGYAFMAMFGVATIFHFV LMFPFRAVYFTPLILGGVCEAFGYYGRAWSHKSRTEISSWALQEMLILCAPPLIAATI YMVLGRVIRSFGAEHLVSLSPKKITVIFVLNDVLTFCTQLGGAGVQVTGDANIMAIGK KIVLAGLIFSLAVFAFFIWIAATLHRRLLKNPTQILIMNELHWRRYMYAVYAACFALI LRNLVRTIQFGASKDSVVNQKEWYIYAFDGFLMFFSMVVLIFYHPGLLIKKARRLAKV ADFHEPLGVPDIPLTQYEPRMMAT PEX2_004800 MAKSTPQTIDQYWHKSGLCGVLSRATLRTLQFVFAVTVAGLYGV DLAQATKIESHAHAEWIYAEFIAALSALTCIVHCFVTVTKVAWCSWDGLLFVLWLAQV GVFGNLYTSHVDTEYETATVSVPRMRAAVWIDLVNMLLWFMTMVLGISWCIRTRKVKR GTDRFGVATEGLIGPDGMKGNGDEESGPWSGQDKDVKEHMDKTDDDKCVQEQKQGHIK SSPALEDKEENFDQEKKGHT PEX2_004810 MTDLGPISTFPDTDDPPPPYEALWDSDDRPMRQSILPPSELPCP TAPLPDLSLTEDGRVNMRVGLRFSRNLEWLMDTQPTQVEVSQTAEATFPTPVSNFNLR LNIVIQVVGSHGDVQPFVALGEELQRHGHRVRLATHAKFESFVKSAGLGFYPIGGDPV ELMSYMMRNPGLIPSMKSLLAGDVQQKRASIAEILGSWRSCIDPDPHDKRPFVADAII ANPPSFAHVHCGQALWVPVHLIFTMPWTPTRVFHHPLANLDYSENDPSLGNYISYHFV EWITWNGLGDLINTWRKDTLKLDTIPTTEGPNLYETLKIPFTYCWSPALTPKPEDWGP HIDVAGFFFFREPVAYDPPPELDDFLRAGQPPVYIGFGSIVLEDVEKTVSVILEAIQM TGVRAIIAGGWSALHGRDTSSVYYIQDCPHEWLFKRVAAVVHHGGAGTTACGLRNGRP TTIIPFFGDQPFWGKMVATAGAGLDPIPYKSLTAQKLANAISYSFTPRAIAEAQSIAE KIKQEPGVRAAVDSFHAHLPQTQIQCDMIPGEAAVWKLKKGKRILKLSNTAALVLKRQ GRFQEKHLKSTNRYQSKPFSIDPQRWDPLTAVSSASLSTLTGMADATAGIFIDPYQEC KRLRSNRHTRLAIPVAASTLLPTGLLSTTEIQAFVQAVTKG PEX2_004820 MNFDNKYLWQNLAQALPLELGLQLFGTALGYVFAILVTPIDLVW ITRSHLWSVIGIQILRTSIVMLASGRDSNHLVYKTAPKDPNWIFAGPEFHALHHVYPD RYMGSFIKLFDWVWGTAYSFRGKRFVITGGSGAFGQTIVAELQQEGVQSIRSLKFGVD WDHQHFEKAIEALSACDVLILAHGTKGQDAVESSCNSAVQLVQLFKQNRSSNKTSPTL PEVWYVGSEIEFHPAFGNTELQRYSESKRRFLPHARSFFDDPDIIYRHIVTPAFQSPM GPAILSAGWAARCTMCWIRRGARYIPVTYTGFAYLNYFKFMCWVPYAQDTDKL PEX2_004830 MSEYDFSLCAHNWSLARYLGFPVPSPTSVSPPRFDEEMSDSGPT STPPAKRSVRTPASSPTSRRKRQKLSRAENTPTSTTANYKSPDYIRELIARGVRKSNE KPTEYKMMVGQLESRPANWQDLPSRTLDSFNEIIGTIGNEGSIHGGIIPLLMDNIGLT LNPETLAVMDIPFTKQCQLPIQVPLHENSKSIPAPYPDISVGLRRSQFMRYEPALHHL NHIASPIPKIPELVFPCFAIEAKGDSGGMDAETQNRHNTANMLFNLRQLSVLANGEEA THLSFDGVIKACSATITQQAITIFCHWVGQDEETHKLVFYSCSVKSVAFGATSHQDWN RASMYLQNAISFTVQKTMSQVKEDLPRWNKEIFRQIRLKEENQDQDAIPTTTPSSPKC SHQENKE PEX2_004840 MLSFTLRAIFAHLLFAVAASASCKSSPNNSSWPSANEWRALNQS IQGTLIRTASAASSCYPRNPFGSPDNCTVVKNHWTYVSYHSAWPESVDYPIYTNNSCL PQGATGYTKDKGCEIGGLPQYTVNATTEMQIATALKWASSRNICVVVKGTGHDLNGRG NKWGSANFAAHTVHRAIVGGEDSTVSLGGLIQNGGHGWLSSHYGLASDQVYQVTVITT DGRHLVANAAQNEDLFWAVRGGGGGQFGVVTEFVLKTYPVPENMVTGGFPFHAASQSN TSESASWSAMAHLASLIPNIMDTGLTGSVSAFTGEQAVALIGLDQSAPGVAASVSLTG FNMTTRGINATINNLVAQIANSTQGSYLNFALTAPKSKGYYAHTGSSTGAGAVSLLTS RLLGRQELSDIAKDDLIQYLQQILVSQGSTGSMLLFGLQSGLRPANVPEEMRGSVLPA WRQAYAHVKTYGALINATGDPSESLKSGAEYYETVKEPVWRKWAPNTGAYMNEGNPFS TTWKQDFYGENYDKLLEIKRKYDPSESLFIWSGLGSDMWNYDLRSGLLCRTS PEX2_004850 MIYYPLKFCKMSNISDVTLITHPSALACIESALQQNPDLKSLPM PKVLAPQELQATMATAQLLRLPEVQSCIESDFFLLPCDLVCEIEGAYLLQMWMASQGQ IPMAEEKRYLRGALCVYYHAQNAAKDEALDLIAVEPLRHIKNSARSSHKLSKLLMSIG MDTVKSNLDRDKGFLLRRSFAKRQATQAKMLTGYRDAHLYIFPYWVKDLARRQERLVS ISEDLIGLWAKSTWQRGLHEKLGMGACLSQQKESYEENDYRELSTITHQPPRNDIPEV PPLLAYLHTGSTPLVCRVDNPALLLSTSLRLAKLESSEEVDHTANRSPFAQDQKIASP EFGSYSYIGNDSVLRDCEVQEGNAVPGQTEAKNEKFMPLFEDLEEEDTENSEILADSC PEX2_004860 MATPESQAKRQRKRSDYGFHQVHQTRWSVPGPLNGGPKTNQTPT LTNHTHRFDNDMYAHLNNAVYTHLFDTIANTYLIQHCGMDPFSVNNPTPASTHGQPSN FPSPSNLAAGADQIGLIVSTHADFFASVRFPDLLEVGLRVNRLSKSSVTWEVGIFRKG EEDVKMVGTYTHVFVLRETMRVGKTGMEERTRQGLEKLLVRAEAKL PEX2_004870 MAPNLFLCARAIFCPTYWFQKGDRDSDLKEQHWQSPVPGTYKFL PGRGWHLIHRDGCETDEKVPAALVYCRILHRYMFESELEERCRWFDAPLHKGGRPEKL RFFLLDDGIHWVAGWDAQGSFILGPYPKWWLDEDGYTMRRGASPPTSANVSRCSSIIA GKFE PEX2_004880 MSLTFECIPLTVEGVSLNLSTTHSLTSSPPILFLHGFGSSKEDL ADLTIQPSLKHHGFIAYDAPGCGHSASKNLSATDIPFLVATAEALLARFKIDKFHLMG HSMGGLTALLLANQHPDRVLSFVNIKGNLAPEDCFLSRQIFMFPADDDEAFMDAFIER TRTSGSFANAMYASTLRARVRAAAVRPIFESMVQLTDHGDLMDKFLALSCPRMFMFGE EMRGLSYLPLLEREGVELADIVGAGHFPMYSNPVEMYRHISGFLDRVA PEX2_004890 MADNISGSSIGAEANAYPNEEKMDTKERIVSASNDPPPYVGASS SMYVDTSITFENYVHWAKRSREVEKHMRTDNVGFEYWIRKWFNKNSDDSVTTQGEAPG QHSPVTDPKANSTSKTNGHSTTDDWGITEAEWEQAQRATRTATWGSIFYLITTDILGP TNVPWAISQMGFGPGAILYTVFGVMACYSGMQLWQIFVGLDSTRFPMRNYGDVAFRIY GNWARIFVNVLQSFQFFLNVTLLIVSNGQGLAQMATGANGKGFLCFIAAEVIFMVIGF FLGQIRTLQRLSYLANIAVWLNVIVIIMTMAVVYEYPPNYEASLTSYNTPKGPVKTTG YWPESSTLTDKVNAMMNAVFAYGGATIFNELMAEMRRPYDFWKGFIIAEIFIYVCYLV SGMVVYSAQGQFTFNPAYQGIPSSAYRFQTLGNAISFITGIIAALLYGNIGIKVFYSS VCRDVFHLPELNSRTGKWLWVGFVPAYWALAWVIGAAIPQITNLTSFVGAACILQFSY TFPPLLLVGFNAQNDAILVEEEFNPTTGQVQRVDNGIKRFFRGYKVKFFRNTFDLLYS IAALGAAGLGIWASVTAMNSSFQAGKLTPFTCANPAG PEX2_004900 MGIFKNSRVYVLATVAYTGSFLFGYDTGVMGSVLELPSFKADFG LQEGSSGFSDAKNAEVSSNVVSLLTAGCFFGAILASFVNEKFGRRYSIMGFLMFFLIG SAVQTAATGALSYMYGGRVIAGFGIGGMSAITPVFVSENCPPAIRGRIAGLFQEFLVI GVTVAYWLCYGVSETIAPTTKQWRIPIGFQLVPGGLMMIGLFFLTESPRWLAKENRFE EALEALAYMRSEPTTSPAVQTEMAEIKAAVEHEVEATQGLTWREPFLPGNRIRFVNCF LIMFWQQWTGTNSIGYYAPQLFQTVGVAGGSTSLFTTGIYGIVKVVTTGIFLIIGIDK VGRRWSLIAGAIWMSTMMFILGGVLVSYPPDPSGNGGISSASLAMIVMIYFYVIGYSA SWGPIPWVYISEIFPTRLRAYGVGCGSATQWLFNFVVTYVTPAAIGNLGWRTFIMFGC FCFAMAFWVFLVVRETKGRSLEEMDVLFEKFHAFGRLRDIETANEGKLSFDGAITSDH KEVQGVEAEDRATR PEX2_004910 MFSVAPAGIDLYEVLEIHQSSTKDDIRKAYRKARRTPIAALANH PDKVPEAEREEASIRFKAVQEAYDILYDDDKRHLYDTHGMSAFNGSGEPGMAGQPDLD DLLAQMFGGGMGGMGGMGGMPGMGGMGGMPGGRPNKPRKSPSEEQDYEVSLEDLYKGK TVRFSSVKNIICGHCKGKGGKEKATAKKCSTCGGQGHKEVLQRMGQFVTQQTVICTTC NGEGSYFAPKDKCKKCKGTRTTEAKKILEIYIPRGAREGDRIVLEGEADQVPDQEPGD IVFKIIEEEHPVFTRAGSDLRANIDITLAESLTGFSRVVIKHLDGRGIELNHPLTAGA ILSPGQVLKVPGEGMPMKRTDARGDLYLVVDVKFPDNTWKPTPEMLEKLKEILPKPSP PIKADTVDEVEYDPKGQLEEFGAQDGHDASAWEDEEDDDEPAQCAAQ PEX2_004920 MRSPQGLFTRRSWVIQRRNFSSSPAPQAHNSKNKLPNSPARTRF APSPTGYLHLGSLRTALFNYLLAKRTGGKFLLRIEDTDQKRTIPGAEQRLYDDLKWAG LNWDEGPVVGGPYGPYRQSERTALYQSHANDLVSNGHAYRCFCSAERLDSIARHRSQA GLAPGYDRKCGDISAEESEDRAAKGEAHIIRLKVEEYPMFNDLVYGKSGQNRPNNSKL DFIDRVYNDPVLIKSDGHPTYHLANVVDDHCMKITHVIRGTEWMASTPMHMALYNAFK WTPPSFAHVPLLVDQSGQKLSKRNADIDLSSFKDQQGIFPSSLVNFAALLGWSHSEKS DVLSLKDLEQIFNLKITRGNTVVSFEKLQFLQKAHVQRFAKSGGPEFDEMVKKVTSVV EESHPLDKLATILKGRTLSEYIAPLIRADANNYTNAKEFAQRNSIFFTPKLNRAPYKP ASPKPSPEVPIKALHTAAAALTLVPPSAWNIDTHRDNINSYDGSESVVFSASESEPPV GSNNATKIFKKELYHYLRWALSASAPGPGISETMLVLGRDETLRRVQDAKALTQSLVP PAGQRVPKGTLPEDQSWMGSLATSR PEX2_004930 MASIGDTCDSLFGPVAIATVSAGIQVALLVFSATQHYPAQHVSV AGATLSLIVAVSLVVLLHLEHSRAVRPSFLVSAYLFITVLLDVARARTAWLLPYGRAY PACLTASLVIKLVLLVLANVEKRKWLLPAEKTQSIESTSGLFSRGLFAWLNALLRKGH TLLLTGDALPNIHEKLSSSDLSDRFSKSWALCDQSRRHALLLAIINCLRWDIAAITFP RLALIGFSIAQPFLVGKTVTFLEQTESSINIGYGLIGATAIVFIGAAVSTASYQHLGF RATTMVRGGLMALVYQHMMELPLGSTDESSAMSLMGADVEMLAEYFHSTVCESWASIL QLGLAAWVLQTQIGVVCITPILIVTAFTAASFAMGDAVSVRQKTWLQATEKRINFTSH VLGSIKSVKFLGLTEMIKRSIEGLRIDELEISKKFRRVQTVRVCMINLPRIIAQFATF ATYAVVAKVQGSDGLSVSQATTALSLINLLITPLMHLLLAVPDTFASIGCLYRVQDFL RRPNIVEKRKLLQPEVDPPASSTPASPDNSSEVELSNYSSLGATGISSSENQSNVLIS LQNARFGWNSSPSDSAGITLNLRPSSLGTLVAIVGPVGSGKSTFLKGLANETSILDGE AFIKYPDLAFCEQTPWLTNTTIRENIIRENASAAFDADWYSTVVSACALDSDLKKMPA GDETSVGSKGSKLSGGQKQRIAIARAVYARKRIACFDDTLSALDNATSRLVFNNVFGP SGLLRRLGCTVFLATHNVQYIPQADFIVVLGENGSILEQGSFSELLSHTGGYVQRLSI RPGQTEKEEMQHDTAIELPETLEAITSRISIPTAADKGRKTADITVYKYYFSAMGWLR VFVLSFILAVSGGIGGLRDAWIGMWSSSPDSASNSGLGYWLGMYGALSFIEATSIVLA VYAPLSFLSQVDTGSLITRFSQDMRLVDMILPRGFISTGFQIVGALAQSAIAIAALPY LAISVPFLVGMLVLVQRFYLRTSGQLRLLEIELKSPLYTHFIESLAGIVTIRAFSWTT ASTSKMLHLLDRSQRPFYLLLCIQQWLGLVLKLIVTGMTVILIGAAVALRRQVSPGLL GIALVGMMDLGEVLSELIQNWTLLETSLGAIARIKEFSEDTPSEENDIAYEQLPDAEW PSKGDISFAGADIAYESENAEPVLHSLLLDIHAGEKVGLCGRTGSGKSTLALSLLRLN EVISGQILIDGVDISTVPRSLIRHRISSLSQEAFIFPGTIRQNVDPLGIASDADIIEA LQCVDIWKALVSATNSGAHSGVLLDAILTDTTLSEGQKQLFCLARALLKKSNILILDE PTSSLDAETDAKVQKVIRQEFQNCTIIMVAHRVHTMLDFDRVVVLDSGRIIEEGHPSE LLANKGVFSSLHRLEQSTGTKQEL PEX2_004940 MAVDTGYLTTQVNNIVAQLHGIYDEIGVPARERESREAELFSAL SETLNNHLKVVDEEREDMTQEAERLITAIQQMESSLSDERANGQYELNRDDLRVTYPL NKCIAFLREKNDSMSKLHRERFEQVKKLVDALESYSSHLEPSFVKLELPPTAPGSSIP PSFDLSPMYVTALDAEFTHVYEEYHRRIAQVQSACEEMIKLWAELGTPQAQTDSTIVK CYRDSPEQLGLHEDDVANLMAKRNKLVEEKKGRERKINDLRNTVISLWDRFGVEEADR KAFLAANRGCGLRIINDLEEELTRLNELKRQNLHLFVEDARCRLQELWDTLFFSEEEM LDFTPAFSDVCSDALLEAHEAEITRLEAIKEQRAPTLEMIERHRSLLTDREALATSSQ DASRLMGRGNKGEKRDPGKLLREEKLRKRIAKELPKLEADLRKELEYWEDEFGRPFLV HGDRYLDSLTPVRSMLPPRSKTPSAPPSSTKANTMRQLPPPRPGSSLRGPPPPRSATK TPTSSGPVKHNTIGYGASRAGATSRAGATSPSKLPARVPLGNMPHGNNSPERRMQPGA YSSSTLNGKMPPPRGPPPRMRALTVESREDRFSHLMEPPRCNSAMSSAYVRPVSPEDV YDDRQRSFMSASGFSNSQRSTGFSHSSHSSQSSLSLNSSSQAFPRPNPYLQHAAPPPA ARQVSNSSTVNTTTSGSENWETFEDGSGSEADPSDIYYSKLRAAQGEKRFAPEDGSDL TGKKAKGIRSVSPDGPHPGQVLRVAGSDNEWADDYETY PEX2_004950 MQAAQQAWELENAISVFDPQRDALYEYNADTEKALNDARPWATD PYYFKHVRISATALLKMVMHARSGGSLEIMGLMQGYILPNTFVVTDAFRLPVEGTETR VNAQDEANEYMVSYLETCRNAGRMENAVGWYHSHPGYGCWLSGIDVATQQTQQMTGPF VAVVIDPDRTISAGRVEIGAFRTFPSNFTPQKEAHEDDEYQTIPLGKAEDFGAHANQY YSLDVSHFKSTLDTQILSLLWNKYWVATLSQSPLFTSRDFGNKQIMDLSQKVRKAARG MEATGPRTGGVNAKDQQLDKVVRGGQRIVAEEVKGLLASETKMKLFHGIGNETPSTE PEX2_004960 MFDIRAADLAVDTTVLAKSGTVATAFEYTDEQVTTPTALSRYRS RENSVSRDYNHIKHSPVKMASGYAVSPEPPALFVRAMYDYDADDHTSLSFRRGDIIQV LNQLETGWWDGVIDDVRGWFPSNYCTPITEVDDFSDQFAHAHHETDLSADSGLEDEYG DGHDEEEVNSEGNSRESQPILPIEGIPAPKEQEEAAFWIPQATPDGRLFYFNTLTGYS TMELPFENPTATTEPGPRDRNNFFVPDQTRPPPELMARGFERDEDEYDGSASEAEGES LMLGSHDSISRRRQSVMDGVSPATSMDSLYAPGSKDFKPQPPSKSPQKLYSLGGNSTS TSVTESFSRPSISSNPPQHFVDDGFAPPITWPLLVDNMRYAVEAYRRTLFNGERAEYV RKAEDISDHLRMLLAAGSDTTDNHSGNPSIISTNKALYPHFRDMMSKFSKLVLSSHIA AADWPGADSANKCLQEADGVMQGVYGYVEVAQQQRGDAIHRIVPGFVGGSFSGGSWQN NGVSLNASGPTSFLVPDGSDSRVEPSVCLDTAFLDSIDILRRSFVGSIRRLEERLVVN RKIVTVGEHGDIADAISAAAIKVIEQFRPWISSVESMNLAPLGTSFQNPQLVDFSLQK QRVYDAIGDFVLSCQAVSAPLADEWAELRGNSLDDRVNAVRGIARQLENFVSQIGFSL SLLLEQIPTEPASSLQRDGRQEAEDESYKNIHKRGESKAKIATESIGIPSSYAPEKEG GTDKVRRNMDKAQRFFGQAPPTAITREPIREPVREPEETPWFLKMAHEGEVFYDNKGD LPILKCGTLAGLVEHLTRHDKLDASFNNTFLLTYRSFTTATELFEMLVQRFNIQPPFG LNQDDMQMWIDRKQKPIRFRVVNILKSWFDHFWMEPNDELHMDLLRRVHTFTSDSIAT TKTPGTPTLLAVIEQRLRGQDTTVKRLVPTQSTAAPTPIIPKNMKKLKFLDIDPTEFA RQLTVIESRLYSKIRPTECLNKTWQKKVGPDEPEPSPNVKALILHSNQLTNWVAEMIL AQGDVKKRVVVIKHFVNVADKCRNLNNYSTLTSIISALGTAPIHRLGRTWGQVSGRTS AVLEQMRRLMASTKNFGEYRETLHLANPPCIPFFGVYLTDLTFIEDGIPSLTPSELIN FNKRAKTAEVIRDIQQYQNVPYLLQPVGELQDYILSNLQGAGDVHDMYERSLEIEPRE REDEKIARYAETTSRDKGSLLFASTVAILR PEX2_028630 MRFAGLSTVLLALAQHVHSLPVENDGTPALDIILSRITDTRIKA VVKNSGSEDVTFVHLNFFRDTAPVKKVNVFKNDSEVTFEGIKRRFVLNGLTSESLTSL AAGETFEDEFDIATTSDLSSGGPLTLRSTGLVPLVTDGAVTGYLPYRSNDLKIEVDGV KASRVLKAIKPLDRRALQTCSNLSKKSALAKALQNTVTLATDAAEAALSGSSTKFSEY FKTTSTATRRVVAARLKAVAKEAGSNTATKYYCEDTLGYCETNVLAYTLPSLNVIANC DIYYSDLPALASKCHNQDQATTTLHELTHAPGVYSPGTEDNGYGYRAATALSSADAVL NADTYALYANAIALGC PEX2_028640 MESKLGLQVTLAPQAQILEAQEAFALPIKVSVHNAADSTVTILR WGTPLDPQAGVLGIFEICDTTDKRKLPVPTIMVSRKLPASEDDLVEIQARHTIDVTVN LPIQSLDKGHEYSVRAQGTWHAVWPTELSNVTTSQLRDNEGAYRGDFISNESSVSIGL EKDARAVFEVLKRGGIAIIPMSVGYGITAIDPDALNRIFRVKRREPHKRHAMVGSYYL HRDIHVLPPQEASIVKLLTVDLELPLGIVAPYRLDHPIIRKLPPDILAQSVVGDTLAM LVNGGALLEELSRLAALEELPLMGSSANITGKGTKTVVEDIEPEILEVADIVIDYGRQ KFHHPRASSTIIDFRTIKVVRYGACYDVVQDALSRFYGIKVPDDPWNVEYFV PEX2_028650 MKFAVAIIGATLLTTSIIASPLTPRQQADREIHQYTQAPRRRIR PHSPGTSEVLYLNQTSQETYSSNWAGAVLIGTGYSSVSGEITVPIPRLPNDANSYTNY CASAWVGIDGDTCSTAILQTGIDFCIQGGSTSYSAWYEWYPDYAHDFSNIQISAGNVI RMTVDATSKSSGSATVENLSTGASVTHIFRNGLWGDLCEFNAEWIVEDFSVNNALAPF ANFGTVIFSNATASRSGNTYGPLGATIMDIYQDRILTSSSVTRNTVTISYI PEX2_028660 MELAEFISRREASVGQISQRCSNKCILTEASIAPGFALITGMFY TRSEQPSRQAAWFVGNSIAVLLGGLIAYGIGNIHITAIAQWQLLFLILGAITSAYGVI LFFTLPDSPAKAVFLKPNERAIAVHRTLKNKTGVLDTGKFKWGQVLMAIKDLQTWFLM PIGGAQLVFLLLTSGFASLVPKSRIIMMIFNTAVSMLGMLLIWKLDDENHAGKITGLC LGGVFAANIPLS PEX2_028670 MTLPVINIEEVKTFVDATLEALQQELRELNYQIWSNPELAYQEQ GAHDTICDFLEGQGFTVTRHAYGLDTSFEVLSGTGGRLINFNAEYDALPGIGHACGHN LIATSSIAAFLALSFDIRKFSIPGRAQLLGTPAEENGGGKAKLIDAGAYKNVEISLMA HPGPKKIYPDQEPSDGIAGTPMNARKNIYCEFTGRNAHAGGNPWEGINALDALVSSYN NVAVLRQQLLPDQRVHCAFLDTPKVANVIPDYTKAFWQVRSPRLKGLNTLVSKLRNCI EAGALATGCQVKIDEDELYTDVRLNDTLCERYCVHMGRYSRKVLKRYDKVLTGSSDIG NVSYETPTLHTMFAIPAPTTSFPHHPTFAAAAGTDEAHAEALIVGKSLALIGWDMIVE NDMYDLAWRQWKDEIARED PEX2_028680 MTYTVAPHLNYFTIPLTEFVAARPEFEGFGVGAYIFSHADPTPR ILLLQRALTDSMPGCWEGPGGACELETDKTLLDSLVRETLEESGLHVSHIIDLVAVDC WEHHRRSDGKIRIAKYSFVVEVQEALKSSAGRQQPIPTFQIPVQLEPLEHQQFEWALK EDVVLSVQSASGRYRFPLPSIGHQAPNILRAFELVEERENKQ PEX2_028690 MAPSFILTLSGPDRPGIVHAVTAFLVENNLNIIDSSQFGDPASE RFFMRTQFAIASGADETLELEKLRTAFEPTAQSFSLDFEINPTVKKPRVLIMVSKIGH CLNDLLFRQSTGQLSIEVPLIVSNHPDFATLAATYNIPFHHLPVTADTKAQQEAQILE LVSQHNVDLIVLARYMQVLSPTLCTAMSGRIINIHHSFLPSFKGAKPYHQAYDRGVKI IGATAHFVTSDLDEGPIIEQNVVRVNHGMSPKELTHAGSNVESNVLATAVKYVTERRV ILNGHKTVVFN PEX2_028700 MASTLSFKIYKNALLLAAFLGAAQAQQVGTNTAEVHPSLTWQKC TAGGSCTSQSGKVVVDANWRWVHKTAGSTNCYTGNKWDTTICPDDVTCATNCALEGAD YSGTYGVTASGSSLRLNFVTQAYQKNIGSRLYLMADDSKYEMFHLLNQEFTFDVDVSN LPCGLNGALYFVSMDEDGGMARYPANKAGAKYGTGYCDAQCPRDLKFINGQANVEGWE PSSNDVNAGTGNYGSCCAEMDIWEANSISTAVTPHPCDDPAQTRCSGDACGGTYSSDR YSGTCDPDGCDFNPYRMGNQSFYGPSKIVDTKSPFTVVTQFITNDGTSAGTLSEIKRF YVQNGKVIPQSVSTISAVTGNSITDSFCSAQKTAFGDTDVFTQHGGLAGVGAGLADGM VLVMSLWDDHAANMLWLDSTYPTTASSTTPGAARGSCDISSGEPTDVEANHANAYVIY SNIKVGPLGSTFTSTGQGSGTTTTKATTTSTTTTTSTTKATTTTTTTGSSTTGAAQYA QCGGTNWTGATTCVSPYTCQKQSEYYSQCL PEX2_028710 MRYTSLLTIAGITGLVLAAPGPSLSKRASSFVWFGANEAGAEFG SGNIPGELGTDYIWPSTSTIQTLRNAGMNIFRIPFAMERLVPGTLTSSADATYLASLK STVNYITSNGGYAVVDPHNFGRYDFAAFWTTLASEFASNNKVIFDTNNEFNSEDQTLV LNLNQAAINAIRAAGARSQYIFVEGNSWSGAWTWTTVNDNMKALTDPQDLIIYEMHQY LDSDGSGTSETCVSSTIGQERVVAATQWLKDNGKKAFLGEFAGGANFVCQSAVTGMLD YLQANSDVWLGASWWSAGPWWGDYMYSFEPPSGVGYTYYMSLLKKYFPGSSGSGGTTA AVTTTISATTSTTTTATTTTTTTTGSSTTGAAHYAQCGGKNWTGATICASPYTCQKQS DYYSQCL PEX2_028720 MRLPYAPSTPPVDAAPDTAAIYARIAERRNPRPLIPLDLSLLHS PPVADGWNSFIGAIRSRTLVDSGIMELAVCRVAVLNNAVYEWNAHAPLALKGGIKPDQ LQAALTLPCTAEGDIAELENSALTPQQRAVLRYTDQMTRTIRVQDAVFAELKSVGYGD REIVELTTGIAGYNCVSRFLVALDVGENNAREMKSVDELVATLQ PEX2_028730 MKHHLMVGTWTAPGRIYTVQFDDDALTLELVKKTEIPEAEPISW MTFSHDKKAIYGAAMKKWNSFAVNSPTDIVHQVSHPVAGHPLAPSTETNTRAIFVLAA HKPPYNVYGNPFYNHAGYGNVFSVKPDGGLDRNIQNYEYVPNTGIHGMVFDPTETYLY SADLTANKIWTHIKDPKTGELALVDCLEAPDAGDHPRWVEMHPSGKYLYALMEAGNRL AVYVIDERTHKPVFTHITYPLLPSAISSLGLPPRNKYRGDVTFCTKGGEYLFATTRSN HFDVTGYITAFKLGSHGEIERQLFINPTSTSGGHSNAVSPCDFSDEWLALCDDQLGFV EMYRWRDEKLARVARVDIPEQGFGMNAIWYD PEX2_028740 MATPGLLYVTMQPKGSLPDTQFHDWYNTEHGPLRLRLPFVTNGF RFRATDGEQPEYVALYDITDMDELTRETYLDLRTDLIKTEREKKTMAQIDVGRFLYDL VDERSNPNFRPLEDQADTDAETQGSVLIAVRVTTHPDPAKQVDLEKWYREEHFEMLSR VPGWRRSRRFVTAAIDSAAPRESLTLHEYAPVNGLGGEAHKAAMNTPWGARLMSEVVT SKKRRVYEWYYTFGPAPRELTSLAAPDVVGPWNSNDGRTRTFPSAARPAVESFVTTSD GVEIPYRLEGSTDPYAPVVVLSNSILVNWNIWDGFVNAFFAHQQNQRYRVVRYLTRGR HSQSGEQPVNIDLLASDLATLLEALRVPPKAARLIGVSLGGVTVLNTALLHPERVGAF IACDTNSSAPESNRKAWGDRVAICEKEGSTDPDTNEPIVGEELAEVTTRRWFVPESYQ TQPEVLAPVKDAVRTNSLKGFAHSVQALCAYDIRERMATATVPGLFVAGANDGVLPQT MQKMAADLHGGADLKIIDRAGHLPMVEQPVAFAEVVTEFLSKIDG PEX2_028750 MPESKIPQPGPAKLKRNAGPDEWLEAAKDCKYLSEPHMKQLSNI QPVSTPVTVCGDIHGQFYDLLELFRVSGGMPDGTELDPPKTSPSVITSADIEPPSSIS DPKIRKKLRGPNVNSNDEEGDSTSRSRSASDTSADLQLNRNFVFLGDYVDRGYFSLET LTLLLCLKAKYPDRVTLVRGNHESRQITQVYGFYEECFQKYGSASVWKACCQVFDFMT LGAIIDGKVLCVHGGLSPEIRTLDQVRVVARAQEIPHEGAFCDLVWSDPDDVETWAVS PRGAGWLFGDRVADEFCHVNDLSLIARAHQLVNEGYKYHFNNQNVVTVWSAPNYCYRC GNLASVCEIGEDLKPTFKLFSAVSDDQRHVPTSRPGRSEYFL PEX2_028760 MEKVDVLICGSGSAGLCAATWLAKYGVRCKVLERRSGPMKMGQA DGVQCRTVEIFESFGIGEELLREAYHVLEVNFWADNGTGTIKRTGRTADTQPGLSHQP HVILNQARINGLLIELMQKYNDQQIDYGYNVTDVKVNSNSAEDHDAYPVKVTAEKDGE IQVFAAKYALACDGAHSIVRKALGYKMIGDSSDAVWGVMDMVPRTNFPDIRKKSTIRS KSGNVLIIPREGDSDNLTRFYIELAPGTNPKEVTLENLQAQAQSIFYPYKVDFVETVW WSAYAIGQRHADFFHKDNRVFLAGDACHTHSPKAGQGMNVSLQDGYNIGWKLGEVLSG LASPSLLETYVLERQKVAIDLIEFDRYFSKLFSSGASTSPAEFQEGFIKSGKYTAGLT AKYEVSPITSALESAELASNVTVGMRLPSAQVVRFCDSKPLHLMKSLKSDGRWRIMVF MGDLTVPESHSKLNKLGEYLSAADGPTHTFTPKNQDIDSLIEAILIGHGKRHAVELEQ IPECFYPVSGKTQTRGAVALIFNCSTISNLEYLDLHKIYYDDESYNDGHGRAYEYLGI DPAQGALIIVRPDQYVSAIIGLSDYPEIGKFFAGFLKNQTGTDSGCQPSGPVGSRL PEX2_028770 MGMEAIAVDPDLYTPQSAYSPDDWQSETTSIGSSIYRGLMDNGR RNNSRPMKQGTYSNPSLEHASDYHGRHLVDLIMDSDRANPLFRSPIGTDQERPLQVLD IGTGKGTWAIDVADMFPNATVRGVDLFPPPVTWMPPNCVIEVDDVLQEWTWREQFDLI HMRNMIGSFNSSEWDLVYRHCFEKMAPGGWIEQIEAGPFITSDDGSLPADSALSSWGP LIQTCGDRAGRSCDIVLTMSESIKNAGFVDVHEKIYKWPIGPWARDQKFKEAGVVNCQ HWMSGMEGWCMWLLTKFGDPEPWTKEQVHVYCAKLRSELKNPYYHAYHKARRVWARKP MPGEVPPRSSKSSSGTCRRRKVKCGEEKPVCARCSSLRLNCEWGLPVKRGRSTQIRHL EPAPGTSEQPKAEDIVGFEQNALFTSLSPASWVSDGLLFDTSHPAPILTPIYPSLDVN IACANSLTLTSLDRQYFQYFPSSSLVFYYMKGWKWSSLCHLYEGPAASNRVIMRMILA LSACDMHRNGLIARSQDYGRYHYSQAVKEFRQLLETPRQVSLNDVETVFATVFLMIAW EWQFGHSVRHVSMLSCTDLFTRIVNSLTGYQLQLHLQGVRSLLETHPQLFRIKDANDM FFSPGPSALASDTMTMAKVSFIPEQFLLWILYIDASCRPIGLTESLNDYVAQSGNPAL QPDHLHRCARLWGRCFWGEQYPDEELLDDIENYRALELLHAGFCLRHRTWKVLVESAA RTTDSAEPLFRDILVTRDVSIDTTPGWESY PEX2_028780 MDFKPDADVEYTAVHEIDLANVGSFIARYPKPDDVVPVTERRGN GAKWMFYWGLHYHRRRSDPRAVTHRQKQTKQRKLYKTGGKNTAILQRKVQRIGDFIDM DAVRVYFLLMFGEVSELTVVFQLATAEFLINIGNNRNAGICCLVHTDPQFCEQAKQGF NVVVGGKGFGCESSREQAVMALLSKSNPTTILSIGIRDF PEX2_028790 MIRPHSEGFCYEEYDFEVMKRTLNSLKSYGADGFVFGILNRSPE MTCARNMSWVDVSRNKQLVQLADGRPCTFHRAFDVIPESDWENALADIMECGFASILT NGGASGTKAVECVDKLRALVRYKTQLEEESKLRNNKVPEIIVGGGVRASNIGLLHHIT GATAFHSAALLATEEITSATEVFKMKDEIMRG PEX2_028800 MSRRPVIAIAGLACETSSFSPARTLGGAFHPRRGSEVIDQYAFL HHGTRLADVADWKGALIGHALPGGVVVRADFEQLSREILERLAGMVASNPIDGLWYDI HGAMCVEGMKDVESELLRRIRTVIGPDALVSASMDLHGNVSRELAHQTDLITCYRMAP HEDELETKERACRNLVNVLVSGTGTWRGNRPLKAWVPIPILLPGEQTSTRNEPAKSLY SLVPEIEAMDGVLDAAIWVGYAWADEPRNHAVVMVTGWNKSAVVRGAKRLASLFWRVH KDFHFVAPTGSFSECIESALVSSNRPFFISDSGDNPTAGGSGDVTFGLNKLLNRPEFQ KDTGPSVIYASIPGPQAINVIIKAGVGATVTVTAGAQVDNLHAGPITMTGRVHSIRYG DRDAEVEAVLQVGSVFTILTNLRKPYHYESDFLGLSLSPRTTDIVIVKIGYLEPELFD MAADWMLGLTPGGVDQDLKRLGHHSILRPMWPFDKTFNRAPDLTPQLIPFSNEELETD QRMKF PEX2_028810 MASAPTKTCFSTSSPYEEKIGYYRAIRHGNQIFVSGTTAVDPCS PINAPRILHPEDAKQQTCVALKESIRAIQGLGGRGAEDIIRVKMFVSRHEDCEAVGLG FSEILGKHNRGGGGIIGAAATMIVVNGGFINKGMLVEVEVDAIVEHS PEX2_028820 MSSNTVHVAILGATGQTGGVIVNALLESKSPKFRVTALTRPSSL QKPAVIEMANRGVGIVTADLNGPTKDLEEALSGVDIVISTIYGGNLMDEIPLMDASKS VGIKRYLPCFFATVAPPKGALRLRDMKEDILNYAKKLNLPYTVIDVGWWYQVTLPRLP SGRIDYAVMETMDGIAGEGDVPFAMTDVRDVGKYVARVIVDPRTLNRMVFAYNEVMTH NQLYDLLEGLSGENLERKYVPVEAIRSSISKIEATQPSADSPDFVMLAQYQYWYSCCV RGDNTPEYAKYLGYLTTKELYPDAKWISLESYAQEVLDGNAKRVYEHLQHLAPARAVE TK PEX2_028830 MLGSLFGWISLLFEPLAFQVPLIEPQPRLKAENDIAIPVQGPWQ DIITGHYAIPPVLKIHDRNSVVKWSWQREDVTQPLPPLIQSGLYSGFNDATDMKWMRG GRSVAAVYSALIVVINHTPDQPSTDKKITFALNRANEVLNNAHTIEPLPGDRLAVGTT GQRPWDGILVYNMSEALPLVDEPPVLQRIEGLRAIHAMIWDEQGQMLWATGTDAAADG SDPVPAYGVIQGYPFDAETGLLSVDEAYRFRFPEYYDIDVEWGHGYSWWAGPHDLVPV PNERVFLVSNDIGLHAFDIDKMKFTAEYDEVVEKYMPGFEVTTNDRHGITRQGEYVEL PQSDLKGFSLAPDGSFLYTQSLWRLYRGNYTSLVVDGVRHQIMKGNEIYRSRWFGDID GWPKPKT PEX2_028840 MASSTSPAPGKEGTQSTVPSLTSLAFKEVCHQLEAFVVGKKASA SFVCGGLVPNDTKSTAGSTSAQTISVSPPVRICWRTDGDNIPRVLTLPLDLNADSKSA PDDLHKLVVDCDPASFGKGQKDVIDPQYRKAGKLEPHHFFTSFHPSDFGILQNVEQIL LPNFNTKSQNSLPFRKLSSELYKLNVYSGPSGLFRQHVDTPRSQNQIGSLVVCLPSHF KGGNLVVRHEGKQVVFDWSYQSTSTIQWAAFYSDCEHEIKTITEGERITLTYNLYVTE PVGGSIPPSLIVDPKSLSLHSFLKELFIEPSFMKEGGAFGFYCSHAYPHTSDEASMLL PRALKGADLVLYSVFKSLGIQIDVVPVIIEDDYQGDDDEEDDYEEDDSSPFDNEQGAS QKEQGASQKGQVRVGDKLHSYKATGMMTEDGESSLQALNWTWSGKRRPEVTWIGSPTH EKMALTHLAYGNETSQSTVYSYAAIIAEIPPFQERKGLVDA PEX2_028850 MTMSLLVGHEFDSDSLDVDSSTTRIDVADKIDPDTPVGVRPIQN ICCIVAGYVGGPTAAVIAFKNPQIRVTVADKDERRIGRWNSRHLLIYEPGLAKIVRIA RDGNRNYSTMLISTNSEGIPYVFEEHEAHEAHDRQQEGRAASPRILNLFFSTNVPKCI QESDIFIITVNTPTKMRGSGAGSATDMTAFETVAADVVQHARNGSSIVEKSTVPCKTA QMIQEMIDVCRPGGHFEILSNPEFLAAGTAIHDLLYPDRVIIGSATTERGKAAAETLA GVYAGWVDRARIITTNIWSSELAKLVANSMLAQRLSSINSISAICEATGAEINEVSAS IGMDFRVGDKLLRPGIGLGGSCFKKDVLSLVYLSESLGLKEVGAYWCQVVTMNEYQRN RFTSRVIKSLNNTLVGKKITLLGYAFKKNTSDTREALALEIIKTLLDENPCEIAIFDP FCNPYVIESDIHQLHGPPALRDDGGTVKFYSDIYDACASSTAILIVTEFDEFRNTESA GVPRAVIDPPHVAYAQEKPRLEPDCVADCPDCQRENGSELAIGKPNNNLPKKQVGWRI IADNMATPKWLFDGRCIIEIKKMSQLAIRVESIGSVGDSH PEX2_028860 MTSTSPPALTTTFTPPPSCTTDTWMIENLSGTDYYTTTVTTGLT GWWLNLGPTDWSTCLPSGYVQATDFYYSPGICPSGYWTAFQSAYTSNGEAAETHATCC PM PEX2_028870 MKDFSYTNRDDIPLKEAGTEESDDDEEKQVYPPSSQLAPIVIGL CFQSFCIALDNTILSTAVPKITEQFNSLGDLSWYASAYLLTTCAVTLPFGKIYTYYST KWTYIVALGLFELGSLICAVTPTSKGLIFGRAIAGIGSGGLSPGALLVLANSVPLHRR ALYFGIIGSTSGIATITGPLLGGLLTDHVSWRWCFWINLPFGILTGLVILLFFKDSTS PKPKISRLGQLKRMDPLGVLAFVPAVVSLLLCLQWGGTKYSWSNARIIVLFILFGVFS FAWCIIQVWRQDDATVPPRLLKNRNVLGAVIHATFLGGSFFVFGYYLPIWFQAVKEDS ASQSGINNLPMVVAMIVFSALAGLLVNVVGYYTPLMFVGSAFLTIGSGLCTTFKVNSG HPKWIGYQVILGIGAGLGFQQSINALQTVLPLQDIPIGIAIITFAQSLSGAMFISIAQ TVFENRLVASITANAPNLSPNALIQAGAANLSQRVPKDMLPSVLYAYNIAVIQTFYVS IAAALLSFIGAGLVQWKSMKRRQKYDGAA PEX2_028880 MYTITNIYVLAAFGTIGGALFGFDVSSMSAWIGVDTYTDYFGSP DSNLQGGITASMSAGSFAGSIAAGWLSDILGRRYALMIASLVWIVGAMVQCSAQNVTH LVAGRVVSGLAVGVTSSQTCVYLSELAPARIRGRVVGIQQWAIEWGILIMYLIAYGCV VGVSGTAAFRICWGVQAVPGLILFTALFFFPESPRWLASQERWEEALDTLAIIHANGD RHDPVVQVAFEEVQEAVRVAHESQDVSFLALFGPRVWKRTMCGMTVQMWQQLLGGNVA MYYVVYIFEMAGMTGNTTLWSSAIQYVIFLVTTGVMLPFIDRVGRRNLLLIGSVTCMV VHFIIAGVMASKGNPVPNVNGNANLTWEIKGSAGMTVIAFSYIFTGIYGLTWAPTAWV YAAEVFPLKYRAKGVGLSAATNWIFNFALAYFVAPAFHNIQWKTYIIFGVFCTVMTFH VFFTYPETVGRSLEEIDLVFETDIKPWRTHEIGDLFGEEIERRKELGAKGETSGATHE EVV PEX2_028890 MPTPPPNWVKALKPSGPQGSELLQQERAQSNVDVKRLSELLHTK ETLERRASLLALLQPEKVFDKSQNHTLGRVERLQRSLGKAKRLQQLAEEHKWSMEDLH AANDLIGEPTPYGLHASMFLVTLREQGTPEQHKLFLERAEKYEIIGCYAQTELGHGSN VRGLETTATWNSNDKTFTINSPTLTASKWWIGSLGRTANHAVVMAQLFIDGKNYGPHP FVVQVRDLETHQPLDNVYVGDIGPKFGYNTMDNGFLLFNNVKIPHVNMLARFCQVDKE TNHYAKPAMPSLVFGTMTWVRANIVLDAGGVLARGVTIATRYCAVRRQFQDRDADPHA GETQVLNYKMVQVRLLPLLASMYALHFTGRGMMRLYEENQSRMKAASSPDQESRGAGP EQLRAGANLLADLHATSCGLKALASTTAGEGLEICRRACGGHGYSSYSGIGPAYADYL PTLTWEGDNYMLTQQVARYLLKSARAVLAGKPTGNDTSQILQAYLDRRDKGASFDVLD EDKDIVAAFAWRTAHLTFEALKHRDAEQRSWNSLLVDFWRLSTAHSQYMMVKNFYEAV SSPQLSAALDPETRGLLHQLFRLFSLHTLEREAAEFFASGAVTVRQITLTRTTAVLKL LDGIRPHAVRLVDAWAIPDWHLDSSLGRYDGKVYEDLFRRASEENPVNDLVFDPYPWN SALLKNEPAKSKL PEX2_028900 MATTHVKQKSRDSNLIALEALPHGQSDRGSPLGPERFPLLEKWN QPRVNVHRTFATFWSFLVMGANDAAYGALIPYLESYYHLSYTIVSLVFLSPLVGYTVA AFLNHRIHYTFGQRGVAIIGPACHLIAYVINCVHPPYPVLVVAFIFAGLGNGLEDAAW NAWIGNMANANEVLGVLHGIYGAGAVMSPLVATSMIAKGGLPWYYFYYVMIACAAIEL VVSGACFWKSTAADFRASNIPSVDESKKGGLRDALFKRPAARVTWLCALFLLGYVGVE VALGGWIVTFMIRVRQGSAFASGMTATGFWLGITVGRVILGFVTPRVGEKVAISVYIV CSMGFALVLWLVPQFYASAVAVSLQGFFLGPLFPGAVVMVTKLLPRHLHVTSIGFVAA FGGSGAAILPFAVGVLAQAKGVKVLQPFIIALSGAILITWLGLPRVTKVRRNE PEX2_028910 MSYNNPIIPGFNPDPSIIRVDKDFFLVTSTFEYFPGVPIYHSQD LIQWTLIGHALTRPSQLQIHTPEPGGGVWATTIRHHGGFYYIIAASFQRYRPQQDDRV WPQGFYVKTTDIWDEKTWSDPIYFDQVGFDQDLFWDDDGTVYLSSTYRKIQPTSGAKL KDFAIHICTVDLTTGHSTSAPKLIRESSSGVAEGSHIFKRGRYWYLFTAEGGTESGHS EWVNRSEVGPSGPWELGPNNPLWRNGVEDEVQNTGHADLVEDTKGQWWAVVLGVRPSR KGDTWEDSVLGRETFLVPLEWKDDWPVINGGQKISLNSHGPGLYQFHTPVPWRDEFSD PKMQVGWYRKNTPFVNDYSLTERPNYLRLHGGPYNLSVPASPTMFLRKQTHHVCRWET RLSFQPTLNETEAGTVVWLNYFTYSSIGIRKDTNGRFIRFRPSEGDIVEHRLDTETPV TLTVDCGSEYRFGYHEGTESEMHWIGSVSNSAATAAPPVGANFTGMMLGLYAFGERQR CLAPADFAMTETLKIDHLISIPDVMSDDAEQVTDKWTGLTDPTERRRRQNRINQRAYR KRKRLLSTNEIHPKSLVPSSHSSSTAQSQPGSSQDNPKLNFCRSPEFLQNILERFAKS AYESYARGDPTADHLMTLTKVNVFRAFAQNLRLIGWSEYWMDHDAISPFNTVLPQKPS TPNDKIPIPTNLQPTRIQKSIHHHPWLDFFPSPKMRDNLIEAGDDWDDEQLCNDIMGF WGESTMDAGLLVWGEPWNVQNWEVTEPFLKKWQWVVRGCPELMDATNRWRARRGEKLI FRYI PEX2_028920 MMAPNITSSPDALLAGTTSGTIPKLTQAELNQLYFDRVYPFIPI RQQGRYFSWAKQRSPSGSHLCLKYAVWTMAASLSSQFQHLRDGLYHDTRQMLEALENE DYHQEDAFYLQQAQAWILVAVYNSCRRHSDVLGRAQAEHFA PEX2_028930 MPSNRAAWLTAKSARPLEVKTAPYTSPATNEIVIKNGAIAINPV EWSKQLVGDLMFSWIKYPFVLGNDLAGEVVEVGLGVTRFKPGDRVLGHALSMDPTVNK NSEGSFQEYTVVRANMASPIPDTLSYEEACVLPLGLSTAACALFQKDTLALNYPDASA AATGESKKTGNEALVIWGGSSSVGCNAIQLATAAGYDVFTTASPKNFEFVTSLGATQV FDYRSKAAITEIIKALKGKKPVGAIAIGNGATEACMEILSKTNGSKFVAQISFPWPEK TPTTTLALIGAMVGLMWWNLSIFIKSKFKGVTAKFVFGSSLYNNEVGGIIYHDFLPEA LAQGSFVAAPRPLVAGKGLEMIQEAMDLHMKGVSARKVVVSL PEX2_028940 MASRPQGPLAVGPADYTHPDAKQIVVKNAAVAVNPLDWAKQHVG DKKWEWITLSFIIGQDIAGEVVEIGSEVTRFKVGDRVIAHAVGFYLYGNRAAEGGFQH YTIAREHMASPIPEELSFERACVIPMCCSAASCALYQKGYLALDYPTVPAQPVNKEYI LITGGSTAVGGNAIQLAKFSGYTVVTTCSERNFAHAKDLGADLVFDYNSPTHEADIAA VLQGQKVAGAFAVGPSSVELCIHVLGQLGDECRKFVVKASFPWPKDDPKDDEEYWAYM KWVDEWNQGIKAMADEVGIETKYVEGAELGRNEVSQVVYVDFLPTALAKGTYVAAPEP QVVGYGLESIQQALEIQKKGVSAKKVVVSLEE PEX2_028950 MVETKSEKSAHGDDMQENDNQEEDEEYITGFKLWAILISGTLVQ FVMMLDQSIIATAVPYITDEFHSLLDVGWYGSAYQLASAAFQPLTGKLYTYLRIKWTF FAFFFVFELGSLLCAIAQSSKMLIVARAIAGLGGSGLLNGGLTMISACLPKHKRPAAM GMIISIGQLGQALGPLIGGAFTEKVTWRWCFYINLPIGGVVLALLATLDIPDRIAKPN LQTLLRTIVTTLDILGFLIFAPAAIMFFLALQYGGNQYPWGSSTVIGLLIGAEVTFLV LLSWEYYRGDDAMIPISMLRKRIIWSSCLTMFFITGVLTYGAYYLPIYFQAVQGASPI MSGVYYLPDILLQITMPMLSGIMGKCPPPPLIHDHWVGGTALASIGYGLLTMLTPTYK TANRVGFQILAGAGLGSAAAMPFVAVQNLIPHAQISVAMAILVFSLNFGGATFLTFAE TDFSQSLPVAIAHYAPGVDASAIIAAGATGFRGLVSADQSVGVLKAYSESVDHVFYLV CASAGTAFCFAWGMGWQDIKRHSVKEQDPAMP PEX2_028960 MPPDRNSATQRPGGQGCERCYRLKKPCLPGDSTRVPAQKNNPFS RIDRLEEKLDGLVHLLGGGPVSLNNASHPGADHLTPPASTSIAISPLSTTSGASSAAT SSLTLDLSPEQCLVKFRTHMLKYFAFLHIPVDAQCLRRERPFLFLCIMAASAQSTQTK IELGERIKETLTERIFLDNDSTAVNIDLLLGLLTFLAWGHDHLLHGTAARLSRFTQLA MSLVFDLRLNKPLPDDPNMLPVGGNCLVLNDPARSLEERRAVLGVSSYFAQIDAMQWT PYMEECLDVLSRSAESPYDEMFAHQVRLQRIAGEVESARSTTTSPPAFYLSALQLKVN EVKAQISPHLQQEAPLLASLYFTELSMCSLALSKQNVSGPSFQRIGCLYACLNTVKLA FENFFNIPLVEYAGLSFPFFAQLARYLIVLSRLSTLNDPSWDNNLARSTVDVLQVIDQ LINNIQHAKVADGEECTGGPLDKSTRIFTSVRTWCATKLAEGGVEGVHVGNTGFQPDG NSGMQLEALFLEDGWWRDSFDVILGGD PEX2_028970 MAGSIGSVIHQIISLVPFWFIAAWGLTVTVAFTLFRAYKDPLSK IPGPIFSRWTGVVETSYQVRGHRHDYVHSLHQKYGPIVRYAPGHIDVSDIDAVLIIHK VNKGYRKSDWYHSLAPPGVETLMNLTNPTVHTRWRRLLGGPFQDNYLQKLESVVAEKM ATALSKMEEELEERGYIDVLKWWIYMALDVITELSYGASVNILEDEEENRYIMDYLEG LGPIHAVRTTMPFVITIANWLRFPIFNKLLNAGPRAAVWAVETIKAYKKLLTEENPKP TLFTPLFDKGDKGFTDTQITHLAGSNITAVRDKLVGEISQLPEGFKHNDVQNLPYLNC VIQESVRLYAAVPSVLPRAVPEGGVEISGYFIPEGTTISTQCYSLHRREDYFPNALQF DPERWVNPTKEMEEAYMGFGAGTRSCVGTNLAHTELRLGAANFFRKFPKARVSTKEGM NDDDMRQRAWVFTSPVGHRCLIDP PEX2_028980 MITEDCIEFKKEVIAVTEGGYGGNKDAKTILHFGDDTVAEADIV LGCDGLRSKVRQLILGVDNPASQPSYSHKVAFRGLIPMQKAREVLGENKSSTGYMHLG QNGHFLTFPVAMGTILNVVAFVTDPGQWPSNERLTLPATKDEAVRYFSGFCPVVISIM EMLDERLDKWGIFDLFDNPATSYVSEAGLIGLIGDAAHASAPHHGASAGCAIEDALAL AVVLEDAAAMLQESSDAVAERNVGIASCPLYLPRYTSRTYPMGCAEQSAHW PEX2_028990 MGPAVGQISLVGRYLGRPQPVPADLLLPFGEFIVKYNLTDLAYY IYFSTSGLSNPLQQLTINVMKMVDQAYVDESKGGGLGTANHDNSEIYVKALAELGSSA LLSSTVTAASRPVGNSGVKLVVKTPSGSRLIKANKLLITIPPTLGNMKPFALNNEENN LFSQSTYMGYYTILLNNTGLPSGYEWINANDSFSTYNIPELPDACQIVETRISGLFYA WYRSPVSVTQREVEASTIAAIQKLQKAGNYTTTTPTVVEYRSHTPFKLKVSADAIGNG FYEELYGLQGKRSTWYAGAAMVSHNAGVIWNFTNALLPNLAG PEX2_029000 MAHNFGEVFTPPDTGSHLIVIFKSSSPVKLSNTGLTPVSADIAP LRALLERNHASMKLLFGQDEDRLRTQQEETLKLSRSNDVPTVEDNGTSDAQPTDLTHL PDMASFYYVDGAADSLDDLASEINQQDMVEAAYVVPSASPPQYIRIEASPQDAPSQTP NYVPRQTYLNNAPAGIDVDYAHTVPGGKGENMRVIDCEWGWRFTHEDLKELQGGVIAG TNSTDTSFVNHGTAVSGVISGDVNHRGIVGIAPSAMFSASSFVGQPTSAAIYAAAARL RKGDVILLEIHAPGPNAPKPLQGQKGFIAIEWWPDALAAIRYAVAKGIVVVEAAGNGA ENLDSPIYNTPLAGFPSWWRNPFNTKNSSGAILVGAGSPPPGTHGRNHGPDLSRLEFS NWGSRVDCQGWGREVTSTGYGDLQGGISQDLWYTDLFSGTSSASPIVTGAVLSVQGVR RKRNMGFLTTRQFQTLLRSTGTQQQPRPGAPITQRIGNRPDLKQLISAALVMV PEX2_029010 MKLIVAGATGLVGTEIIRQCLEISEITQVTALARKPVHVEDGTD PRSKLKSVVIRDYGEYSADVKAEFAGADACITGSFDFAEVKRVCQDCTLAGFKAMYEA GPAKPFRFIYFSADGTPRDPTKKPAIMGDYQVMRCETELMVIKFPAQYPGVEICIAQP GVVVNSTSFGRSVLASAFRVVNSFTRAIPNIHRQELSAAVLDLAVKGFDQETVKNNDL VRRGQEVLKQKGESKR PEX2_029020 MAASSFDELRQSLPCIEIYVPGDSGYKETLKRWSASCIKPAAIV VRPTTAEEVSVALRYATEHDVLPLAICGGGHSTSGDSASDGGMVIDLFKMRSTEDVNG ALWEHGLGTVSGVVGDTGVGGLILDGGYGYLTGRRGLALDCLLGCEAVLANGDIIIAN KDENADLFWVFRGAGPNFGIVTNFTSQAYPQGDCWAGFLAYPPDKLAEVIEFGNLFMR TTDGNSMLSIIVGNFIPPDRAAGLLAVVFYNGTKDEGKEFFKPLYDLKLIGDTTATMP YTEVNLMFNKHHRTPKDRHLFGGLNFTLPLNVTYGLQIAEHFWRTTRLPENEDIKGST LTFEYYPTY PEX2_029030 MYKIAALPSAIELSKNESTGRSWATIVSQSSVVSSNTRSVRSSL GLLAVVLDLRSANEETKALVDDPTRKREKVRAALKEETTTSNVEIVGVKPTSRTTIKV FVDSEESLAHLRRATHWLKFLPGATLQGEQWFPVKLNDVKKESVYGPSGAQRQSFTQT FQEENEIEQVRKIVWLSGTKRYGSMAIYLSKKGDAEALLNRRIVHVHGEAVFSDRFHE RPRPLRCRKCQQYNHKEDRCPNSEACGKCAGHNRAVQCTSDVVKCAACQGNHAVTDRD CPKWDEAWKVIRRREREVTARRPDSLPYGSQ PEX2_029040 MAFLQIVTTSVVVTLLWVVTESIRRLFFHPLAHIPGPRLAALTW WYEFYYDVVLPGKYIFKIQELHREYGPILRITPDEIHTNDVGFLDTVYAGLKDKYEYP LRALRVPGGVGTTADAKLHKLRREALVPFFSKRNVLSLQHLVTEKVDQLSELIAKHAR EKSQANLSDLFFAFSNDVVTNFLFAHKMNSLADEEQAGISRNNSRQLLLGVHINKHFP WIPDFLEALPTSISRPLMPPGLIDMFNLFDRVRGEISGIMKAQSSGADRKSGRSTTEK EAVYESLLVNESLPPQERTLTRLEQEGALLALAGTESPALSLSIAFYHLLANPSILNR LRAELETVPVDASWPQLEKLSYLMAVIEEGNRLSFGVTARIGRRAHEELIYTPSPYAT TPGTGKSYKIPARTPLSISTLSAHTAQSVFPDPFTFDPERWLGEAGRERKRFQMAFTK GSRKCLGIELANAELSLVIAVLVRSYDMVLYETDARDVSFEHDYQIAMPKIGSKAVGK CDASSLPLPSSGECYGVDVSSWQRFGWEMLRCRANFGGFSFTRAECTVAAPFYFQPGR LSGFGPFQDGGVRANGPLAIIALRESGIIWPRAKRHDLLLSVGTGFTAPALDHGLRHK AWDSALPRLFRATMSSASMDGQQGLFEALIYLPHWSKPDVLRLDQAIPSPLPELDDIS VLQE PEX2_029050 MLKSILINLCVLGFLSCTLAIPSKLGQRDNTIAQRDARFVEFTT RKLTDGNPGEKNTENSNYEQIAEGW PEX2_029060 MENVAYQPVRDNVDVDSENLNSEKHNEKLNTSGHKSWKWFSIVI WIAVGYSLAFITVAFLHPYTKESYGRESSAWSPALEIYDDDKFSTQRFNGALRAQNEF RGQPSQEIDDAWDEILHPEGGLVRLSKEQIDKVKASEYAAEYTEDMGGGYIGSIEALH QLHCLNMLRQVTYLDYYLPKKKEWRDDPQTLRFHLDHCIDMIRQKLMCSPDVGIITYV WAKGYKHPFPDFNIIHKCRDYSRLLEWVKENDVHGRNVSDLQRVPGAKERETRP PEX2_029070 MPMPRCSGLSVTYYRAVIVSLVSSQETPKDQDDLKLKETTALPT MSEQKILDQRSAMIHSEAAQGYRDDGVPHDSDDEPMIYEIPMDYAAFSRQERDRLIAE GADPNSVILQSELHNHIIRREGESIDDYAKRYSDTMNAMIRRGVSILNDLLIADVVPS EFGTEDGRFFDLGPESGATKREYREFSQWISEVSVAGQTYEVPEKWLKFEKPAGDLNY DSGDRP PEX2_029080 MATVASTTAARSSERMYINRSDGWLGELTKRSLDDHDEVQFFQD GLNTGARILGDGRVDINIDQHKPHLSGLLNHIQHPDIHSTREAERTASAERPYLKDEH FPLHLNLVIQVIGSRGDIQPFVALGRELKAHGHRVRLATHLTFRQFVLDSGLEFFNIG GDPEELMAYMVKNPGLLPGLKAIRSGDIQRRRREMREIFNGCWKSCFEKGDGTYIHQI KEDPWSETLDYRRRPFVADAIIANPPSLAHIHCAQRLGIPLHIIFTMPWSPTQSFPHP LANVHPRNCKPTIANFMSYNVVDMMVWEGLGDILNTFRKNTLSLQPLDTMTAPNILNR LHVPHTYLWSPSLLPKPSDWADNIDVCGFSFLLSETNYTPPNEITTFLSAGPKPIYIG FGSIVVDNAVELTKIIFEAVKKTGQRALVSKGWGNLGADEVPDNILMIGNCPHDWLFR QVSCVIHHGGAGTTAAGLALGLPTIIVPFFGDQQFWGNIVARAGAGPPPIPHKRLNLQ NLSDAIQKALDTSTLERAQAIARKMQEESGVRHGVDSFYRNLDTQSFRCSLIPNQPAA WHLKHTKVNLSAFAATVLVKLGKISPDSLVLHRAMEYDTFLDPPDPLTASAQFFFGFI TSFVTGLVDAPREIVHDLVSTARGMHQPHEHFDRRAACQAAISSPVQSSPENSNESEE IQIQNEGGQFQIENDEPGNREIREEESTQEDTTDEDNENDVNEITRTRSLERKRNLQL EKSKTMSSSMTPSKPPKFTILHEVAIHGSQMSKKVLKVIIWLPTDLSLGMARGFHNAP KLYHDTTVNDVPQVVSLRSGFKAAGKELKDGFHFGLTGLGTHPRDGLKHGGTKGLLKG VGKAVGGVFLKPTAGM PEX2_029090 MANTRIHRSGSTFELLTAGPSVVTQSQSYEHDKIPLPLLRKQVS ACTLEFLNEGETVNGTDDKKKNHVALRLAFKHTVMKATPYAPPSTTGILISIDIHGNI PDSSNMGSKLVVKTLGYQGPHQRALKSIDVPIAPGKTVKDFLKPINLSKILPFTFVFD GNGYMGCRDFMSQFLRRLEDTEVITFSREEDSAAFYRHFNMRYGKEGMTWFNPVLRGD FPDTYSYIVLTDVIYH PEX2_029100 MKTFLTISSVLAFAGLSICQNTCTADDVLNSLNAVEYYADKMQS VTDASSDNIDPSAPIFKNFESQTKHFNEDLQCTFNTTQAEQTAICSAYETFATSQLSY LHVADGRASYEKNGHGDNAVKMHGYILQSQHALENYTTQVKAAAASCVDRIDTVYTPL GTQLQKLQQAYPGTA PEX2_029110 MADIEKQPGAESSKAPGTTADDVQTNPFVNADKLARRLSGRQVQ MIAIGGTIGTGLFLGTGSSLAKGGPASTLIAYAICGGIVFITMLCLGEMAAFMPVAGS FCTYAGRFVDDALGFALTWNYWFNDAVSTASDIIALQLLLQYWTDNFPGWAISLIFLV VVIALNVLSVKVYGEVEYWLSLLKVITIVIFIILGIAVNCGGNTDHEYIGGRNWHKGD APFVGGIGGFASVFVTASFAYGGTESIAITAGETKSPAKTMPKVVRNVFWRILLFYLL SILIVGLNVPYDYPGLSDGDTKTSPFTIVFQQAGSAVAGSFINAVIMTSVISAANHAL FAGSRLLYTLAVDGYAPKFFGHLNRFQVPWVAVLATSVISGLCFGASYIGAGKLWSWL QNIVGVSNQLSWICIGLASLRFRSAIRAQGIEHLLPFKNWTYPYGPIFAVGLNIVLVL VQGWKCFSPHFKRVDFVSYYIEIPVMIFMFLAWKLVKRTSFVRKSNMDLRTDRYDPSQ EDGPEGLVTEKKTGVWGKAQRFGQWLFF PEX2_029120 MAPRAVKGKSKTHQDTGRVLKRKRGEDSLATLVKQVEDLDLKAP VKNFSDLPLSEPTTKGLAASHFKTLTDIQSRAINHAFKGRDILGAAKTGSGKTLAFLV PILENLYRKKWTELDGLGALVLSPTRELAIQIFEVLRKIGRYHNFSAGLIIGGKSLRE EQDRLGRMNILVCTPGRMLQHLDQTAMFETNNLQMLVLDEADRIMDMGFQKTVDAILD HLPKQRQTMLFSATQTKKVGDLARLSLQEPEYVSVHEAAASATPSTLQQHYTVTPLPQ KLDTLWSFIRSNLKSKTVVFLSSGKQVRYVYESLRQLQPGISLLHLHGRQKQGGRLDI TTKFSQAQHAVLFATDVVARGLDFPAVDWVIQMDCPEDADTYIHRVGRTARYERVGRA VLFLDPSEEKGFLKQLEHKKVPIEKINIKSNKQQSVKNQLQNMCFKDPELKYLGQKAF ISYVKSIYVQKDKETFKIKELPLEEYAASLGLPGAPRIKFIKGDDAKERKNESWRMAQ MSGDDDSEVEKKKDEKEVRTRYDRMFERRNQGVLAEHYSKLINDDGTMVATDAPKDAG AEIDDEADEDADFLSVKRRFAAGDAELGGKSDSSDSEDSDAEPKTKDAKGVKMVHLDG QDPLLIDSKRREKLLKSKKKLLKFKGKGTKLVYDDEGNAHEVYEMEDEDMFKARGDAN TQREKFLEGETERTRQADIEDKEVMREKRREKKEKRKARERAEAEAEMSEEEGGLSHL PHVPFEIPGSDSDSASERDEPRPSKKQRVSFAEPDSDDDKPKSKKSQASARPIETLGD LEALASGLLG PEX2_029130 MSDDDQDFLDSLEKEASEFSKDVEIDRIRKAFSLDSYAVLDLQP GVTEKDIKVQYRKISLLIHPDKTKNPAAPDAFDRLKKAQTALLDEKQREYLDECISDA RRLLIREHKYTLDSPELKTEEFKVEWRKKTVQVLLEEEARRRRQLKARLQEEGREQRK EEEELEARKRKRDNDKKWEDTREERIGSWRDFQKGRKPGGDEKKKKKKMKVLG PEX2_029140 MTEPTAKNAPFRPQDHLKPFPPLSPTTSEPLNPSTSPNQEAEPN QNGPAHANKQPPSDSTSDSTIPPLPTVIARIHARVQAFLDEPHAPDTLLASVQRQTRI SLEVVSTALQRYKLSELSVSYNGGKDCLVLLILFLAGLHPNRARNDSHPATANANDTN PSPVDLEAEAQIAAATVIPAIYALPPDPFDAVEDFVISSAEAYHLAITKYTTAPPDST LRSSFEDYLARHPGIRAIFVGTRRTDPHGAQLTHFDRTDGGWPDFVRVHPVIDWHYAE IWAFIRHLDLKYCSLYDEGYTSLGGTSDTHPNPRLRTGAQADGQYLPAYQLTEDLEER LGRN PEX2_029150 MGPKGTVHKANVLCLSANLEKSADKIDLCQCTPFIMHAQGSQHT SSSGSGSGQTSSSQSGHYLQSEPRTPYGPRYQQSTNPSSRESSVAGLTNLFRGLNLQN SRPKFNGPKSTPPVQVNTNMDWSNTNRAYNSPFILVPNSTVFNGVPTVSSFVPNGVSG QTDQIGQFSYLPTGVYPNVSPVVAGGYSSWPYMMNYDMNDGATNKQGSWNITEGQKGG QGENTGQIHYYPPALVSGMDGGSMSGYSYGGMVPSQLGALSLPLQMMKTPNGYVVQDL DALTQQEPAIPRAVPAMWTNPSELTLAKCLENREGITNVYIRGFLPETTDDMLHAYAA RFGKIERCKAIVDLDTSLCKGFGFVQYYSFESCENCIRGFFYLGYQASFAQKSRNSRL KDLEDKSSTNIYCTNIPIDWTEADLRRHFEPWRVVSEKISRDEKTGVSKEVGFARFEN RDVAEKVLMEFHNVTKDDGVKLLLRFADTKAQKVLKQQSNERRAYRAGEYNYSVEVVQ GSTPSPGMKRGSHLTPNSQVSYTSPAGVGSNWTPATSISPCHPHMKNPSSSARSSSLS SRSLNALDHTPAYRSRALSLSRRSYTDLSGGSSKTVMPDSPTMGPRKENIRAGSVSPA SSSPMIILSPARSCT PEX2_029160 MSLRTAMSLPRRATCFHASAVDLRGWPSSKARAFSTTMHRDATW GFVGLGQMGYHMAKNLRAKIPASDTLIVRDVNEDVMKRFAAEARETAQSNGAGANEGQ VEIAQNAREVAEKSIVMVTSLPESQHVIDVFHSILKHGPLPPLEQERLFIDASTIDPV TSKDIANAIHTTQTGRFVDAPVSGGVVGARAGTLSFMFGASPQSDELLERIRGVLALM GKKAWHLGGPGAGVSGKLANNYILALNNIATAEAMNLGVRWGLEPKALAEMINSSTGR CWPSEVNNPVPGVVETAPASRGYEGGFGVSLMHKDLRLALAAAEQSNTPLALGAHARE VYKAVEEEHRGKDFSVVYKWLQEKSQ PEX2_029170 MAGSTASTSPLSPELIASLGRGAFDEATLPAKFDPLDTHSGYST PSGISTSHGLPPTLSTIQDNDTALREVSPAAILHNRMNSGGKLRRERSDSRSQSKHHS ESKTVGEYALHHLFNSFVGQADNKINQAIMKLGESDAPVEEVCGPGADPTFDQLISAL GHIARQKPKPLIDTIMFWRKAKGDAAITARQMLNEPKPSPAATENGPLLRRNTEPTQP ADPAATAERAQQPTPLLGRPEEVALIERRATVSVYLVCRVLIEIFNQSSLASITFDMA DRLEDIVFGQVKTSDPDQISASPLRMANWRIYGQLLGIMSESNFSGVAGRYLTELERY QKEEVARGPSREGDSKSELLILCMRYLRLPMTSEGWPKSCDFMRSLARLFVNAHGQRI KQAYCYVIEKLLLPVAANPSCDLSLPRWKEFVDLIQPRLTQLLIKPRHWASSFSLNVL LLCISNKETFSSQWLSMISSLPGRLKDRPTRAPALHAICRLVWTYFFRFSDSPTTTLR KVEEVAKIALPTGRKTYMSAEPVFADPLIQLIQIIGFKHPDVCFRNIIFPLINSDLFL SGRELKIEQMEPEKMVIGIRAFLATMSDLETSDQLCPPIPTGSLPNPFTDVSTPVYFH RPQLLNEYKAPSVSQKQDPTLWQPVNTARLSENVKEYYFRFCEVLGKITLLCDNTFGG QAVLDEKFGGTTPKTPISEAFSFGRRGDDHVTTLDQKQGFYDLLHVAVQALPRCLSDH IPFNSLINLLCTGTAHVQSNIATSSAESLKAIARQLHAQQVTIGFARFIFNFDERYST MSDEGMLGPGHIESTLRLYVELLQIWIDEIKQKTKGAAAMDQLERSISGSRALHLDLS SVLAHVEEIESHGLFFLCSQSRRVRAFAITVLRLITEFDSALGKENTRIIQILEADSQ QILDVNDEHLTVAERSRIQKGKRRSASQNTLIELCSSEVSYDSTLWAKVFPNIIRVSF ETCPFAVTLGREIVCARLVQMHKIITSLAESTRFPLYAPMDAYQNRPGRNNGTSEILI EQWKLYLVMACTTVTSVGAQSQSQLANAQHARKASKGAHQSQDKISSARSLFAFVIPL LAAERDSIRSAIVVALGSIHKNLYRTLLESLQYAVTTCNEEAKMRIGNHYRSPSSPRR NRKTDRLRTEVTNVYKLTSHFLQEPEVYNDDWIVNNIVTYAKDIRIFLSDAEVQNDWE FQRLRFHYCGLMEEIFEGINRTKDPARWMPFESRKSAFSLMEDWCGYSPNQSQISERE ENMRKFSMARPHETGEMKNTAAAMEIEKKNLRAAALSAMAFLCAGPISITTESGSVLQ FDVTRMLSWIEMIFNTVSDKWHAIGRRALKNLITHNQEHSYLMERSIEMCYITERPKT IESYFEVVTQVLFEYPDYPLEFWRVLGAVLVTLGNEKREIRMKSAKLLRKLEERQQKN SRLQDFDISISDKTTAVYKLAQFEISKRLASQHSDLAFTIFSEFSLHFRSLQPDSQRN MVAAILPWVQTMELQVDPNGGPTAKSYMLLANLFEITIRGSTVLPNQVQALWQALATG PHGGNVQLVLDFIISICLERKEQNFVEYAKQMVVFLSGTPAGSKVIEFFLLQVVPKNM VQERKELTPPPPDIKSLPYVADLGTVLPVGNKQAGLSLGQVALIFLVDLMVAPVTLAL GDVVKLIHIVLILWDHYTVTVQEQAREMLVHLIHELIAAKLEDDAPAGARQSVEDFVE SIRKSDPAVVWEYEENHGKEEEIDDRRVPASMASVTRDVVKFFSFAYEGIGDLWAKEA LNWATSCPVRHLACRSFQIFRCISTSLDSRMLADMLARLSNTIADEETDYQTFSMEIL TTLKIIISSLAPSDLLDYPQLFWTTCACLNTIHETEFIESIGMLEKFLDRVDLSDPAV VANLIEGQPPNWEGGFDGIQDLVFKGMKSSESFDRTLDLLHTLSGLPNNDLIGDGTRH LFTVLANLPHFLQCFKEGFSDPKPIARASILARVAENERCPRLAASLFGFANQQYKTA GVFLDHIITEIKSYYFPQLDFQCLIFLMGLLTNTTDWFRINTMKILCVLIPEVDMRRS EVTCHGPDLISPLLRLLQTNLCPQALEVLDHIITVSGNPMERQHLRMSMASAASSRAI RKEYERIQSLYGIPEPTGWSVPMPATQSNTTRHNVHAVFYTCVEAEDRREEDAMTPGV GVAFHADEYSDSFFPMRADTMKSIDTQVDGNMGDIVQKLDSLDDFFEDTDTITPVLNP IAPPMLRGFTGNYVDTNAHLYDQQTAPILRESLGRTGSTSSFHNGLAESRPPNFRFDG PGVYSPVAALPPNGSQILRSGSHTRSVTSPANNLYVHTASNAPNVTLPVGLSDSAFLS DDDPDEVHSDLEERPVTKRTITSPAPMIRSATDGPHSLESMIRSGMRRLTGGAANREK ERQRDLLRAQHRAIVQTSNSPRVPKVPEEYLSAPTSHPASPR PEX2_029180 MAQLDTLDLVVLVALLVGSVAYFTKGSYWAVPKDPYASSAATLN GAAKSGKTRDIVEKMEETSKNCVIFYGSQTGTAEDYASRLAKEGSQRFGLKTMVADIE DYDYENLDKFPDDKVAFFVLATYGEGEPTDNAVEFYQFFTGEDVAFESGASAEDKPLS SLKYVTFGLGNNTYEHYNAMVRQVDTALTKLGAQRIGTAGEGDDGAGTMEEDFLAWKE PMWAALSDAMNLQEREAVYEAVFSVVEDDEKSPEEESVYLGEPTASHREGRAKGPYSA HNPYIAPIVESSELFTVKDRNCLHMEISIAGSNLSYQTGDHIAIWPTNAGAEVDRFLQ VFGIEEKRHSVINVKGIDVTAKVPIPTPTTYDAAVRYYMEVSAPVSRQFVSTLAAFAP DEESKAEIVRLGNDKDYFHEKITNQCFTISQALQSITSKPFTAVPFSLLIEGINKLQP RYYSISSSSLVQKDKISITAVVESVRLPGASHMVKGVTTNYLLALKQKQNGDPSPDPH GLTYSITGPRNKYDGIHVPVHVRHSNFKLPSDPSKPIIMVGPGTGVAPFRGFIQERAA LAAKGEKVGTTLLFFGCRKSNEDFIYKDEFKTFQDQMGDSLKIITAFSREGSEKVYVQ HRLKENAELVSKLLKQKANFYVCGDAANMAREVNLVLGHIIAQQRGLPAEKGEEMVKH MRSSGSYQEDVWS PEX2_029190 MAYVVPIHRASSIRHAVKLNFFSPDEECLVVAKASRLEFYTLTP DGIVLLATRALNARVTMLARLPAPTNSPTDHLFVGTDQYNYFTITWDRESNDIKTART CVDIAEPSSRESQCAPRCLIDPTGRFMTLEVYEGVIVVVPIVQPTKKRGRMSMVGSQA DVPLQVGELDKPTTSRIDELFVRSSAFLHSESSPWLALLYEDNQQKVRLRIRELDFTP GTSGTPADATFKEVPKLEGGEFGQELDLGSSHLIPIPAPLGGLIVLGETSIKYIDDNA NDVITRHLDEATVFVAWEKVDSQRWLLADDYGRLFFLSFILNNLGGIDDWKLEFLGKT ARASVLVYLGGGVLFVGSHHGDSQVLRLDGSSFEVIQTLSNIAPILDFTIMDLGNRTN ESQTHEFSSGQARIVTGSGAFDDGTLRSVRSGVGMEELGVLGEIEHITDLWGMQTRSK GDYLDTLIVTFVDETRVFQFSPDGEVEELDNFLGLSLTESTLLATRLQGGRILQVTEQ RVLVADLESGMVTFEWAPQDQKLITAVSANEDHLVLVISGQIVASFDIRDNVKIITQK DLGADQQISGLTVPSTPTGVFIAGFPQSAKISILAIKDFTVLQTKSLGPTGESFPRSV LVAEVLADSQPTLFISMADGCVITFSLNPKDYSLSEMNKLILGSEQPTFKKLPKGDGL YNVFATCENPSLIYGSEGRIIYSAVNSKGASRVCHLNAEAFPDSIAVATEKELKIALV DRERTTQIQTLPMGSTVRRVAYSPSEKAFGIGTIDRKLENGAEVVKSHFVLADEIMFR RLDALELGPDELVESVVRAELPAGKDENGKEIMKDRFVVGTAFADEDQEESIRGRILI LEVDHGRKLSQVAELPVMGACRALAMMGDCVVAALVKTVVVYRVKINNVGPMKLEKLA AYRTSTAPVDVTVVDNLIAVGDLMKSLCLVRYTPGHAGEPAKLTEVGRHYQTVWSTAI ACVGDETFLQSDAEGNLIVLSRNMNGVTAQDKHRLIPTSEISLGEMVNRIRPVNIPQL SSVTVTPRAFMATVEGSIFLFAVINPEHQDFLMTLQATLSQKVNSLGNLSFDKFRSFR TMVRSADAPYRFVDGELIEQFLNCTPSMQEEILQEVGSSDVEEVKRMIEALRRLH PEX2_029200 MSTPQSDLSEKAAAAASDFFGQLYAFFELVVTRFFKNGYASIAQ MSGKRWTKVIGSVVFYLIIRPYIEKTFKWMHDRDRRKEKEKREKERAQFGKVKVSPNS LRAGGDGGKGKVLGEVDNTDDELEDEEDLMAAASGVPEWNDMARKRQKNYIKRVKKDQ RAEDLSRDQIMELLDWSEEEDVDIKVKKDL PEX2_029210 MEQTEEASLEERLKSALWLSIGKIVDEETIKLGVNATPQFIGAL TEMVWAQIETISQDLESFAKHAGRSTVNVSDVMLLARRNEGLDSILRAFVEQEKQRQE DS PEX2_029220 MGAGGVVLRFFNLGLRVLQFLDAAVILGIFSYFLAILSRNDQPI ATWIKAVEGLAGAATAYSLLGIIFTCCLGGVAFFAFLGVALDICFVGAMIAIAILTRD GVGKCTGTVDTPMGTGNADDDTASRSLKFGMACKLEKVVFAVAVIGIFFYLISILFQI LLARHHKREKRFGPSPTNGYTHGSRKAFWRRNKDIPEATGAADTLPGHPTPYDVEMGT EPKTEKGWFSSWGKNKNAANAPAAAPTAVGYGYGNSAYTGNI PEX2_029230 MGDLQEKPLGYKWRSSKWFIISTITLALFGETFLYAFIVPILDY MLQTRLHIDRSKTQKVTSIVLGLHGAISVIAGPIIGHFADKTPSRKTPLLLSLITCII GTVMMASTHSIPILFLGRALQAVASSAVWIIGYATIADTANQGNLGTTMGIAMSFVHL GVVGGPAISGVLLEVAGYWITWMVPLLILVIDLVARLLMIESPSTSFSQSDIKSSKIG DTVDSTETTGLLPPQGDPQYPPTTASGFWRIILCDARVLTVLLIQVLNIAVAACFNAT IPLHVQKTFGWGPSKIGFLFSCLVLPTLLIGPLAGWIRDRVGIRYPAAISLVLQAAVL VLLGIAGNEQISWASAQKYGGTLYIASIMAMGALRPFIAGLGPVELTAAVKSHQERRP GIFGPEGGLSRVFAIMEVAASVGMTIGPIVGGSLKESFGYDYMSWTWRSGDPEMLSAG DSADGEC PEX2_029240 MKGTFILSGLLATTVSAHMQLSKPYPIRSPLNKDGTGEKDYSYT NPLSTSGSDYPCKGYANDAFVAVDTWQPGSSQEMTLEGSAVHDGGSCQLALTYDKGKT FKVIESIEGDCPIAKKYQFDVPSDAPSGDALFAWTWFNKVGNREMYMNCAMITIGGSS NRNAPVDAKEASKKTLDEKTTEKGPNNKANGQTSNSKANTQTTNAKNSFDSLPDLFVA NANQAGNCVTIEGQAVHFPNPGPKLIGKADGPGYKCADHAPFLESTSDSKSKPSTTSK SEKKPAATTSKSEKKPATTSTSTTTTKAVSKIAQPFGTPSPTADSRELSTDASSTNQF VNNLGQWSCHSGDLICSPDGLSFALCTNGKPVFMGSVAAGTICRLGAITAR PEX2_029250 MKFFDVAVTFTADQFQGIYRGKQYHEPDFAEVLKRARENGCEKI MLTTMTLEGAKQNLQAVREFPTMCKMTLGVHPYHAAEIYDQPESQYLNELRELGETLL AENPSPLAAFGEIGLDYEYLDRADKETQQRAFREQLELAVQFQLPLFLHVRESAEDFI SIIKPFLPRLPRGGLVHSFAGTKGEMLQLVELGLEISVNGVSFRTDEQLEMVRNIPLD KLQLETDAPWCEVLSNDPKIAAYLETARPLPASRKHNKFILGQMVKTRNESCSIERVG LVVAGLKGVTMKEVVEAAWNNSSRMFWL PEX2_029260 MINDTTATLASLAYSIPSLPNTRVVMGLIVGAGCNTTVPMKLSD LHESKTKSILEKHPDAQETLISTEWTLSTAAASFDELGIRTQWDLQLDRNCKRPGFQP MEYMIGGGYTGELVRIVCYDWFHGILGIQCSNLPLKLVEEYSLSTEYLSLVVASSLSD ELLASELSKTLQASPESDWVWSPEYARDIRAIASAVQDRAASLVASAVVGLLACTNEV QLCNTVHKCTNGENIKTDAGVTKQMDSKSISSSTPGWNSGPEELAVAFSGGVIQHYPH YKETVQRYIDRLLLRAGPQVGGKSVFLREASDGGIIGTGVLAGTASGEIGEIRVTPN PEX2_029270 MYMLVGLGSGFHNAAWNVWIGNMANSHEVLGFFHGFYGVGATIS PLVATSLIIKAGWQWYSYYYLMTGAAVISLIYSTGAFWAETGSKYQEENPSFPGRGGA FSQTRVALTYKVTWICAVFLFLYGGIEVAIGGWIVVFMTNVRHGDPFQSGMAETGFWL GITVGRFVLGFVSPRIGEKLSIAIYILLAIALELIFWLVPEFIVSAVAVAFVGFFMGT IFPGVVIVATRLLPKNLHVAAIGFAAAFSMGGGAVFPFMIGAIAQAKGVTVLQPILLA MLAVSLGIWAMIFRLPQHEIVFMIISNFDFDEVGINEGDRPSIAVNLRQVALINALWN IPPSRTPPNPQRSLTYLPKSAECVGLFLGYCRDLGRKPRYLDPEDMARATETPGPETK PADILNGDVPLPAGQAEAALDPESEEDIPVDAEELKEALGRPPPVNSSYLPLPWKGRL GYACLNTYLRYSTPSVFCSRTCRIASILENRHPLQDPDQPTHPIKNRPDRDQPADVAR GQAFVEGLALANARDLVKIIRWNDKYGIKFMRLSSEMFPFASHKEYGYKLAPFASEVL ADVGRVVAELGHRVSVHPGQFTQFGSPRKEVIENSVRDLEYHSEMLQLLKLPPQQDRD AVMILHMGGVFGDKAATLDRFRENYAMLSQDIKNRLVLENDDVSWSVHDLLPICEELN IPLVLDYHHHNIVFDANEVREGTEDIIPLYERISATWSRKNITQKMHYSEQTSPAITP RQRRKHSARVTTLPPCPPTMDLMIEAKDKEQAVFELMRNFKLPGYNLVNEIIPFMRLD ENQPFKPPKKSKKNGGFVDLEGSIPPSRTIPDEEVGMGGPDGRVYWPECMEEWLRPAK KIVKPKATPSPKKGSSKKGKMKSAADDAPLDTPIKIETPVKKPARATKRTPPSSRSRK RKASLIESTPESEETENTEEISVELENAPASISLSRRSSRVKKVNYTEDIA PEX2_029280 MPQRWDWARLVAFAPMIRRNICESLLGRGSRGKEGERVVGGRLK REGLDRRTGQRKRDLGARVSCSMMYDQDKSIPKEKMGRVEHGVRL PEX2_029290 MSQSGRSSTWGPGNGDGAAAPGSRRKKVYEYFKAANELRQTYTS QWSGQRNEHDEDYYNTPGAFPDVEIARSGDEEMVVFPSYARKLAEKKNTGTSTRPRRD SWSETIDDYRGATHENDDLSSPSWDATEAEDAVVAVDVRGWIYSPGRGPMSRKHRLMI ALARKLSGISAPTGAANDESNDKISGKGDDEYIDKEIQTLVAKAEKEADPAWKGSNTE QTNQPAAALSKDEITVANAHLMERLRPFLTNPVGSMPVTVFFFNDDESKSRNILTDES GHFTLRATLPFVPTHIRVLASEDLSVARPIEVIDPVGISLISDIDDTVKHSAIASGAK EMFRNTFIRELDELTIEGVSDWYCKLASEGVQIHYVSNAPWQLYPLLERYFKMVGLPP GSFHLKQYSGMLQGIFEPTAERKRGSLEQILRDFPERKFILVGDSGEADLEVYTEIVL ANPGQILGVFIRDVTTSETQKFFDKSVGHLDQSKRSHSSPNIVDHSDAATNRPTLPPR VGTTVDSTVPVGHHDADPKDLDLEDLIDLTDLIIGDQPPPAGLTHPTTPRPRPAKPIK PSALRMVSTPADLAKSSRPSSLRNVAIASDVQEKDSKSAPAQEAPKRKPLPPVPPRRG VPKTGSLIDVDPSPSTSQSSAGTSTNEVPSSWLKGLDDGSTGAQSQSQATRAKPPPPR PPPRRTNTGSSTTSSDPSASTTSTTPARTPAPASGIQSYPAAAAQAAYQGFQYASDRL NFSGSGSGLRNSSSNQSLGRAGTNEFGVPPAPLPNKREELWRRRWDRASEVLEQHGVV LGSWRVGNDAQPICAWLMEQAVKDIKAGRSKGDC PEX2_029300 MPSETFTRAEIAKHNTEDSLWCIIDHQVYDLTDFVDAHPGGAVV LAQVAGQDATSDFYNLHRQEVLEKYRDQLCIGVVEGEKPEVIVPVPGALSPVPYAEPL WLRPQFKSPYYKETHRRLQKALREFTDKYVTPEAQEKEQDGTYISQELIDRMAETNIL AMRLGPGKHLHNRTLLGGAVDGKEFDYLHDMIVTQELVRANARGFQDGNMAGMAISLT AVQQWLHDPVLKERLNDEVLSGRKKMCLAITEAFAGSDVAGLKTTAEKTPDGKHYIVN GTKKWITNGMFADYFVTGCRTEKGFSVLLIPRGEGVETKQIKTSYSTAAATAFVQFEN VKVPVGNLLGEEHKGFVVIMSNFNHERFMMVAAVVRMSMVVVEETMKWSNQRIVFGKK LIEQPVIRQKIARMISLAESNQAWLESIAYQMCNMTYAQQAKNLGGPIGLLKSHCTQA AGEIASLATNIFGGRGITQSGMGKVIEMFHRTYKFDAILGGTEEILADLGVRQAMKNF PKSML PEX2_029310 MAPADALRQDNILDLAVRAIQPAGDRQPSLKTQYEAVALIGHAC MVAVDFRLVGLSEDHNLEPSTDTPSLPAEWNANDTFAFRYAHVQSSMQYLLKVSRLGN NAVIFALALGDDRTSSFDLPVKDYISTSALPLSSSENLTASLRDVFISPARLGDLVGL FKINVIQKLAPGLYKEGYEDPSQLPRARPQEERQPDIPQDGSLPEPARPRPFDDPLAV APRRSNPPDFAPPGFEDEFEINRPPRGYPGGLGGRNPYNIGERDLYPAGLGPNDPLRG SMGPGFGPGGGGMHPTFDDPLFGGRGAGNGGYDPRVPPGARYDPVGPGEPPVGRNRGP FGNNGRGGGGFGGFGGGFGGGFGGDII PEX2_029320 MDNNMEIDTARSPEPHRLSPTSDPGSIPTLDGWIESLMTCKQLA EEDVRRLCDRAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLF MGDYVDRGYYSVETVTLLVSLKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNA NVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSIDTLDNIRSLDRIQEVPHEGPMCDL LWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNV VTIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL PEX2_029330 MGGPYMSRIASVGGRPTIPLDVPICAVFIALFITGAACHMTLLR RNLARGHKFIPSGVTFGFCMSRIIANIMRIAWACHPTNVRIAIAAQIFVAAGVLLLFI LNLLYAQRMFRAVYPALGWSRPVSWVFKVLYALIAVTIIMVITCVIQSSYTLNSNTLR IDRDIQLYGQTYFSIVSFLPLPLVLLVLLSPNRKRIQEVGSGNWVVKVFIVGLVSSLL CLGASFRAGTSWMPPRPVTDPAWYHSKACFYIFNFTIDILVVAIFFVGRVDQRFWVPN GSSKVRHYRRDESSEKDGISLPLHDGRDVESEDSNVSHEQTEETK PEX2_029340 MPGMIHLVRHAEGLHNLRNNPDIRDASLSQRGFDFAEDLGLRFI QAHSNSVGAVVSSPLRRTIQTSLTAFPRILSSAQYPENSGRGVRDGVMLALDADLQEI TDLPCNTGSSLTDLVNEFPELGPNIQALDQNWYIKTGPDSPLPQSDSDRRIQILERLQ QILAALQNSQKDKDILVVTHQGVISVLAPGANIPLAQWRSFNLFRNAAGQLSLQ PEX2_029350 MSLDNDPNVEVARGTDGVVCSLDHLQKPWSPPATGAVALDDAKS VADKYLVEMADEYRIPKRILSNAPTKFSDQIVLKDAPFELKFVEERSRYKSTALTYQQ TLLGLPVWDAKISVTLDESKRVVNSLSSISPDASAPGKPKDDAKYLKAIDEDGLRQAL GFRKGEGEISINKQRLLVYLFNKNDRQEIASEGEDPDIHGKPYAPTLILHDISDTIQH GRYYVVRETLFTLPLSGHGNLNWRAFIEVETGSILYLRALTACVSRPVNGWVFTKDPV TRLGNTAPATTGSIAELNLLREKVLLPDTTTTTPQALMGQYAEIKDVQSPYIQPPNSS TGEFNASVETDDFAAANAYHHIAKLFRLVADLGFSVETLFNRTEFPVPVDHRGFNNEA NAEAPSNQDGDGSGGFIFGRSDENSQIGISADFRIAAHEFGHALLWDAISWPGFGFAH SPGDALGSIYCDPGSNAPDRFDSFPWSFIVRRRHDRDISAGWAWGGQKYKGYDPGFYL REQILSTTLFRIYQSIGGDAIKDLSRQTWASKYTLYLIIGGIATITATAEMPHQYVSA MMLADNSWITIPGFPSFPGGAARKIIRWSFEKQGLYHPLNSRIPVTTRGPPPLVDVYI NDGRDGDYDYISDFDDAPGVWNRQAPDGGTINQPPAVGATNYCYVTIGNRGTGVAEQI EVEAYYSTVPSARVWPADFTIMTASPAVNMPASINGKRQQTFTIGPFEWNPNADPSFR KHSILAAVSANADPSNIHPDSGLTCATGPTDVDKLVPFDNNLAMRTI PEX2_029360 MQKNFFEQQNVAPRARPCVIPQITKVFGGANLSPFARARARDLE VQAGLTQRDLLCFIDGLNEAFLANPALQATSKIGMLVGFVPLLTAQAVGSGLQLAAGL GSAGVSVVRTKQYLKKANEVIFNPKGLLVRIVKTDKMLSLVGLQNSMVFSGEQYRVLV GDGGQSQSPLATRMAALGNSVMPLTFDELAAPADEDNWMKKWGAYSAQKAEKKQLEKL QKAEKKYKESDKKDKKDKKSRRDERKVDDAILDLQDSIGDIKQRMQFLDPRQPDQAKT GRELMRELRRLERKLTELEEDREDNMSQNSDKREKRQAKRDQKETKKVNKLQWIVILP ADTRTGDEEHDLDSD PEX2_029370 MVYFAKVNKQHQVMRKGVSFYAHALQDLQTKLLRQDQAMGDDVL VAIICLAIYELIALTQPRAWLSHYQGLARLMSLRGPHRHQSGIAFAMMPTLRSCVIIG YIIERKRCFLEDPAWKTIPWAERGLGSKTPTDLLQDIMCDIPGILQDLSEAVLCHPCD PSKEEFTLEFIPRALSTLEALHSWRWNWESQFPNSTFITTPIEYISSDSISLPPSPFK SVIWFQHPHRGVELIVYNAIRLILMQALQIAGADLDNIQVEDFSDPLLPMQGNRNDIA IEICRTVDYHLHYLKSTGAFMLLFPLNVAYVHLDRKSTEIRGWLERVMADVADSHGLE VGRSENMLRKPADMRAEI PEX2_029380 MTTIQTSSGGAPDPTETVLVTGGSGFLASHVVRELLHQGYSVRV TVRSEAMVKKVLQAHAPDSQRLSYVLVPDMIIPGAYDIAVQGVTGVFHVSSPCTFNAT DNTNDLLIPAVSGTRGILQSIKQYGLDVKRVVLTSSIAAMIDPSVGLDRDYHYNEEDW AKTTWDEAAKGSPVVGYLASKQLAEQTAWEFIENEQPSFDLVTICPAMIMGPIMIPTH DLSANLSLGSVYQLMEGKLDVPGETPFPVYVDVRDAAKVHIRAYEEAIASNQRYSTSS GTYTQQQLVDIMKRHFPSLKGRLPAGRTEESDLLGIDSSKARKDLGFAPRSLEETIVD TVHRFLEIEQIED PEX2_029390 MPSTDSLSDSEDYQKIFHWAETQKDGTIPSFNTRRNDPYEYQSG FGNAFTSEAIPGTIPHGQNSPRNVRFGLYAEQMTATAFVAPRHCNKKAWLYRVRPAVA HQGFTELPDNKDTEANFLPLNPRIHVSPTQLAWHPFDIPESEEVDFVSGLKTVAGSGD PTLREGLATHVYVANTSMKKKAFVNSDGEFLIVPQQGALDIQTEFGPIFVQPGEIVII QRGIRFSVNLPDGPSRGYILEVWGTQFELPELGPLGANGLANERDFLSPVARYEVAQE PWEIIYKLGGKFFKSTQNHSPYDVVAWHGNYVPYKYDLTKFVNVGSISVDHIDPSIFC VLTAKSRDLTAPLADFLTFSPRWDVASHTYRPPYYHRNAASELMGLIYGGYGGRSDEF RPGSVSFECGMVPHGVAYEEFKDATDNTPPAMQISKASVAFMFESCRPFTITDYAWNS TKKHEHEPKMWDSLVDNFSKHAEEVDEILAKKAKNHSFR PEX2_029400 MANPEIRHLALDGTFKGIQRDDQNVPVHQFLGIKYASIPARFEK AEPVRSFDGAVVDSSKYGPICPQPDVDVRHLLRIPEDFPIAPEAEDEFECLNLEITCP PKSSITGPLPVLVWIHGGSQIVTFCSAASNICDPTKIVADSITAGKPIIFVSINYRLN IFSFGDGKERNLALKDQRLGIEWVRQNIAGFGGDPENITLSGESAGAIYTHAHLITGP PVKRAVMASGTLYLSSPLPVEKGDGLIRGLEAKVRELGQTSLRESSVTALVQALRECN VNTMWIQEEPELTGWETRPEQVEEVMIGDVEYESVIWRNGVELLDGETIAAAFDNNTQ WGTQIRKMYQIVGDRPTAAKLGALDLVNDVRYTLPVEVITDKLRAANKPVFRYVVDQS NPWQPSSRAHHAVDLLFLFDGVDLLFNPAASAVGQEMRQRWIRFVNGDGPWSADLRFA FGPLGECKEIDELQFAARRRVEHCKILKKAGNSAYMPIVFALTAGRISLLN PEX2_029410 MALWKTSFSDEKEAERPKFVELRSSKWFIMFVVAFATGTDIFMY GLIVPVTPTALKDRVGISEGNIQSWTSILLALYSAALLAFAPVVGYIADRFESRRWPL LVGLIALAAATALLCVGTNIALWIVGRLFQGAAAAVVWTAGLALMVDTVGKDDLGQAI GYVSMAISVGTLAGPLLGGVVYENGGYYAVFGLAFAFIVLDIILRLLLIERRHAIKWL APEMTPLSVNGDQSTEKKSAQTSEPPTPLTNGSEPSEPSENRESQPPSPPSRSAFGRI VILLSSPRLVVSVWGYFILSIVLTSFDSVLPLFVQETFGWQQSGQGLIFISLMVPHVV DPLTGYIIDKYPKSCRYLAAGAFLAAVPVMVLLRFVTDNSMQHKILLCALLACVGLCF AVAMPPLIAEVFFAVKEKEDKTPDIFGRGGAMALAFGLSNMGFASGSLIGPFFAGFIR QEAGWGAMGWALGLIAGISSVPILLFVGGWISRKPVESENEVQLTGSAPGP PEX2_029420 MSTIYRSPYPDLDIESVDLVSYLFSNPFNTPLDRPIYINAISGE QYNFGDVIQRTRSLSNGLRQSIGVKPNDVVALFSPNTIDYPVVCHAIVGSRAIVAPTS AALTALELNAQLKTSGARFIIVHSSLLETAQKAAKGTSVEKIVLIDGQTVNGQPTCNH LASTFAPSDFLTIDPAEADRQPTFICFSSGTSGAAKGVITTHQNITSNLQQWRYHMLD SGLPSQRPARQSAIAFLPFSHIYGLNLFVCQCLMWGTTVVILPRFDLDLYLSCIQKYR PDELSLVPPIALMLVKDPRVSKYDLTSVRRIMSAAAPLTIELSSALEAKFTEISKTEV FCTQSWGLTETSPMATAVPNDRMDKRTTGVGCIVPNMQLRFVDPESMKDATVTSDGST VPAEIWCRGPNVVMGYYNNDEATKEAFHVDEDGTRWFRTGDIGTIDGDGYVTIQDRIK EMIKYKGLQVIPSELEGKLVDHPDVEDAAVTGMWVDDRATELPVGFVVLSRQAKDRDQ KAVLDGIHAWLNERIANHKRLRGGIHVLSQIPKSPSGKILRRQLRDLLKSQAPKARL PEX2_029430 MILPGRSLITRSIIRNVKYPTLQGQPCGVDLTLKRVLKWTSPGI IDLDNQLRQPASTEEIPFPSAKENANRYLDLAQGSYLVEFNETVSVPLDVMGEIFVRS SLFRSGVTIHAGVMDSGYEGAVGALMQVVNPNGLRLYPAARLAQFVFHQMSEKVEGYN GVYQGMTALQ PEX2_029440 MSFNVYFTREDGRWDWVFYIELDAVTKEGMAESTGWTHSFESDG QDGIQYTARLNRTPRFNPKGNMLATIEEADLPKFHQICFAAIGPLPQQSHLSRLGLHT VMPARWKQEPREWWFFIRDRAFENGIFKPLDI PEX2_029450 MTFKVFTTESIGAQRNHIAIYIETEPSEDRGWLHHVTGTILNGM DYTPRQTPNPEELPEHVPDSKKQIGTIEEEDLERFREECCLAVLPPRAQVTLKGTRLY PDTPLYRCTEWLKDVEEMAFSKRIFKPL PEX2_029460 MNNSSNAPERDGKGAPNDADDSGDEDVFHDAHFPAEEEAQLLKE AHEIKSEANQLFLAKSYDQAISCYDRALASCPSYLDYDVAIIHSNIAACHLKLEDWKA AVDSATVSIERLSKIIPPTPQDNGDESKGKQTPESDKKHTDAVVELSGDDEEAELKEL QRLQEQDEQRSKVMRLRAKVLMRRAKAKTQIGGWGSLQGAAEDYQALATIENLPADDK RVVQRALRELPERINQAREKEMGDMMGKLKDLGNGILSPFGLSTDNFKFVQDPKTGGY NMNFQS PEX2_029470 MASANDLPRIRACLFDMDGLLIDSEDLYTIVTNEILQKYGRPIL PWSVKAQLQGRPQPEAAKIFNDFAKLPISEEELKEEQSTRQRHYFPGSKPLPGVPTLL SNLVSTQSTDEPVYIALATSSHRGNYKLKTDHLTELFSAFPESNKVLGDDPRIGKGRG KPLPDIYLLALETINIELRSKGKAEIKPEECLVFEDAVPGVEAGRRAGMQVAWCPHPG LLGAFKGREEEVLAGATGSHKEEEKSDAQKEAEELQAWRLQGSGTPGKIGDGFGQLYS TLEDFPYAKYGIRIP PEX2_029480 MIYPSTMGGTHFLTGSDSLICLFDVSRTGAQGPVSSMPTIPSKR KQIVGGGIGMKGIVSALALNPSGDGILAAGTFTRHVGLYSSNGSGELLGTFSVAKTNA NRDIGGRGVTQLVWSPCGRYLYVVERKSNGILVYDVRVTGQLLGHLRGRKAYTNQRMK IDVVSSGPDGSHEIWAGGTDGFMRVWRSPEHCTGGKDPDWEFKVHDDAVTSTMFHPMG SVVATCSGQRHFVSDDSSDEEIQMKDKQADNSLKVWSMPFLLSETETNHSLEPAA PEX2_029490 MFAPKAAAPATGGLSLNTNSTSSLFGGNTATPTATPGATNTSSL FGNAASSTAPKPAGGLFGAPAAGTTPQAQPTGSNMFGGQQQSGATGNSLFGGANTSTQ PSGTSNLFGGSTAGAGTSTTQGTTGGGLLGGSLFGGASTAQTQAKPAFSGLGAAGAGS GLTGGLAGGTTGGMFGGGQSTAQQQQPQKPTLSLFGQPAPAQTTQPLQQSTATSTVIP GVKVDVSNLLPTTKFESCADEIRSQIEAIDKHILNQMKMCHEVGDLLPTIEQQGATIP NDVEFVQGKLETMQHALENDASDIDGLRSLVTRDAAEAQVAFRAIDTLKLPLQYQSTG GGWWSVQDQQIPERSMRSSRKNTLALPDGVEGDASTDVNGVPVNLVDYFSHRSKEMKG VLTRYTGNLKEIEDHLHGVEATLNRQISDFVSSKSREGAVGTPRSTINELSGVLSDVE AGIMGVATRLSNVSEQVQDLSIGQQSLGDGRLHLG PEX2_029500 MAPSLEEPVVTVAPTVAKFTVPVRAEDLASDNKFGYQPGRTPVT QHDNYAHEDFLPSFPDIHWAPLEYTPYEDKGLRGDPKFRNLLRDATAVFDHNPKIGTE VHGVDLSKLDDAQRDDLARLIAYRGVVFFRSQKNFDIEAQRQLGQYWGKLHKHATTSV PRKSGLEDVHVVYTGDNSSDNRALFTPSFLWHSDVTYEIQPPSYTSLKLLSGPPRGGG GDTLWSSQYAAYDVLSSHMQNYLKGLTAIHSADMQANDSRALGRPVRREPVTTEHPLI RTNPVTGWNGLFFNPGFVKKIVGIPAAESDAIIRFLTDVIATTQEMHARFSWGEDDVA LWDNRSTNHSASYGFTPHRRHAVRVAVHAEKPVLEESGKSQEDEINALYGLPAVNKDG SRQSNYND PEX2_029510 MASSQPTLLEYARFYNVAEDSARYCPINYADDTCEPVTPIPPVH GLHPENPEERLKEIDRRFCTELMMEKLPTKPEDMELLSGCLQLESVKGNLWRGILPEV KTSDSKGEPPLLTTEDERIVASSRFHTTFAQSPAPSSPTPSVHLVVPSGPDVPVVPMN TRDVFDHYNSGMPQPTNIDSFNAPVTVAAQYSNISTTFHLAGDALPPIVGLEGTLHQF ASIESDPVPSIQDGENEGYAPSVLSSEHPTESEFSAFVFDNTAPAPSFDVSPYEKPQP PFTIVSPNLTKEKGFSAGRVDSQKAQAPRALKGKKGAKAFMEPVADTGIPCVQKGIVA TSLSTLHEETLIVSKGCSISSIIQTANDQSLAQLVRDSQNANDDHASFKTPCNKPPNL LAAPTSSSVDKRMACVAQAELFRMKLGYKSHHTGLRKYPFKPSHAVSSVDCTKTPKSS ATVFGGLGSLSMFMQTRGSEGGYVTSPHDPSSFSVSTSAREGELLNVPSLAGDSPPGS DEKPSGPSRSPSPAFELQEMVTKSAFSPPPMFFLSTTLPTTHPSVVQDMEDWEINPPK LIYRDYGDAYSDSQYHYEAEIILAPDTGLILTTPHELTQRYLPGHGPRDIGLRGIHGL NSPLREKMLCLMRRYAMLVVLICKPYTADVSRVPNSSLRTEITSLSQFCDTEGLSETL LIPIENHPATIVLWCFGMGRLRYELIPPEMIKNITEGESEYEVALRHLGINSFAARLI LDNIRVGGFDLTPLSLLSHETVPNPTTDSRAFDVFVNMESFARNMKYKSVIGEEVISR VSEVIELATHLILDESETDSEDIYGTQISLD PEX2_029520 MGKQGYSEEAHGSVLAFWKFLQSWADLLPPDQFHLLPFSIPILN SRSSQESPSPSQCTSTPNFFFLQAEPGYPKTRATRASVLRNIKSLAPLLDRVLVQRIK PEAKTASGIFLPEAAVKEQNEAQVLAVGPGLLDRDGKRIPMGVNAGDKVLIPQFGGNA IKVGEEEYTLFRDHDILAKIKE PEX2_029530 MDEEEDDFYDPVDAVPTTQSLNHTQNAAPGQPQESNYMEDDDEV EEEEEDDDDFNIITEAPEGAPAPEASHPRHASLRQEPQRPSSADSSGLAGSATPSATP RYETATPIPGHPAAAAAARPSSEKPGSAYPAIHSSTIDVNANPIHPMTGKPIMSTDMD ADFPSDDKPWRRPGSDLSDYFNYGFDEFTWAGYCLKQQGVRQEVGSQKRQMDDMQAFM GMGMPPMPTAPGPAAPASAAPPMAGMPGMPDMNPDMMQGMLASMMSQGLDPSNMDPMA FMQHAQSMMPGGGQQGQPGFGGQPQGQGFNQGGQGQMGYGGYDQRGGYGGRGRGRRW PEX2_029540 MAEEGESNTSTPRSFAGQSVSAEEMLKEQTVGLVHLSDFRKRRA DVLEAKEREAHDKSLGLLASGNSRSATPSAGDVTDGSTPPRSDGPPKKKKKKAFAKSK LSFGDDQDEGEESAATPRDSSVSRSGSKTPAEDNATPRMKANPNAPPPPKALTKASLE AEALARDTLRKEFLIMQEAVKNTDILIPFVFYDGTSIPAGAVKVKKGDHVWLFLDRCR KVGAEMGVRGANGPSKAKKDNRREWARVSVDDLMLVKGDIIVPHHYEFYYFIANRVPS LSRAGGLLFDYSSKPPVADSTNPAPSDDQLEGADKDFSETKVVDRRWLPRDLHFLLSL HPISDTMDFSLRCNSLKCRAELKEKAVVTTCSHIFCHGCADSLGLSRPTTSNRLCPAC QTALLNPDDAVSTILNPTEDYKTSVLSGLDPNTIMECAGRALAFWAYQSTQEIFYQEY RAKTLTEKYANLNTQMDKVIHNANTEILSLQNKLSDMQSSQEDLQKKNQELNDMYRDK NNKLAQMTNLYNLLKARAVRSRIQTAASDTVSQALSTLNAPPPPVSISRSDVPALPPA PVTRNPKTPTFPVNPDGVEQLHRYQRSGTGSSKRARTRTPREAPMPPPARPNWDGRNS TYSITVSKAYRLMCSSLGKAPDPAPQHRTRLPRISRTPTVSSEFPPGDAITRRFGR PEX2_029550 MSASHPYRSIPLPRDASFELKPSPGKGWGAFATKRIDRGSMILS EDPLFVIRKATPEITEVDISAAHLKLSTSQKAQFSLLRDNGSKFFTSLRNAMAQNSFD ISDGSPANGLFLVHSRFNHSCLPNSQVPAFHGETIRLYATKDIQAGEEISISYLDSKG HTRNERQKLMDFACDCKACLPGTPFQQLSDLRRRLIRGLHCLRRGKDLWNGRVQSPDS PIIVDAKLKTAAENFKIPLSSRLVCCLLTIVLLEEEGVLDDFEVEMMGINVTMTANMF RSEYNQRVVMLAMAQNTWLERLQGVFGLYGRGDLADDDSTQMLQLLSRTLSG PEX2_029560 MIWIVSQMVYPVQAPGYISTNFLGSSITFVLTALDLLLINPQDG RDFVDANGNTKSFLSRLVDAVQLLTCTRAVNTPRQVKNVPPFPAYYIKRDPNGIPRGR FLVRETAIAIWQFLVLDIFSVLALQHAMNDQDEEWSISSSHQWDIPGREWIAQAVQTL ITWFVVSRILLSFYYRVASIIFVSLGDSPSNSPPLIGRMADIYTLRNFWGKFWHQMLR QPLTSTSNFLARDVLCLPRSSFVERYTNVFVVFFLSGLIHVVLDSLRNVSPWNPWTMS FFLSFVVAYMIEDGVQAFWKRTQGSQNNISLPAWWHKALGYCWVVAWLGVTSPWYFRS AMLKPEEQMVLVPFSVTGLINLSLLKNIVIGGGLVLKFVFEGEI PEX2_029570 MSAKSILEADGKAILNYHLTRAPVIKPTPLPASATHNPPARLAS LHFPDDKAIKDVLDQAEVSFPWLLTPGAKFVAKPDQLIKRRGKSGLLALNKTWTEARD WIEARATKDVQVETVTGVLRQFLVEPFVPHPQETEYYININSVREGDWILFTHEGGVD VGDVDAKAEKLLIPVNLKQYPSNEEIAATLLSKVPKGVHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNADATSAEVHFLDLAAKLDQTAEFECGTKWAVARSPAALGSPALAS ADGKVSIDAGPPMEFPAPFGRELSKEEKFISDMDAKTGASLKLTVLNATGRVWTLVAG GGASVVYADAIASAGFVSELANYGEYSGAPTETQTFNYARTVLDLMLRAPMHPEGKVL FIGGGIANFTNVASTFKGVIRAIREVATVLNEHKVQIWVRRAGPNYQEGLKNIKSVGI ELGLDMHVYGPEMHVSGIVPLALQGKKSDVKEFGA PEX2_029580 MPASTLSAGNGVQSANDNITRFAPPSRALSPSQHSLFHNKTRCF VYGMQPRAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQDVEK AMAKHPDVDTVVNFASSRSVYTSTMELMENPQIRSIAIIAEGVPERRAREIMVTAKEK GITIIGPATVGGIKPGAYKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELNNII SQNTDGVFEGVAIGGDRYPGTTFIDHLLRYQAEPECKILVLLGEVGGVEEYRVIEAIK NGTITKPIVAWAIGTCASLFKTEVQFGHAGASANSDLETAVTKNKAMREAGIHVPETF EDLPQILKQVYDAELQKGNITPAPEPVVPKIPIDYSWAQELGLVRKPAAFISTISDDR GQELLYAGMPISDVFREDIGIGGVMSLLWFRRRLPPYASKFLEMVLMLTADHGPAVSG AMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKGLSPRDFVDSMR KENKLIPGIGHKVKSRNNPDLRVELVKEFVKKHFPSTKLLDYAIAVETVTTSKKDNLI LNVDGCVAVCFVDLLRNCGAFSPEECEDYMRMGVLNGLFVLGRSIGLIAHYLDQKRLR TGLYRHPWDDITYLLPTLQKGGAEGRVEVNL PEX2_029590 MVRLKNRYLLVDILYPDPKTWPTTPGTKPHNPQLAIHSPTSDAL TQGFLAKMIRESVAELYGDYGIGKLGGASAGGITIKYLSPATSTAIVRCPRASFRLVW SALTYMSGVPEPANGPKRAGTGRERGCVFRVIRVSGTMRKAEEEAIRRARREIVRVKD AEEKGVLGMLVGGGSSVVDCVMDESEDEGMDD PEX2_029600 MPFAQLVIGPPGAGKSTYCNGMHQFLGAIGRKCSVVNLDPANDK TSYPCALDVRDLVTLEDIMEEDKLGPNGGVLYALEELENNFDWLENGLKELGDDYILF DCPGQVELFTHHASLRNIFYKIQKMGIRLIVIHLVDSYTLTLPSMYISALLLSLRAML QLDLPHLNVLTKIDNLANYAPLPFNLEYYTEVQDLSYLLPELESESSRFSHEKFGKLN QTIIDLVEEFGLVAFETLAVEDKKSMMSLLRAIDRASGYAFGPAEGANDTVWQVAVRE GMGVTDVRDVQERWLDAKDEYDEQEVKDLEEEVRVRNAASQVEATGAVDDGPDEDDGH DYDEELEKWKKEMPDSGVRVHRK PEX2_029610 MASHQFTVASPPTDAISALKFSPDPNSTRIVVSSWDKNVYLYDL RDENGKVGEGKLLQKFEHRAPVLDVCFGENENEIYTAGLDWDVRKIDLNTSEQTVLSS HEAGVRHVVFSREHNIVISASWDSTLHIHQPDAGANPDSLPIIVPLPSKPFSISATAT KLIVAMASRALHIYDLKALALLTAQSDSTAPGGNVVEVEPWQRRESSLKFMTRAVACM PDDAGYASSSIEGRVAVEWFDPSPESQARKYAFKCHRQTAEDGVDVVYPVNALAFHPV FGTFASGGGDGVVALWDGISKRRIRQYQKYQNSVSAVAFSASGQHLAIAVSPGFEDGH DEVPEGTVKIYVRELGETEAKGKGAK PEX2_029620 MSAVEEAKRAAAKVAVEKHYPKDARWVGIGSGTTIVYVVEAIKG LGVDTSATKYIPTGYQSKQLIISAGLTAVEYDSIPLGTVLDVAFDGADEVDEDLNCIK GGGACLFQEKIVAMQAKEFICVADHRKLQPRLLTNWKSIPIEVAPIAAHRVIRKLQEL GSVNPAIRPSASAKEGPLKTDQGFFIIDAPFKPMLIKADLEAGQDGNGKDGVWAVGVL ARKIKEITGVLEVGIFHGQTGPQATAAGGIGGERPVAAYFGMADGTVSVRKAE PEX2_029630 MKLAIVVLVFATLAYALGEDLPACVLPCLEKGMEKTSCKKVNWH CICLVRPVIHSAGGSCALNKCGMDTILSMVLFVGENASANWLYS PEX2_029640 MTDAFPAALTGPTSKERKYDRQLRLWAATGQQALEDSHVLLVNS DGPLGQYNTGVTGVAGVETLKNLVLPGIGGFTIVDPAIVTESDLGVNFFLEEESLGKS RAEETCRLLKELNPDVEGHYYAKRVEELLTDPDLLSQYKLVIISGPIRRSTLVPLIQE AKQLGIPVLYLHSVGFFSTFSVQLPAEFPIVETHPDPESTQDLRLLNPWPELVAAAAN LDNLDTLDDHQHGHVPYILLLLHFLEQWKLSHEGNAPSNYKEKTEFREFVRSQTRTSN PEGGEENFDEAVAAVLKTISPFSLRSSTREIFEMNQCQQLSSSSQEFWVIASAIKTFH ASHGVLPLPGSLPDMKSQSADYVSLQNIYKAKARQDVEEVTATVRQLESNLRRQAPAI PDRDIEVFCKNAAHIKVVLGRDIPQISIDSDASTLKTIRNQLSDPDSLIPIFIATQIL DSVVDEIQSSSLEEDRSVDDDGLWNSHTERILALLTAADGSAVCQEARAQIARAIKEL RRAEGGELHNISSLTGGLVAQEALKVITRQYGPLDNTCVFDGARSKSEMYKL PEX2_029650 MADADEDAIRAPENASAQPEVDLSDETQDFRFLNHLNFFADSSP GIPRRGEKDFEPNPTELQADVLSASRGAMHNALSYPRLHAAKTRVIAFYAPDGYVPPA QPDRATPKAGGEDGKSSESTTKPARPLPNSARISPDACVYVPSPKGQFFKTMGQADSS GRVWILPEEALYLIERGSLDIRWPSPSESAAGPGEEDLSVPMSLQAAYACFMGRGGLT LERYSVYTSLRRLGYALVRAPGWYDDMEQQDSDATDASEITPPKHHGPGLAGMLGSLF NWIHDPRSTASTATGPIIGTGIHRHYMDVYRKLAIIPWYDPVAAPERHPSDTTPPFRV VFHIYKPSTPFKKSALPTPDFRIAVVSTRDQTTMPTMTQLGALLESTPLDPPRGEKMD RMMYMRLRHGYRNVVMAVVDQGVISYLRVADSAFGKEKLYENKGVPQGSKRNRANQKP RKR PEX2_029660 MVYLSKGVAVAAGLALLGHSSGVMAEELSTKPIAADGTFFALNG NTVSYRIHVDNTTGDLLGDHFGGSVGANIPLETVGDVNGWNSHIGRVRREFPDQGRGD FRTPAIRIRQSEGYTVSEFQYKSHKIIPGKPALPGLPATTGTEEDVSTLVISLYDKYS DVAADLSYSIFPKHDAIVRSVNVTNHGKANITIESLASLSVDLPFEELDMISLRGDWA REAHRERKRVTYGTQGFGSSNGYSSHLHNPFLALADPSSTESQGEVWGFSLVYTGSFS VNVEKGAQGFTRALLGFNPGQLSWNLAPGETLTSPECVSVYSKDGLGGMSRSLHRLYR NHLIKSKFATSDRPTLLNSWEGLYFDYNQSSIYDLAKEAAEVGAKLFVLDDGWFGKEY PRLSDNAGLGDWIPNPDRFPDGLEPIVKKITDLKAANSSTNMRFGIWFEPEMVNPNST LYNEHPDWVLHAGPYPRSERRNQLVLNLALPEVQDFIIKAVSDVLKSADISYVKWDNN RGIHEMPSPATNHAYILGMYRVFDELTTQFPDVLWEGCASGGGRFDPGILQYFPQVWT SDNTDAIDRISIQFGTSLAYPPSAMGAHLSEVPNHQTGRTVPVAVRGHVAMMGGSFGL ELNPAVMNADDKAALPGLIALAEKVNPIVLNGDMWRLNLPEDSNWPAVLFIAEDGQKA VLFVFQLAPNVDHSWPRVRLQGLDAQASYKIDEGVVYSGGTLMNIGLQFPFKGDYGSQ VVILEKQ PEX2_029670 MNEATFLSMTRAQGFTVLVSNDRASSLLAQMVLLNRILLEINDF NTKAAETTLTEEYITKTISTLSTNLSTWLKNLPPHMHDTPSNLQSYASQGQGHLFITL YLGYYHYGQMLFYRFLHEDVRGYTPRTHFYAQQCKEHAVRLCEMIYRSEEVPGCAVLY NMVGHVLVIASTVQIHTLLFGDEGSVARARARLERNFCILTKLRALWPTLDVCMERLQ AFHRACRSSVDTSFCMDRWMVRFLVEFANPVRDKDGVVDGPWALEEIGIC PEX2_029680 MEQRNQNIVDYNESLRKISNSLENALKTFGPSSRQYQTILEMLK ECLRDIENESQKQSKAQVVDADMLSIAMGFLEIGK PEX2_029690 MNPAKGFPVHSHIPHNSTNLRMSMVRQARLTRDGRLCARIRPGE WQFSDRIPRHFCDHAPVNVSLVTISLLRRETIHPSADTFTKKRLAPPRKEISDIVGKE MLLFRCPAGREYEEVISMDLPFVLFIPFGRGGRDASRRVPPASVQLPSRTAETYYEMV VMVQQGQQQQHKYTFPVPIARYDTLSTFGMYNRPESAERVSDHLVTLAISLPRWSYGP LDPVSVYVKLSPNESWMSKARKVTISKITIGIDEEIIYNHEGDEPQRKVKVLAKKTEN IGVKLPQSGYLTNLGLVFPAKDLRDAEGILPRSRSAFPTYGVSGFTTTASLYKIEYYL TVRQAHLTSARDITIRQPIVVCPLDHAGCKEEMEAIEQAARDAAHVNPDNPMLPLPAI VRPNDHNALSHIGAAIVGNQKKPLID PEX2_029700 MPRRAANKPGSQSTLSFGGRVTKPVTAPSHKAKALDSTPAPSDK SASITPEPQQLSVTPNEPSKPHVAELAVRQQATVEHQAPRSEEDKRALKLSKQDIWRY WRAQEQTRKAPRAHQEGMDVEEKILRHFDLSSQYGPCIGIARVKRWRRANLLKLNPPI EVLAVLLKGKNTNERAHIDELLS PEX2_029710 MKFSTTLIAFVAAGLASAQLPDVPACSLSCFLSALQSDGCSELL DFNCHCQKPELVSSITPCVQKACEVKDQISVSNAVVGQCSSAGHPISIPPIETSASSS ASKASSTSSSAPAETTAETTASATPTASTSESSSAVESSSSSAVETGSSTTGAAGTTT AASTHVSSSGTATSTPLTTTTGSATASTSSPAFNGAANVKGNMAGVAALAAAAAYIL PEX2_029720 MRTSIFLATALATLGTQADDTSSAIIGYFSPSWDAGFLQYGGWT STAASLVTYNTKAATYHVGCVKDAPKTDCDYPASWTIIQGPETVSVTGEYIATTSGKS TSYDLTLTQSYECSLKASTESASCTMSLGVNGSFNGGKYASSTSSKATYTTAPMSESY YQLTVTAGLDSVILTGPTQTTTRTSSTTSSTTSVETTQSTGGAAGPVGALITAAPMVA AAMAALL PEX2_029730 MSDAKSKFRDLPRAAGPLICPYKGTALLQSSQLNKGSAFPDNER STFKLHSLLPPNIQTLDEQVQRAYEQYKTRADDLAKNTFLASMKSQNEVLYYKLLQTH LKEMFSVIYTPTEGDAIQNYSRLFRKPEGCFLNINDQDHFDEYLAGFGTGEDVDYIVV SDGEEILGIGDQGVGAILISVAKLVITTLCAGIHPSRQLPVVLDCGTDNEELLNDSLY LGLRQHRVRGEKYDEFVDRFVKAARKQFPKAYIHFEDFGLNNARRILDRYQSQIPCFN DDIQGTGCVTLAAMLAALQVSQVKLEDVRVVIFGSGTAGTGIADQISDTIATETRKSK DEASKQIWCIDKPGLLVKSLGDKLTDGQKSFARDDDGWPEDDRDLLSVIKRVHPHVLI GTSTKPGAFTEDIVRAMARKVERPIIFPLSNPTRLHEAKPVDLYEWTDGRALVATGSP FPPVTHNGTKYDIAECNNSTCFPGIGLGAVLSQCRLVSKKMLVAAVEALKARSPALKD SDKPLLPDVEDVREISVDIAAAVILCAVEEGLAQAEGIPTTDSELREWIRVQMWEAEY RPIVYKN PEX2_029740 MSGIVEPSTIPTSPSTALLQHLNTTLSPETSSLSSLPHQLLTSP LRAFQHAETFAFRTVPQTLARITGIKALGQSIWSGAGSAAGASGTAAAHAADMTGNEL ADAAGQESGYYIAEFLGAMKKLGGFFGYLTSLWSFACLVEALILNRITIYASTRRHLR LGWERRAALRLIPIVLFASQILSLLRGIRCQTSPSFSTMRYGYPGKQLVFDYSSDGGV LHSISSALLGWESEERSCSAVKMARGTTPGVPYGSFSLLWPTFMLLCLSHFIETLSCA LQGRPVMTETGMSIFEHSIAFAEAESMISKSIGLGLFGLPKQSPLSALSGASDDSSSS VFALLTRSQVLERMNVTPELLLIVLISCCNSATSHILEVFGKQNRYRLFSTAFWGACF MVAMSWGLDGGPPVSLETGVLRFPTVCIVGFIPHIFLLGGIVICLSIYTLALTITAVS LPSDDQPVSLYDRFLMAHENMQGSNQIRSIRINRHEDFYTTLLRIGYVALTAASEAVF LNEGQSVVARRMTWLEEDRLSEIEASRRKSTSYGNWQTETSSRPIEAMGFSSFDMPEQ SVWESGYNREKKVEKPKNGARAVQSRAELGGVGAVRVSIRLWHVLSFFRAISLIMLRW AAYGLNNVLDRLRISARPMWLKRIVGHRGKKSNIDKTNPSQPLDFWILSENGELELPD DHDFDVEIEMRKRERANRPDWMGSDESRLDDRLYSWWKIGGAWGNQDQTSDYSPPSDD FDTTSVVSMSTNASESEWEDSRSEDGRRTPTQLEPYADRASPPLQDPLIDMSTLARLL DPRDRESREEARILAAHLKTNQDDSQIMTRSRYQKQVEKERSRIIFSSRLQQLNAMQS GSEGRKPTPEEEAELLEKLILSRRSETSGSADAHTWESGATGLGPNGPPCVVCQTSPR AIITWPCRCLCICEDCRVSLAMNNFGSCVTCRQEVVGFMRLWVP PEX2_029750 MAPSTDSEFVTIVSNDGFEFIIPRSAAYVSETLRTGLSSTNFPE GLKGEYILEEFSGIIVEKICEYLCYNEKHKDQVNVPDMDIPPELCLELLMAADFLNT PEX2_029760 MITGGTSGIGFAIAERFLQEGASTIVLVGRSQTRLEEAAAKLES LAVTLPDLGESNNGIATRAPEEDNQQSTQGKIRLLVGDVSDAGSWMRELEKEMANVDI LVNAAGISISNILPRSELEDISTILRTNLEGAILTSRALMRASIRSRIRNRSDPAAGI KPPSKCIINVSSLLALKGGTGAVPYAASKAGLLGLTRSLAVEASASMKDIVIRSNAIV PGYIETPMVADFTPGETSRLKDLIPLHRFGDPREIADAAVFLAQNEYANNCVLNLDGG LSAI PEX2_029770 MRDSSLKRSLGVDLTRSLKESRVLLVGAGGIGCELLKNLVLTGF GEIHIIDLDTIDLSNLNRQFLFRHEHIKKSKALVAKEVAQKFRSDTKLEAYHANIMDA QFNISWFESFNVVFNALDNIAARRHVNKMCLAANVPLIESGTTGFNGQVQVIQKSQTE CYDCTPKESPKSFPVCTIRSNPSQPIHCIVWAKSFLLPELFGDTEDEAPEVDNTENAE NETEIADLRKEALELKELRQSIGTEEAHQKVFDKVFKRDIIRLQGMKEMWKERELPEL LDFAQLQEESASIASTISTHDQKVWTLGENFSVFRDSLNRLAHRLKQLQEKNLPDQDS PILTFDKDDVDTLDFVTATSNLRAAIFHLELKSKFDVKQMAGNIIPAIATTNAMTAGL CVLQSLKVFQNNLMQAKMVFLERSGARVINSDSLNPPNPECPVCSPVVARVEIDPELA TLEHLIQGVLQTELGYGEDVSIGFGSESIYDPDFTDNLKKKLFDLGIKNESFITVYDE NEPERINLELVIIDRNEPSSKDSKPATLQKMVEIPLKPEKPTQPSPDLTITDDATVTG KRKREGSSDEVERSSHDVKRLASASVPDSKGIEAIVLDDDANDDEGGAIMIDD PEX2_029780 MPSLDPIPVQRNDQVEANSDDEADPELVELLRQHFGLGEKPKDA PPETRVLEGAQYVFDNAIDIAVSLAHTKEAAETIWRMMQKKEYSTHTWSEHELHPQTK DESTVDFIFTMDLLNFSFWSEEEEEPKRFCIEYRGKLWTGYWSLVAALQRALEEDIPI TTPDFWVDEERCTDELLRHVFRSATDGEIPMFKERVQCLREAGEVLCEEFGGSFANCI DSANLSAAGLVNILTESFSCFRDETVFHGKRVRLYKRAQILVADLWACFNGEDFGEFH DIDKITMFADYRIPQMLHQLNCLRYAPKLENHIRDLKPIEPGSNWEIELRGTSIWCVE LIKREIEKQHPEVKMNRQKPHSKGIPDDNENYETEELDEKLKVVEKPRKTYGINAILI DFFLYDTMKNLEAEQNESIPHHRTRSIWY PEX2_029790 MNNRPVEQALSTLVPTHANDLPQELLSYAMSLVAQSRSFSSSLK PEEEIARPYACAEIACRRLTRTLKLPPLLGHPPCPPRIYKKLYSYLDRSLTSSSTGLK RSASGSVPGTPSRAGSAQTTPTKVTAARNTPSKAVAPTPRGLQNTPSKSTPLKRSMST ANRLESPSKTARKATAPRVKDIHGSTIIPDAPAWVMTAIRTVCKILSTPAPRTNTWSR PPISRTLPPHIFSGVSSVLYLTSSMSNDEPGWDRESLDFLEPIVSINGSDKDDDFKEL VYAMVVAVYFLVLARRRNPGPDAEADIEAQKMDKKTFIEMRQTALNSLGLKANDRRHR DDVDQWITLIMEQGWANGQEWFENIPYAGQLYGEDEEGRSYQDLDEEDATLRGVRPPK RSAAGSGSRASQSDHAPRGGLLPGLGTMMQDRVDWLSDDRQEDYVNWKADIMARIEGA AA PEX2_029800 MSVTLHTTQGDLKVELFCEAVPQTAENFLALCACGAYNNTPFHR LIAGFMVQGGDISLGPAAHQTSTKPMLDFEIPKGGTSIYHPAAMNQEIHLPSLRHNAR GILSMASRPVKDRTAPGSQGATGTTINGSQFFITFVPAPHLDGASTVFGKVLNLTAQD EGGDVLANLEKANVKVDKKGKVSQPKEGDDFESFKINNVTIHANPFAK PEX2_029810 MAALKCARLEEGVLPTTLDKLTIRKEDDGNFTLTALPETGSITA IVESVLGHSVELHRLQASGAEGQSGCSIYFVRDSNHPEKAVAVTKVYPPTCHKDFFEE LVAYRRLRSLSDPPSAARPLGVGRTRDENTAEPMGVIVYQLAAGKAINTIIRDIGRVS TLRLIAKQPCPDETREMMNDIIRGALHGISINYISHQAQSPNTPTTELLDCQFNVLMA DLISAVQGVALTLAKLHREKNEWSSASESVVDRLRNKLQGWVEEIQGPSRGQYERAIG SDKIQQLASLVDWAIDYSREEGVASLLHGDASPGNFFWDPVEGITMIDYGGLTRSMDA SGRPIGPAEMDAAGFHERLRKYAGDFGMSEADIGQVQGEFWKAYQAQGIPINEGLVRL FKTRTQLSRLWSAVDKQDTSRDEYKAVQLSEKIKFEWERLVSISPEKIQPWRVLVVAN ASGPGKGGLPLLNQELVKAMSEIPNASVTLFMVQPENEVKSMLASSGHGRARVVCIPS RGDDPSALLYHVAKVHQPGEYGLPIPDDQHSSPFNLIIGHSRYSSTAASLIRERWYPT SKLALITHTSALRKSDVAWKWYGQTRELGYVEAARLAMLDERILPKADLAVGVGPVLT TEAREREWMGQCNRPRSNMMGPRFHELVPGVRTGDACTQQRQPNDLFRVLLAGRADDP AKGLDDAVYAVRKIALSGNDISLDVLGLPAEDVERWQQAVDEMTGMPDLVRLHPFSDD PNAVSRFYCNADLVIMPSMHEGFGMIFTEVAGMGVPILVTQESGAGQLALDRSRIPPE LGQACVVMDESTYGIVPSPTSQRVAVWAHRIDQVRCYPEQTRRHARSLQRIMRGYSWK HAAKALLRSAMEGEGDTVQMAHGSVAPAYSSWPRPSLGMSVVSSMRRAARTRNRSGKP TLKQADEVMQSLPEIAATISALEEHVSAAVGFPVNLQSLDPTHPGGFSGAIIFFAYAK DEFANGETPLVFNDTSNGHVVAVIKLFVHGLDNGITEELSSLEWLLLQTKGDIQTAAP LAVGRMTWDTKPAGVVTYQVAPGVSLYQLMKQMGRLPLGPARRDLLSVFTAAVQAVAR TLARLHTHSVEGRPGTGYLEWYFDAATKRAQQALLYKDSIRSAGLEADQLPEKMNQLI ADCKRDIASRPRTTVVHGDAHPGNFFYDRATGHVTIIDTTTLHSSLDENGDPLGVPER DLGHFVHMLRRTGEQYGLTRQEMQESATDFVEAYLGNTAAPANLQIMRFLMSCSALSF LNYAAQSDQTKVKLQVEILQDLFQLGDGWTQLDGIRGL PEX2_029820 MCNTILKLIETWGHDSSVVNFQLSISQPTPQAAIHMTHAIISKS EQWWCGQAVVFFVVGSFFTHTVIFISLLDTNPAPSIAQFVPWLSAIPFEVAILSTSVS IYSHTHHEPTIEDPFGGKLRTKITFWEALEISAGSVRIFVLALLVASYTFRSARKHRT FKEAEEADTGETTNLLDSRGNANSDDSDALTDGQQTPEVEAWRAVNILVPYQVGVIAD SLSASDGTLRVPWMPILSQYAYMELSTAAFEHVHNLGLDFHLGKKMGEVLSALTKGSS INTFLEQVTFQVLPMFIDLAIAIGYFLVVFDVYYALAVAIMTFFYLYSTVKIASWRAN MRRQMVNASRQEDAVKNDSLVSYETVKYFNAEEYEFNRYRGAVSDYLRAEWHSLFAQN LMNIFQNVIFMLGLLITCFICAYQVARGQRAVGQFVTLLTYMAQLQAPLNIFGTFYRY IQSALINAERLLELFRVQPSVVDAPSATPLAVCHGRVTFNDIQFSYDTRKPALDGLTF DCKPGTTTALVGESGGGKSTIFRLLYRFYNPNGGSILIDGHDTQTLTIDSVRRHIGIV PQDTVLFNETIMYNLKYANQDATDEEVYEACRAASVHEKIMAFPDGYETKVGDRGLRL SGGEKQRVAIARTIIKKPQIILLDEATAALDSETEQNIQEALSVLSRGRTVLVIAHRL STITAADNIVVLHEGRVAESGTHEQLLASHGRYTTMWQKQIRAQTESVGLEALSGKI PEX2_029830 MKIPTCLVLLTGVYGVDAKGSFLQSPSIVGTNINTAEAIHTTTV KYDGDDGILLPQNNPNGWVNPEYLAPMPQCIAQQDQSTWLRAMTQCTRKRCTSKFTFI CTHHQWLTELSCLSIAFSPDVIENYLPYCGRSVLAKAQLYQWIHDITGRTWLVDVGDA SGLQSLSPDSLAEGYAAVDVIHNAPTCLSDSVSASSMEPFQHVMASCGFTSTTQHTGN VDRPWEYNERLRSMIALDFETVGYDLVQHSIFDMVLRRISDGDYFDKDCFCNTFKIDL NKEPCSGSGQLDFTKERLWIYATCGPASLPENWADTLKTTQFAYIPIEDWHWPKCVAD MPKQVIELTDHCVTDACEAGGYCKTKRAVDRACFCHNINYDSCGESCQIFDTRIEYIK WLHDLCGNVQDWHGLPDNWRQLTAPTTLEIIPWRWQLKPSNDSDITHTPSLGDARATE TCASNESKLTSFALVNIATFLVVALSVRTGIVRRIACRFPWNSQQWSWVVKGTLIAAL QLLANLFNILFIQQTPGYENVPVIQLILLWSSMPRPTWLTILLIGVPTFGDLKFFAAG SSLFAEVILQFLSAYYLLMTVHYGQKHNFYFGGMDGAERAGPAKTMGSRETPLIRSER MDSERTPLIKNRGDHMDYGTSSFQRQHDRVSRKSFVGLYVATVISMLLLWIAQWLFWS GFIGLSSEEFCPPQLGVLTAIWTTFSLLGAIIIM PEX2_029840 MKQHTDSNTSYKANENHKSLLLKQSITHFFVSIMNLLLTLVMYT AAVSACAGSAFRCKNAQGTTAGDYSRTSEICAEIGDDADMCYCYGAAEDYCTLANSAD VQTFKQYCQADPGWYYSAC PEX2_029850 MVSKADNDALEAQFESQFQKPGDLVERDEDTGAMSIDSLCMNCH EQGVTRLLLLRVPYFRDIILESFECEHCHLKNNSIKSAGQIQERGTVYTLRVENEEDL QRQVIRSDVSGFKLTTKLGNEIEVPKGTGQLTNVEGMVQLIHENLSGEQDLRKDQAPE LYNALVPIIASLEKIMSREDAFPFTISLDDLTGNSWIAPNSTDRGNKYTRHEYPRTHE QNEELGISADANAVSQEDTAQVGAGDPEDLDIVDGQVYTIPAECPGCNKEAEVNIKKV NIPYFKEVLLFGTNCGHCGYKSSAIKTGGEVPEKGKRITLQVDNEVDLSRDILKSDTA GLYSPELDLDVQPGTLGGRFTTVEGLLVEIRDQLKGAIFDVDDSTHSGGDSMVSTDKD KWQRFFSRLDQAINGELKFAITLQDPMASSYVQDICSPAIDHQITTEDYTRSAEEEDD LGLTDMKTEGYEQGEIDAAKADAAQKE PEX2_029860 MTSIVVPSLGKKEAVFLDVLCPLHNMPSWKILGSTGRGNKNLSQ RVLYHTRETRPRAHATVSGYVGLYGSVGLRDMFICRRLLPAFLNSCLRQYLMLALLCL D PEX2_029870 MGGPQQIREAFDIAKDFLTPVLDREEIQKTQGKSLLQILSQSSG DDDGAGDEAARPTVINEALDILTGIHKSFVSPDNPYDSLQLSGEFEDSPSEDAKRRRM LHALLDLISLEGIYPSLSSGVGIPLQQRVISVLPAGVIAKQAQAAASSVPHDEPLLRR IIDVLVDVLFDPRPSLQPIIRGRILSDIISGTSDLACNPNIATSSDRNTYQQTLAKII DDTPTTVLLPTLSAFLQSNTAQWFKSTISSQISQVPLRPGGVVQTIMFIASQFSPSLG QEAQDQSNGPRLTVQAIMQISRLLSSVPSDVDPTVYFETIAPQLLALIDGDDPDLRKT ASYVVGNGILGKRAYGAPGTVGHSIFVEPIFNALTANLDAKSRRWISRFTDIEGSIPD PAEPNPASNVLVDGAMVDLALNRLRCLTLQHPNPGLVKRIVYPILLPLWGFICFSQEA GLTSFHEKVLPLLQTYFGISVGVQPLKKLVDNLLWDGGATWTYNKYSDAGIALQKRSE KTNEHSNLVRLIDSLQARAELFVSLIGSDPSSEERTGDIFLYVSQNWLVQPQSPAPPR NTLNGGPIGESDNIIQKLVSAKVAEKLLENFKEALTRRPLRVLELIKQVIDGELHRLS AQRTAMQGKLNSKVSLSSLANIVETGDKDAEQLDNDSSESVSTAFSLMSTLLASAEFS PTAEIQPLLESLKKDLDQLIPFLPSALSKPATTSSMLLEIHLAGADETAIKAVPAHIQ DLDTHRQALANLNSDLPPVQAEGLSLISKLITNSSPVLDVGSTLTLLLSVITDTTKAA GNDEYAYLGAIKIVGTLASRHPRTVIKTLIEEYADRREQRALDERLRIGESLLRTVQD LGDTLAGETAKILGEGIIAVAGRRGNKQHAQQARKKQLAKERREQERAQRKEKEPAMP EGWSISSGVAKAASELDLTELHSDDESPEQSAYATNVVAAWAAGASADDAPDDLRIRA SAISILGSAINVNILGLGPAILSSAVELALATLTLEREPESAILRRASIVLIFDILKA LEAARETRGNRDIGFGFSLLDDSASSFGTPYGSSTQGQSTIGNIPTMLRTLRFVEGSE EDGLARGNLRALIESLEAWSEKSLMWGIGAQDDQDAFNPQFGLGDRIAGLQVDPMAAE ISGRPRIEEIE PEX2_029880 MAPVRIAVEGCGHGSLNDIYETVDRKATEKGWDSVDLVIIGGDF QALRNANDATCLSVPDKFKQIGDFHEYYSGARAAPYLTLFIGGNHEASNHLSELYYGG WVAPNIYFMGAANIVRFGPLRISGMSGIWKGYDYRKPHYERLPYNRDDVSSIYHIREL DVRKLLQVRTQIDVGLSHDWPKGVEKLGDYGTLFRKKSGFKADSESGKLGSVAAREAL NHLRPAYWLSAHLHVRYTAKVAHNPPRANSTSSLSPPNPVVATSDTNDAAPIPHSNLN TRTLAGHQFLGTATGDEQSRIKAWNEFGDIARRAEKEKRDQDEFMRMVRARQPKPPRN ITFSETAKIGGGPVQTFVRGADGERVESAPIDGENIEDLDKVSQGNNPVVPSSEEAAA KQGSSLFAETSNDIQTTDDAQMTKHAKTTQDVNTTNDVADNIDKISISTSPSSAASVK SPPAEKALPKADISLNWSDDRPRDTWWSDGVDDRVHEVEAELPTSLAQSSLPKAAANR SDSERLPAPEDIKNLVTQFLTLDKPHNHDDFVELLEIEAISEQDDAAVESPLRLKYDK EWLAITRAFADELEFGGHPKSSVPAHKGYEFYQQRIAEEEEWVQENVVNAGLLNIPTD FILTASIYDPSVSINTYEQPKEYTNPQTSAFCNLIGIENKFDMSEEERQARMEAGPRP EEPRQYSQGNRGGRGGRGRGGRGRGGRRGPGRGGFSGRGGRGNSGPSAQSNDAW PEX2_029890 MLQKKDHCGACGSIRNPQWSKVTEIKPKKSKPLTSGSASAGATV YKCLRCCQRTVLPRRKSRNPISKPSSRGATATSTRGSTPVGIDVKGSTQVSADPKAGE NQSSKKRAKARKQGGLQALLASKQRAQPSLDLLDFLQ PEX2_029900 MSSYSDDDEHDPQADELRETALVTLEALISSCNKQMQPYLTNTT RSALRFLKYDPNVAEVEDDEEMGGTQDDGSEDDATEEPDLDDDEFEDFEEEGGYSDID DMSWKVRRCAAKLLYTVISTYGLGRASDASALFQQIAPALISQISKEREESVKLEVVS TLTALVRKTGEGSMIVTSNDFLEAVGGSKNSRKRRRQDSDASMIDFEPSAGTSSAIDS PAVPSSPKSGSQADLARSVPSIVQNLVKVWKQASIPLKQASIVLLKSLSLVRYGGLAD HLQQIEDLIADALKTSSLSGSTAAHTGAAVSAGTLQIETLGLIAVIAETHLSDALLPF LIALVPGVVGAVNDRNYKVSSEALGAVEQIVKAITPPRVSANPSDVTLQLQKLYDVVN SRITDTSADLEVRQRAIHVFGVILARTSGERGVAFLSSERRSNGLAVLVDRVKNETTR LSAVRAIDDIVVLASRKQDVSSDWVNNVALELGSNLRKSDRALRGACLETLRSLSMNS NIRYHLTPETITALENAALPLLAAADFHTLTPTLIIIAKLVPGNGKLMVTSGLISSIC SIVTKPLVGTVLKALLLLVKVIGDEGAGASLMQNLLRDVGITGDSSVVGRAVGTLLVH GGSNLGVTMEDFSTELKTARDDSRKCLALAILGEIGLRMGPECSLTPSLFIPHFESQS DQVRLAAATALGNAAAGSVKAYLPIILNGLEKSNAQSYLLLHSVRELLQHPEVVRPDL ASSAHKLWHALLVVSEEEDNRAVGAECVGRLALLDPVAYIPHFQEYLANTDPAVRGVV ISAFRYTLADSSDAYNDVLRPLMVPLLTNMLGDSDLGNHRLALTTLNSAIHNKMDLLL PHLDELLPAVLGDTKIKPELIREVQMGPFKHKVDDGLDLRKSAYETLYASLDTSFSRT HMAELFDRVVAGIDDEQDIRAISNLMTSKLIKIAPEDTERHLDALSEHYTSVLSFKPK DNAVKQELEKAQEASLGILKITRELSKAFPGAESSGDLHKWKTYMEWVRRTFSPQLTN LELES PEX2_029910 MPNSPRIAVDPDVYVSGEYGDDWQSETTSIGSSIYKGLMENGRR IPSDDLAFESYEVGHLLALILDSERENPLFRAPVGKNPKHILDIGTGKGNWAIDVADM FPSATVRGVDLFPPPITWMPPNCILEVDNVLQEWTWKQPLDLIHMRIMTGSFDPAGWD HVYASSFKHLRPGGWIEQLEGDIHIECDDDSLPADSILRTWGPTMNGCGARGGLKLDT LDGMRGMMEKAGFVDFQEKPYRWPIGPWARDQKYKEAGVVNFQHWISGMEGWCMRLLT SFGEPHPWSKDEVTVYLAKVRSELKNPRYHIYHRARRVWARKPFPEELTSETETTPIK KEEESTK PEX2_029920 MPTDFFTLRHRDRQRAAAYLQARSKVITAMGVIYKQVEIYNSAQ INPASYKSLDIDCRLLELDDDGKPLQPPNSDPSDSIFFEPRGATWVAPVHVTNTQRER EVKRYTEQWESVKDNPLVQSLHSQVWFLYSGLEHMFFLFRREFYPEGDDRVPPSLREM FKWAKSGTIVPSVFADRFYSAIWGSIRWFPGKPHTLLVILIKEEPSEELFRAEVMAIT IFGRMQARVLEAHYSQQGLVVKKTRLLDFSTNQVANKNMNILLGFMASDLVRDPRGPT APTDIPTTLPTAEKVDTAKGGTLRKMFRKIRPRVGADTESEQSEGVTQGSSANRMPEV PRNQISQDSPSGPTPALVAAGTRLDEFGSLHEDTYYIKQGRTTDVTGGVCNGVLPVCK WATRYDINGNSVDNKNIRTEEFMIIGVQDRFNESGDSGSFVVDSTGAVVGLLFANYEH HLQTVGLALPVSDLMDTMNDRLKGPVSLRLP PEX2_029930 MVKLSILSIASVAAFASLGAAKNCQTKFDYCSSALLSRGNYDTQ IRNAVADAQSAFPGVTRDNALFACLGGSNGEIKVIQKCGYQCKNGGDGHTDSC PEX2_029940 MPQNNNSLRGDLQYRDSTSNFPQENMNSTSYPLSYQTVGQLDDS DFKLQSLSTDLSLCLPKKEDTPVILNILQNKANSEFDKSISEATTEELEGIARRWTSL SQPLTYVNFLIWHHDTPIGIAGLGWIGATDGNEAESDRSRAGAAGVIIEPVARGKGYA YEALRMVFDYGFHELGLVEIRVGSHSGNVPMKMLMERKFGLEVEGNSGHDNVDKFGND LLWIVKTDGH PEX2_029950 MPLPVAKAYIHLLLLGLQEVALDLKLANILMTFENENAIPRFIQ EQVLKFPMHYKTDPVTNHTTYQSYNGFGPMDVKEIGNILPKINDFGSGWPLDVVDLET KSQNEPVITYPIQPNYYRAPEVVLDYGWDFSADIWNFGVLVWNIIEGTELFTQVEDAN GRYDPKSHLAEMIALLGPPPKEVIERADFMSQVEYASTISIEVGKPCKNAREVFGGPY FDEEGKFLHEELIPNRKLEDTIPSLDDSERELFLSFARDMLTWVPSERKTARELTEHP FLNFGGYVFKDVLEGRSTG PEX2_029960 MLESLNIHQEEGEGDLSCLGSYYRREIDCIFPDFYLNYRFPNSQ HAIWSPLQSLLSRLSKLRDMTWSCVELFPPCLLDTLHRFIPHCRLHITIFILPSLRYK NDVPSHVDEYEYKLATSPSLSSILVPLPKVDSEWAVCLNAKAAIHLATGLAPNLSRVH IVEDPSELRLNPAEPGCPEWKGFPQNLNDEHRLHGGSLRYLSLSSVRLGYFKAWENQN AFFGLRVLQLWDVSECVLIAATSCQFHLLRSLALSFPGWESYDRAASDFVSSLHLLET IRMSSCQKEHTFQATLRHHGKSLTELSLLPRASDSGEEVPSFTNRIIQIRLHCPNIRS LQFSVYRRRLKEEDSLFRAIGEIPHLRDILLRLICNDDMQEQESFLNSLDYSTSAPEL SRAMLQNSPLHSSLPAQAIFFTVAQESFLQRLTLDIRCTLCPKRVAGFLNWTCCRWRC ERVSGNRIIVRELEKDDDCKDEQPTIHL PEX2_029970 MLVSNIKTSQSLPNPTPCLSYWQRTTRAYPNLHANVETTVPSNS KYVIVGSGISGGLTAFKLIEGGAKAEDIVILEAREAASGASSRNAGHVRPDAFRGFSA YAKVHGEQQALKIIQDERLVLERVDAFVKEHNVECDFNLTTTFDVCMTPEFAAYEAES LEAFKKAGGDTSHITFYEGDQAKQKTRVPGAVAAYEWPAGSSHPAKLAQFLLQAVISK GVKLFTFCPATKIERSDAAPEAWKVHTLRGIIEAEKIIHCTNAHAALLLPQLEAYIRP NRAQAHSIVPVPAFSGQKALQSTFSLRFSLFHFYSLIQRKGDGTLVLGVSRANPTMSP ETKAGRYSTDDSRYNEEIAQDALRTFGEMFPAYSAQTAMHGEGLDHAWTGIIAMTTDS VPFVGAIDSLPGQYICAGFNGHGMARIFTCAPAVAQLVLGKTWDETGLPECFQFSDER LSRLSNGDLPSIW PEX2_029980 MVSFKMFRRNASTNIDIRSPEEKALVRRLDMFLMTFGCLSQVIK YLDQQNINNAYVSGMKEDLNLIGNELNLFTTYFNVAYCIMLIPSQIILTYVRPSYWLP GLEIAWGVLTGLIAMTTSAKQVYIIRVFLGLCESSAWPGMMTLFMYWYTPTELAKRMG FYHSCQAVGQMLSGAMQAAITDTLNGRNGLAGWRWLFVINAIITVIWGFAGFFMLPDL PNRPNPRAFWFKKVDGELSLERLARNGRAEPKKMTWAGVKRTFSGWVVYFIAVLYIAT VLGTYGYVYFGLFLKSLKNPDGSARWTISQVNVIPIGGSAINVVFVWIWALLSDYFET RWTLIVLQAVIGIVPCIIMSIWTTHPASVAISAAYASYFISYICLGTAPLIFAWLSDL IPQDPEVRSLVVGVAVAGYYAISSWSQVLVWPASQAPFYKYGWQSALALLVLVIIMTS ILRFVDVRYLLPKREEFRAVLEEDIVASKGAGPSVLADQIEDRHRVDGSSKVSPTSNV REV PEX2_029990 MLIKPTQSCSIFLTIKMRYSIASIASLAALGECLSLPPLIPSIP GVTEALTTNAPPLPILQVPTPPLESPPFESSDIKPKKIGYFWTGSADKFHKDFLATYS LDDDTFGTLLWVTDVPSSGNDPHHLGPSLDGKTIWGGGLLSLLKTQDTGFYFDTSNPY RPKFLKSNRGILASIADEVRAKPDGGFFITYMGSAVGTSPGRLVETDADFNIIHEWPE DVEGTLNILEQQFSPHGLSIDWEKKLILTSDFVEPITILKPSLGVRHADTLRLWDLDS RKILSTLTIPNGGGIQDVKFIPGHPESAAIATAVHLGQLWVIYPLRKDANGNQGVVEE LYDLGPKARDTIAIYSDISQDGKYFYATLTTANHIVALDISDLNNIKRLDDPDEDQPT VGPHYVKVTPDQKHLVVTDYFVQTGDIGIINTPADFKALYIDLNEDGSLSFNRSIDFP KEFANRGGAKPHSSVIFDLTDPENPLYY PEX2_030000 MKSQSLALFGLFVSSAFAVPRTKRHDDGNDQPISGAKGAPILGG TNKALDLQNPDQFRTASTDNGFVPNVKWSFSESQTRLFPGGWSREQVIQDLPQSHDIA GAQQHLKKGAIRELHWHRTAEWGFVYNGSLLLSGVDENGGFTTEVLETGDIWYFPKGV AHNVQGLDDENEYLLAFDDGDFEKIGTTFMVDDWIAHTPRDILAKNFGVDPKVFDSIP SKFPYILNGTVSENLDKAPIGTLRGDNSYVYHTYKHPSEPVPGHGGTFRKIDSTNFPI SKTLAAAIVELEPKGLRELHWHPNAEEWLYFHQGHARATVFIGDSKARTFDFQAGDTG VFPDNSGHYIENTSETEKLVWIELYKSDRVADISLAQWLALTPADTVANVLKVDIEVV KQIKKQKQILVQGKK PEX2_030010 MRKPRSPAPGSLKSSTPKTNPKIYSKSPKVSPKPTPGAARRQPS MDTRSPLRSVSNVSKASTQSEFDGTVQIKTKKDKDTKEGTPEWRRRLVRGEIAAGEQR DLFAPMGLESVFKPPTPGAATAHPGSTPFTKQDDHLWDFADTPSRQDGDERNSHDDDL ERVVDEDGRDNGDRSPDGTVNRAPWGNNGGLDVDWDQEGFSQAGNTQLRTASGLEDLR NEGITPITFSRNNTVDGNGTSEVIKSALKQVTNKLESLTIKNDSRPDSPASDSFLFYN HSEPHPDGSPRDDSLLDVTSHSLPQDLSMGTVDFSSRRGKRSFPRRFSPSPFPSHRLS PTTLANSKIRSSPLFNPPHKTASPGLPRPSSSHVRPSTAGAENETKMPSSGSPLKLFG DHDTFTNNRLLRRMSQFEDNFSDTSEGEEPPSPSEEARRKGENRSFLTGRHEPLQEIS PRRNERLGSRNAVHRISQFGGGELDKFDFSDTSPYEHKLVYDEAGGFGSRPSSRKRSS TRQQYLRGPSQQGLYHYSRSASSGFTRKPSAWMRQDFFDDVRHGTRLNNKENLAPDVK RVPRAPGMDPTPKRRRTIMRNNSAEPYHNASGGSPQKYGDSMSLLQRSLMQHGVQLEH SDYLAPPGLSQSRQRPRTPTPSQIRTDGESHVALHRDFSETNFDNNDYSDSFSLDGDV PLVKITGTSDTSRKGSITTQDYLNEATKIMDLIRSKGRGAAGLTSVHESDVESEDDDF SDDDESTREAFSRPPSRDGTDLRKLREVKELNPLILSHLKKYQEKDDHNDREMPLHAR HDQDEGQTELGESNSQNIRIHGPRKRKTSGMTDDTAENIAQDLMTINTQMSGFSVTSV PTGSSQSSQVKGMLASDLVAHLIPNEVNGFKYDRSKNQWVKDDVEEPQAPISEPAEEA DDPFRDIPDLSVDELQEMMRVHGLSSPTKSDPPADQEQTKTRDPSAANTSPKKSNVRP HTRSGEPSLGASSVQSRFTRFTSSVPNTGTRASSWGMDEAAARKVSEQGKPEAQREEP LSSKTPRSLGKQARVATVNLSSPLVSRPSSRGEPDDAQSDPNVNHTYHGIVPEEDTPT ADQENGSMRSSVPPTGRQTSVGGQPFIRRPISRIEERNEETVDEQSLVRRNDSLQVEE TPARTEAEHSLIPLQSAGPDTSYSFHLSPLPDFTVNQGDKPLKLEMSYVAQRTNPSSL RQVHGTFALATEDLIKHITDVEPYEPYWEHVRRLVLRQKGLITLHKLNEFCPRLEELD VSDNDIGQLSGIPSTLRTLKIPRNCLSNLTPWSHMINLQYLDVSGNDIETLDGFASLI HLRELKANDNQIRNIDGILGLNGLLSLKLSNNSITAVDFEGSELTRLRDLDLSHNCLT SVRNVEWLPSLATLDLSANQISHFDSSASLISLRALKLSENKLEALDARAFPSVSLLY LDQNHLSTVIGLENCHNLEVLSLREQTPSKGKDLEHRVDIDLGLVKDVRKVFLSSNKL SHQSVCPSAPLLRLQLLDLATCTLKSLPTDFALSFPNLKVLNLNFNSVDDVESLIGMN CLARLTIVGNRLSRMRRVCQILSRLGKTGKGTACSLRKLDLRGNPLTIGFYPPAVTGS GNADRKKLKAQEQAVVRHQGTHRDLSDALAELGNDDQISHRATMGDEPNTERDIEVDD PYTLPLADPQADVKYLRHLDQATRLRRRVLELLLYAGTSGSLHTLDGLDLRPSLGDES SDMNKAWDRLEHLGVLRRKAIKN PEX2_030020 MDLQSPSVLAQLPRPLHASTGKTRIGDVFSLADAKKRKRYEVAV AVDGEAVNIYNVQTPKLVTSYAVPPQSTFSCRPCSVRRKLSKKSTVRRQTYTAVTKPE HQIKCFVEEIGSSGSSAPVISSSTTTVTDSTSPTTFVGIVPSSTDAEGETDPFDILAV HEDGRVRRLSSDLKTQRWSIQHSEIAKVCSTHNVQSSFLVEFDDAKKALFKRRQDLAL LALGDLTNSGTNEPSILLLVSQPKNTDRVSLKDIIVQMFSVPAHAKSESRLDESQRLR HLQTFHIPDVSGLETTDGNAMQWSFHSGSAGLNLSFERGFINVDLSQYSPCVTSQFVL DDEEFSSVMRISPQSVIGAQQSLVAVYDTQYHSVQRSIPTGDLLSSTGSTPETPTNFI SYFAKLGIAVATKGNTLIAFDLSSLPSAPSSSLKRTRDSLLIDAIGRGIGSSGAQWDI ASKKHRSDNMASLRLTSPEQVEKWTQLTKTVEKATKTKNIDLFDNAVLTYFTTSDTST TLPVRGQYVNPEATLFLLSKIFAVEESVTNDTVSASPSSQLRVAMWPPTTCDWLIRLG HLSLDNVEIALRRACKPRILQPFPTGSFIQALLDSDPSLKQVNQVLEGPTLISSDELA YALKAFLNQARSHSGTLEETARAITNGDLATPTQELSKQLGAETATLKDIFAGLNTSL QKIHLQPVSVVVHSLRSNLSRTELISMVHHLRLSLATGGYTSRFTENPPTPITLDQIT PALSLNVIIDLITASVDAVGPSGWISAIPAAGDFEDSDSVTAAASREMELIADMKSEV SAALAGVEEATYLKGVLCEYLRFADQVDTPATTSEDALAKMDAEAGPSHLVRYEKLNG ADLMVFTRPGEGEDGYDGDAGGKMLPLSLKAASNDVSRTKTKKSTGEVKNRTSREIGY LRRKAVGKYSFERLLI PEX2_030030 MAMGTDEQQVIHPFFRKEFGTSVKSTPSNEPISSANTHGVHAPD NHDSNVFKANSENSVGLDEDLNEDRRKRQRTDKNQTTEPTATSTAVLSEWLIHSAVNN TPRSTDYPLETEVNLSIPHPEAPLAESQLPADQTQLLTSVENEKPEGPVARTSARQKT LKLNSKGGFLSSPASSPPRETKKRATGKRGRPRKAEHKVVTIKYSVATDKNLGKLIDN ILNGQVVQKLPATLPSAQPRKTKSQPRKPTHPFFSKKSAQKPGPASLNGSQSDNPDAS ASVPSSQTTAGAPDKKRIVPASGGSFTSFPRRVSKFLELLDPLWPPQDLVHVRDVRPP PDVNNRVWNITQDRKKSKVAAISIRDEENVLLTGTAEARRIARLSKQDYDALAILRHP VRHVASSQVLHTAMERQMSWSSPNRQFPWNYSSPPLARLRSALLTSVSAFDCATYEPQ LWTHKYAPQSAEDVLQLGREPQMLRDWLRHLKITAVDTGKSSKENAKLKSKREKRAKK RLKADKLDGFVVSSEEEASEMDNLSGSDDELAGAVTTTPQRTVVRSGDMASALHGVER KSPMTNAILLSGPAGSGKTASVYAVAKELDFEVFEINAGSRRSARDMLERVGDMTQNH LVHLLNESENTTDNDKDSAKQNKLKSFFKGQSSKASKPGSQSGQPSPKPGTAPKRPRE QKQSLILLEEADILFDEDRQFWTGVLTLISQSKRPIVITCNNESLIPTQDMSLHAILR YHKPAQDFGVDYLLLVAANEGHMLKREAVTRLYQGSGMDLRKSLLDLNFWCQMGVGSE KAGLDWLLPSWPPGSNVDHDGDRLRVLSLNTYERYMGWFNRDINVTQGSLDEETEIIR NSFQSWGLGLQDSEDMAGKNAVELQPPDQLHSLPKTAQLDMLCREADYYDMRSSLDIL CSNLSTDASNDVVDISAPPLPEGYRSNYLDNYPLLHTELRTEYSSLSETVSATFDALI SRIFRPTTSQDLESLYANRALSKVIISAMPSQTPPSTLPQFQRIFEPIMRANYSNPTP TARHAPSFENGVAPITEDLAPYIRSIMAFDGRLQQYRERLFALTAQEHGGGEKRSRTT RASRAALEGGDKASTRKERWFALDTPYYKVQGTAGPEWQHVLFQMGYFHVQPMVEPSP ERSDLVLDDRVMENAE PEX2_030040 MLPTLLTVFRRDCNGDETMSSCTKPTSSAITVGIPAAISGVIFL TAMIVLIILRRRRIQFENREDLEDRKRKSGFYARYATQRFGTEGAPPSNGRANAPNSR RDSDDSLFDFKEDYGPYHLAPIPSPEAPKPVATRTVV PEX2_030050 MSSSATAAASEAETAAAQKNYQVVQDSGNFDQIQAERPDFNHSK PIEVTKSPNPNWEYGQGVPDNGASLAQKHHEIDPYASDRPMINNYRLLVSGIAPRPVG FLSTVNSKGEKNLSPFSYFQVIDHDPPMFIVGFSSRPGRVKDTYRNLRETGECVINTV SENMIEAVNATSIDAPYGVSEWDVSGLHEAPSSTVKPSRVAESVFNIEGKVIDIKEFT DHQQPGMSLAATVLIKATRFWVKEGTANEDYSHIDLEKLRPVGQLGGISYGRIGSTFE QPRKRWSDEVPKSEILAKLDAAKPVDK PEX2_030060 MLSRNARAALSRTRLLSDHLVRSSTPRIRTISSSAVKHTMVTSV QTNEAYAPFAHYSQAIRAAGQVWLSGQIPADAQGNLIKGTMTEQTKAIIKNTEAILKA SGTGLDKVVKVVVYVKDVNVMPEFASVYDPAFPHRPARSSLRKYDAKVVVRVPQMAES ITEGTLSQFSKKVGDFIEADEELATIETDKIDVSVNAPLAGIIQRLFVAEGDVVNVDQ EIAEIQAGGKQSTTQNEKEISKPSEKIADLSPKSQDKTASHTSLPVLEKPTSKPPGPN PDVAPREEPLTKTEKPAPNTSSVAQSNTSWGFRPSRAEEKASLHCSFWAIYIKSKPTI TLKRTSERLKESQNTAAFLTTFNEVDMSRLIELRKNNKDAIMEKHGVKLGFMGFMARA SALALKEIPAVNASIENDDTIVYRDYVDLSIAASIPKGLVTPVVRNIESMGILQIEKA IGEMVKKARDGKLTMDDMTGGSFTISNSGVWGSLFGTPIINLPQTAVLGTYGTMDRPI AVNGQVEIRPMMYVALTYDHRIIDGREAVKFLVLVKKYLESPESMMLEL PEX2_030070 MDDMYAEWRKDPDSVDVSWKTYFEAIEAGKQPPKHLLQPPQHLS FEWGPGSSPMLPGLNIATKIPPILSSAGDDQARIQRLVRAFQDFGHQVAKTNPLDLPD KALSPPELQLDFYGFTEKDLDREFELGPQVLPSLKSATHQYMTLRDILQVCESVYCGS IGTEYSHVATSEEREWIRERIESPEPYKFPAEEKRRILDRLVWSTNFEKFLAAKFPNA KRFGLEGVESQLPAVKAVIDASAEHGVRNIIFPCCHRGKLNILSNVTRKPNELIFNEF LGELKSRHGIPGDVKYHLGMNYERETPSGKKVNISILPNPSHLEAQNPVAQGMARAVQ QQNKEDRASTMVFNSHTDAAFSGQGVIYETLGLSGLKSYETGGTIHLIVNNQVGFTTD PESARTSSNVSDIAKSISALVFHVNADDVEAVVFVCKLATDYRAKFAKDCFVDMICYR KNGHNEMDQPSFTQPLMYQQIANKVTQLELYTVKLVQQGVVSREEVTQMEEEVWTKLS ESLANSKNSQTIAREYLTAPWQDMKKPDEVSREVYPAKSTSITQDLINTVASKMGVPE QPFSVHKSLHKILQKRQNSLTDGKEIDWATAEALAMGSLCLEGHHVRISGQDVERGTF SQRHAVLHDQSSGSKFTPLDSLSPSQARFTAGNSSLSEYGVMGFDYGYSCMHPNALVM WEAQFGDFANNAQCIIDQFISSAESKWLLRSGIVLSLPHGFDGQGSEHSSARMERFLQ LCNEDARFFPSPEKLNRQHQDANMQVVYMTTPANMFHVLRRQLHREFRKPLIMFFSKS LLRNPMAKSNIEDFIGESKFQPLIPDPAHGKSISNPSDIKRVIYCTGQVYMALANYRE THGITDTAITRIEQLHPFPWEQVKENLEQYPSASDVVWCQEESLNDGPWAFARSRLET IFDTTEQHKGRRVRFAGREATASVATGFVKEHHAQEAALLKDAFQSG PEX2_030080 MDDSVEQINLTLHVRLSRLMATILTTVYGVGNDFDDTLIRSTQS ILHSIAELSYDLTAFLNTHFHGLISRASKMAIRLMLAHHHCVVLTTRPLVMCALHMHI DHTERQKSQTIGLSPPVASLLQCCADSAQTILQTLRTLADDDLMDAFLPFQVEDASSS AFVLYLIRAIAPSVISNDTWCENLTLVLDKLISKGNLAAPLRRLELRQLEQILAPLTP KLANHHLPQVNLDEGHEINEESYSFDHEEFEWDLLGLNSSVSLPPRELLDLADQLDME GIMQSVGV PEX2_030090 MPAIKQPVEVSSMARIPTRLLLRSLFLTSLMTSKLFLRPALAVM GVLATSKSPLLNPDRNLPLNKFLRWTVYNHFCAGVNRKEVSKTVADIKKIGYQGVILG YSKEIVLDQDERLSTDNSGTSQYSDKCYQMIEEWKEGTLETLRMVGPGDFLAVKLTGA GPVSVDAMAARQSMPEAIVKAVDEICIATEKQGSRLWLDAEQQVLQHGLDDWAIEMMR KHNKSETPIVYNTIQGYLKGSKANLDHHLTLAAQEGWSLGIKLVRGAYIEHETRSLIH DTQADTDRSYDLIADTLLCQRMPAGKNDLPFPKAALFLATHNAASATKAIATHQNRLL ANQPTVMLECGQIQGMADELSCELVQNYERALEQSSAANLTVPKAFKCMTWGSVTECM GYLHRRAIENRGAVERTQHMVTALRRELWRRVVG PEX2_030100 MLNPPKFENEKMLTYAKGSPERAELTKTIRKLKGQFPFTIPITI NGSEITTKESRSQPNPSKHSEIVANYASATPEQVNASIDAALNAKPAWEATPFEDRAA IFLRASELITGKYRSEIVAATMLGQGKNIWQAEIDAAAETADFFRHYIQEAWALYSQQ PRVHLDGNWNKMEYRPLEGFTYAIAPFNFTALGATLIGPAALLGNVVIWKPSDSALHA SWLLHQILLEAGLPKDVVQFLPGDAEQITNTILKRPEFGALTFIGATATFKGIQKKIG DGIGAGIYNSYPRVVGETGGKNWGVVHPSADVRSAALNTIRAAFEYQGQKCSANSRVY VAESVWPEFQKVLSEETAALKVGDVEDYGNFINPVIHERSFDKLNKFIEEAKNDSELD LIVGGKASKAEGYYVHPTVYRTSNPHHKILSEELFGPILGVYVYPDAEWEQTLKLVDT TSRYALTGSIFAKDPYVSRQAQTILKHAAGMLYLNTKCTGSTVAQQPFGGSRDSGTND KTGTMAHLQRFVSARTIKEEFVPLEKVTYPSNEV PEX2_030110 MYTHLSRRKHHPVGFLMSLFGTSPEDPSAGDPARFKSSLFADEP ATGGSSLFADDDGDDSSSPWNAQNNTAKRTARRDLARTLLPNTDVPESYIDAYDLILN SGDRVGSGIGLTSVREILSSSGLTATDQSKILNLVASGDHESFSGLGRGEFNVLLALV GLAQEGEDLSFDAVDDRRKKLPQPKAGYLDRLRTNGDASSEQPYQRPATPPFQPAPLQ TPGSAQSQRLRRTSLGGLESDPWGSPELHRGHNHETGGAEPRPLNGFGSVRSTTNAWS SKAIEDTTQSNVVQREHFNGQAEAAPPSSSGSGWGNNNFSGVGPSDESSFGGTVPPAL GGFGPAGDEPSNITPRRRSLGLGRPTNPQVEETVSVTLLPEKEGLFLFQHRNYEVKSA RRGSTVIRRYSDFVWLLDCLQKRFPFRQLPLLPPKRVSVNGTHFSADSSSFLEKRRHG LVRFTNALVRHPVLGQEQLVIMFLTVPTELSVWRKQATISVQDEFVGRVLPPDLEESL PATLDETFETVRSGVKRSAEIYINLCTLLERLAKRNDGLAADHLRFSLALQSLTEVTK DTYAVDTNDIPSLNAGITATARHLSASQSLLEDEARGWTEGVLEDLKRQRDCLVSVRE MFDRRDRYARNNIAQLERRIETSEQKLQDLRARPSGTVKPGEIEKVEDSIFKDKESIV QQHARGVFITECIRDELVHFQQSQYHISRLHQDWSQERVKYSELQAENWRGLSDEVER MPTG PEX2_030120 MSVIEHQLYYPGTFVGFRLELRTAASDLPDDFRPRTSLVRVALH ALVNRFCGAQTVAKILGIPRGCGGEARQ PEX2_030130 MAWDHLDIDRPHLAYMILGGFTGLFMLCSLFVKEKLYIGEATVA TLCGIIFGPHAANLFNPHEWGNIDKITLECSRIVLVVQCFAVGVELPKAYMERHWKSV SLLLIPVMTWGWLITSLFIWWLVPPLNWLEALVCAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSFYIYHYRPQVGEVWLNWFCITVLYECILGAI FGFTIGYVARHAIKLAERKGLIDRESFLVFYFVLAVFCAGSGSLLGMDDLLIGFACGV GFSNDGWFTEKTEESHVSNVIDLLLNLAYFVYFGSIIPWEDYNSPQLGLTPWRLVVIA LLVLFFRRIPIMLMLKPIIPDVKTWREALFAGHFGPIGVGAIFAAILARAELEHDNTQ PLPEDELPLPGTSNYYVVRLIWPITTFMVITSILVHGSSIAVFTLGKRINTLTITLSY TQANEDGPSWMNRLPRVQSIAKGSMSFRKTEDTDASSDEKLPEYPPGTLPPIGMPGNF LRRQRDEEGDSESQSLESSRRKPLRRRRRKRAAGVGGPISQSAIMPAPRRTEEEITAD EEKKELEERDQVERGASPPHAERDRFGREPEIEVYQEGHNMIIEDEEGNVLATEDTSH MSPEEKIAHIEAQRKRLENDKSGKLAASESQPHTKTEGEELKQSVEEKAGTSYGKALK KWTNWTGLGKDRAAEQPEKAEKADKKEKPPKADSAKPKPRSAHAYQFGNTIIVEDEDG EVIKKYTLPGGKKGEKGEKAQKEPTVPGEAPVRRGLTRMGTWFGMEEEGESSQAAGEK RKDDDWTADDGIRFTLAQDPQVNAQGIGHKGRRMNKQEFFEQMKGLDAKARRDLVQQT DAPAPVQEKAQRDAKEEVKAEQKQERRLSAAAVAATTGTSAIPEDTEALDSESVTDSD VTDDEYGDHHGSPNVAASLAKFSRGGGTSAAQERRNNLSPAPPRMRDRPRRDSDDDGT ERIPPSRLRQAAGLTAPPRQADDDTGETPAERRRRLAALGVEGDESENDDGSDSDDNA ILEEDSDVEENENSQSSQTTSKNQNPETHKPSDKSSPSGSGSGSGSGSGSQSVSRHIP RVSWGGEKGREL PEX2_030140 MASRDGADITFNFIDVSPVKLPEDSSSIFNSDLSSICTGSANLF VGTTDGFVHIVSSAFRIVRSFKAHDTGSITHMRQINDTALLVTVAEDLSNEPVLKVWA LDTEKKDGGPRCLSTISVQNARRQFPISAFAAVEDLSQVAVGFANGSVTIIRGDLIHD RGARQRIVFESEEPITGLETQTGAVTTLYISTTSRILTLVIAGRGQGQPARVLEDTGC GLGCMTLDKEGGDILIAREEAIFTYGPRGRGASYAFEGPKTSVDAFRDYVALVCPPKA GTSKSDPLRKYTASPAEDIFGTTTFTLLDTDLKFIAHSEALVSPMKRIFMEWGDLFLL TTDGKIFRYREKTLQQKLEILYERNLYILAINLAQKIGIDPLQQNAIYRKYGDFLYQR GDYDTAMQQYLRAIDNTEPSQVIRKYLDTQRIHNLIEYLEELHDHGRATVDHTTLLLN CYAKLKDTSKLDSFIKAPGELKFDLETAIAMCRQGGYYEQAAYLATKHGENDMVVDIL IEDSKKYAEAVEYIWRLDPEVAYHNLMKYARVLLTHCPERTSELFKVYYSGQYRPRTE VEQPSEPQEQTTSTVQSLAALLPLRYMNVGTGTQPPAEVPEPATNDKVEDSPPDYDIP KPRTAFSAFVDHPKEFIDFLETLVEQPDLKQDAKVDLFTTLFEMYLDTAKGKKDAGER QEWETKAKKLIEGKDIPISTSNVLLLSDLSNFREGSTLVREQEGLRLDIFRSFTSAKD TQGAIKALHRYGPDEPQLYVDALTYFASSPKILEEAGDELDTVLQHINQDGLLSPLQV IQALSNNAVVTIGRVKKYLSDNIDRERKEITTNRRLITSYKSETETKQQELDHLTTQP VVFQSRRCQSCGGTLDLPTVHFLCKHSFHERCLNSLDEDAQCPVCAPTNATLRAIRQR QIDSADQHDLFKGELSRSRDRFGVVSEFFGRGIMRPQSTME PEX2_030150 MPYPRPVSPVSLETCVPSPSEIDSDNFLASDDELDDAARAAKRQ RVEKLAESYLQGKPLLILSASLRGPFNEGWANPWKKNRTRGTGAPARARQTNVPERVV QETDLRAPKYREGLSVSSRRPEIPATSCNSPRTSVQAGGRCSPSKSPRRKSKEPTPRP GHGGQNGASLRSPPKSMEPPSAIVEDQSIMPPRTADWLKKDRKLMNFTKFEPPSSPTI SIATRQSDKARRPMPRSVQVQVPQTPGSPTKCPPVKHVPVKTAQASRPNSNGHVSPQS TRSAALNLPKVATVPKLSPFQQYQQSHLSQEASLRIVNSSSQLPRFEYRRWLREHSSQ HEKTSPMQDESILQEETFLQEDSPLKEKSVVEHAPASPSPTRDQALNHAEAEPEPQPP APDEIAVQNEDSIKKDASHKTLSKETRFADEEDFIENNLAADQAAGEDVAEEVANEEY TSTFQDTDLSGPTEQNTYDDLPSAQQVPAPLGVSDRVTSLHSTALPRGDSGQDSPPTP DTQLSTQAALLHAQKSFQDDLDSPEYYNQHTPNPDRAVHSPNASLHSANVTPFYRLEE SIRRDLERSSKSMSREGMQAMSTQFMLDAATPFNFSTEQAGQDRSLKPTNKNMTQAMN TQFMLDAATPYTFSTEKRQRASRPDSCSPTARNSKRKRMTNIGSPSPSARSQSTSPGN EYHTAESQSGEDEEIPQPVAQQLDHQPTYQSATEAASLPLTLSGSVPTTAQDGQGVHQ GMESFNLSQAIADAGSWLQQSFDFMKDSGRPSQSLKAIPTSDAQPSPLHMDLSQ PEX2_030160 MLLDAMVGQDRDHSPKVVDLASIHEIPGNMPAPKDSKKQTDLNG QTGANGNIPPPKTDKPRPHVCTTCGRSFARLEHLKRHERSHTKEKPFECPDCARCFAR RDLLLRHQQKLHMTTTPSSRPRNGRRESTGAAPGANRVRKNSIANNSSASSMRPRANT ISHVDGAALGIPGAGNPSSAPTQSGHAYHPSLSSSVGSSMDYRGFGSGHPSMNSLSKI ETSGLPMDMSGGLRTAPVYGSFDTGIDGMLMGHGSTINPAQLHFAGSPQGFNDSPSSP FGHYHNGLPPSADPMMDEEMHFDWMNGFDAGVVMGNGNDSVIDESSPSAMSTGSQSGI SEAMLDGPNRIPISNGWHNPFPAHHPANQFAIDFSPTTLNDLGIPPETVSPKSLMTQN PFSEFSETYATPPSMTSVGQPIMGGHSQSMFSSLATNGESPNPLNVPFPNSALRNEHS PISTDTFTDSTRQALLASMSTPTGFNHRKYSQPASGLLNSRELFARSTGFNSSGQLPS TSDLQRYISAYITYFHPHIPFLHIPTLNFQAPQYTNNLRTPSGHLNLSSTGVAGGGGC LILSMAAIGALYEREPAASKDLFDAAKKMIQLYLEERRKADMSAALNRSNSSRDSSVH NTPLWLVQAMLLNVIYGHTCGDKTSADIASTHCAALVSLARAAELTHHLDPNNLPQDH LTAGSNGNNSPGDSENLMSSSFSQPKERRDWLNWKVVEERKRTLYAIFVLSSFLVSAY NHAPALTNSEIRLDLPCDEDIWAAETPQVWKQMGGQTASKKTLSFSAALTSLLTASQR EQSQSANLFYNSDDLSNSENRPSTFGCLVLIYSLHNYIWETRQRHMGRQWTAQETDAM QSHIEPALRAWQAAWASNPVHSLERPNPFGAGPLSADSIPLLDLAYVRLFVNLGRCKE AFWQRDWNGMADELARGTEIFQHPDAAMNDVVDPSLTGHVDARRDSIADLGVSDLAIS KTPTQEEPMQTLANIYKIGQSKREKHLRKAAFYAADSISMSDRLGNTFAEFSSRELPI QCALCAFDCAQVLAEWITTVQERVGPYLGILGRDNVDLTQVPGVMLLEDEDCKLVDKI KEILGSIEMKMQRQFQNGNSISALSAVQRLPSLVEGGYGCKILIATASLLERAAVWPV TKLMARSLEAQAMRMKERAEHSVMMTP PEX2_030170 MAEFTPPDRTLGRAFLFLFFYYAVVIVGNGFPSVWTGAQARSLT GWPESLRE PEX2_030180 MSKRSAEADENTAALKAGERPIADAPMNEAGEFEDEFEDEFESE DEILEAGVDGRPDAEREEEEKEAMDVDKETFIPGRTKLAPGETLSPDPSTYDMLHTLS TPWPCLSFDIVRDSLGDNRKTYPATVYAVTGTQAEGSKSKDNELMVLKMSGLSKMERE GEDSDSDSDDDDMGEPILEHKSIPLGSTTNRIRTHQTPSQSGDYSKPPQTLTATWLEN SQVVIHDVTAHLSSFDVPGTILPPSASKPLSTLRMHKTEGYALDWSPLQPLGKLLTGD NNGLIYATTRTEGGGWVTDTRPFTGHASSIEELQWSPNERNVFASASSDGSVKVWDVR SKSRKPAVDVQVSNTDVNVMSWSNQTAHLLATGADDGQWAVWDLRHWKPNAAARSAQI TSTPVASFDFHKEPITTIEWHPSDDSVVAVGCADNTVTLWDLAVELDDEESRQANMAD IPSQLLFVHYMESVKELHWQAQMPGTLMATGSNGFSVFKTISV PEX2_030190 MDFFKRNSGPLVAPEVEAPAVPVVAESEQIAPEDQTQQAQEQAY GQGEEIPPEQPLEQSLEQPLDQPLEQSAPVMVNIEEPASDHTISRAAINNGYNSDSKA HYHSRSTDFHQSAPEYAAQDTDQSRQNSSPLLNHHPLPVPNSRPGSGLSSGPERAPGV SQLQQQDSSQRQASQANKNSVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVN FMEKTISIRNTEITFSIWDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEW YRQGRGFNKTAIPFLVGTKYDHFVNFPREDQEEISIQAKRFAKAMKASLIFSSTSHSI NVQKIFKIVLAKAFDLKCTIPEIENVGEPLLLYKNV PEX2_030200 MAEDPQLNSIQQRIAALNQSQLARPPGGPGPSFRPTPERSASAN NPPSYDAVGSVVDRSSVGNEPADDKTQRPVLRPPPMETLRPQVKPKPKAPPPLPTRKS DRLPPPTPARPALPPRRPTDQKRRPSLESVTSDVSYSTTSTATTAGRGASTTSLNSTG NNRIKAPAWGETELPKLPPRRPKEQASLEPPPRPTISSKRSFGSLSARFTSKLPGHKP SVPPPVPSLPGRPERPSQPPRPTQPPRPTSSPHPSRPPPRYEAEEEPPVPRLPPRRPS GVHADTNGAGDDLSVNLPIRKTLPPPPTAAQIKDAREFGFGNASSTSPPKPPPIPHGS RPDLSKILATKPRFNGSAVSPSPPAPISDTECLVCRDFSGPDNHAAQYPRESLPTQDM KWLANELTAPFPSMTDKARAIFTWLHHNIYYDTYAFFNNCVKPSTPASTLASGMAVCE GYAGLFAALATHAGLEAVVVGGHGKGFGHEALAPGVSVPPYAGNHAWNAVKIDGGRWK LIDACWGAGAIEGAGQPYKQRFEPAMFSIPNEEFGLKHFPENKRQFFREDGRPDLTWE EYILTDPERPNGVEGLKVYSDADTRTIGRKTFHPQGLHISISTPGAMRFQFGLLCPHW TLARHSHIQHAGLFLLMIHGVDGREDDRLPFTHVSGSGPAGGGEFWYVDVPSARMLGA PGQKLQLAVLTSFGDRKDASSVTAQEFQEKVGRVGMAWAYVAEWELVR PEX2_030210 MPSLMDLPKEIRAMILKEVINGHRTPPISPSKSNMIELLDMPYK GNVEHSRLHHEKRDTHSQSNSLSLLLTSRQISIETQSTLCRMQKTTYVLDISVLNELD LFSTWISVPQLTTRLSTLHVDIRLFGRIITSAEGRDQMGCGGRLGFHWLFYGLLERFL RYGPVGAKKGPCDIDSFGDTCYKNRKISVENLILDFHSAETELPYPPDDLGFKEWTNK HWGERWPIDEPEQEDKSLAYKTRPEWLLEYLKDSLEDVTAMGYHTSQYGAFIYKRIGT ISMLVDGKLETTFDLAGRLAGFHFDRPGDTMGHLSSEIRLAEFWKWKKATLLKREALG FPVVWPQNLDI PEX2_030220 MKSPLHHQGDLSLRRQLEDSALFAVKVARSPQLYLDASRTYFFS ITFISLILSKCFHLCVHLTSLAVPSLLPWGPTFFLVDILLILVACYLARPFESRIGQN VAAVVTLLFSLYISSMTAANISFYVHKGEEIAWRKSSSSHKDNANTATQTILSMVAVA ILVDTLVMIGAYFATPCLFQVTDSFLEIWGSLFLFPFRRCVRRKVPADPETYQQIEIE DYDEGHNDNDSVSQLDTPENAPVQNKSRSLLKRVIAISCGLILVLLSVIRPRGADYSF LSKSLSLAPFGSHKYSPAHERPTSANGSATPESTPKSAPSSVPSSAPPSAPENAPSSA ADGNNTSLPADFSWLDGHTALDSFPTFDWLPSYNSSDGFPDWSPFRINKHDKSDYVYE HYNPMKDPLHTPNLQNDILEPLRDLLHSGSVKIKHVILIKLESTRQDVWPFRSNSYIM KHINDSYPAGIPEKVQDRLSNLTPTAERLTGFDTGFHKDGDDHPKPYGGISARNAYTS GTYTLKSITGTVCGVNPMAVEANLEYLHDIYQPCLPHILDTINHQPDTKSQADDWTSW PWHTMWMQSHPDDWDKHYMLHPALGYKDIMAKRTIDATGKKYIPEETTEEEEHGHEDK LLKNYLRDVIDDAKKNNTRLFLSHLTHNTHTPYYKPGDYNEMMGDVSTEQNERLNRYL NTIAYQDEWIADILKLLDDAGIADETLLVMTGDHGLSLPNDGGITAWHSPHVGNFHVP LFFSHPKLPQLEVNDAVLSTQILPTILDLLVETSSIDKQSTKIIKDLLPMYEGQSMIR ALIPEHNGKQEWHFSTMNPGGTWFCMRAAAQPYRLVVPLKPDAKWRFTDVVADPFELN PQEDLQLLFLVDVVRKEHGPEAVKWLSEAAHVAKWWVGENHRRWQYDPKNPENN PEX2_030230 MAEDQSFGSTRSAPSLARRNRRTVQSCDPCRRRKQQPSLALEDR GSEDNNAQQQVPRSLSIAPNNNIEHPAKRQRKTNESEQIRSVERRVQQLEHSVVQEVH PQQHSLSSSNSNSNSNNHPTLLALSDRLQHVEQRLAELSQARPAPSAVTGDKSLTIPS VIPRLRHNPKKVKLFPSSHWLHNAEKFRVSHVGDSDVELTFVDTKAELADSVNEIRNL RLMMKNEQAPQMDDPVPQLLSTMPARSICDRLVNHYMRTFELIYRCFHIPTFWAEYEQ YFSQPQPVVTNFPIKLGLVLAIGSVFSNDNDKVLQRSRRTWIQAAQYWMVGPSEKTTN NLDGIQIFCLLLVSRQITLAGRSPWLSESGLLRAAMAMGLHRDPQLFSLSPFQAGIRR RLWTTVLELVIHGSMDSSIPLLLSSNDFDDHVPLNVSDADLDSASKESSSPQPLTSYT ESSIQILMRQSLPIRLEVVRAVQNERLEHSYEMAIELATKLQTFCRTLASYCRSHWSQ FLSMHHAFLDMQIRRYILVLHRPFMLQAQKDPRFYFSRRVCQESAMIIATYAERLRLP ADPLDDLSKLMVMSTGSFRGPLSLDVISVLGLEVVSQLEETGSGPSSASVGLNHTLVL DPLAELARGQRAPIIGLLEHINEQLLQIVKLGHPTLKRYIFLAGILSQINAMERGQPI LSAVLNTAKDSLKECYDILQAYRAANTPREVSQDVIDNELLDGLDFGAMDPKYLDFSA FLVPTV PEX2_030240 MYDDRTAELEKGSPTSLRPSSPAEPKPQASGPPAAPEGGTKAYL SLLGGSLGLFISFGWVNCIALFQAEYQTNQLKTYSSSEVSWITSSECESLLPQPAVKQ QAHFNLVFFMLFMSPLSGYLFDNYGPRLPICIGGLMHVFGLMMTSLSSKYYQFYLAQS ICSGTGTSLIFTPAMTSPMTYFRKRRALAGGLTVAGSSLGGVIFPLMVNNLLSEVGFA WTMRICAFLILGLWAIAMLTISSNLPHTRKELNLANYLRPFTEFNFLILLAFCFFLYW ALFVPFDYLVLSAMHNGMSMTMAYNLIPIMNGASFFGRTIPNGLADKYGRFNVLIIML LFTGVITLALWLPGRSNAANIVFAALFGIGSGTTIGLTPPLVMTLYPPQEIGFRIGLA LAIAGIGALTSPPIAGAIAGTDGGSFRFAALFSGMNFMVATILLVWLRGRVSGWNALT KA PEX2_030250 MFVVGNLYVITAVSVIGGALFGFDISSMSAIIGTPAYKCYFNHG PRGPPFNDDDICSGLSSLAQGGVTAAMPGGSWLGALISGFISDRLGRKYAIMVGCVIW VIGSVIVCASQNMGMLVAGRVINGLSVGIESAQVPVYISELAPPSKRGRLVGSQQWAI TWGILIMYYISFGCSFIGHGNNSTSHNYSESVFRIPWGVQAVPAVLLFFGMMFLPESP RWLARKDRWEECQSVLGLVHGKGDRNSPFVIAEMQEIRDICEFERQNKDVSYLELFKP KMIHRTTTGIWTQIWSQLTGMNVMMYYITYVFTMAGYKGDATLLASSIQYIINVIMTV PALIWVDRWGRRPTLLIGATLMMIWMFANAGIMAKYGVVVPGGIDGVAEASMLLSGAP AKGLIACTYLFVASYAPTWGPVSWVYPPELFPLRVRGKAVALATSFNWAFNTALGLFV PTAFATIRWQTYIIFGVFLFAMIIHVFFLFPETAGKTLEETEQIFEDPNGIPYIGTPA WRTTHDTKRTIAAESGDVEILGEKLAGAEKRAAAHAEVVDV PEX2_030260 MNEVSPIESTSPDLKQFDGAHKQASKAQSKRRRSRSLLHRFADT CLRYTWLLPLLIMLFLIALYAINPTTSNPMHSAIFLSYPQPPKTPGGPIMYGKGKKDI AFVAFYTIVLSFTREFIMQQLIRPFAVWCGIRGKGKTARFMEQAYTAVYFGVFGPFGL YVMKRSTIWYFNTTAMFEGFPHREHEGLFKAYYLLEASYWAQQAIVLLLQLEKPRKDF KELVGHHIITLALIALSYRFHFTYMGLAVYITHDISDFFLATSKTLNYLDAYITAPYF AMFVGWWIYLRHVLNLKILWAVLTEFRTVGPFELNWETQQYKCWISQYITFSLLASLQ AVNLFWLFLILRILSNYIFTNVTKDERSEDEEEEEELESVNATKAIATGADQTSKENH APQVLLNGEPVAEQRGPRTRSRKA PEX2_030270 MLFTTTPLLHRVLTVNANRKDSFVAGAVIYIALSLLIIYHVTTD ELIVHASFFVSSITIIGIRTIQLLKQRTAEILLYGQPI PEX2_030280 MPFPKPFGSMDGATHLTQFAIAQTEKLAAAIESYEYKKIPVPEH LTAGINQLSTHLQTFQPGYDIPLVFNTGDDPKEIMHNNLELAWYLSANVYFHNRLLNI FDNDLRPTVEDILECLRRAEEIKMKLQPDLLCRDLPVTFPAFVASCNAIDRRPWKYFW RSLQQYDCPNISAQWGVVKEIWEFLDDFRAVGAKNLSWVDLMQVSETMPFRLSDLHES VGV PEX2_030290 MRGTDLDRSRKTTRRTEESNESNSKSSIQIVEEAKLQRIKTHLT DSHLDAPVIQDGLSTSVLQRVVSNNNDAMNILFEAALQESNNTSQNKSTTDYPRSIVP ELTDADVLRIWNACRFVRMGWFSAQEAVVLMDLFFENMAPLSPVLTDFFASHKNQYYL VTQEPMLCYTILMISSRYHTLPGVGGYSRSFFIHHRLWQHCQHLLLRITLGQEKISKA KTRTIGSIEALLLMSEWHPRALQFPPEADGWDSDFLLTNPDIRDPPSLTEDIPVSSRW REDVVEPTKRFERMSWMVLNSALALAHELGVFDSSARLVRQDDLVGLDAERYLEYLEV RRQRVPSLLFTSINALASRIGCTSPVPSDIGISMPETRTSLQSIDRDWLLFMNAWIEL MKLTSSVTDTLFPLMNISSSTGSSDTFIPALERKQVLLASWQQRYLNISDSSFPYTDT LFIEYQHLRILTNSIGMQRIVQRVLQDQTQPPSRGDSIIDSSFIERARQLNITAREYG FIEEVIDGCCQTLDKVTLLGGSLHFSPMRILFRTISASIFLMKALALGVRNSKLQEAL QILDRAIVTLQDSNQDDVHLKSRYAALLQVQVSRLRESLVSSYSGIGSDLPSPNSYAN MSAMDPGDFSDVTMNDWLSLPLDPSMAPFGSSEGDFGVRLDGVDLDLDFLWQLPP PEX2_030300 MAEKNQSEQSPSSQHVESNDSEKHQPQVAIPLERQSAIRRKFDR RVLPIVCILYILSYLDRGNIGNPKAAGLDTDLNLSDYQWSWVLYAFYICYILFEWTTV LWKILPAHSYIAVLCICWGTAAMCSGAVKSFAQILATRSLLGIFESVFGSGAPYFLSL FYQRQELGLRVSLLLGMSPVANCFASALAYGITHIRGSIEPWRYLFIIEGAPTVLFSV VVFFFLPDSPGTASFLDEREQTEAVERLQAFDTTKKNKVRWSQVLSGLSDYKNYIHMS IHFCCNYSFAGLSNFLPTIIQHMGYTSINAQGLSAPPYLVSFLCCVAAAFLSDRWGKR GILIAFFATMGTIGYLLLTCVQDQSNTAARYAGVWLATCGIFPALALNITWLLDNQGG EMF PEX2_030310 MSSSSVPYPFQFDSLAETTTGSTGGKTADLTLTLKNVRGKIPSL EASRLRTMMLEAHNDPTKILAHACSYDGLSSRLVEEAGFPMVFLAGYAVASSYGLPDT GYIAMAEVCDKIRDVVRQVSVPVMADGDTGYRSPINVKRTVESFASAGAAGVMIEDQQ WPKRCGHTKGKSVVSRGEAFARIQAACDARNEGKDIFVLARTDALIHGWEEAMARAQE FKRIGVDAIFVEALPDAEAMKRCAEEIDIPVFGNIIEGGKTENMSAKDLAALGYSAVA YPWTLVAAHLRSLRSALEGLKQSMLVGAPPMILTYDQVCEGVGFNKYWDREEKYKFDA PEX2_030320 MVFARRSIFRAATAAQSFRAAPIARQSAQLLGRRFKSTGGTYEK GHTSSDLPWLAVSAAVTVPMVFYLWPSGSEGHHDAHGDEHKDEHTEKDGEVEAASGEK PAESSSETAPEKEEKTGAEEKGEEKGEEKANKKDEPEEKKEEPKEEKESKEEDKEEKP KDDDSKENKDDKKDDSKEEEPKKNESKEDARKSEDNDSESKN PEX2_030330 MADRQVTQQTIFSLLAKLDDPDADLRYMSLNDLYGILTNPNSSF LSHDRGTSTKLAEGLLKSLDDQHGDVQNQALKCLGPLAVRLPFESLTPLLEQLASLTA SQTIDTSVPNTALRVIVDTLPRPQSGQPASQNAITAYSAVSKVLVPRLTGPTPSGTGR RGSMVKSMMEKDPSRGFSSDAIDVLIKVVTCFGPLLQESELAALQVSVMAIIQNDTAG TVVTKRALAAISALVLHFSDSQLNGFVSNLTKTLSSNISMVQRRHLIAAIGVIARTAP AKFGPHLDTLAPFVFSAVGEENITRAN PEX2_030340 MRIHPILVTTLVTCAFARLHAGPVHTVSLEQSQPETDSHLDTRD APNNLDYRCGPKIGKCPVGTCCSSSGFCGTSKAHCRSPDCKIDFGKCDAHRSPQGPPT KEIPRPHIGQVPYGPHEIRSCAIPGTVALTFDDGPTRYTGDLLDLLDKYDAKATFFIT GINNGKGAIDDLTLPWASLIERMHSSGHQIASHTWSHEDLSKITPTQRSEQIEKNEAA LRNILGNFPTYMRPPYSSCSPDTECGNELGKLGYHIILYDIDTDDYKNDSPDLIQRSK DIFDRQLLYSDPRTKSWLVIAHDAHEQTVHNLTEHMLKSIKRQGYRAITVGDCLRDPR PLWYRKDNRLPTHKKKPKKTSSADPNKPISWDGSCGSNYTCLGSTYGFCCGSTSSCGN TTIHCGSGCQKDAGYCSLEAPYNGDAWDPKVETKGHKSEGDSDFRTIGTAAATSLFLA LLVAMWM PEX2_030350 MSLDRVIQDSDEDEPFEGDDLPPSANHLHPSEPALPQEYQHAPA KQTTHNAVYEHDHTPDESMFPQLNVNFDEFLQSQEQGHSMLSSSQQRREDRWIPSTSD GGSGSVGVMMTEIGLAQRRLLDDDLSSASIPLPSTAALYSTESFQSARFPTIPSYHSY QMDQPESGSFAYNPAPISPYVDTNQTLLPTRQGIYDLAPPAATNSMTSPPKLIPHQAT ESFMGQNLRSNPQSNLHQEASQPKSQPAPCSPHDTEPLSSIVSMRFSEAKITTAGTSL ISPQQSQSSAHDELALPAIMPTVPEVDTPGAIKKRGRPKKQLIPDNDEDDELANSRDH EFKHPGANGAINPSDIEETTEDNTPASSGVSEETDEENIEAAPKPAKSGPKEPKKKKA KKSKTTPAPKPDEEDDVIWVDTKPLSVEPSTGNATPQTETPEPQRQDLDSNVLDSNPP VDPEAAISAVEDKTQKAEKPVPKKRGRKRKQPTEQAETPSESADTPEPNKPPSGAQTP ASKLAVVVDNSPRSMLEANTGNNDTSAIPSNDQNPRPLPGPDPLPETTIDTPQPQTPS KPDAASVNTPQNVGKGPDKHSPISARSGVPYRVGLSKRARIAPLLKMVRK PEX2_030360 MSEQSRRTLQPSSLPNADSLFWLWGYQDGSLTDAMSDPDMFPQL STLFTESNAESPVPEWMEMGSRHCIDLLQSPQPSANEPEENHDGTVPRLASSTTASPA MHNPHSTASESCDSSTPAPMVQQILKLMAEIQSTLDTLARSRWASQESQVDLQEYPVG SVLSLANAFERLLCCFQRPARKDSVEIPPRDMCESHGHRQYSTLSPSDQRTVTREDGS FTDTSTILLLLSGYLQLENLLTLVLTQFESFLRREDDASLGHNATAAVSKSDRLRLGD LSSAYEGYGKILLAVQLLLDAFQPIESLIGLPESLQILPRGEAATCNVQGPRQPEPAS CIFESKLTQYILLQRNGQDAGNHGLLSAKAHWLRMLLRDRMSLGWHNSAANVRGGTLD PEX2_030370 MSTDTFEPGDDDDSPLPVWGPGKTAAGRARLPSSRRRDRVLLSC NLCRRRKLRCDRQQPCETCTQRGLGLSCAYTPSPQALGDGTAQHVRPVATVKDRIKQL EELVVDLMQKTSATNAPPLASSNPSPSANTPLAANHASPRVSPSATPAADGAHRVNSS DSDHGSMRLTKSGASYVNGTHWAAVLDGIAELKDHFDRDDEAHPHPLGTAQPPQVNLS GPQLIHGFTKVTTRDEILASIPPRHIVDRLVSRYFNSFEMSPAVLHSVQFLREYREFW TDNSETPIIWIGLLFSIMCLATLFERFQQGPQDQVPGTPSKDELQDMVSNFRLRIVQC LILGDYTKGGVYVLETLLLYMAVELFLRRDAEIGIWILLGIIVQLSMHMGYHRDPKNF RGISPFDGEMRKRVWATMLEVQLGISMQMGLPRLIAQWQTNTTEPSNLQDNDFNKDTV SMPPSRPETDLTPMVFRIVKARMMTAIGYIWDFAADTTACSREETERMEKNLRDARAS IPECLQWRSMADCIMDSPHVIMQKICLEIMFYRAKLILHRKYLHLSLSNADYHQSQTA CLNAALKLLAYQQILEEETQPFCQLHHERWKVSSLVNHDFLLATSVLCLYLKQSAGDA HAQAESPTVHDIRTALNQAYGIWLQSSDTSREAWKAAKALSIVLSQPGPGNVRHDEQP ISSLNVWGDYFEQFVLQFPPLDGSVLMDWPLANNDAMYTAGIQDTSQNIGWPMTGFDG DAMHLEQ PEX2_030380 MAVSNETDFKISSDVEDTELIKPIEFKDPNVVDWDGPDDPANPL NWPASRKNLHVAIVSIFTLTANLAATMFAPGASELADEFGITDSTLETMTVSLYILGF AIGPLIFAPLSELYGRLVIYNVCNVVYLAFTFGCAFSTDTAMFLVFRFIAGSAASGPM SIGGGTVADVTVQEKRGRAMALFTMGPILGPILGPLIGGFVSQYLGWRWTFRIILILS GIITAATFALMHETNATVLLSRKVERLRKETGNLDLVAARVSNLTPKQLLLRAIVRPL KLLIFSPIVLLISFYTGLMFGIIFLLFTTFPSVFHGVYGFDEGMSGLAYLGLGIGMFS GLILFSVLSDKLLGQKQGGAVGAPEQRLILMKWFGPITPLGCFMYGWSAYYHTHWIVP IIGTTIIGIGCLFVVIPGQIYLVDSFGAAAAASAIAANLLVRSPFGAFLDLAAAPLYN KLGLGWGNSVLGFITLAFTPVPWLFYQYGGYLRTRFSVDL PEX2_030390 MMDINEPIAVIGSACRFPGEADTPSKLWDILKSPRDLLRSVPQE RYDADAFYHPDPMHHGTTNVRQSYFLDENVGSFDNGFFSIQPGEAEAIDPQQRILMET VYDSLCAAGQKIEDLRGSPTSVYVGLMCDDWSGVIAKDLDVFPQYGATGMARSIMANR ISYFFDWHGPSMTIDTACSSSLVAVHQAIQSLRSGDSQVAVAAGANLILTPDMYIAES KLSMLSPTGRSRMWDKDVDGYARGEGIAAVVLKPLSLALRENDKIECLIRNTGVNQDG RTQGITMPSAAAQADLIRSTYAKAGLDLDDPACRPQFFHAHGTGTAAGDPQEAEAIWR AFYENRNVQDKLYVASIKTIIGHTEGAAGLASLIGTSLALHHGVIPPNMHFNTLNPRL APFYNNLEVPTQAKLWPETFPGQPKRASINSFGFGGTNAHAILESYKPYVPSVVAGPV FSPLTFSASSEQSLRALLAEFSTYLSSHPKVSLHDLAYSLQQRTSTLAHRVAISAVSA DDARPQIDAILQGERDSALGTKHLVKKNARILGVFTGQGSQWARMGARLLEESPFCAK RMDELQTILSNLSAEQRPSWTLREMIIAPEGASRVAEAAISQPICTAIQIILVDLLHA AAISFHSVVGHSSGEIGAAYAAGLVSDKDAIRIAYFRGLYAKLASSPNGKPGAMMAVG STLEDVSQLCELEDFNGRIQIAAQNSPSSFTLSGDEDAIAEAIEVFKEEGKFARQLKV DTAYHSSHVIPCAVPYLAAMQQCTPEISEPTGTKWYSSVLQGEVMSSKKLSWQYWVDN MTQPVLFAPAVQYAWADGAFDLVLEVGPHPVLKTPCLDSIEETAGHRPPYSGVLARTK DDIQEFSNSLGFIWTQLGPNSVDFDAFEKVISQSSVARRLVPDLPKYCFDHNKTFMSF SRRSGVHNSLTAPPHPLLGKRCFDREGQHSVQWRNVLRPKELSWLHGHQIQGQIVFPA TGYISMAVEAVAILAKDAKISLVSIENLQIGRAMAFKDDDASMEITFDLNIKAQSDDY IEAYFSCSSGSPHDHKTVLALNASGVIRATLGAQAYDTLPKIEAQTHNMSDVTIDRFY TFLSSLGYHYSWPFCGTAKIQRKAGYATGIVEDASASKWEDELNVHPGMLDSALQTTF AAFSCPGDERMWALHVPTNFRSIVINPHYTPLGAGKQKQFDFISVAHNYSKGKVITEL NLLAQDSGHTAIQIEGMQLTPLMPALPENDAVLFSRFDYKMALPDGDAVAAKHEFKAE DLTTAMDSERISFYYLRHLVDTITAQEKADTLWHYRHLLDWAAFVVPQVLNGSNAHIP ASAKHDTQADIDKLLQKHYHRTDVRLLESVGTNLPQCIRDKSNILEHMVKDGMLDDVY EEGFGLNLVNEYIADMAAQISHRYPRMNILEIGAGTGGSTRMVLPRLGSAFSTYTYTD VSSGFFGVAEERFRDYADRIIFKTYDMNNSPASQGFTEGSYDLVIASNVLHATLGLEE MMTYVRSFLKPGGFVIILETVNNDCLRVGLPMGTLSGWWLGAETGRRWGPTLTLPQWD SLLQKCGFDGIDSTTPPVHKILPGHVFCAQAVDERVSMLRSPLSDITTLPPTKASQLV IVGGETLNVHRLCRKLSSLLASRFDSVVRFNTVADLAAASLSESSTVLSLADLDTPVF SSFGPQIMDGLKALWRGSGNILWVTSGARSDNAFSYMSLGLGRCVRFEYPNINIQALD IDVINEGAGYIIAEHLLRLELLDKWSRELKPEELLWTMEPEVYIENTTAIIPRLYPYL DGNKRYNTARRVVKETRNFQKTRIMLTADDGTWHVEGASPLHLTPTVPFTTATRTLSV TNFLLSTVEIVQGCRLLLGVGVDVANGERLVFASHATESPISVPASWCHPCEGDAITA LGLLSAQLFAESIIMYFGKDDTVIIHEAHPRIAGVLREKMQALAARPVFTTSTKHNCP ADWIYIPKNLPHRLVRQCLPSDANKFVDLSQDSSTGNTLAANLPVTCQSIDTRFLWNT RTQVRPFVAEKEVSSVLATAFSSINNAGVVKHELANIATIPVQQLAAGMDTTAQFAIA ECEDTAVEISIRAIDGGCIFRADRTYLLAGLTGELGQSLCKWMATHGAKYIALTSRKP KLSSAFQEEMQKMGMVVKCFAMDITDRDSVFNCHKGIVETMPPIIGVTNGAMILDDGL FDNMSFTSFDKVLKPKVCGSQLLDELFYDTPLDFFIFFSSTTAVMGNSGQSNYIAGNM FMNALASQRKLRGVAASSINISSVIGIGYVERAQDLNAETFTNMGYKPMSEQDVHFLF AEAILLGRPDVSGVCELSTGVTPIYADSQFKGQYLKDVKFGHFVMERSASGHQTSKTA SASVRTQLASIKTVAEATSIIKESFLARLRRILAVGADEPINELVTLVEQGVDSLMAV EVRTWFLKELDLDIPVLKILGGSSVVDLLGDAISLLPPSVVDLTQLKDGIETAVGASP QQSASSSASPDSARTPESSTSGTPKMVADGTRTPLTPLNLSTSTASLASEKPSEAPGT APTPESVPTLPDESSSIMSFGQSGFWFLNESLEDSTASNMAAMLRLNGPISSSRLQAA LQIVAQRHEILRTRFYWDEEDDNRIPKQGVRGESLLELVTKEIASEREAVDELDSMRS VRWNLEDGFTMKLSLLSLSQSAHFMVVGAHHIILDGYSFSIFFKQLEAAYQQTSLPAI SPSSQYSSFAVQQRRSSDNNEFENDLKYYRQHLPSTFPPIELLPLAKVKGRQPLKTYR QHMATVKINAAVTSKIRLLARKSRVTSFHFYLGALQALLFQMLPKSENIFIGIADANR LDKRYMESIGFFLNVLPLFFQRPKATATVSTMVQSARDAAYSVLGHSQLPFDVLLRHL NVPRSNTHTPLFQVFVDYRQVVQERATWANCTLLGEQWRNASTGYDIALEVTENVNTD TLISLSLQDTLYTKESADLLLRSYGEVIEFMADAATATFSAVPSWSRLDTETALAVGK ASSIATEGWLTLSHQIQGSITKFGTRLALKDGNGHAMMYEDMGKRIDSICKALLQTGI SQGAIVGVFQQPSCDWICSMLAILKVGAVYLPLDQRNSIPRLKSICKAAKPAVLITDD SMTSQAGELDASGATVLALSSVDYHQPEATATLAEPDSDAVILFTSGSTGEPKGIRLS HRNLLVSAQGSSRTFEHSKEDSFVVLQQSPFSFDLALDQIFAAVAHGGSLYVVPTEHR GDPTEITKAMLMEGVAYTVSTPSEYDMWLRYGFDNLQKCTKWTHAFSGGEFMKHSLAR KFATLFLPQLRVFNGYGPAETTMFSTRGELDYASDSLPDPLPAGYMFPEYSVCIVDPN GRPVPLGVPGEIVIGGPGVAAGYLDMPAVTRDKFVADTYFGTAYKVYRSGDRGRLLPG GLLHCDGRLDGDSQVKLRGFRIELAEIEKVLMACASGALSHAVVTLRGEGEDKYLAAH LVFAARYAEGDRIKTLNSLKKSFPLPSYMRPSVFAILEDIPKTLHGKIDRKTIQTLPI DSHGSSSTSVSLTSAEATLSNLWRDILPVDPGNLEPFSDFFAVGGNSLLLVKLKHSIQ QAFLAAPTLSTLMGATSLTDMATAIERSQPSDEIDWDRETAIPASLSNVTMSQRSHKN ASLTVVLTGASGFLGRHLLSHLVRDAKVARIILLVRDIAHIQMVDGKNKVSIVEVDIT LDQLGLSSDAYLAIVSNVDVVIHCAANRSFWDSYQDLQSINVQSVKSLTRLALLAGSS LHFISSGRVVLYGDDVLPPKDGSDGYVGTKWAAEKFLQKISTEKKLPVYVHRPVGVSR ESKGGVDQDAVLQELTRIMGRIGSRPSFEGVAGSIDILPLDEVVSLVSKTALTSTESQ DQPKGSMPLEVVYHSARLRVFVKELSLHVEADDDLRQLPSLPILDWFGKAKLAGFSYL MAAQELRMTSGGEELVSRR PEX2_030400 MASNILHFTNDGASVAKGPVSPAAHIPASANIFPKFEKSISKDG WELWFFDCVSPEQRAAVIVGLNRTAQDGTGDGFKVQVTAIWPDSTTWHRDLYFPESIF ETTSSETRGVWRDTAKAASVSFVSSDGDRNISLIFDVPGIVDGKMQLQALPGDTGLDS DPTLGPSVHYVRPIGRASVTAEMRLFDDAASEAGTLQLGTRDQPVNGGVDRVWSLGTW PQIMTESYYLRTHVGPYAIQIMRIFSDMASGNQPYTMSRLYHEGKLICAAQDVIEESA QTSQKHSLVLTKVHNSQDDESVRGRFVDQNTGYSVVFIDQEIGKRWKFQVTHDRIVWN IPTSSPGPNATGNTGFIERVVGGLDGEAFTGIGTGGQCQL PEX2_030410 MSTEFQTALVGTADGSICLSTTAPIPKIISNSVLIKIKAVSVNP VDSKMVGDYVTEGAVAGFDFAGVVEEVGPEATECDIHVGDRVCTAIMGMNPNDPGIGA FANYTAAVEWILLKIPPSLSFEEGASLGISFMTTGLALFKSLDVPGYPLEPGARKIAV LVFGGSSATGTAAIQLLNLAGFDAIATCSPHNFDLVKSYGPSAVFDYQAPDCTESIRK YTKSSLKYALDCISTATSMQFCYRALGRSGGKYTSLEPYSEAVAQTRKLVKPDWIMGP QLLGKEIPWPKPHWRAPDAELGAFGAKWTATLRKLLDCGLIRPHPIITREGGLRAVLT GIDDIREKKISGKKLVYSLDE PEX2_030420 MLIANLFQRLDHGGPLCRSAWVLKETRELTDIDLICLRLIDALP RGDDADFPAGDGDMPSSLNCGEAESAIGIARRICQLGSHNIDEQTTSAIPGYQASTGS SMANSATGQRIPISSILRQPFPPMELVHSLLEDYFDAIHWFSLAVYEPKFRNSLLSIE DGSAHPSQRPFLVLLAMMLAMASWYRSKKSCADLSDNSEDMKKLSADLLGLVESNIVE LMDQPSVTSAQTCILLGSHHVYHGRPNLSFSLLGATIKMAQSLGMHRGLQRGDFENIE ERKRVWWTIYTWDRFASITYGRPLGINDKDCNLDMPADVFENPNFVAPASGQASPICY STYQRELNQLYLIASPALKTVFGSRTFRTSEQLNGDTYFTLVRHVTENLQKWRSCLPS HLALDLNRDFDPDDRPSLRAYALQSLSLQLTYDNVLIVLHRPLLARQVDHLYTVNKQS PEGSRTDNIMYNPNTTSSVSQSHLSPDSAFPNTQTTSPDLWMNAATRTSRVTELPALA QLATDSHLCAFLAINLFNAAIVLAVIALSEPLSDTAQEVKRTITRILRLQDLLGRRSA LSKQSTAVLKNVVIMLLRRESAAMLAPITGTSQVMGPQPLQTLADPSLISVEDTLRMP LDATWDSRNPLARDQRLPDLSRADRLNNSLTSVQYALGPGDRSAGIPAGGDNLQPGDF VEPGMQTHESWQLPAEYDWNIPGLSREPVENIHQDSVEGGLYWLWDMTWNGAGG PEX2_030430 MTATKAAETTNEAFVLHPGGTFAFEERSVPTLQSDRDVIVRVMA TGLCGSDVHYWQHGRIGPYVVENPIILGHESTGIVVESGSSVQGLAVGDRVALEPGIA CNTCNHCRNGRYNLCRGMRFAATPPYDGTLATYYRVPAECCFKLPAHISLRDGTLIEP LSVAVHSCQLAGFMQDKSVVIFGAGPVGLLCCAVARAFGASTVVAVDVVPARLASAVK YGATHTYQMSAETPEKNAVNLLATAGFPDGVDVALDATGAEPCQNCGIHALTQGGTFI QVGLGKPNPSIPVGQICDKEIVFKGSFRYGPGDFKLAVGLLNSGRVKLDDLVTHEYPF SQAEDAFKNVAGRGGIKSVIYGPNVDEEEAKAIAV PEX2_030440 MTITKSFESAPGGTLRERSLAVAAQVEEQQTWLEKKLKPKKISA RYPFKGTPLLYATCAFGSLGDALFGYNSGIMSGLLVNEIFIARFFKNFGGADGTTNGV DPSITGISVSCLQAAAAVGALIAGRLGDMIGRKKCVRIGAFIYFFSSFIQMFAPGFAT FIVGRTIQGLGVGFLSMTVPIIQTEIAPPHRRGLMVGIEYSCLIAGYMLSCWVDYGFN FLLPDHISWQGPFIIQIVLSFVLLVMSFFLPETPRWLALNGFVDESLQVIADLHSNGN KEAEHVQHTFIEIQEAVIYESNLGKSSWKEMFTRYRKRTIVGITVQMFAQINGINIIS FYLPSTLSSAGYDNRKSLLFTAANALPYTAATVVTWWLADRWGRKPLLILGGLGMAVL LAIVCVFTEINVNVQTKANGQYAFVMLYNILYGFTWGPMPWLLPAEIFPLRGRSKGMA LATTSNWIFNFIIGMVSPDAFAGIHGYFYLVIAGFCLSSAILVHFYYVETAHHSLEEI AVAFGDKAFADGDAEVMEMADAKSEQVEYSA PEX2_030450 MSLHTSYHADSDADDEYERSVITSPHLHIDSESSPTDSSIHSSE HTPTKFGHPIDGPRSPRTLIIEWGIDECKEFLTSLGLLQYHGTFRENGIVGEALIALK HEELKEMGITSVGHRLTILKSVYEIKVKQDVPLDADHYIPLTADQSMNETATQEDLAR LIKSIQVRDEKLMLVETELRRITDDYRRLREEILPVIKIVKDRSHPLPPPSSHGQSSE NWHDSTATLTSTPQPTITQELSSSSKIARAFSKRIHPNGATSKNNSPTHPPPTGYDGR SHHDTLNPSGAASSHLTASMNGGPQLSPGIPSPTSPHHHHAHPQTLNPRSYTQPLSSA NRNTAYDYAEQTPTIHSRDRSTPSHLPASRAETPSTASRLDPRLDRSDSRGGGGGSGG GGENPNSVEIFKSFRVSWEDPCHKVLPAALKKYNINSDWKNYALYIVYGDQERCLELN EKPLLLFKQLEKEGRKPMFMLRKIHMDNPSGTPGPGASAPNSAGFNGFNGGQQGQINL PGGVL PEX2_030460 MPPSTSTNGASSTPDALESDLLVKLSASCALEDLQENLLGSLHR LGWTDKVTTLATELLRAGRCETFDDVMAAVLASAEGRTHPALGTKSTNGETTNGTKET NGVKKGNKPKDHSYDPLKYIEEIDIRIPETVVDEGVRGLKDIIREVADLEGESAPNGD KK PEX2_030470 MADFTEYGRPSAEWIALESTLPEAPKNLSVEQMKALVNKGREAT AAQDMVDGLAAEVQLHDYTIPARDGTPLEARSYRPVGVPISERLPVYVHFHGGGFLFG TLSSEDGICSRIVTSRVQKGTPVVVLNINYRHTPEYPYPTAWNDSEDSFVWLHEHIAE IGGISEQVIVGGISAGAWLTASLALAQLRGEDKRLAACPKIAGQILMIPCLVQWDHYA PRLEQLKSPDLSSYVQCADAPVLPVARMKLFDGLLELSNAKDSAGARRMNPGNATAEE VKDLPPTTFGIAGNDPLRDEGLFYGQHLAANGIPTNVNVFPGVPHGFRRYKQLPDSKR WDEVMSDGIAWALTKPAPGPFEIKSK PEX2_030480 MESGIPSDPPSRSLAQKVAIVTGAGCLGDGIGNGRAISILLASD GCDVICVDLNLEWANKTVEIVNSKPGRGRALAIHADVTSEQDCQAAVRFAVEQFGRLD ILINNVGIGGAAGTAVEVDMMQWAKSMEINVASMVQMAKYAIPEMSRNDGEIKGSIVN MGSVAGLKGGTPHLLYPTAKGAIVNMTRAMAAHHSPDGIRVNCVCPGMLYTPMMYGKG MSEEAREARRKRSLLGTEGNGWDCAGAVVFLAGPHTRWMTGVILPVDAGTTAAVGIGM TKSASVNAQI PEX2_030490 MHHGIGQDGFYAEYVAVDVRAAIPLPDGVEPAVAAVATDAVTTA YHGITRRAEVKKEETTFLFGLGGLGFNALQIVRHIGARVIVSDLRQEKLDAALNLGVP LEDIVPVGKSVQDFVEENGLQGKIDTVLEFVGSNQTNQDAQHIVRPGGKILCVGTLDR INGLDMKIGIRKRLSIIFTYGGQYRDLVEVLGLIAKGVIQPQVELGKLQDFPRVLKEL GQGKIKDRIALVSDVV PEX2_030500 MSAQLWLQFTGNQTKRKRAELACVACHSKKIRCDLQVRGGQGHN KCTKCESGGKECRTRPSKRGRPAASVAPSTPPVTETNSCAQINRHGSIPDHLAVNQPP SRPRGAGLGNDTRSLNFPRDLLQRSSISPAHVEQARTQSFHNIEWSLRDDPSPGQLPP DPQGRPMQAMTNDSPATQRTEDHSEHNDSYPIGHVFLPELQTNARAQERLIAERMPEV LNLPGPDLQQTFAETYWEYCYTWCPVLDRETLSQELARSPLLVNALAVVGSHIKPPMI PHDGPAGYYERARTRFYSDEEPDVMTSLKAISLFYWWSPRPPTILHRHSSWWWTSVVI RHCQQLGVHREPAQNHPLRQQIDLSLRRRIWWTAFARERLTALCQSKPCVIDPEDCTL SEPTLDDFSQDTDKTKAEVFIYWVRLCAIIGKIAKYLARSSDAGSSAFPASLARDLIR WVQSLPPHLQLPIGSDRTTHFNRDVHQLHLPYLAVIIIIHLQRSSPSQPLPQAYPPAI LAASCMARIMRDILARGGTRFLMAITGWYCGTAFIALLQALRIEGLAKSANEDLDILT LAVDQLRIMWPTAAVFHSGFGRLRPGATAPDFGSQRAPGPDLGMGDGTVYMEMADGID WTAYFPFATSETSGIAGRLLVPHTEELFFDDDAFADAMLQFQDLFEPCDTLTEMNLFM PEX2_030510 MAYPIITAPSVEQHSSGFGIGVATPRLSWRFLTPSDTSVKEWKQ IAYEIQISRTSRPIETYQVTGDDSVLVPWPSEPLKSRESAQVRVRSYGTSDGQSQSEP TSWSSWTTVECALLTRDDWIAIPIASPTPRTPDSSLRPVRFRKEFHVGDGPINQARLY ITSLGVYRAFINGHEVGDQCMAPGWTSYRHRLNYQVYDVVPLLNLNGPNVIAVEVGEG WYATRLGFLGGRRQLYGDRLAVLAQLEVQPQNDGPDLSVVTDSTWVCCPSAIIKSEIY DGEVYDAREEDHDWNVPAPENNGAASWMSVQELAFPTAALVAPDAPPVRVTEERNPVK VLQTPSGKTVIDFGQNLVGRVRIRSLNKPSGSHVTLTHAEVLEHEEIGTRPLRHAECK DVIISAGTEIQDWSPKYTFHGFRYVQVDGWTDQDPSLMINVTALVLHTDMARTGWFSC SHPMVNQLHNNALWSMRGNFLSIPTDCPQRDERLGWTGDIQIFCPSANFLYNTAGMLG DWLKDVAAEQLLDGDGFIPPFVVPNVISEELWPHLPQAIWDDVVILTPWDLYRSYGDM DILRRQYPSMLAWIDRGIRRGPDGLWDAELWQLGDWLDPTAPPFEPGDARTNGTLVAD AYLVHVTSTMAKISNALGETGDATRFQSDSSQLKTKFQAKYIAESGLIVGDTQTALSL AIMFDLHSTPDQAVAAGARLVDLVRLAKFRVATGFAGTPIITHALTKSGHHQVAYRML LEKGRPSWMYPITMGATTMWERWDSMLPDGSINPGEMTSFNHYALGSIINWLHSSVAG ISPISPGWKEIRVEPIPGGTIDSAEAAYETPYGRLECRWRIYPEDDQFKLELLIPPNS CALVILPSEKAREKPRALDIKRDGIWIGSGYYQFSCEWNASSHCHGWPPRPIIPIMRK PEPESIA PEX2_030520 MEIKSYWPVVDQSIARLRNFQNSCRDSISDPFLLDNWMARFLTE HSSYLSERQISTLQPSGLDPESTNALDISVENSTPVLVNNMESDSEMGDLSNLLHDQQ VTNDALVNHAIDWLLE PEX2_030530 MATPGKSNHRAWWKESSVYQIWPASFKDSNDDGIGDIPGIISEL DYIKNLAVDIVWLCPSYKSPQVDMGYDISDYYSIADEYGTVEDVEKLIAGCHQRGMKL LMDLVVNHTSDQHEWFKQSRSSKDNEYRNWYVWKPAKYDEQGNRQPPNNWVSHFQGSV WQWDELTQEYYLHLYAVEQPDLNWEHPPVRKAVHDIMRFWLDKGCDGFRMDVINFISK EQSFPDGPIKDPRTPWQWGDKWYANGPRLHEYLADLGKILKEYNAFSVGEMPFVADEK EVLRAVQFERNELNMIFNFEHVDIDHGKYDKFEPGSWKLTDLKDFFERWQTFMYTNGG WNALYWENHDQPRSIDRYTNASEEYRLVASKMLATALVLQAGTPFVYQGQELGTRNVP KTWGIEEYKDIDCLNHWKRIPKDDTAAQAIALQEYQKKSRDNGRTPVQWSAAENAGFT GPGVKPWMSVNTDYVLVNAEAEVKDPNSTYHYWATVLKLRKKYLDIFVYGDFTLLDKP NQEVFAYTRQYEDQKALVVCHWTEKTLEWDAASNGITGVKQVLLDTYEGVEEASQRFS GGKWTLRPYEAVVLLL PEX2_030540 MSSSEPAPLPAHLDPKTYPRTHHDAAQNIHLTLTYETLDANTAL SQTSSPAAGANILFLGTTRDTFEGRSVSQLSYTAYPPLALKTLAGIAEAAVKTHKLAG VSIAHRLGTVPIGEASIAIAVSAGHRGAAWRAGEEVLEACKEKAEIWKREEFVDGGME WRANADRDAEGRVLGSEGV PEX2_030550 MPSPMRLASGLLTRATRNIAIESAPTQRILPLLRNNTASQCRAY TQAQRPRCDQSLRTMISTRNNFSTSAFRAGPKTMGQMRQRNSTGPFSWKAALLFVLTG AGMMLYFRVEKARLERKRMTEMSKGVGKPKVGGPFVLKDLDGNEFTAEDLKGKYSFVY FGFTHCPDICPDELDKMAEIIEKVKAATGDEKLFMPVFITCDPVRDTPEVLREYLKEF HTGIVGLTGTYEQVKNVCKQYRVYFSTPKDVKPGEDYLVDHSIYFYLMDPDNDFVECI GRQDTPESASKVILEHINDWKREGRPLKTE PEX2_030560 MKTTALISLLGLSGSALGAAVDNSKSANEPFGYKSGSPESVANL KDKIENVVWILLENRGFDNILGGIKKKGLDNVVNNGPFYNLEDIANPKSTKWSTQSKN YDSVLNDPDHSLTGTNFELYGTYNPDNEAIANGSLIPNLSGFVNRQILQHPKISAQRA TDEVMGFYSESQIPTIVDMVDEFTTFNNWHSCVPGPTNPNRLCAVSGVTDGHGKNDED FDVSAIPTTSIFEVASEKGISWLNYDGTNGAFLPDSLFFNWTAQNAKSNVVPLENFYQ DAYLGLLPQLSYINPSCCGLNTNSMHPSGNVSFGEVFLKQIYDAVRTGPQWEKTLFLV TFDETGGFFDHVAPPLAVRTDNKTYTETAHDGSSYTFSFDRLGGRIPTWLLSAYAPKG HVEGYGTNPVTGQSSPYSATSVLKTLGYLWDLKDLSPRVEQSPAFDHLIGPTKRTAPK TLKNPHTFADAI PEX2_030570 MKPKTDVLIVGAGPTGLVLALWLHHQGVNVHIFDQAEGPAKNSR AIVVHARIVEMYRQLGLTEDLLALGYKLPSTNLWVHTEHKAHISLEDFGRELTPYPFM LSVPQDDHERMLEKRLNDVGIHVERGSKLQGFVDHGSSITATLFNESAESSTTHEASY IVGCDGAHSAVRHGIGAKFEGETYKPLFYIADVEGKSDKHFNGEGNVVFTNDTFNLVV PYNKTGHARLVGIIMPTNDDDDNHESSEHEHQITFDDVRPQIMQVMDIDITKVNWFST YRSHHRVADKFRSNRAFLVGDAAHIHSPVGGQGMNTGIMDSINLAWKLATALKQTDMT EEAKHQLLESYESERRSFAMMIVKSTDTGFTTLTSKGFFPHILRNWLIPYLVPLLLKF DFTRTRIFRGASQLICNYRGSTLCQTGEGTVQPGDRLPWVEINEVDNFSTLRDVSWQL HIYGESVPELEKWAREMKVKVFVFHWHDQYGKVGLVKNAVYLLRPDQYIAGIFEGSSV ESLDEYFATRGFSLGRD PEX2_030580 MWSVSTVLRHLLVAKGTEKPMGVYLKNGESILDAALDLMDILQP LSIAILGESNGLKEGKLPNAADVLGDGSDNSTDNIAHDVSDDYAILSTSATRDVLTDL HPSPLNIFKLWQAFLENVNPLTKIIHAPTVQQQILDAMSDLGKVSREIEALMFAIYCI ALVSLQAEDVEKSFGESKKALLSKCRRGAQLAFKNASLLRTSSSVVLQAFMLYLLCMR SFSDPHTIWTLCGIAVRIAQRIGIHRDGSAYGLSVFETEMRRRIWFQLVIIDATSAQF CGVASTPLPATIDVQPPMNANDSDLDPRMTEPACEKPGPTEMMFVLARSAFGKWLLRL SNQVESSNNGPWAFLSSSSMSLKDKDKTIDELEAHMEDKFLRHCDKSLPLHMATAMMA RSAIYYTRLMAHHPRQYQDPNTRIPQPEKDVIFENSLKMTEYADYAQNNPIVRKYSWH MVNHMPWDAIIFMLSEMRHRTDSEEKSKVWQLIGNVYSGHIKSMNKNGPTPLHMAIQN LIVKAWKTYIEECNLNDRTPTPCPTIVASLLANAEGISSPQHEDENFTTGERVTRLQD QPRHDRSSSQLGLEAENFGFLLGDSPQDWNEWDNLLSNFQGSFTDDATYLS PEX2_030590 MHDQSHCINDALSPGDDIAFISELFPPPPPEYSTDMPHDNAEYS LGYLGDLKRCWNTCIRLSYHLADHVVEHHLETDSNAQPLWSSSKTEKEVVYSKAVASL QAKLLYPMYGPTRFCLNFFLEGHLHEYRAYAVLFLESAASSGSDPNHSGHHHKNMACS IERQQSILRNAPFDDTLIFLSTHHCMQLLFSTVQRLMEPEIAHSTTESWISLLLTTST MERIVGFFVSIAKDDQRKQKSDHDSTWSHKKEFLWAMRRDLNEYMASFSDHGEQVLHE PKLNHIWFQAAYNEMDRRGAIPHTVEDSEVHVLHGSVVKLECKHCYDCYDE PEX2_030600 MSATQAQNVFAIARHESIDRAYLEKLTQRLAKLEESSSKEATYF PTKYYAASSASSSPSANLTSTTESLNDKQKRYRAYEPHDTATLQPPPSKHRKSQTVAQ QNVHQAHSPGSTHHASEAIEYIEHELQCNPALSKDRRTALESARKFVSQLSNPTLNFE ETATMPDMDVQENLEPPTLTPELLFMMLPGPDTKTHCQGTINWPDHISDKVLEQMGLA IIEGTECEQVLQHYRINVWVKAMGCISKMAPLITSEPLRNHFRSLRKSYEVAAIDILN QIPLAAEPSLILLQSVMSASRLMRYLGNMTRSWMLTALASRMIVSLNYHNITDANPRT EIEESIHACVYTCFYFDKTLSLLLLRPQSLPSLKLKPTQLIHIDPDLPTYAMMTGIVE YSELKSTVLNVLLESKTMGDTEKANILSDLVARAHIIHSNSQMTRRRQEQQFPQSWSH LRREWLSMDFNYYSVLTTIIQARSSVLKSRLVCENCLYTAREALTTLRALQEAFSTRA NSIDPYPYFLTWTMLLFPLAPFFVLFCNVIATSNEEDFNMIKNITDELHQFAEANASI GRLCKLFSKFLDLCAPLVKGNPEHSRSEHPAAALSDTETAGNTEGQMASHTDIFDRAV DADQALVSLRGAESTRGEPNAPPSVEGWDDSLVWELFNNQPSLGWAESELWNVMTQFD A PEX2_030610 MTGPSIQDRTSEFSAILGHAQKRLGTSKVGSQRQALLTDAQRRQ ADASPQGAAQEAKAARSEFARRARDIGRGITGTMAKLQRLAELAKRKTLFDDRPVEIS ELTYVIKQDLAALNQNIASLQALTHAQHPKSTRSKTDQEGEHNDNVVVMLQGKLADVG ASFKEVLEVRTKNIQASRTRTENFVSSVSSKSHSALDAQRSDSPLYNTSGRRTPQPGY QGNSSDLLTLEPSNPSPLGRPSFQSDQQLMVMEEGESSNTYVQARGEAIEAIERTISE LGGIFGQLAQMVSEQSEMIQRIDANTEDVVDNVQGAQRELMKYWTRVSGNRWLIAKMF GILMIFFLLWVLIS PEX2_030620 MADPTQSHGLGAQKLPSPPIVRSPLSSGSGSGTPISFQTNVNRS KTKRWVEAKQYSYDGGDWGSDDDEEEEEEAPPAVSRPPYLSRNTGSSTELSSRRLSSI GYGAGGSDSNLVDGKGRNLSGGDQKTLPFVRPADLYKRMREEKAAQQSSITGSNDPKP DGLGVPPPTDQQGPPSGLPEVKRISSFGTDFLGGADSNPPMDSSASQEPSLHHNPSSG FRSVVNQAFDVPETPQSTTTSVARSNSDGTSTISPIMGARTMTDERTPTILEEPSESS TPKATANAIPGFNPGHRRDLSLPSSDNSPSRKPQVTDQDTPTAGRAELSSIPLLPTLS PESPDGDISPQKPSMQPYNNGQDMPAPLKFGSASGPDSFHGEIPTIMGAEESPQNADN DRLREEIIRSLSRETTPMEDPEPTNQPQSQAPAEFFPHQSIVSESHPDWTSPPPLAPR DPYATSQGAAGNSSSTVIDQPKKPKLERRFSWESSDSSEPEPPQMPGSYSSPPPLATS LSMQEPEPIHEEAAPLVSDVSHDPLASEDEGAVTQRAVEKPRLSIVPPIPENIKPPEQ VMGPGATPPPQRVVSNAGSLSLDESKLLGFRDILGITSINQRIKSFEHTRDQFATIDT GLSHWLQFTVHDHPEHADVVQQSQNLSPTVPPSDASRSRFPKLGGALSNIGSLNDGTP TGATHIRRPSGHIGTVMNKDKVGEKGKELLHTAGAFSGKATGAAKGLFAKGRSRFRPS GGSEKVQSTPSASRRSFQFSFPSGSGEASGNSSLRNSVTLGSLPIFKSERAELISDSN PPASFCLDHPQDEHNAGKRVKPTKSGNDYPSHNGVGETTVQPKQLSSSNGVPPKREVP GKSAFAGDLEQEMIAALGLSPTDPHPQRAASTPMVLNAFSQVNGGKRQVTAPLPQPKS TTGNQSDAEAPVNKAAIAKKSLPIIPDEPFHVSFPAVNSQRHQSSKSTPEIVIPSIRP VLNEGPKAPSPPPKDNDNGLAPSQGHRRHPSVSTLGVDEQPGRTSNEELDRDPPSPLQ ETADEGTPEPWYKSKIEHPMDDASSGSQSSARVKRRSFIPFYPSGSSESTSSAEVLES KRKSISGLPPSAPDFRSPLRNEVRYSPGTRSSMLSFGSFGKQSTNGKGTRPSTPANGL SQPSESGSPGENGESTIGKLKEFGRRRRASVGDLLSGIQIQGPQAPPVGQRKRTFSRI SVLFGRQDSQDPATKPTNHTRSASEQLHHKYLPKRPSTNGTIDTTSSFAAQDEPPVLS NLFEQKPLPTQPPHPRAPPPPPPSDTKPLPRDTHQRASISLPPTSPSNSLATGRFYSQ LQSGSMKEAPAASRHLSQPLSGHSWSPSPMSFLKNRATDPLSPLEQLQDNQIHELQEE GHEEHKDRVLREGLRHELYGEIPEAKQNHLGQQHELQGDLKQQSLAPQLSIRSRKPVG SDSTFPASENHASRNIAVSTASAHPHRSENEARVVNYPPQPVELAITADDSSEEIVMS PTSYPGQEWTPMHL PEX2_030630 MNPNEPPIIDFTPFYANNSTKEDLIHQIRQACKQFGFFQLINHP IPTELQTAVLQHSNEFFSLPLETKEKYNQATGSFNRGYERLRSQNFEKRTKGDLKEGF YLGKDLPLDDEYVIQRRFGQGPNKYPSEVTDAKGFRRVMDEYHDAMIELAVAIMEVLA RTLGLNEGVFGDFCDHPVSILRLLHYPPQEADASGLERGIGAHTDFGAITMLLQDDTG GLQVWNNLSSEWVDVTPVPGAYVVNLGNMMMRWTNNRYLSNLHRVINTSGKERFSVPF FFSGNPNYTIRCLPGCEDPEEGAKYPPITVHEWMVGRYADTYGTSEGKAIGEMRQEPG DV PEX2_030640 MLPSILPLLGLLLHTVGANTIAINHISRQTCQSTGTHCPPGTLI VSPTSKRASHTTIQSAINSLPDDATPQTILILEGTYTEQVNITRSGPITILGQTTSPK DATRNRVRITWAAGNRDSTGNIDNVFSSVLVVAPTLEASLTGSGTTGYPVPADTPFGN KDFRVYNVDFDNTWAEYSDGPAHALSFSRANGGFYYCGFYSYQDTVYIGKLGNAYFYE SILAGQTDFLYGFGTAWIQSSALQLRGCGGGITAWKGTNTTTPNKYGVYIVDSSVRAA NTSIAADIKGACALGRPWNSQHRSIFARSYEDGSIDPQGYINWVISGVGRFEKGVTLM AEYQVYGPGVNETARIEGGVTSVLGWEGYEAYSTPQKVFGDTEWVDWGVVKGN PEX2_030650 MAERRISYAVDVENGERHTREAGEESNLDEYSALNRYISTAQTN RRGSTSSAAALSTGSEKKAPWWKFGGGGAGGVQDGFITPDDWLETDLRAGLSSSDIEP RRKKTGWNELVTEKTNIFLQFIGYFRGPILYVMELAVLLAAGLRDWIDLGVICGILLL NALVGWYQEKQAADVVASLKGDIAMRAIVVRNGQEEEILARELVAGDIVIVEEGTVIP ADVRLICDYSKPEMFDNYKEYLANATSDDLKEKHGDDDDDEGETHQGVSLIACDQSAI TGESLAVDKYMADVCYYTTGCKRGKAYGIVTATARHSFVGKTAALVQGAKDSGHFKAV MDNIGTSLLVLVMFWILAAWIGGFFRHLKIATPEDNDNNLLHYTLILLIIGVPVGLPV VTTTTLAVGAAYLAEQKAIVQKLTAIESLAGVDILCSDKTGTLTANQLSIREPYVSEG VDVNWMMAVAAIASSHNIKNLDPIDKVTVLTLRRYPKAREILSRNWITEKYTPFDPVS KRITTVCTCDGVRYICAKGAPKAILNMSDCSPEEAKLYREKVTEFARRGFRSLGVAVQ KEGEPWQLLGMYPMFDPPREDTAHTIAEAQVLGLSVKMLTGDAISIAKETCKMLALGT KVYNSERLIHGGLAGSAQHDLVEKADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDGVN DAPSLKKADCGIAVEGSTEAAQAAADIVFLAPGLSTIVDAIKLARQIFQRMKAYIQYR IALCLHLEIYLVTSMIIINETIDSSLIVFIALFADLATIAVAYDNAHFEARPVEWQLP KIWVISVVLGLLLAAATWIIRATLFLENGGIIQNFGSPQEILFLEIALTENWLIFVTR GGKTWPSWQLVIAIFIVDVLATLFCVFGWLAPDWKQTSPRDPAGPGFSKNNDVDIVTV VVIWAYSIGVTVIIAVVYYLLTIVPGLDNLGRKTRSKADTKIENMIAHLSKLAIEMEH DSEGKTRYTLGARAEEIEDNE PEX2_030660 MIRCNHQGSNYIQERPAEVSWTNPLPRCALFYSQDPLVVNIYRI FEIQHTGIQQNSQSPPSWPEKIPQRQNKPKHRDIVIKKSKCAPDSVTAPRPSQTTPPI RSTTLDFTLQHTYSCACLSCPLRTKYMHTAPAKTQAQQALSTSTLALVDSLSSPLRPT ITKA PEX2_030670 MNLDIRHDPFSDDSVDMAITLEEVDSLEAIVIIDNELDPLSPPA PDTVQVSGNLGAIAMGSKHTLTDRGEAYKELRLENVCCAAHGLSILVTATKGDKKHAI LFDAGPEEEAWERNVKRLRPNLSSVEVIHLSHWHRDHSGGLLRAIRMIKDAKRAENRS GDLVADLHPDRPAYRGIALPEHIISLEADPTFEEMEGAGAVVDKRSEPHTVLDDFFLV SGEIPRVTPYETGLKNAVRYDPDENDWFSDEVIVDERSLICNLKGKGLVVFTGCSHAG VVNTTKHAVDLTGGSVPIHAVVGGFHLATSDADQIQSTVADLKRLDPAVLMPGHCSGW RAKFAIEKHMPGSLVPCTVGSRITL PEX2_030680 MRGNHIHLSPETIKLHRKISVDLGLLFLILSSSLPHIMRFFLDR LLLVLVLLFSPVTALGTSCFKVVSALVSRPGYLFDKFQSEICDNGCQPTVPHWDLWTR NNTFVPAVRSLVQRMNVPHKEEALLKMGDDVALNIKESCGSMLGGGVHICSDSETLAG FGNCFKRNFLKASIKHLPSLIPMASDEACKEQLRFLESDELWDITIPQNMRDYAKVDW AVASPHLHMAHAIFLRSLRPTVIRPSIWRRYAHSSQFQPFVPPSPSSLGKPTEAKTYK RTIKWLRRIIYVSLATGVAYGIDSQFYASSLTRTARTFSLGLFVALDYKINFRPNPPL ASSIAAVHARNAERLSDLLRHNGGLYLKMGQAIAMQSAILPPEFQHMFSRMFDDAPQN DWKDVEKVIREDFGKSPEEVFGVSFTGAPDKGVMERKARASASVAQVHWARLQDGREV AIKIQKREIVQQLAWDLWAFKVVTFIYSKVFDIPFYSLVPYISERLSLETDFVNEANN SENMAKLVAAEPRLRDRVYIPRVYRELSSKRVMTAEWIEGVRLWDKDAITRPWRGGWR QGSPGCHGTPLDQPETSSAEKNLRASRTKPERDSWRGRNNRGGLGLSLKDVMTTMVDL FSAQMFLWGYVHCDPHPGNIFIRRKPSGKAELVLIDHGLYIHMEPGFRHQYARFWKAL LTFDNRTLSEIVKGWGINNPDFFASATLMRPYSGGDLSTQRSLQGLSKSERAERHYEM QQGMRRAIRNMLGDETKWPQELIFIGRNLRIVQGNNQFLGSPVNRVKISGIWASRALI ESPDLPLAEKIRNIGRHFIFRMVLFSTDIFFYFTKVRQFLHLGGGMEDDIEAQMQTMA KDMGVELNHSVFEG PEX2_030690 MAMDAKEIEAKAKALGKAATSNESPAVILGLLKDIQTGVRATED LLRQTRIGIIVNKFKQSKTPEVARLSSEIVSKWRTEVNKQKQGGGSAASRGSSGSPRP AQNGTGASTPAAATPSDKASKLSVPPDKRTWKADGAEINHTGNRVRDSCTGLMYDGLC VGSTESPKTVLSRAIAVEVSAYKYLGPETKEEYRTKIRSLFQNLKNKSNPKLRVRVVE GEITAEQFVRMTHDELRSVEQREADAKIQKENMDKAMVAQQERSISKSLQCGKCGQRK VTYTEAQTRAADEPMTLFCLLEPIYDFLDALTNPTPTTPNDLLSTFTTSPKPLVHEHG LPQLAPFLGRQFTGQDGVATYFELISSLLSIKNMVFEPEESWVVDASCMAVSFRGKAT FVWKETRQAWDETFVYRIKLAVDGGSAEERLAVCEYQVWADTGAAYLARRGRLGDLIG SEGSDGSSEGIYEIMM PEX2_030700 MAPPKVFSLEGKVLKLDTAEDMNAHIQPLIDNTDYTEIRLGGNT LGIEASKRLAEVLQTQKCLEAAYLDDIFTGRLLAEIPTALNYLLNAILKISTVHTVNL NDNAFGLRTQAPLIEFLSRHVPLRHLILNNNGLGPAAGSQVADALSKLAERKEEARNC GEEVGLLESVVCGRNRLENGSMEAWARAYKAHAAGLKSVKMTQNGIRSEGISHLLTQG LYHAHSLEVLDLQDNTFTNVGSTALSNVLSGWPSLRELGVGDCLLSARGGVKVAQALA ANKNQKLQTLRLQYNEMKAEAVKGFTHAAKTALPSLRRIELNGNIFSEEDPNIVDLRE LLEARQEQHGTDDDPEGTWGVDELDELEEEDSEEEEEEEEEEEEEEEEELKAEKDLKD ADLAEEEKVAQREDKDVDDLADVLGKTAL PEX2_030710 MSWLFGSSNEKSAAVPEQVPAQTAQSEKPKPCCVCKPEKTARDD CMLFSKSDNPEQECKSTIEQYKTCMAGFGFKV PEX2_030720 MAPPTPSSHRPRKKRKVAISPGSNNNLILDLGEGKQSPAFPLVS FLWAARAGVSQWLILPLVLMAVGLFRWAVSLWGYSGFQVPPMHGDFEAQRHWMEITTH LPLAKWYTYDLQYWGLDYPPLTAYHSWLLGKIGSAFDPTWFALTASRGFEDPRLKVYM RATVVVSEYFVFIPAVVNFLRRYTRMQDVHAWSASIALVAILLQPANILIDHGHFQYN TFMLGLVVASLDAILAGRMLWACIFFVGALGFKQMALYYAPVMFAYLLGVCTFPRINI PRLVSIALITLAAFALLLAPLMVGASNAEALKEFASSPVPPLLQALPIQMDKDSVTYG LLFQLTQIIHRVFPFARGLFEDKVANAWCAIHTFYKLTRFDATLLQRASLGATLASII VPCAIIFRHPRASLLLPALSAVSWGFFLFSFQVHEKSVLLPLLPMTLLLAGNGGLSKE TRAWVGWANVLGSWTMYPLLKRDELRVPYIVMTLLWAYLLGLPPTSFETYRSRPSLED SSAQFEPSTLTTLLHFGFYLAMIAWHILEAFVPPPPGKPDLWVVLNALIGAGGFGIAY LWCMWKLYTQCRQISRQATEEESQKKKQ PEX2_030730 MSKTSTKTADKAGKASKALNKVKDAGVTKASQSPAAKSKAVASK VASKEKASKKNKKKEPTPSSSESESESDEDMKDASSSSESESESEEEKPAPKKTEKKV AAKAESSDSSDSDSDSSESEEEKPAPKKAEKKVAAKAESSDSSDSDSDSSESEDEKPA PKKAEKKAESSDSSDSESSESESEAKKASSDSESGSDSDSSDSDSEETPVSKKRKADE EPAATAKKSKTEEVPEGAVANLFIGNLSWNVDEEWLQREFSEFGELSGVRIVTDRETG RSRGFGYVEYNNAADAAKAMEAKKGTDLDGRTINLDYAAPRQANPPADRSQDRARSYG DQTSPESDTLFVGNLPFSATEDALHEVFGAQGSVLGIRLPTEQETGRPKGFGYVQFAS IDEAKAAHAALNGHELEGRAIRLDFSTPRPAGGDRGGFGGRGGGRGGGRGGFGGRGGG GGFGGRGGGGRGGGRGGFGDRGGRGGALNKGKGSIPEYKGTKVTF PEX2_030740 MTRDGHQPLRDNGQPQQSGAAPMRSEDSWIDVSSQPSSSSFSSA ATNEDIITTGLQIERGEAGAYQRRNRRRRLQHLANITTAQVDYSSRDASQASSSQEEY EESESESDRVLSSSNEDIIRPTLPAALSARSAPLSSSSDAASSDDEDDTSTALGMGIS PSPFVPQPNIFTHPPATSEPGWPARRSQPSVPSTSTHRAASRRESYPSPRSSRRTQYQ HSPYNMISPSHHADHDAALRASLSTLLSCAAAARGLPKNDNRPSPTPAPPSGGGQPAS FRLVGASVAMGDEGSDEETSSSPQYPESSPSIGAPQRHLRAPTLSSDPTAAKAKRRSS SPKDRGVASKKSRRVSMTDSTMTVSPTIMTWVISAGVVVLFSAISFSAGYMIGREVGR AEVGMMGDGVPGPRPSAACGQEAVRGGLRKLRWGSAAAGSASLASM PEX2_030750 MFFQSAHEEKDKDVLLHFIRQNPLGVLITGMNSSQQDFLQCTHA PFVVDFPEETGKTDPYLRAHIAKQNPQVKTMMEAVNEKPPNVLELDDDVLVLFNGKHD HYVTPKYYVETKPGTGKVVPTWNYSAVQAYGKMSLYYDSKTPETGDFLAKQLHDLSQH TEKSIMGYTGGEKPEPWTVSDAPTSYTDLLKKNIVGIEIRITKLQGKFKMSQEMKPGD REGVIQGFAKLGGDTGIAISNLVKERGELRDAKKRDS PEX2_030760 MALPLYIPLCIHTPAGRYHLPRSDQPLRIQIEGPLIAIQRLLPD IPWRLDLPGRTLPQLAGPELIGYYGVTFDHLVPTHDINPEVLQINIIEIEDDNGIYAN TWLSFAIDPTNFIEKKVLAVPRCCQKRKGTQDRWQVNALVDQRVHGLEQLKGAKE PEX2_030770 MKTEAEIEAEIGPNRYLDDRNRYTFDDGMPGHWRKVLVRVPCIT DFMGIDDDRGVLKYRSCLNDDETRAQYEELDDEEERNLALKELEFQVVVYLLDREAIE TGLIKMLWLDEHGNTAWENRVEPYTVERLAVAFLSTTQLREISSGTSGQDSLIRR PEX2_030780 MFNYFGFNAPASKKDTKPSPVRALPASWYTSPEMYELERRAIFS KRWLFITHSMRLKETGDWLRYELAGFDFIITRNRQGNINAFHNVCRHRAYPVVEKEGS GNSKILACRYHGWSYGLNGKLAKAPQYQELSSFDKEQNGLLKIHLKVDVNGFIWVNMD ANEVPEVSWDEHFRDVDKQERYKAYNFDDYDLDHSYALEGDYNWKILADNFNECYHCP TTHADIPEFLNLESFDSDLKDGHIQHHCESTPEQIEKGLYTASTYYFPMSAMVVSPHF IMIQKFLPSSAKKSQMAYEVYRNRNSSDTDFKLISEMYARVMGEDKVLCNNAQKNLDR NVFTSGELHPKWEKAPLFFQSTVRDVITEHFEREKSEGREIWPAKPKVSCNTDVSEKD EQICAALACGAQKEVLAW PEX2_030790 MASFNLPPELLAIITSLSNTETLKALRLTNHMLCAFATKNLFST VSLYTEDQSCEGFESVITHPQLNEHVHKVRLNTVKVDYSSDEEHEELEVPFKWKELLP MLPKIPNLESVVLRFDKTITFDDDYQHPPQSVDYRESLIRWLGAGLVSLKQPLKELGI QNHQNMTPLNPDLQQVLCTLSSLRLNVVHETEPSCIQNEIEKEAFQDFYGRILPSIWL KPMMGSLRKLSLYSNFPWGFYPKFSLEGIHCPNLQSLTLGNFCFFEDQQVDWILSHSS TLEQLYLDDCLIFFRARILDNEGQLAMCPIPKSRMEFQPGYSWWDFWHYDYPSRWSDL FASFKTGLPHLRRFAIGHNRAWDADPEYEIPFEKELDLVPALMHDRYMAFEGGLVEGH LGPSQFISPGWNEAGDWPQCDDEDREALKTLYGKIKQQVDCGEFTVGDRKVVDLVETR PW PEX2_030800 MPSTRQFDPNFTPSVINGMGPNTPERARVVLGSLIKHIHDFARE VELTSAEWMLGVEFINSIGQISTPIRNEGHRICDVIGLESLVDEIANKIITEDGISPT SNVILGPFWSPNAPFRALGDSIIQDPKPNGRVTFMHGAIKDMESGKPIVGAVLDIWQA SANGQYDFQDPTQTDNNLRGKFRSNEKGEFNWYCYHPTPYSLPTDGPAGVLLNIMDRS PMRPAHIHLMITHPEYATVINQIYPSDDPHLAIDSVFAVKDDLVVDFKPKTNDPKATL DLEYNVKMALKKHHPNPNSAPPVSSFERHAKAAKEAQAQGKL PEX2_030810 MKLILAGATSLLGTEIVRQSLQIREITQVVALARQPVQLDDSVN SSKVRSVVIRDYGEYPDYVKAEFAGADACIWTVAATPLHAGGFDFAEVKRVCQDCTKL GFEAMYEAGPARPFRFMYLSAEGIPRDPTKRPVVMADYHNMRVMNSNPTICSGFMLMC LSVNWRNTELMVLKFPTEKEGVEVCIARPSLIANSTTWSRALVANLFRIVSRFGRPIP NIQRSELAAAVLNQVMHGFEKETLLNADLVRVGRKELKFRTVKMVNRPQSQNLNLEHE PEQIDAPEMKDPVQPGSSDIDERMTRDYKEAIDKTNIINEERLRHVVPQSTTAYREPS EEEIDISWRPDPSGHPRRTL PEX2_030820 MPRKGGKAAKLWSLHPKLHDDVARLLDEEGLQIDFFDADDERNN IEEWDTNVMGRFICENSGCYSSGWSSKKIAITIRMYPQQRYNARVYHQRCRNCRAVGR LILDTGCYAERVTYWLKKWNGIEVQRPNSSGQSRGPHNSELCEGCKVGHCPNSNDNDD LALVLAR PEX2_030830 MGLLRKIISRKSKDPEREKPPSNGMIAGKNSTAQQSSVPGAPAT RPSDNTPGTSEKRVDKERTDVRAMNKDIPNNKNWDLKVLVEPTSKENIIDIVAVHGIG ADPADTWVHKNFKTDAHGNKVEVNRVDWLQDQSMLPSIVPNARIMRFGYPSSWFGSRD EQHTETFVSDVSEQLSRRLNQVRNGSTRPLIFIAHSYGGLPVIDALRDSILKHNSGGK SPNPVSSAFQFTAGLLFFGVPFQGRGGPSTEEWIKKIKEHHKNDPDFQVWNQTMTASV PKSQFLQGLVGQYLETRVCDHPIPITCFWDRFASRVGKFWGEEDPEKSNFIVVSKTSA CLQRSKDVSQVPLDRNHYNLNRFEGPEDPVWKMVVPEIQQRAGYASEFLSRRDQGEDI PSRVDCYFSDIEGTDLGFAVNWSLYHLTKTAHFVARESDLEKMEGLLQKQNGRRSVVV SGLGGMGKTQLAIKYAQLHQDEYSAVFFLDITSDKSMRKSYDVIAARITADHPTTNRL EVTPEKNEQNSNAVVSAVKKWLELPKNTSWLMVFDNYDNPAVPTNTHPERVDIQNYLP ACNHGSVIVTTRVENVKLDSQSSMKIEKVSDLHGQEILAKTSGRQGVLKNKNTYKLAT KLGGHPLALAIAGSYLHTSHMSFENYLAFYESEWKRLQTKSPKSDSYNHTLLTTWHIT VDQLKKDHPSSIKMLKILGYTTDGIMSYKWLKAGSGAMPKAVQRIVQDELDFGETMRH LVNYGLVELHDLNEELDVELAWSVYACAMIPPDEPDMTALRALIASHDSAWSQLTNVW WTNENIRNILQQQKGFKFPSDWEALDFLLGAFGYYGSFALPGTVDDLEEIIPVQSTHF KAKFNVPRSCFLPQELKDTVTKGVSSSDKSFFVHFPTIESLSPRRSKPQDAMSLEKMQ MMTGNLMRKKALRMESERNFMEHTEFPDFLAHVVIWRGKAMYMHFFFMKGVDCDFKLY ARRYTPYSDETGWFIR PEX2_030840 MSSEPEVHSYLDECFLDEFDIIVVAFAKPTATNHDQLQLPISLE LFERVSQALEISPALLGVVHTGLASFIASPRAKSGASVQENEQLLIQQTRSLSSFSMG VTKTKTGQTRILIFGAQAWSLSELFDYLESADASLAANMSISIPASALELQAWWFTGT MNSLKSRIRTIENTTGMRKPINHEDLQQSSPHWQDLDMVPLLGELSSLLSRLSFLKMQ AETALYLIQRMQQYTEGQQYSEAVADKTVEVHQFPSHEDQKELLVKLENARGWYLGLV TQGEYLMRRTSAQTDTIHSLLASQNSIVNIDIARASNAMAELSRSDNQAMLNLSELSR RDAKLMIEIARDSRSVALSTARDSASMKVLAVVTVLFLPATFTATFFSMTFFSFLGQG GPEVSPWIWIYVAATGLLTAIIQVIWAIMSRRSQASLLSESDGKVEGLQTPP PEX2_030850 MLKSLLLSTCLSLALQCSAIAPAATDLSYVVPPPAADGGKTWKA AHSRAQGLVAQMTLQEKVNLVTGQEGPCAGQTGNVTRLGIPQMCYQDGPAGPRPGNIQ FPSGVTTAATWDVELIYARSLAMGKEFYDLGIHVAMGIITGGPLGRSPRGGRNWEGWY ADPYATGIASWHGVRGLRDSGVQALAKHFIGYEQETYRNPFNFTEPYSIYNASEQVPI SSNIDDKTTHEIYLWSFAEAVRAGITHIMCGYNSVNGTHSCANSESNNKMLKSELNFQ GALVSDWGATWGTQAFVEGGLDANLPGLGFGKILGPFYDTELYSMVQNGTIKEARLDD MVTRMIVPLIVTGQIDKPLPSTVTTIPGMINRPVTSSAEVDGKKLSAVEIARKISADG TVMLKNTGALPLRNPRIIAVIGQDAGPNPLGIQGCGALYRNCGILQNNGTLSLGGGSG YTWANNLVTPLDAIQAKARETNAFLQYVIDNTAEELVYDTLTGSGLAVSAPDVCLVFA DRYQRENMDRNDLGLNIGNWTRSEDIIMETAANCNNTIVVLHVGGPVIMEGWIENPNV TAVLAPLYPGEQTGPGLVDILWGDVSPSAKLPFTVGRSESDYPPHTISDEHSITPQAD FTEKLKIDYRWFDTYNITPRFEFGFGLSYTSFEYSNIKIDSKESSDKHSIQSTNEEFV GQTAGQSIYDVIATVTADITNTGKYTGSEVAQLYVEFPEIEEEPPKLLRGFTKIKNME PGHRQKASFPIRRKDVMIWDVTEQKWRFPIGESVKFYVGASSRKLPLEIAHSLKL PEX2_030860 MPLPNAQPVKLAPNLTIHAPLSRQGHGPGMLIIRSLVNLNEVNT VPTLDPEPIQKWAEEGYVTLEIEVSEGHPLAKETLIEALDALNQHEKCTTKSSYGLIV YSPLLVPGVAKLIDETDEIKAVVSYGTLLEQPTKPHLYHLAESGGKSTSKGEVVYRYP EVQSTAFIIPSHKHFSPSSATVAHTRCLEFLKKQMNGPWFDLEEIWEEHTRFEFETRS VPDTMDTMVQEPYVNHIPTLTGGVGRGKLSHFYANHFVFNNPDDTVLELVSRTVGIDR VVDEFIMNFTHDKMVDWLIPGIPPTGKKLLIPFMAVVNIRGDRLYHEHITWDQLTVLF QLGLMPEYLPLPDSLPWGPKPQAGCTLEYRVPGAGIETAEKMVDESSVPSNEMFKFAV REIQGPQ PEX2_030870 MLSRSEVAKHTTINNCWVIINDKVYDVTEFLKKHPGGASIILAH AGRDATKAFESIHQAEIIDQNLGPEKNLGVVAQDDTSNRQADSVIESSRPKPKLSSII SLSDFEHAASKSLPASSFAFLKSGAEDEYACNWNRDSWKAIRFRPRVLRPILNVDISS TLLGTKFSAPFFICPAGGAKLANTKGDLCLVKAAARHGILHWVCNNSAISQQDMADSR VPDQTLYWQIYAMTDLEVTERQIKEAVKLGYKGFALTVDAIRAGKRERDLRVASLETD QDDDDDLESEENFAKEPTVQRPPVWSSFDWKSSIEWLRKMTSLPIAVKGIQCWEDALL CMEYGVHPWLSNHGGRQLDGAPSAVDTLLSIRKHCPEVFDKCEVVVDGGVTRGSDIVK ALALGAKGVGIGRPFLYSLVFGEAGPSKAIRILKHEIETTMALLGVTSLDQLNPSYVE TSGYPHVRSVL PEX2_030880 MSANRMDAIFAKIAEETSKFQLGDEEIWRKLYDPFYPEPPQNVT VIRDERYGPSDRNLLDVFVPHGNDSNRPVMMYVHGGGFFSGDKLWTEQVYSNIGWFFA QRGIITVVINHRLVPHVEYPGGADDIQLARQWIYNHISSTRYGRGSVEKVVLFGHSSG GAHIAMNLYAAGDPERASQSPVFPPVAGVMYLSVPFWYDRTKPVRQKTIRSYYGSDSE DVWGPKSALGLFQRLPDNSPLLDSQKIPVYLGSVEWEV PEX2_030890 MTDSDMKSFKMENTKLEGAGEQLPGNYIESASRDKALNLLANSH IAFDPNSAEAKRVRWKIDMRIMPMIFVIYCLQLMDKNSLSFAAIMGIKQDTNLSSAEY SWLGSLVYFGYLGGDIPATYLMQRFSLAKYLSVMSALRFFLGAIEVSTVPVAIYITGA FYTKEEQLTRVALWYTTSGWAAIFGGFLAWCMYHAENFRWQGLFILYGAMTFVTGVLL FLFLASSPTDAKWLTEEEKVIALERVRGNKTGTEVWKFSWPQLREAFLDVRFYLIFFM LIATGMPNGGLTAFGPTIVDGFGYDVPTTQLLNMGSGAAQVTGTFLALLLAKRTNRTF AGICTLLLACIGSAMMLGIPSSNNSARYGGYVLVYQFPICIVFIIAFMTAGVAGSTKK FAFGCVYQLGYAIGNIIGPQTYRAHDAPNYYPAKYTMLAFFIVTAILIAIYGWIHHSW NEKREKELSAQPQDTVDVTENAEFADFTDFQMKSFRYPI PEX2_030900 MAERFVPVISLKDFDERKEEIISQLLNAAEYAGFFTLVDHGISK EEIEAQFAISKAFFDLPPEDKAKTPHDPKTNNGWEYKAQLRASTGTYDQKESLWLQRN SEWPSDGDVPNFRDSTTQFMSKCAGISDQVLSCFAIALGFGENYFRIANDPTQADCLT QLRLIHYPASENAVGTWRAGSHTDIGCLTLLFQRDGEDGLEICPGRESHSSFASGDVF TPLPAETGPIVVNIGDMLMAWSDDRLKSNYHRVRAKDVGKSPSRYSIAYFNQARRDIS LQGPLKKYPPMTVVYVGETSSRSFLHFLRRTTKGYIGSVPFTDEERHHVAIEPESSTL DMNPAHPSPEEAYLLLSSYLEATSGVLDLFTPDELDRLMAERSSENATVHYFSKVDLE PAFNLALAIGAQARGRPEDVQLSKAYFLRAREIAFEGMLMSQNLNTVRHFVLLAFYTL GACNRNAASMFLTIAAKAAVILGLHSSENDSDLPEEDQSTSGDDQNAQSLFTAMAKAC ILLEEIVDTLGKSNNILHVPTAEGVLERLRQWSRGLPQNIRRFPHPSANGRPLDAENR RVLLGSIHVSCVYYFAVILITRPFLVAYLMSRLRGKAPDHLISDPEEASDINIKNNKV SRLAQVCVGSAITMVETCVKAKALNFTFGNLCLIEAWIFGAGLVLGFSMFAAELRKDI EAAFADAHDILGDIALASPQAQLYHNMLTDFAEAIAKYRQRVSDETHYTVQHYMDRIL IFEPPTDGNAPGPPDDYESGRNVDETWGFSLANPTQANPFVFPMGLTAANAADEMLRD QHDGWLDGSIHFPEFFVPELEPFDQLFYTVE PEX2_030910 MSGSRQQPGLACEECRKKKLRCDRRRPQCDQCEDSGTACLVNQI RSPRGPKKGHLKALRNRIATLESIISSDEGNLVPKELLNCSENCHSDEPAQLLSPPHD YIPNPTKTEYHGIPYSIEGSKITSPIIPMTSPDTENSDIIEADLVHPVAPMIHQGRYF SWSQRFNKPASYICLQFAMWALAAASSAHLQHMRESLYTRARSGIEALNHDIESTSAA CLQAAQAWLLLTHYEFRYMTYRRAWLTAGRAFRIIQLAKLHEIDRLNDVSINMAHPEA WAEAEEKRRTYWLAYCLDRFLNISDEWPLSLHEEVLCVYLPVSESDFQHSRPVLMDSL YDEIETSGQKMLPPFAETIVLITLCWHSVAFQRAAHGDKTSPTDGDTWNRHTRLYQMV QQRLMLVSLPPSSPELHDPMRLFTNMLANAAVIWFYNIMETLHVEIDEEIILVPLYSA YEIAHAFSPMLLYLSAKFLKTHADQLSTCVPVSEDKQEKLEDLKKALRVLQGGNNLAT SYLELLDSDSDSFNKLCNLSTAQGCTISEVAGQREPPFFLDIMNLL PEX2_030920 MVRYLLPSQFVPPMPSDWDCSHQPPSNQAAWFLRSSRGSDPLEV GVAPFTPPSAHQIVVKNCAVAINAVDWTKQLMGRLIFSWIKYPFVLGNDCAGEIVQVG DMVSHIKVGDRVLAHALSMDKAVNNPSEGAFQRYTVIRDNMATVIPDSLSYEEACVIP LGLSTAATALFGQDFLSLNRPGIPLAIGPDWRPEVVLIWGGSTSVGCNAIQLAAAAGY EVITTCSPRNFAYVTNLGASAVFDYNDKVTIQQIVELMDNKKVVGAIAIGNNSIEPCI EVLSRTDGSKFVVRTAFPFLARNPIFFNIFHEIATSVWENFKIWKKAKRCGVKTKFVS GTSAAHTEIGAMIYNEFLPEALATGAFVAAPKPNVIGRGLDRIQLAMYTHKRGVSAQK VVVSL PEX2_030930 MTEKQSIESDPAANKTQMDANVAEDAEELEHLGIAPSEMKRSFN LWSLVFLSACSSVTWEAISSTIAQALRSGGSSSLVWGYVASAAGAILIVLCHAEYASM IPTAGGQYHYASELSPPKIRRVYAWFAGWITMIGWILSCVAGIFAMATQYQAWAILFA PGYVFERWHTTMITIGLTTFFSAVSLFEIKHLPKLMYIGFLTHVAGYLTTMIWLLVHV EEKNSAKIVFTDFNNQSGWESSGVSWSIGLLSSAIGFVNWDSSIHMAEEMKHVSRDLP RAILANVAVSGIMTFPWIISIAFCITDISGVLSGPVGSMSPMSQLFYNISGGNRAATI GLTCFLPIIGIVSAGPGMISATSRTVWAFSRDGGLPRMFSKVGNRTKVPTNAVILTWA LICAVSLINIGNTTALYGISSGCTVALVISYAFPLLMNVLYGFKYCEVPRGHGRFTLG RLHRPVAVAALAWCIYIITFMCFPTYYPVQVASMNWASAVVGGGMLIAVCLWFVYGRT GYVGAMRILESHH PEX2_030940 MGSFQKGIFLALCGLRLIQGTVVLSVAEPENNQIKDRALYTYPY TCPSILVGTGDTCDDLASRCSISAASFTSFNPTTGCSSLPRGKPVCCGPGETRFPPDS GGYCYAYTIRDGDTCAKIAEGYKITTADIETWNTNTSAWYGCNKLQQGGQVCLSKGEP SMPVAIGGAVCGPQVPGTARPILWTSIASLNPCPAGQCCSTKGQCGTGKDFCDSSAPA AAAPPAPATKAKSAVSEAKAATANEVTSVKTTSAPAAIAPPVPATKAISTSSTTKATS AASETKGAPLSARSFVTMTAAAVTVTVKAASTTKNADPHAFTTDIPLVDVPLTFLHPE DTKSAPAAAQTKAATGDVADFLKPAKEISILASQLRNNLVSTTTSVTKATGAAKSKAP SENSQTKKSPTQTVNGVVTVPSGWSLKMFDGIGCTGSYVLLQGHNKKLEDSTCMKFRS ASTLQTDVTDTAVSCRWWTIPESGNWEWRDCHSNSLNRPKSWIMSNGLCTVSPNTKCD LVSDISQTYGWRGPGLCQDRKTVDPTFGSLKCYVG PEX2_030950 MVFDHADQLKTTSEVKGQELKAPSEQENEAPIQQTRRARLKASI WDTWDKSPRERKLVQKVDWWLLSYACTAYFIKSLDQSNTSNAYVSGMKETLDLKGNDL NYLTTYWNIGYIVGQIPSQLILTKIRPSIWLPALELLWSVLVIALAGAKGPKTIFALR FFIGLFEASAYPGIITLLGNWYTPQELAKRSAIFQASSSAANMFSGYLQAALYSGMDG RAGLSAWQWLFIFDGILGVPIALYGFWAIPDSPSDSKARWLNKEDTEYAEQRMKAVGR APAAKLTWRTFVDVFRTWPVWLFSTVFIAHVCGLRIYSYFNVWLKSTHKYTVEEVNVI PSAGYGLQIIFTLLYAWTSDYLQMRWPVIVFACVPALVGTIILSVWPIHNTAAMMAGW LLTYIETGAGIIFTSWVSETCGFSAEHRVVVIGVMEAVAFTFNAWVPLLAYNSSQAPH FKVGFQIAAMFFAFELVMTVVIAAVEKRWPARSLVKEKEPSTDV PEX2_030960 MEVERVAVIGAGPCGLGVAKYLLAEQKFKTITIFEQRDQPGGVW NYTGDQGVSNASVLSRSKPSQEPQQPVNGTFISPVYDSLETNIPNSMMQFTESPFPAG TALFPTHVVVKDYLHQYAEELKPLIRLQSLILDVVLSRKHPKPEWTVTWRDLKTGGTL VEQFDAVVVANGHHNDPYIPEIAGLAEWNRAYPGSIIHSSSYRRAESFSNKKVIVVGH SASGIDIATQIGRVSKHPLLISERTATTLSPEQSAIAETLPEISLLSAEDGRVQFVNG HEERNVDHIIFCTGYHFSIPFLSSLQPPLVTDGVRPRHLYQHVFYSKEPTLALIGFPQ RIVPFPFSQAQGAWLARILSGRAALPSEIEMERWIGEWTVDHGDGRSFNTLAFPLDAA YINSLYDLSSNAARKEGLENDGQGRRPPFWGEKEKWTRARFPLIKKAAQALGDRRAEV KSLEQLGFDFEKEVAQDAGAGTARL PEX2_030970 MVDGPLYLRVAAVDNKKSGAPELLMDPESVSPHTRERELRSRID WLSRLVNDALPDGRSPIESIETGRDMALGSPMQSPSAETTIEIDCSENEFEESHTSKA EENVSLSVAASRKCLQAYFRHVHRTYPFMDSEFVLQDFDTLCNKEADNGFLPQSAIPN RLYMIMAIGFTTLERAREVQNIEERDFQPCLKAVLCECVSRVDEQSAGTLLLLGLYLL FKPGDQDPRAIAGVLTNHALAVGLMNESPSCQTLSPRALELRRRLGWSVYVFTRMISI SYGLPFAFPDNIMKVPLPSIMIHEYGSEEGHQYAIALQVSRHMISLRQLETRIVNAIY DPNPSISPQDLRLQIEDWYTQGCLLSSSTLGEPDQLPFHTTITWLNVRYQNLLLLLYT PAQGDSATEQNVSNLQGAAQQYIRLSLILHDHRHMPMNWITLCRLLSLAAIFLYCARQ WAPAFDDIPEIPLLATLLEYFPPSWTAAHEASRILRRLADVTTNQNSPLIARSQLSGS SVIATSGLDTEMGLKSVQNDLEALLSETMGEASFYIWPLRSKLAERRVSHQSFTIDNL GAGLETGIPGNRLNVTDGSLNPAENDIGESLLETQWMNLWEM PEX2_030980 MVSETEALEIYHNAIHFDGLNIANWSREIFEAWQQGGITGVSCT CGIWEGFRDAISNVVQWKKWFEEHSDLIVQAHSVRDIRQAKRDGRTAVLLSWQNTAGI EDQIDYLRVFRDLGVRKMQLTYNTQNYSGAGYTEICDSGLTGFGRQVVDEMGRLGIVV DLSHVGPVTSEDVIEYATKPPCFSHVLPGGLRDHPRNKSNHLLQLIGKKGGFVGISQF GPHMAKGNDSTIDDYVAAMDFVIDLVGEDLVGVGSDASEGHARPSDFMAWCNLDKGYA RQLTPWGSQTVVKPLGPLKERPELAKAMARAGWSKSKIEKVLGENWLNYLEKIIGE PEX2_030990 MATNPGINISIDRGGTFCDVLVQAPGRDDLVFKLLSEDPQNYRD APTEAIRRALEVIEGRQIPVCEKLDGSQIASCRIGTTIATNALLEGKGREFALVTTKG FKDVCVIDDQTRPKLFDLNVRKPPALHAISVEIDERITIEDYDLNPFPLDKTAEITDP ALVRTPSGEVIRVLKALDIAEVREVLSGLQSAGYKSLVVSFLHSYLYPSHEDQVAQIA QDMGFETVIKASDVSPVIKFLQRSSSASSEAYLYPIVKEYVTAFQAGFSVLPQRVEFM GSDGGLRQADKFRGNEALLSGPAGGVVGIARTCFDAAEGTPVLGFDMGGTSTDVCRYD GKYDYLTETTVGGRKITAPMLNIATVAAGGGSMLFARHGLFVVGPESAGAHPGPACYR KGGPLTVTDANLFLGRLVRSSFPAIFGPNADQHLDLDITKQKFHDITREINEQTGQTL TPEEVAFGFLDVANETMTRPMRNATEVRGFAPSSHTLVSFGGAGGQHACSIANKLGIT RILIHKHSSLLSAVGISQADLQVENTIPFVGFFDFEVLDVVRAQIDKLKSQVRSALLS QGADASTIVFEESLSLRYVGTDTNIVIPKPEGEDYGASFIATHLREFAFVLERKIHID SIKVRGVGQSGVPTETTSPYGILEQVKSQTDYLQSDRTQPVFFEKKWHEVPIFELDSF KRTGQVQGPALLIDATQTIFVESSFNAYLLPNHLVLEKSQTDTSNTEISRLSETIDPI QLSILSHRFMAIAEQMGYTLQRTSISTSIKERLDFSCAIFSREGKLVANAPHIPIHLG SMQYAIQYQHRLWEGKLKAGDVLLSNHPESGGTHLPDLTIITPVFLDDESTVAFYVAA RGHHTDIGGQGITSMMPDSKELWQEGFNVRSMKIVDNGVFLESDVRKAFLAAGDLPGC SPTRRLDDNISDIKAQISANQRGILLLQKMCAEFSFAFVHRYMNAIQTNSETAVRDYL KKVSQTKTMPLTASDHFDDGTVLKVSISIDEAGGAVFDFAGTGPQMWGNYNCPISITH SAVIYSIRCLVDAEIPLNDGCLAPIDIRIPKGSILRPTASVAICGSTLASQRVIDTIL RAFECCAAFSGCANSFGWGMGGRDPATGIIEPGWNYGETVGGGCGAGPSWHGEHAVQA HSTNTKITDAEVVEKRTPVIIRRHAINPNTGGDGTYKGGNGAVREIEARIPLKFSILS DRRVFSPYGMNGGQPGSVGKNYAFKWNDGKTALERLSLSGKAALALQAGEMMQINSPG GGGWGPLVENVI PEX2_031000 MSDQGGVFGAFGAVLGYIGAEAATDIWFLSLLWPQRSFSYLTLR SIPTLALLMPMGGPLHKAALSTFDIANARGLLKGAHEGHMLGTSFFEQTDWTYTIPGT ASPEPRAARNCMWARALHYMPLPAETPESLAGPSPLVTGTTVEKGILPRADNGRIPLR AKVAVYHLIFTPATAEDKASQMAFVRENCGRPGWHVYLSIFIAELSGIIIFAVIYAIG RSLWCLIWLAPLLLRFLSAFFALDREPLTPISSSISSLSTTLITEAESPRDFVLDFPQ SQGSDLMLFTGLSALVQQFFRHYGHPVRNRTREILQMCIIALFAALFPLGLLASTLWM PPLVQYAWICYQLYIVMTMHIVRYTSFGRDTSTESKIADYLADRPEILFGEKRHGAET VKVSLIATYHARHQEGKDCLERLVRR PEX2_031010 MSPDDWVPVRSTERDKGYPLATLMRVVYGEDMGMGEGYSRCFSI MYRLALELGAVDSVKSPDGTVITGFNDDLAEILRQHLTPGQERSFYILWEWWATCSRV CIVDEAEGEYDDDYDDLFGDDESDFYPQNHVERETIHEAGGIRYKLRFNCLYNKCMAT LFNSEFENFKTHRSGDELVNLRRDLAQEAACLTIAIEACSPRSQTQQPAQVPYSPDGQ SYLAHRSESSPVWCAGRRVLGSIDLPGLDPRCSAGSSIDSCPWLGEISGLPLYLWDVK ERRTVEVFSLWEEYEFDIPYTAISHTWGRWRQRDQPGVNMKSRVPWLIPRNSLFDVER LPDILAQIPTDTPYIWFDLVCIPQEGCGEELDEQLRQAMWTEVASQASIFKSAKHTIA WWNTDSAPESWDGMRSAIQWMSSIYLDLQFSEWDESSIRIPPWKAHDQLQATGLFSPD MTILPWFSSLWTLQEVCLRPDIWICNKNLDLLTVGDNGALVAFNTLVSLAERVKSTLI RELNDLATPADRLLERWKPLVHPGFHEVLDLLHRTGMDNLHELKREQILFLATSRYCS HSRAQAIMSVLGIKEWYNSATAMPEQRQPNKPGFVFDQYPLAFVQEVASNLGSSFFIT AILADYPPVDNVKTQDVVRGTMLPFRARISEPRRVDVPRLEMGDHPSVSTWEVRGDGA VFLPEVEILFSSDSDYGDIKYMKCFVDLSLPVPEHIVEREHFQPQGSMYHGDLRYWIK QLIPEIYGVSGTYYAIHLRYRPGQWDGLLLKAVGSGDSSQPEKMIKIGNLHVLTLVGD GEYLPRRKSVDVNWIVL PEX2_031020 MVEFVSINGAQLAYRLVGPENGPLIITLHGGRGFGDHKSDFKAY SPLSSSYRVLSFDFRGHGQSSRTEPFTFHQIVEDIEGLRRHFLGADQPCIICGGSFGG FLAQQYAVTYPSRVSHLILRGTAPSHHHEETAIRTLEQRASKAPGLSIKFLKDKIFGR FESDLEFRLVMYAAAPLYSEHFDPDVALKHNIETVFYAKSHNDLYAEQEKYFDYRDQL HSITAKTLVIVGERDWICPPEQSKTIASKIPGAELRVIANANHGVHLEKNAEVIELIK EHIR PEX2_031030 MPISAEESVQKPTPIHRGLDNASGVQQDRKNVTTDSLHSVERST IQTDNAQAESRQRWFHWHEPGTSKADKKLIFKLDWFLLSYSCLCFFIKQLDGNNVSNA YVSGMKEELGFGPGNELSWMNTYFSIGAIIGGPISNLALTVVRPRYLLPSCLFVWSLC VLFLYKCNHASQLYGLRFCIGFFEAAAWPGIQYVLGCWYRKSELARRSGLFVMSGVLG QMFSGYLQAALFKDMDGKAGLSAWRWLFIFDFILAIPVAIYGFIFYPDTPQNTTAFYL SEWERNRARERIEEEGRTPVGKLDSTVFKRVLLSWQFYTFSLAYAFWSLTCGSYVMQY FGIWLKSLKIYSVPQINNIPTSMGAINFAFMVGTGYVSDKIGRRGPVCFAVGCLLTFC YAIFTAWPESRGLKMAAFIITGCYGCYTPLLAGWVNASCGGDQQLRAFVLAFMVSLGS AVVIPFQQLQFPSGEAPKFTKTHGWVSGLCFVLALTLWTGFGIDLVERIFTRKRKESL PKETDV PEX2_031040 MGRLHHADPPASSSHSLHSLDDAPPPYTDDPELILAPVQHAQPI QPAQPPPSIRPLRLIDSAYVLPGGNNGKPEDKVSLALEPTLSSNPNELYEAIRRQIKL PPRPLLYIHGTHTESSNDNKKSKNNTCTDFKFRLDLAETMLTGWEGGPMDVNWRETEI LTDEDLKPAYRGGILRSRIYKPPKSRAAISLEGDSDALLGQDHTDIEDNSSPEAKNLR MWCERFCRDPASVKSFTLHREMKGFDSNAMRNVLSSHIRELNYRGSIAFQLFIAQRSV TIYSPHWINRLRTNRFIWWTVVLLQLWIITWPVIFFMEKRYELVHTRWNASLRPESDS ALATCYAFGRDESSLAEYWAPAVKQAAWTRRQGGGDLLTRMDADRLQGYSTQQLLGLR GATSDTEVERRERVNNGEGGFVDNVVGLVRGISEAGQDWRFSAGWGANS PEX2_031050 MSQSPSSPLNKVKNFFRRSRTGDGSGTIAPPPPAPEGVEGAATP AKAPSTSKDKKRKLAAYQGDATNDGNRTPMQKRLDRARGTLGLRRTRGISTDLNLPKA PEQDPEEGAEEDSEDDSEDPEENPEAKLPNLTASPRSPGMYTGRLSSKLNPDKIHILD RTPKRAKINHYQATGAEFENWMANTNPAGPACPVRQSTLTLAALVEARPPNEPLFKVW ETSFVPPPREIRESLRTTNLPRNPKSDDYRHSKLQRNKMWTEVSTYEHCIVGGAIVVH AAFRHKWGPQWSDIALALYKRDSEIDTLQYVFMVTVENEETLPLVGRVLYRENNLPGP RNRSITPAIHTWNLNTPQFQQILGSQMGRAVARLVLATWPAGTHQIPTIHTWFLSGNL HMRFDIARIGSTGAPPPRAPPPATPPTPSPAARKGQRGRKS PEX2_031060 MAPGLDTQIVPEVLDIKPKITDTPQPSILREPLKSSGSLKEYEN FNSTPIIGTEFPHVQLTEILKDETKIRDLAILVSQRGVVFFRDQNLSSEEQKTLGQKL GELTGKPSTSKLHRHAVSNSKRGIAVDENGHLDDEISVISSESYRKLYGDRYKPHSGR LASEGWHADITFEHVPSDYALLKITDLPEDQSGGDTLWASGYELYDRLSPSIQKLAEG LKVVHHQPAFNKIAKEQGIELIEGDRGAPENTGFDFKATHPLIRTNPVTGWKSLFGAG QQVEHGWIEGVTTLESEVLKKLFNRLLFENHDLQVRFTWGKNDLAIWDNRSVYHTATN DYDGKRQGNRVVSLGEIPYFDPLSKSRREALAHGV PEX2_031070 MKSSVASMATAITLGASTVLGAATNIKARASDVTPITVKGNAFF KGNDRFYMRGVDYQPGGSSDLTDPIADADGCKRDIKNFKELGLNTIRVYSVDNSQDHD ECMTALAEAGIYLVLDVNTPKYSINRAEPEMSYNDVYLQYIFATMEKFAKYDNTLAFF SGNEVINDGPSSKAAPYVKAVTRDMRTYLRARKLRAVPVGYSAADVNTNRLEMAEYMN CGTDDERSDFFAFNDYSWCDPSSFTTSGWDQKVKNFTGYGLPLFLSEYGCNINTRKFQ EVKALYSTQMTPVYSGGLVYEYTEGGNNYGLVKISGDTVTPNKDYDALKTAFDATANP TGNGGYNSTGGASGCPKMQKPNWDVDSDSLPAMPAPAKKYLTDGAGKGPGFSGKGSQN SGTKSTSTATQGSGEVAAATGTSTGSAASSTSSTGAAAGLKPSQFSFAPATVGLVTIV STIFGASLLL PEX2_031080 MDVLKSSLDQVLSQATASWAHIVANYSPQKIEFVGTLLAQVLTF WLPSICYMLLEVIAPSFSQRHKIQPAPKQPTCREIASCFLVVVQNQIISSILHLTLLS AASYAGLKSTYRIEKSLPGLFEILCDVLLSLLMREALFYYAHRILHIPTLYIRIHKKH HRFTAPIALAAQFAHPIEHIVANTLPISLPPQLLGSHIVTFWVFLAYELVNTATVHSG YDFFCHRARAHDLHHEKFNLNYGSLGLLDWVHGTDKLKKRRIV PEX2_031090 MVGFYKSFLVVALGVLGSAADSSLFDINIDIALTERLCGKHNTA VRKEWGELKKAERIDYIDAVLCMQGKPQQLPREEYPGVQNRLDDFVATHVNYTLNVHL SGLFLPWHRHFLWLWETALRDECGYSGNLPYWNWPLWSENLKASPLFDCSESSLSGDG NYNPGEQSLSGGAVTIPRGSGGGCVRCGPFKDMQIHMGPFDRNIASFDKLPAPGFDYN PRCFNRSLNNFVSSNYDNETIVDRLLAATNISDFQTVMDYWPARPGGVLGVHGGGHFS LGATLQDLFTSPQDPAFMLHHGMIDRLWSMWQAKDEDHRRFALNGTNRLSNPPGAPLV TVDMVMEFGVLDRPRRVGDVMDPTKKHYCYSYS PEX2_031100 MLRISFLQLLFVTLLALSAIFAVEAVPGTSKSESLQEGPADIKA VKLHDALHALSAKFRHGIFPTDLQAAEALQAEEPTIASLVKLAKRQDNVTASPDATSA SVPTVTTTSTESTSEPTTTSAAETTQTSQTSETTSASTTESTTSAQPTVTSASSTSSS SETSASETSSTSSTSSAPVTTETSTTVSTETTAQPTTTTTTSSTAQTTQVETTSVPST LSTARSTSSTSTTSTTSQQSTTSAQTTEHTSLSTSTFKSTTTMPDGSLSTITSITVIT PSATGKASAATTAGKPGLQTNAAALPTGMAREVFVMVGGAVVVAMAL PEX2_031110 MESQEFSSLTIPRLMKELKAARKEGENETSLYLRPIDHDDLLHW EAVLKGPEGSLYEGGRWHLNIEVPPNYPLAPPIIHFTTKIVHPNIDFETGNVCLSLLK EEWSPAGAGGLAGTLKALQWLLSYPNPDSPLNVDIAVLLRNEDIAGWESVIRYWMEEE QWEEGQNPER PEX2_031120 MRTSRTSRDTAKVLQALSPPIGRQTRNSNVSALKSFSYNADATP IIKTESEDVSIPPSLSVVKSDDESSLSELSEADTADIEDLLEPPSKRQKRNASPMNPR VLKRSATSRTPQKVIVKDEPDQKPIPAPKQRRLPARTTRDIDGSVKVEPPSNWETMYE IVKKMRAANPTAPVDTMGCSELYWRASSPIDRRFQTLIALMLSSQTKDTVTAVAMQRL HTELGDGNTTAQDVKIKQEDDDSKPVDSTLNLTNILAVDPTRLNELIRTVGFHNNKTK YIKATALILRDQHGGDIPSTPEGLMALPGVGPKMAYLCLSAAWGKHLGIGVDVHVHRI TNLWGWNKTKTPEETRKALQSWLPHDKWHEINKLLVGLGQTACLPVKRRCGDCDLAGL RLCKSEIKGLKPTMLKAKKSPEIDEPKVKIEAL PEX2_031130 MCGIFGYVNYLVEKNRKDILDTLLNGLSSLDYRGYDSAGLAIDG DKKKETFIYKEVGKVAGLKKLVAEEKPNPTKVFESHAGIAHTRWATHGSLSRFEGLLV GKGFKFETETDTEAIAKLAKYIYDKNPTIEFSTLAKAVTRELEGAFGLLIKSSHYPHE IIAARKGSPLAIGIRTQGKMKVDFVDVETGDETILPAETISQNEAIKKPHGLSLSSAS SGNILAPPDKNILHRSQSRAFLSDNGLPIPIEFFLSSDPAAVVEYTKKVLYLEDDDIA HIHDGQLNIHRISKDIGTSNVRTIQTLELELQEMMKGNFDYFMQKEIFEQPESVINAM RGRLNAKNSTVTLGGLRQYIPTIRRSRRIVFIACGTSYHSCVAVHGIFEELAETPIAV ELASAFLDRQAPVFRDDTCVFVSQSGETADSLMALRYCLGRGAITVGIVNVVGSSISI LTHCGVHINAGPEIGVASTKAYTSQVRRIDIMEGLTKISEQIKEVLKLDQEIKRLCMK FKDQKSLLLLGRGNQHATALEGALKIKEISYLHCEAVMSGELKHGVLALVDEALPLIM ILTRDDNFSKSLNAYNQVVARNGRPIVICNTGDPEFPSDKTDRIEVPRTVDALQGLLN VIPLQLMAYWLAVAEGLNVDFPRNLAKSVTVE PEX2_031140 MYELVHLRDKTKERFQSVFFTLLDVETYEMRDLYIEHLSMPGWW RSSGRIDDVVVMADAKKLNTIPYETVIEQHPQIATALICGTGRSRPAVLVQPIEWPAS EKESQPMARAGEKDTVQRKRSLQLYQEEVDEAYCRAEELGLLFGAISESGGLV PEX2_031150 MTETTEAMLERRQMNRYTLPELDYFLSNLPVEPYPYTKTFEEAR KDPYVVLHSSGSTGTLKILTLKQGSAAAHDAFQLFPSLGDNPPSVLIDISREPAFLET LPLLHNVSYSGGILPTDAGEVISKRTRLFGGIASTETGILPGEIPPPDMWNYYRYNEN PGYELRHYADNMYE PEX2_031160 MPPKRERTVQGSCWPCKQRRVKCDLGKPLCQRCVSSGAKCCYDK LLIRWKKNPPTAQSLSIYKSPFMNINVHSKDSALAIGDLKALEYFQFAVWPLFTTAAH PCPPPIPLAMEFEPVLLAVCELAEAHRILQDKAVSSTVSAPFDKRMSCLASVREQLRD NNSNHTSLSPLLVAVFLLYFLDGFIECDPQSASTSSHHVGVQAIVDHLGGFTALIKMG QKDITMLLSEFASTDLTKALLDDRPPCFPAEIWTQIEHGTVWWDQETYDGTTLASVFR IMADMCLYRHQIRGDPETISMEVVRDFERCLQPSFQTLNFDYIKSPNTYTVHESTLEP RMQADSFTRAFQHSALVYLYRAICGLPPRHSMVQQHVQSCVECIRNISPLSKAHNCII FPLYIMGAHTFLQDQQAFVLERLDSIYKYLRFNSVLLVRTALEELWKSPRHNGDWWDM FRSLGEHVLVI PEX2_031170 MNISSEQSPFDGEFDALVKEQLEKWKVPGLSIAIIHGPSTYTKA YGKAELPNESTDRQPREMTTDALFSTCSTTKAFTSAATSILIQDSKATESPIDWDTPV ASLIPEDFILENEYATNNITLEDALSHRSGMPEHNWTLALFSKQDATPGSAVRAMRYM PLATPPRTKYHYSNYMYIAVSQALEQHTGEALGAFMKKRIWDPLGMSETFFSPSEAKV NPATAARLVQAYDWVPTKEGGHFMPKSENDWLANSGAGAIVSNVLDYSHWVRELIERT GPLKGHDSLTDPRTIYFQNDDLNLPAPYHAYALGWVVDNYRGQHLYSHGGGWPGYANW VGFVPEKKFGFVVMGNSFSARYAAFRLVTYLLDRRLGLSDDPKYEEQIAACIARQTET WESHLKNEDITDSKKRLFSSLPDPPIPCALHMSGYTGTYRHPTGAALTVKIINDGLIA DLQDRVIPCELRLVHASGEFFVGSIQSGGLSLVLPFPVEFYIAATGLAQRVGLLLEPA MKGEKLWFDRCGS PEX2_031180 MLRSLLSLGRTGTNAYLFPKVDPKVDGPECLEDCADCTVKFPSK VKVETSKPLYGEIKEFHAHVLVATGQSDWKEKHVENMKGSLMEALDEAKSDHGRITVL ASNLTPPEEPITDGNTKEATTVLILPSFTFVDSVTQTDVPDLISRYIDHPTDHRNGNS TISPANGMSARSCELDYVILLCSHGRRDARCGITAPLIKRELERHLRPLGLDRDADDS RAGGVGIFFVSHVGGHKFSANVLIYRKKDQQMIWLARVRPEHCEGIVKYTVLQGKVVH PESQLRGGFDRVKGLTSW PEX2_031190 MDVQVNAQPDRVRKRRRRTMACTQCRTRKLRCDREYPSCSRCLK SRAPGKCTYEDGFLWQQPTTVTNTAFASDRGSMVSMPRDTPVDTPPDSGIPTGSTRTE TFPHSEPPRRAMAGGPMHHEYHGLTGHALAPPYRGRPHDRERKDSFLETVLGAPKAAV NQEPYVNMGILHRPKRPAPASEQGMQAASQVDEGHIEEEEDPLSPTDQLDLAPRMMMR GRETKTRFSGSGIFASLVAQFPDIKPFAEEIRVSTPIFAQLQPDLRRVKGGLFKLMVL TRPFPDPTTASLINMLPSRAVVDELVGLYITYIESTHRILHVPSFLRELDQFWTMLDS PASISAAFAVQLLLVLACAWNLADLPSLQSKSTGELKCQSALEWTLHAGKWIENLHTK RPEITSLRLSILLILAHNSHGMRRSQAWLTTSTLVKQAMMAGYHRDPSRYTRISVFNK EMRRRIWTTIVELDLQIALDRGMPPSVQTFDYDAAPGLNIFDHEIHENSTEVPESRPL SEATDSSFQSILSRSLPLRLQACSLMHSPRISCRYEDIQRLDAELTRHLSQIPAWVTA DTNDIVTQHKVILWKGLIETKLCQSLLSVHTPFAIEARRESLFVPSARTRMDAATRIL STQRRLHEISRTLSLCMLGEWSIQAYISICQLLHRPQFDTPSPSHPISSTFILHNIPG IPESLLSLVETTLIAFEERSLLMTKGAKDYSFLSTIVALVKSRIWPAQATMYKQQAVE RVLSFAQILFSRHMNCDHLGDKGMGNFKNNQLAAFMAAPTMVPVMQPEFDPNGMHPPP QPHAIPPAEFDPFLEIFDWEDLTSMTFPC PEX2_031200 MHHLQLLSIILAIATPLAGSVPAQPQARDQNAPQVYKTTDNFPL ANPGNIAAHDPNIILHDEHYYLFKGGINIPIFKAANISGPWEQLGTVLAGDSIIPKDN RSRPWAPTTIEKNGTFYCYYTLSTRGSRNSAIGVATTTALDGSPWTDHGVVINTGKGT GSGVWPYTITNAIDASFIIDQDSGQAYLNYGSFWQDIWQVPLANDLLSVKDAGKPDAV QLTFIPHADSKPEEGSWMSFREGYYYAWFSHGNCCNFKDGFPARGKEYSIRVGRSKSV RGPFQDREGKSLLDGGGTVVYGSNHGVVYAPGGLGVLAGKNTSVPDILYYHYLNTSIG FKHGQARLGWSYLDYEDGWPVPAGGRNATINAAFIYGPRVPSWGYLVVIFGRRSSNFH VSYANI PEX2_031210 MTNKTIPKMDTVKGRVYAITGLGGIGLAVARQLHSQGALLSLAD LSEKVLSTARQTIEAEFGSSTASTITTTALDISNVAAVQDWIANTVSHFGRLDGAANM AGTIGKQHGTGKFVDQDDAEWDMLMRVNVTGLMYCLRAQLKAIMATAPGGKGSIVNAS SIQGLKGFALHAAYSTTKHAVSGLTKSVSKEVGPTIRVNAVAPGSIQTPLLEMAKEIQ GGISIPPVSIPRIGTGEEVAQTVVFLLSDASSYTTGQILSVDGGWE PEX2_031220 MTPPITSNSNSTQFTQPAECYNSRDAVSAMPANIDYYNQVPLYQ TKNNHRDMKAVLQSCCSNGVWITEDPDPCTAVCDSTTSAEARKVMYCLNAQQVVHGTK LEKNSGAIRRPAVGWVSLMIGAMLLSGMFT PEX2_031230 MTQIKSIAIIGAGPAGAIAVDALAQEKAFDVIRVFERQEKAGGC WVSRDDEPPQQFDFDGLSARTADAPLKIPEHLPCRTPVVSQDRFTDSPVYPTLETNVN AGAMSFSQEEIPTVRSQWSIERHGADTPFRHHSVIRKYIEDLFNRNGYQDLVQYNTTV ERAIKDSSSQKWILTFRRTEVLDGAKSDYWWSEEYDAVVVASGHYAVPFIPVIPGLKE FAARYPGSVEHTKHYRGPQKYQGKKVITIGASVSAADTAVSLVDSAQTPVIAVVRGRY NAYFGDLAFQHPKIQRRPPISRITSNDQGERTVHFEDGTSETGVDHLIFGTGFSWTLP FLPQVATRNNRVPDLYQHVFYKHDPSLVFVGAVGAGLTFKVFEWQAVAAARVLAGKVN LPSIAEQEKWETDRIAQKSDGPGFTVLNPEFEPYFESLRELAGEPHGGVGRRLPRFEQ KWLDDFNEGHVRRKKMWRRANQTARL PEX2_031240 MEKQMSFHGNKSSMISDYDIGCPIPDVPESVFGEFNWFLSAITL GRILSQAYTCLFSVSAAFQSTEAHHVMIDEIDARLKKWRTAVPVGFRPGMPLHDSHSL SSSFTQPSIKMIVLHINFSYYALIIALARLDINISRQTQSRRQEKSKRLLMDTARAVV EGSKNVDIAAYTPNFILAVLPLAALFILFDFVVHNPTHPETRSNLALLDVAAGHFSLL DYKSGGFLPGSILTEFAHIARQFVRDFDVNQLRPPQQEPTELGSSITGFPPVDTEVSM QPSETNNDNTAVGLQHDMIESWSPRDFLYYPITPETTLHGAPENPRTTPYNIQTLFGF MVPEFGHDQ PEX2_031250 MKDMESIPGPKPLPVVGNLFDIDLENGLQSIIKMAHEFGPLFQI TINGQKQIFATSQALVDELCDETRFHKAVMGGIQKLRMLAKDGLFTAYHGERGWGIAH RILMPAFGPLRIRDMFEDMSDVAQQLCFKWARQGSSTSINICDDFTRLTLDTIALCTM GFRLNSYYNSNALHPFIESMLYVLKEAELQSTLPGVANCMRVKAQRRMSKHIDAMRSM ARNLIEERRAKPEPVDDLLNTLLNGRDPITGEGMSDDLIISNIITFLIAGHETTSGLL SFTFYYLLQNQDVLERARNEVDEVTGVGPITVQHLAKLPYIDAIMKESLRLMPTAPAF TVTPQKPEVLGGKWMINTGDSVNLLLPVCLRDETVFGPDAGEFRPNRMLEENFSKLPP NSWKPFGNGERGCIGRAFAWQEAQLVVALVLRTFDLAAEDPYYKLRIKETLTIKPDGF RIRATLRHGKSATALSQHNISVGAAASPASSTYLAGNENGRDAAGGQPVSFFYGSNSG TCKALTHRLASTMMTRGFTDQNIAPLDSAVDNLPRDQPTIIITTTYDGQPTDDAKKFV AWLESGNSPSLQGVSYAVFGCGHQDWTKTFYRIPILIDNLMYKAGATRLATRGAANAA ISDLFSDLEVWEETNLLPGLRESFYPPNNSNFVPLEPHQLQISINKPTRVGMHRDLIE AKVTAIRTLTSPGAPEKRHLEFCIPGETTLRPGDHLNILPVNPPSTVSRALARFNLAP DHSITFESSNALDLPQATPVSAAELFSSYLELSQPATRNNLKELASTTPSDGEKQELL HLYDSYDSLIRAKRASVLDLLEQFTSVTLPITTFISMLPALRVRTYSLSMAPSFKPLH YSLTFSVINEPAWNGNGRYLGVASNYLASLNLGSILYISPRPAKDAFHLPTDQSSKPI IMICAGSGLAPFRSFIQDRMLWQQQDKTLAKALLFFGCRSPQLDDLYHDELSQFEAAG VVEVRRAYSKVPNHYLAKGCRYVQHRLLTETETIQDMWAQDAIIYVCGSGNLAKGVKA VLESMLGTLYERYITEIF PEX2_031260 MRILAMPVPSIFLVFALEMLFFEAMYVFEQPAPFRISSIPKGDL MRPALYPLLEDIIAVDGQGGTRFRERLDQRYKASPPFRSMLHRVTMLWAVPQVVVAGG TLAGIFIADRELAYTLGWSVPAIWAGLWVVLTVIWIGVELRRERHYWRSLRLTQELHG EAECSSSVAVDAIEDAT PEX2_031270 MTESTAPIEYSKHPWASNPSLEENEGENEGNNVDQTIRRLASRV SRHTVCSEVTKPCNDVFHPVPGSNLDPQSSTFNTRAWVEALLRYESDNPDSGRRRKSG VSFRGLDVYGFGMSTDYQKSVGNGILSLMKQRRKRRIDILHGFEGLVNAGEMLLVLGP PGSGCSTILKTIAGQMEGLFLGDKVMMNYRGMYGSIPDSLTRDLENTCLLIFLRLGVS SKEMHTYFRGEAIFAGENDVHFPMLSVGDTLSFAAHARAPRELPAGLKTQEFSTLMRD VIMAMFGISHTAATVVGNDFIRGISGGERKRVSIAEAVLSDAALQCWDNSTRGLDSAN AVEFCRTLRTATELLQSTVLVSLYQAPQEAYDLTTGKLFDKVTVLYEGRQVFFGNTFE GRAYFEELGFECPKRQTTPDFLTSMTSPKERLIRQGYEDKAPRTATEFEERWKKSRQH QELMLEIEAYENKFTLGGEFLEEFAASRKAQQASGQTIKSPYTLSYMQQTSLCLWRGW KRLLADPSLTYIQLGGNSIMALVLGSVFFNLHNDTNSFYGRGGLIFFALLLSAFASVL EILTLYEQRPIIEKHNRYALYHPSAEAVASMMTDIPYKVLNTLCFNLTLYLMANLRRE AGPIFFFLFVAFLSTMVTSSLFRTIASVSRTMSQAMVPAALLVLGLIMYTGFTMPTMY MPGWSRWMAYINPLSYAFESLMINEFHERDFACSVIIPSGPDYSAVGINNRACAEVGN TLGSTTIQGDIYINDKFQYYHSNKWRNVGILIAFWVVLTIIYLGATELLSMAKSKGEV LIFRRSHFVKNESTLRMAEADDEEALATEMATMTQSAATDETQIDPSEGQIFQWEDVC YDIKNKGEVQRILDHVDGWVQPGTLTVLMGVSGAGKTTLLNVLANRTTTGVVTGTMLV AAMATDDSFQRKTGYVQQQDVHLSTCSVRESLEFSAFLRQPTSVSRDEKLAYVDEVIR LLEMEEYADAIVGIPGEGLNIEQRRRLTIGIELAAKPELLLFLDEPTSGLDSQTSWAI CQLLKRLARSGQAILCTIHQPSAMLFQEFDSLLLLAKGGKTVYFGEIGENSATLIRYL ESNGARGCPPGANPAEWMLTVIGAAPGSQTTLDWPRVWQDSHEYQAVKAKLHEIRTSR INTGGSLQTQPSGQSNSKSYAAPILHQWWVVQKRVAAQYWRTPSYIYSKIALTVASAL FIGFSFYNARNTIQGLQNQMYAVMMLLSMFGQLSEQIMPQFINQREVYEARERASKIY DWKVFMLSNLTIEIFWNTCMALVAYLCWYYPIGLYQNADLTHDATSRGGLAFLFIWAF MMFTSTFTHILVAGIDSADSAGSVGNICYMLCITFCGILVKKASLPGFWTFMYYVSPF TWLASGLLSTGVANTAIVCASNEYVKFLPPAGESCGSYMASYISSSGGYLIDVKNTEY CEFCQLSNTNEYLSTVNIRFEDRWRNFGIVLVYIVVNAAGALGVYWLVRVRKRGGSLE KPKGEHKKRGGGYANKNCNL PEX2_031280 MASTKQVPIPGPKGVPFLGNIYDIEPEVPVNSFERMADSYGPIF RLTTFGRPRVFISSHELVDEVCDEERFGKMVSAGLAEIRNGVHDGLFTANYPGEENWA IAHRVLVPAFGPLMIRGMFDEMYDIATQLVMKWARVGPAVPIQVTDDFTRLTLDTIAL CAMGTRFNSFYHDEMHPFVEAMVGLLAGSGSRAMKPALFNSLPTAENNKYWSDIEYLR NLARELVDSRKENPVEKKDLLNALILGRDPQTGQGMTDDSIVDNMITFLIAGHETTSG MLSFLFYYLLKSPSAYKKAQEEVDRVIGKRKITVDDMSKLPYITAVMRETLRLKPTAP MIALHPHPTKNHEDPVTLGGGKYVLHKDETIALMLTKMHRDPKVYGPDADEFKPERML DEHFEKLPKNAWKPFGNGMRGCIGRPFAWQEALLVVAILLQNFNFQMDNPSYDLRIKQ TLTIKPKDFHMRATLRDGLDPTQLNTTLSGSAVAPTETGAGSRDRKPKVVPADGKLKP MHIFYGSNTGTCEAFARRLADDATAYGYAAQTSSLDSAMQNIPKNDPVVFISASYEGQ PPDNAAHFFEWLSGLKGNDLEGVNYAVFGCGHHDWSTTFYRIPKAIDQLAKENGANKL CDIGLADAANSDMFTDFDGWGETSFWPGVMAKFGGASPENATKSKSSLQVEVSSGMRA STLGLQLEEGFVIENQLLTPPGAPAKRVVKFKLPSDMTYQCGDYLAVLPVNPSSVVRR AIRRFDLPWDAVIKVQKPAGSTASPSIPIDTPISAFELLSTYIELSQPASKRDINVLA DAAISDAELQAELRYLASSPSRFTEEIVKKRVSPLDLLTRYPQIKLPIGDFLAMLPPM RVRQYSISSSPLVDPSECSITFTVLSAPALANTTPEGSESIEQYLGVASNYLSELQAG ERAHISVRPSHSGFKPPVDLETPMIMACAGSGLAPFRGFVMERAEKIRGRRSSPESDE LHDNEAVKPAKAVLYIGCRTQGQDDIHADELAEWAKLGAVDVRWAYSRPADGSKGQHV QDLMLEDRAELVKLFDDGAKIYVCGSTGVGAGIRDACKQMYIEKRRARHAELKEKGET PPGAELDDDQAAEQFFDNLRTKERHRPNLLMYDELYKTLHANPELGCEEVTTAETIAN HLRSLNGYNVKTSIGGHGVVGIFQNGPGKTVLIRADMDALPIAETTGLPYASTKRAVD VYDGIEKPVMHACGHDMHCTALLAASELFQSCQHMWSGTVVVLFQPDEEHVQGAKAMV LDGLYDPNRHGVPIPDVVLGAHIMPQRAGIVETAPGPFNSAVDSLKVIVHGRGGHGSR PHETVDPVVVVSSIVMKLQTIVSRELDPRDTAVVTVGAVQAGSKENIINDQGLLKINV RTFSKNVREHILKAIERMVAAECHAFRCPSPPTIESILSCPMLYNDETVTSTVSKRMA LYFGSEFQKSPFPSLGSEDFGYLADAVNAPSCFWNFGGIDTQIWDDMKKKGITSQIPG THSSGFSPALHPTMETAVDAYAVAALAFLGL PEX2_031290 MFFSHIAVLAALASYATAQTSTDCDPTKKSCPADPAFGTEHTWN FNTTLDDKVWNMATGTYEHDDDGAQFTLSKEGESTLLQSNFYIFFGVVEAHVKMAKGG GLVSSVVLESDDLDEIDWEWVGYNTSEVQSNFFGKGNDTSYDRGGKHYVANADTEFHN YTTYWDQDKLQWWIDGEMVRELPYSDKSALFGENYPQTPCRVQFSLWPAGQKKAAKGT IEWAGGLVDWNSAPFTMTLGKLRVKDFHSGKEYSYGDHSGSWQSIKVADGNSTVTEEL NKPAAKSLSEKWDDLGQGAHIGVYAGAAVVGAACIAAFLFFCLRQRRQGRLENALGNN PVPLNRDEMQNFQKDWRQSEWKQNGGYQQVAN PEX2_031300 MAPINSILEPRQSSGDNCPSTISGGGIAGIVIGSIAGTLLILWL WRIFRLPGAWSGGDTPDVGYRPPITRSSTSSRGQRQRRRPSATYVDYVEKPTSVRSAR RYRDRDDLRRPAKVYMTEP PEX2_031310 MDAQKLHEKYGDTVRIAPNEVTFTNPEAWKEIFAIRPGKPQRPK DQRHVSVGPNHIPSILRTDDLTHARYRRSLSHGFSEGSLQRQEVIVKGYVDLLVQRLH GLAESGSVTVDMTCWYNYTTFDIIGDLAFGESFGCLQNSQYHFWVSVIFSHFRTAAWA NVLRRVPAGNFLMKWIVPKEVREQKKHQNSMTKEKVKVRMANGDNGRPDFLSNVLKQP LEKGMSEDELVSNSYVLIIAGSETTATLLSGVTYYILTVPGVLDKLKAEIRGGFTSED QITWSAVNQLNYTLAVLNESLRMYPPVPYGFPRMVEGKGDFICGRWIPGGTAVGVPIL AAHRSAANFKNPDAFIPERFMGASEFESDNRNALQAFSVGPRNCLGRNLAYAEMRLIL ARMIWNFDMEISPDSKQWIKQPSFVVWEKGSLNVKLAPAPHTIGVK PEX2_031320 MWAMNDILTSTDYIAVIGTSGTAAASTLEGYATAAETCLLFINA WSGEGNDRTELSNTDQDNMILKVANNCNNTIVSDNTIVSVTTVGARLLDAWIEHPNVT AVVYSSLLGEQSSQAIINVLYGHVNPSGRLAHTIAKNENNYPVKVCLTAECAFTEVVY LDYRYFDKHKISPRFKFGFGLSYTSFEYAAIPSISYVNEAALGLTAPQAALAPGGQLD LWDTVMHLQLRIKNTGNVDGAEVAHLYVGFPDEAEQPPRQLRGFEKIFLRKGEYDTVS FNLRRRDLSYWDVYSQTWVIAKGRYTFWVGSSSRDLRSNTTYTIS PEX2_031330 MPNANIMALALVDLKDWTTAYDKSIALVAAMSNSDKVLLVTGQD VPSINFTALRMNDGFQGIENYFYVSAFLESSAIAQTWNKDLVKSQFHAVGQEFRGKGY NLINGPTTNPQGRTPWSGRLVETLGQDSYLACINFGLAVEGLRAAGIIPCGKHFLLNE QETNRSEAYWGNNAVTYPRNNTAYSSNADGKTIHKTYLWPFYDGVKSGLGAIMCAMKR VNGS PEX2_031340 MILTRSVVLGFLGSASLALASPVAELAEGSRLTPRGSACSYSGA SGAAAAIAGKAGCSSITLNNVVVPAGTTLDLTGLASGTKVIFEGTTTFGYKQWAGPLI SISGTNIQVSGASGHLIDGQGSRWWDGEGSNSKTNIKPKFFFAHSLKGSSTITGLNIK DSPVQVFSISGSSGLTISGVTIDNKNGDTNSLGHNTDGFDIGDSDSITITGATVYNQD DCLAINSGTNIVFSGGYCSGGHGLSIGSVGGRSNNVVETVHISSTQVVNSQNGVRVKA VSGATGTIKGVTFQDITLSGITSQGITIRQDYTNSGYTGSPTTGVPITGLTLNNVHGT VTSKGTDITIECGSSASCSGWTWTKVAVSGGKADVCKNAPSGTC PEX2_031350 MASVIKGRASRRSAPRRSYVVEDTSESEDPGNVTPTPDAHDDDD EVEEDYTPVPKKAKRASSKRMTLDPVTPSTVRAASKTQEATTDDSDAMDTDGMDTDAM DTDAMDIDEENDENVEGVSMASMEGDPESPSSKAALKRKSMAHPRKSHGSITPKPAQG SLPTPEPSQSPEPQERAHRASVPPLSDITESAVNQSPSKQLEEPKSQISIINPNSTVL ERPMDIVAKSRTQAPQAPEEPAAPKPRMIIENLILTNFKSYAGQQIVGPFHASFSSVV GPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHNSAQYPNLAFCEVEVYFSQVLDL PEGGQEVVPDSQLVVSRRAFKNNTSKYYMNRKETNFTAVTTFLRDRGIDLDHKRFLIL QGEVESIAQMKPKASNEHDEGLLEYLEDIIGTSKYKTPIDEAATELEGLNDVCVEKNN RVQHVEKEKAALVDKKDKALAYIREENELAQKQSALYQIYIDECADNVRVTEEAILQM QELLNMELEKHEGNESGIKELEKAYKKGVRHYESIEKETQNLLKEMAKYDKESVKFEE KKKFLLGKQKKLEKAMQTSRLAASECQSLVEKFTYDIEKKTAETTQFEKEMVTEEKEL NSIREGLKGKTQGLSDQIAEKQKSLEPWNAKINEKQSAVAVAQSELDILRERGNAGAV LLEEAQGKIVTIEESLQAKQNDLEERQAQKETLEFEVEKLKHDLKKYAGREPEVRSHV SSARQKADEARVSLASTQNRGSVLTGLMRLKESGRIDGFHGRLGNLGTIDEKYDVAIS TACPALDNMVVETVEVGQQCIDYLRKNNLGRANFILLDRLPRRDMATIYTPESVPRLF DLVKPKDPKFAPAFYSVMQNTLVAKDLEQANRIAYGARRWRVVTEDGQLIDVSGTMSG GGTRVARGGMSSKQVADTTKEQVSRLESDLEDLERKFQAFQEKQRHVEAQMKERSEEI PRLDTKIQKIMIEIDSTKRSLADAQRRVKELSAQHQPSDSDEVQIAALEKQIAKAQKE IAKLNDEKSGIEEEIQTLQSKIMEVGGVRLRGQKAKVDGLKEQISMLAEEISNAEGQQ SKNEKLIKKHTKARDISEKEIGQITDELEKLEEDVANQTNESADWRQKADEAQEALES KKSELKAMKDELDAKVAELNETRAAEIEMRNKLEENQKALAENQKRSHYWEEKLSKLS VQNISDIGEEQEPTELQMYTKDELEAMNKDSLKAAIAALEEKVQNASIDLSVIEEYRR RAAEHESRSADLTTALTSRDGAKARLDGLRSSRLNGFMEGFGIISLRLKEMYQMITMG GNAELELVDSLDPFSEGILFSVMPPKKSWKNIGNLSGGEKTLSSLALVFALHHYKPTP LYVMDEIDAALDFRNVSIVASYIKERTKNAQFIVISLRNNMFELAARLVGVYKVNHMT KSVTIENRDYITGR PEX2_031360 MAPWESFDQVFSFNKKYSYEPKVFDQILSSRRSLDQLFADRLLG LLGIQGVTKIYPPKSNSDLRTLFNHIVSSELDIHHKQSLIYYILKDCRTAPEASSQFA QQCHLPEKYRFFIEGLWHLDRLDFRRAVEFLAEPSLIPTFPDEILYVLTLTKLPKHDD SLAIAYYLTAAPPLASATIRKSFFDTLARSNVTEAFYFTRKYDDALRQSFFEQLVEFV HRATPGQSRSRRAMELVGLPLDEDEEKWFEHVLLQGNASTLPGAKDTVMMRRLATGRT GELIPELESLGGKKIDGLNWDDLRGSIRHT PEX2_031370 MVIAASSNILGNPLLAHRGIDSVLYPEGLEPNGKFTTGQNQPQH PADAAVKASEIKSDLTAGQGLPEWIFSAYAPHKDVARQLFGGAHRERSMEEMRLRHYE LAAAGNLNQAVQEASALWQECVQQMEISLNDLNGAVKYVVDGRNEHPNRQDIIEGKTE TSMDQAPAPFGQPSPFGQQNVAPAPNAGPAPGAFGVPSSTFGQASGLGQSGGFGRASA PAQPSGMGQSTAFGQTSALGGSAFGQTSTLGGQSAFNKTPFGQPAISQPGVNPSPFGQ PSVPGGAAPFGAPSAASPFTQLAQNQPAAGGFGQSAGQPAPSPFGQTAAQPGPSPFGQ PAVNPSPFGQPSAPVGAAPFGQPSAPVGASPFGQPSAPAGAAPFGAPSTASPFTQVSQ NQPAAGGFGQPAAQPAAQPTPSPFGQPAVQGVPVQNTNAGPRAYIKIDNPQDLNPLPQ LEGETRHNPSTKQLVMWKGRPVKYINEHPCYLHPQDNKTFVRVNFPNGPPDPASLKDA HGKPEEYTPEIVEAYQFFLQNGYFKDGNIPAAPPKQEWLSFDF PEX2_031380 MSFQKPEKEFGEGPKVHKIRITLTSRKVASLEKVCQELIDRARS KSLQVKGPVRLPTKTLQISTRKTPNGEGSKTWDKFEMRIHKRLIDLLAPTETVKQIII NIEAGVEVEVTIAA PEX2_031390 MAEFPPLAPVPSPAVRRTIPSNDWEACLDAWMALLGIRLNASDQ QFKAAAAEDTSTPSFLASYYQYTTAGDSSLQSGPKARQLRKFCFLTIRRYILDLSNPP DGTLDWRLLGNLCCCYPSSAALKTSLSSAWDLHQGKITSSIERAKAIVIKNLSSANSS SNPEVISDIRRLTILASVLPACGQELMAGSDFLDTIADAYQSKGAREEFRKILVANIY VGLVSLLKEPVNLSSLLDQLFSLKAAARVGAPKMKKEATLLSDLICSSDLLIRLEKYL SIHPQKRGQDLLASLRTYQVESKSLHHRYQRRKKVDKGKEVSSDPIVSGELHAHKMSL VTQVQDLFPDLGSAFVVRLLDFYNDNPETIVAHLLDDSLPLELQSLDRSEQLPPPAEP QHSHLPPQPTPPTMPSPTFAPLPARKNIFDKDVDIAELSRSGEAQGKLHFGRAGADQT ADTILADHSKHAANKAAILSALATFDSDDDERDDTYDTADVGGAIDGATGGADGEADP AERNRRAADIETTLFRTYKSNPGLFARDSATRRSQPRASLKRETEMTDEAIEGWAVML TRDPKRLAKLEDRLAMDAGGSPGAGSLNQPELRSTSYRRPQPREDGEDGSDEGESSGH PGSRGRGGGGRGGRGRGGGGRGRGGRGGGPPSGGQGQPDKDSAASRQRKEENKGSRAN HNRRQQHAKKMARGGGLPG PEX2_031400 MDKTASRPSRKDNLLTRRYIEGLISEGKHVIIFEGRVLRVDPFI IYHPGGEKPIKHMVGRDATDEINALHSEEARQRMRSYQIGRIEGIWINFLPPIQGGKF RAYTEDTCSSDEGSTTPEICSGSSQDGSIPPSPIFDAVDSKSTVRQRKPTGTALADQT QAKPAFLDARTREEIVFDTAKYPSLDTASQEEIKRKYRELNERIKAEGLYDCNYSCYF VECCRYTLFAGLSYMFLRMGWWATSAFFLGCFWHQLVFTAHDAGHMGITHNFHVDTLI GIFIADYLGGLSLGWWKRSHNVHHIVTNEPEHDPDIEHIPFFAISHRFLTSLRSTYYD RIMTFDAPARFLLKFQNYSYYPIMMLARFNLYALSWEYLLKGQAPRKGPAWWHVWFEL VGQVFFWTWFGYGVMYKTLPDASSRIIFLLVSHVVSSPLHVQITLSHFAMSTADLGVG ESFAQKMLRTTMDVDCPTWLDFFHGGLQFQAIHHLYPRIPRHNLRRTQKFVIEFCRDT GIPYAIFTFYDGNKEVISRLGEVAKQVRLMEECRKSIAEGGVFSDHHH PEX2_031410 MAQSISSLTEQWLQWDQDPTTRVEIEQLRDSNATEELEKRLQNR IEFGTAGLRGRMAAGFSCMNSLIVIQASQGLAKFIRDKRSEIASNGVVIGHDARHNSA KFAALAANAFIAQRIPVYFFDEEGPTPMVAFGVNYFGAAAGIMVTASHNPPQDNGYKV YSSNGAQINRPEDGEIAQSIQENLEPWPTAWAELQPGEFLRADSYQKLLPHYSSQVAK FTKSTVTDWQPPRPFAYTPLHGVGGLVLPTLCRSLGINEFSSVAAQEKPDPDFPTVKF PNPEEAGALDLAIETADQEGKTLIIANDPDADRFAVAEKVDGKWHTLTGNHVGVLLAS HILDSFDASKDWSHIAVLTTTVSSGMLGKMAAAKGIHFEETLTGFKWMANVARDLEKE GKTVSFSYEEALGYMFPSVCYDKDGITAATVFLAAEAKWRAQGLTAYAKLQQLFGEFG HHETLNNYFRSPNPQLTSTLFQGIRGSSGLANMQFGPFKILRWRDLTEGYDSSTPDNK PDLPEDPTSQMITLWLDGGIRFTFRGSGTEPKVKFYIESCGDSREDAVKAVCDAFLTI REEWVQRFTPSMTYSKQLSTSSGNILTVE PEX2_031420 MYVLEYSEDFGGPPDKFAFQKTIIVYEMSGSIYRAYSRKRYKSK DDIQFGDMFSTNKIQGALIFPEFSDKFTKAERPSDCSTWYLKKPSLSPYSPQYPALIR ETWIEEVKTCELLKNNPHPNIAQYHGCAVVDDSSIRGIYFTKYDETLMARVNSARRNK FDFAYERQKADRDEVDRWVEGIARGLKHLHDLGIVHNDINPCNIMFQGDTPVIIDFDS ARPHGHDLNLVKRTHGWHNERTKVALPMNDVAALLEIQWWLLGEVDHFQF PEX2_031430 MSDADGEPKLEDHPEREDHPENENQLTRDNRLEHEDHPERHDQP EHENHSKRDTEENEPINFEWTPELVKIGTSRGTIHPSIGPLTFYRGSPECKYRPGMAL PDPADTRECLRWIGLFDKKILEIEQKFNALHPDYQGPRCGYDEKFMQHAHAYNEITFP KIEEMLNMFIRGMHDDHDEFEYTHKGYIEKGIQLGLRPEFAIFCGLHETDPRALENSH LFEKGWFSLGPADIMSDTLIPSWMKLREFMATKLTYEGKAWSDRYGRWLVHEGESMEQ AKARVDDREIERLKEQAIKEKKEALERFYREQARERAEDEARWAEEDRLEAEKLKQKS IDEAGQQKDEE PEX2_031440 MSTLQPQFSGPFDVAVDDSVTLTQILARINSSNVTLSATPGAGE TQIADYLAAWLDHRGIENHRIETVTGRPSIVGVIRGSGGGKSLMLNGHIDTVSLNSYE HEPLSGHILEKDGRPAIFGRGSLDMKSGLAAEMAALASIKARKTPLRGDVIFAAVSDE EDASQGTQDLIAAGWRADGAVIPEPTNRVLITAHKGFIWVEVDILGTAAHGSDPTSGV DSILQAGWFLTALESYQRRLPVDEIIGSASLHCGMIHGGEEPSSYPAKCTVTIEFRTI PVQTDESILHDMKTILAKIAVDNPTFQFAEPRITLSRPSQSRSGQPGSLWRDATKEQT IELRGLAEIFIGFLASLKFGF PEX2_031450 MDAVLQAPPSPVCLTEGHTTDQECVIWVRVHDRHLQNITIARDD GEKLFSVEGPGGYSSMTLRRPLKDVSGWPVFDLRRKIGWVVEDASGNTIAELCHKKFF TSQHTAIDGKILSSGAVVEMRPRDAMGITNYVNIGNVTIAEISVHSNNIKKRFARDRD ISVFRVRVAQGVDLSLVVLMAMIRAEMAHVWQK PEX2_031460 MSYNFHDKTVVITGAGSGIGKATSLKLNALGATLILCDLNLESL EQLKTELEATSTSTVHLYKECDVACSTQCLSVVESVHGHTGVKRLDYLFNCAGINPTE IAITDTTDDYYTRLVDVNLRGTFNMSRACVPLMVDGSVIVNVSSMCGLRGYSGYSVYC ATKFAVIGFTKALALELGPKGIRVNAVAPGPIDTPTMVGNVSGKSEHNQELRSSLALE RLGEPSEVADVAIFLFSPQSSFMTGSVVDVTGGLR PEX2_031470 MKYALVLTAIAAIASKVAAVGVSGTPEGFASSATGGGNATPVYP TTTDELVSYLGDSEARVIVLSKTFDFTDTEGSTTTSGCTPWGTASGCQVAINKDDWCT NYEPDAATTTVTYKNAGMLGINVGSNKSLIGEGTSGVIKGRGLRIVNGVKNIIVQNIA VTDINAKYVWGGDAITLDQADLVWLDHITTARIGRQHYVLGTEADNRVSITNNYIDGE SEYSATCDGHHYWNVYLDGSSDKVTFKGNYLYKTSGRAPKVQGNTYLHAVNNYWSENS GHAFEIGSGGYVLAEGNYFSNVDTVLEAASFKGSLFASDSASSTCSSYIGRACVANVN GGSLVSSSSTVLENLKGETLATASGASTDPAGSAGQGNL PEX2_031480 MKFTLALLFAVVAVADSPAKRADAISVTGSPPGFAYGVTGGGNA KPVYPTDVKQLIELLGSDDPQVIIIDRTYDFIGTEGTTKGTICKAYGSFEDGCQSTID IGKGCDGKPSEQYEWDTAGTQGIRVHSDKTIIGVGNKGVLLGKGLRMVDASNIIIQNI MITNLNPKLVWGGDAITLSSTSKIWIDHVTTQYTGRVHYVFGQEANSHITISNSFMNG ATNFSTSCDGQQYWGLELVGADDQITFANNYVYRTSGRSPALSGKTLFHAVNSVWENN NGHAIEGTDDGQGLFEGCVFNNVSQIVGDYVGQLFSSPSATANKACSGALKRDCVTNI QVDSGAAFTNADTKFLSNFAGLTVATASAASAAQASVPTNAGNTLNNA PEX2_031490 MVGVRNGRQTLAFLSAIDFLTGDVLISRYVNPSEEVVDWRYKFS GVTQAIMASAVASGAAFKSWREARDALWDFMDDSTVLVGHSLHFDLEVLGISHAKVVD SAILTSETVYPSIPSTKPLTRQWGLKRLAKDLLDLDIQAGNCGHNALEDAYAARDVVI WCIRNPEDLKVWAVNAQLQEEQRLAQNRQRNGKGRSKGKFPATQSTQKWGHNTGFYES SEEIRWSDFAEELGWPDGYDPWSD PEX2_031500 MVKKSSPQFSCPGCERTNFRSLVGVRNHFIIKRHSFQCHICERI FHDELAIIQHYQKYTKSSSPGIPKDVQRALSPGIPSPNIPSPSVPSPSVPSPSVPSPS VLSPSVPSSTALVTPAVRSIAVQTDAPEEPSKLSEQKPKITDGHLSDTLLASMTAITF KNGSLLGKEPQIYPLSKPRLISI PEX2_031510 MSSKLITPVREHRRKRVRKGTRSCWEYKGTSCLSQEFLEDQPPR DAESSALSQRMERVENLLEKFMRSVSQGTGDNRPVDDPVDVFTPLSTSATNNLSRPSV VSTFNNAIIQQPETNTSISSPQSAPVLDFSGLVSATNGSPIGGIDRLQQRLVAMLPCQ EDVDLLSGTSHGWWLIRRHMMPHLLKPPENDPENPFDILAVSKSHPMVIARLLLCVAI CIQQLPSKADLGRLRTTMPLQEMMENNIAFITTRVTSDDEMTGSMEGVECLALQGIYQ ANAGSLRRSWITFRRAINVAQLMGLHRVPSKTSQAVPDWKETKRHYIWYQIMQAERYL SLTLGVPSATSSAPTHFDDQAPFLSIEDLYHQHLCHISGLILTRNQGDSTHAFSSTQE IDEKLDSLAKKMPQDWWEIPATTMSSRTEEAASQFERMMCQICHFELATLLHLPFMLR AATDRRYQYSQISCLIACRGLIKRWMSIREIPGKTLFSNLIEFQAFTAATTIILGVLG HSHTTTDEVALKERCEDLQLVERVVQTLEKLKQHGTGVHIADQSITVIRTLQGVLQNK EESSGSLRLEIPHFGTISITRSGTVQSLEGDRILGANPHPRATLTGVRPPFETRGFDS AHPQTTTGPTSTLVSAPGSQGHRNANMGGETMDDNAVGMNDTVLQFTSSHFPVFENST FNDITEWSLQESDTIFFDGLLNTDVEGNWNF PEX2_031520 MADLSALPKDWILTSSQFTRKAYTNVYPAIHPTKAENSVRGKTV VVTGASRGIGARGIAPAFVKAGVKAIVLIATNAAKLMNVEEELKMINPDIETLSLSVD VSSSDQVAKAWLGIKARYPKVHILVNNAGVETTDSDKTHEQDPDIFFRNFEVNVKGTH IMTQQFLKAAIPWATTTDPARLINMSSSSAWGTWPFLAAYSNSKAALIHYTTTLAASY PGTVLAIAVNPGLNDTDIIPAALRAAEFNYNDPALTGATLVWLTADPARSRFLNGRVV TVEWDVEELVARREEIMKNNLLTMQLNAVLGKGQFAN PEX2_031530 MPNRYSALQDVEARLSIDESETDDVYDDVKNEPKVLDVTRSSKI SIVKKLGLLTLFAGYTALVFGLGHASVRPDGLHAQPSAALPYLQEKHLQSLPQSYDQI ITFNGTLDYPSKFRGPPSPDIDRAWARSINLGAVNVSLSDNDMRLLGMDPDTSVKLPP EDGGAYRVHFEFSHQMHCVNFIRMWTYRDHYKEEQEEFMDSASMQRTHIDHCVEMIRQ FVMCHADTNLVSTNWVAGSSLPHPNFNTKHTCRNFDAVVDWAWDHQITIRPASKPEGV KELASPP PEX2_031540 MPSPRDPTYDETTSLLQEEPEGSAQSNLVKEPVYPRWIITVVLL CGGAVLFDLSNNLGEVAEVAILEDIVCRDYYAKSAVNTIISAAERCKIEPIQTEIALL NGWRETFETIPAILLAVPYGMLADWIGYRPVALLAFFGSAMSSNWSRVVFWFYPTLPT RALWFSALWQVLGGGPQVVTSLSFSAVASITPAQKRTTVFSQMTAVILATELIAPPVG AALMKKNPWIPFLASSVIAATSIIWALLFFPAIQTQAKPTSTPSYDQPPVRNWYASER IRDLYEQLSRNKNAALVVVSFFVTLVGTHAFALLLQYISKRFHVSYAEL PEX2_031550 MKSISTSLVLFLCFLTAMVEGLTRYQATPPSDAIVCHDRQALND LASAHPDGLLYPENGGYYLKDGDEVVVGIASDDLCTELDGAFASVEAKIAQEVTLAAS ITPIV PEX2_031560 MLQLIQQHVQKSIERSMPPGEERTELQEAHDLVIHGEPEKFNGA TSHEVRDHFHGWVAEQLPKVVDTPETLQRILDSHSEKKTEIPGPEYIFGARFNLALFV DDICLESLDHMDSPVVKIMYKQWGDLRPEERDYEIDPEWHDGTTDEEEEDVGWMYMSI SEYVETYDRFAWTRMALWHEEYLRPPQMIDYFCDETRQPGFWRN PEX2_031570 MSGISKSSSAKIDDLRVKELEKSKRFRQNATISMTVGVLPETIA PAAAFIVYALISSHSGQGLNPAKAFSSLSLIGLVSKPMLNFMYAFPVFVASLGSWDRI QKYLLMDQSQGHQISTSLGETAKHQQKELRTKSTSDANTIQFNDLQHTDLSNASKPLI QMENASFSFKATGEPVLKNVSLKVNRGSSSLLVGPVGSGKSALLLALLGEIVQTEGET LKQPGLGIAYCSQEPWLPNLSIRSLIQGPSNFDETWYNEVIKACCLDTDILHLPQQDM TAVGSKGVRLSGGQRQRIALARAIYSRKQLLLLDDITSGLDATTENQVIQRVLGQHGI CQKYGLAVVLATHKRHFKYKMDTIITIDHDGLSVRTQSSDQIPVDLQSEVPIHQDIAP STPRPDVLEEQDMEASQALADASRRVGDSSLYILYAKEMGWTAVILVLFASIGFCFFS RFPNIWLEWWSEAEIQEPGKSSTRYIAGYAGFGVSSAICFFLVYWLFMVESVPRTSVR LHRRLLKAVTAAPLAFLVSTDTGVILNRFSQDMSLIDMRLPGAMIQTLDGVLDAIAEV VLIAQSSPWTALTFPPIMFILYILQKFYLRTSRQIRYLDLEAKSPLFSSFLETCDGIA TIRGFGWQESFRQLNMHLTDESQKPFYLMYSIQCWLTLVLNLIVMGIVVVLVVLAVEL RNTSGGALGVALNNVSAISATLAYVIEAWTSLETSIGALARLKSFESETPSEHLPREC YTPANAWPSEGRIQFVDLALSYRSDLDAVIQGIDLTIPPGAKVGICGRSGSGKSSLIL GLLRLNEITKGRILIDDLDISQVPRETIRQKLAALPQDPLILPGSIRTNLDPLQNRTD AAIMSSLSRVGMMDWLLSKEAGLDSLIQKETLSAGQQQLITFARVLLKPKPSPILLLD EATSMVDMQTEAMMMKLISEQFQDSTVIAVAHRLSTIVDFDLVLVMENGAIVESGRPA ELLQDPESWFSGLWTKQVQDSASGVSGINSGS PEX2_031580 MAKKEVLLLKYEIANCRVLASIFEEVISPIQNNVMRIARERNLD QGLRVQSKLAHDQIREIAYKLKPLHRRNSAGFAKLRAKIRWHFTKDDFQFPMATLQNV KSSLDLLATLSSLDSAVANFHRAPDSDSITRSQALERIVALEKQACRTERQFNYSVRV LHEQSHMDGHLDSAGNIQVITVIIEEIKKGTVKEARDLVKKFSTQSQVAPPTHPDASS QLTSADEIYSQSPAFVRASRYSSAEDQIRESLRDPSLRPRSFTRDGSHLSVKEHTPVG SESKPREVRDRSLVSSRNGDLSMVEPDAGQSVSYVSRHMVIDNPHSPSASQSIYRPMP PFDESDLRERETRNNRRQSRSRSQRGE PEX2_031590 MHEMIGNNVSNVESTMIQVDHGPWAQCVVLDGPFGRLWLRKLSL EWERRPLHLVWEWIIWTDPGGIPSPPSSWSPAAPSPLPVPSNLHPTDKFRTPKMSALS VHFNTISETPMLTTMSRHSDMSDDADSPDVKCFQELPDDTYEAIGSEARAIRKIIREQ QNLPIKVMKYPCFINVPPNIVEEVSLSSARHMFSYDTRSMIIKLVTGAHDAASRGLLC EVRDVVHDMGLHRSIHPVGSKRVRGVSSSKEADESWVPTQHVPGRDAKWPTVVVEVGV SESYRKLKADAEWWLTNSKGDVNLVIIVSINRTTPNIKFEAVSLDISSLRHQRPRYVP TIRQSITTSRRGAQTTTSPAVALTIEFEELCCCQPVPREHDIEISPDQLADISSEVWM EQSL PEX2_031600 MTSTIRAKQIVESPLPSLQIGPYHTVSSALQSLSFEGTLIPWSN FLRSVESVHTNQNWARSRTSLYANGPHTTEADRVHIGDEHGLQGRFQQAIGQAFGAVL EAKSINLYFADFKSSGSNYENIPDVVGLQDVGGNTNIKLVGELKTPCVIKHDLHSSVR RLCDLRQKIAQPVRDMQSLGCEYGFISTYNHTIFLRQFQSPSGAWEVWYSPPISSSSY YTPTVPNPQSTHLTLPQVSMKQCMFYVCTLASVANPVNNQTVPWVVAIP PEX2_031610 MPRFLDFDHLCGTEITLDQPSLSPPRTFVLDEKISEDYQTMTQR IYDQGLGPPFAVIKFSCHNLLDPGQQGFMRIYLQIPIDGTFSSAPEVRAQQAISQRTH TELKALATLDRENCTAVPKLLGYGEGLQGTEEFVPGGYINYVAWTRVPGEPVDYYSFW KRDFEYRRQLRSAFRTAYEELSRCSWQPRLTPPSKLIYDDVTKAMHISGFRGAYPMDT EPFSDETYALWGLAKPSDRLDWYLDSSDWTW PEX2_031620 MSSEKAKGKRPAISPTPGSPGPSKRTARDDTSDVESIATADTDT ASLGSKNPKAARQTKETTFGPVNLGGKGGNWLKADGQEAMLAAYLGFTNTSDLRKFAI SWVCLAAERYFREPAKGLRKNVPFQLLSKPVNKNKFADVTTAPETVWSHNETDDDSRK RSQKAWIVAWCLYKMASDVELYNSSTPEENEKRTRSEQKNFLKRHEAEFAKLNLTFPS ETMGFPSPNFGPIKDTPETELEVFNRCWEVQRYLASGLFKSTWKKRFASPSAINNGTT VNQSNFPSWMQTPSEKVHSSLDTFGVVFETDKLPPISVTLQWVRGPEAPRHEETERAI QKAVSEGMRIPVTRQILPWDPRLCPDSYQFRDKLRRFLGCRDLGLNIQNLRLKFRNTA ENIQYDQDAFSGSWDELRADFSNPAHQNLTLSVTLEPFNTGDPLVYFFEDTHAPADIQ ECVSLFVSNTCDGDLATPNEGPALGGSSTISPSVSAPPGSTVVPVKSSALEQSILFQN DRETDPADYAKPKQFDNKEDQQKFYDGYSVQDRNADGPHGRIAWQNKLIDLILGGNRG LPVTLNALPEDIGASDVEQRALGDAEQQAQPTGQAELQHKGDSEYYTLHAAFSGSDSR AGPCLDVCLDLLLCEKKGDKYISTLLEKLTDSHFFHYQITGAVGIILKIFGTIDAERL CQSTALNASDPRAQKVLSAANKLRDIQIHGAMLADGTGFGKTKQCLLAKLLYSLLTKE NKPTLLLVPASLVSQWVTEIEDHWVGLRAVISYSGSFQSTIDHLTRDEMTSLEFPDNL AFLLDQQDSNTEAKRSIIVTSFETHKSRTLMQQREIARETAGTVQRLEKIFTKHAGIF GLLIADEAHKIKNKRTVIWALLKLQEFQAIILATATPMFNAIRQQDLVGLVELLGAKA RKELTPASKAEVKKLHLGQLAQIKSRYAQLDQYSSSRLAILDPRILRRVVRTRRSERH AAVSEYFNLVLNMIAIQRSQASELPLSDGTSVTIRDNFKKTSCKTVLPVFQNVEKLEY QVQHKLHAEKYLLETRRSTYNTDAPEVAGQAVKFNTSDMRYRTTGEKTDVAQLNKWRA QGLTAEWIHRLVRQKGELLWPVQSAMKLIRYLTEGSPRLRAIFHLIQRHGVLEAADPA QYGHHQKALIVECCPLNAWYLEVVLNAALIGTRAMHAKLNEQERKKLACDLVILSAPG RSWSQEAQAFGRCLRINSLYDLTVIRIEVPESHDQFRSSKQAEKASFQLAVNAREPAI ESLLLKLLQQLQAEVDSFYGEEKAKQLLEKKAALDKKYEDELTAFLDMTSKQRKKKSV DKSTFRVAEVVDLEATPETSSMPLPRADRTRKPPSYYSDIEYDKAGKPKEVAFPSPNP SAEGTQSDRSQLVDVEGDDIESQNDEADWGGEEDDDNGDGDGDDGEGDDDADLSADLR GLNASTNKRVDSEEFDRRMKWKYFADATQPDDQTRYEMALLTLPSGKVWGPEDLRDPQ HPLYFEVALRLLYNKLRGSRGLHLSGSIHIPYSQISDQHLNKLGKLIDDLMDEEVSRR KMDALPGESILDPIVT PEX2_031630 MNSTSRNHASKNFIFFAEIPILGACITFVFSITQFLFRFLPNSQ IYGPRIFIFGLATGSCPALETAKAEQQHGQLSLPLPKVPIGKMTREEVQIAFCLTPNP TDEASWMHPPPAGPLPQCAIEMLEIFQRGKRRSAHKESAARCVIDILLYSVLDQVSAS SSHRQWPLNLEHETYMTSRPIQYTARQYKTSGRADYTLWYGETRTMSEKAINLVIVEA KKEGMVSAGEAQLLGYMGIVHQERKALRKFNRVVYGVASDGEEFRFFQVNNNSEWTTV SHRTFGRKYDKVVDLLTYIMQEAISLSPFVSKNVSAEDDPMTDAGIPSV PEX2_031640 MHFNILLTLSTLTALSSNAAAQISIGLFNGLGALGSQGVPSGQI PTPSSTPIPTLLKMLATLTASPFTAPSYMFLTSFGQMVLWFPSFY PEX2_031650 MDPKVGVGVFVFNAAGKFVIGKRKGSLGAGTWGLPGGHLEFGES FETCAARETLEETGLKIQDVRFLNATNSIMKAENKHYITIFMGGVCDKGADPQVS PEX2_031660 MFNFLNASMITSLLIVVIGSLFLYYLHAKISERVLNNFQASETW IPENELVLLTGGSGGIGRQIMQDLSRMRVRVVIIDINHPTFDLPENVTFHQTDITSAQ SLSETGAAIRKSYGEPTVIVNNAAVFHHGTILEMPEDKLRQTFDVNIISHFLVMKEFL PFMIRMNHGHVVTVASVASFVTIGEMVDYACSKASALAFQEGLRQELKYWYKAPNVRT STVHPMWVQTPMIEGFTKYEADFRQPLLDPKDVSQAVVKHIVTRKSGQTIVPRHCSMI GSLRASPLWLQEAARSYMSRIILRVSIKRAANETPGSGVP PEX2_031670 MSYISYSNARLSHSYSCKPRKTLRSPDRDIAFRNQYIARSKTTL VLRPFGSPHSAVAYKITEEDGTPQFTVTGRKYTDRSCREFRDDSGLPLFELHRKWSWT NSWSVSLPGCDTATIATGAPRWTLGNTSFGNFNLSFENAAAFEGKRRDEKMLTLHIER HGNALALFDVVDGDRKVAEVRESIQHNEKLALMRSSRQGYRPAMDVIVMPGVDISLVA TIAVIVSDWVFSSS PEX2_031680 MAGKFAVKSLDHLVLTVRNIPKTVAFYTTYLGMRHEIFTSPTNQ SIQRHALIFGSQKINLHQSGKEFEPKAQDVMPGSADLCFLTDENVEKVLEVFQDARVE VLEGAKVVERTGAVGKIRSVYVRDPDGNLIELSNYV PEX2_031690 MTTRLMQSRLQAITRAALSRTSPTRLVSTHQTTPRSFSSSTRKQ APTPAANMPSTLIDLAKNRRTIYNLSKKSPVADSKIEELVNAAILNVPSAFNTQSTRL VVLLHDQHDRLWDIAIEAFEGLVKAGKIPQELWEKQTLPKLLGFKGAYGTILFYEDPA HIKPMQEKFATFKDNFVPWADHSNAMHQYFLWTGLEALGFGANLQHYSPLIDAGVAKQ WDVPSDWRNVAQLVFGNPEGPAGEKVQKPVEERVKIFGKL PEX2_031700 MSGLTDLVPPNENDPLLLTPTNTTDHAILTPATIVTDKNQDQDN SQQSHNNKPCDGSDHPFCSRYANKKVLGQYIPEIDENYVRSKLRKTAASKRSKMLRNQ FITATIIGLANIATLVCVWVYFPPDSRGIGTLRMGDCSEVTTIDSAIHMVLNVVSSLF LGAGSYCMQILVAPSRREMDDAHSRGISLDIGEYDSDICYALSPSWNSLTFTSTPVVS YASATVTSDFQAVGRDWASDPPRQISSGHDWSPVYDLYSQMANFTRLDKQECIDSYID PLKTKKPVIVVTSNVTTAQNDNSPVISGWVTGWDV PEX2_031710 MDELLEEGDEDEDDEDTENSYHSDYTDDDIDISNGDEPPLGVFA PFASGTELRVGTGRIFPTKFNPPNPSDTPTSLFPLDRAKQATPPAYRFIRRNAENDQF LVYTDGACLDNGGENPRAGCSFVYRNSTLNPTVCGHASFPLEKEGPTGMEGLQTSNRA ELRAVIAATRFRYWLGEGCRCLVIATDSEYVVEGMTKWVKKWIRNDWRTSSGLPVKNK DLWKCLLGEVERWDERGMRIQFWRIPREWNTEADQHAKVAASKDASQKFGDISGVLV PEX2_031720 MTKPNLLELPSGVQLVYLAEGGANVIYRFVRTPVLTKKDPKKPL SPPAHDPDRCHLPAQLKGKLLRLRKETAADISYTEIIRNFDSIIRPLFNPEELVDQTL IRLPEGLLTSYNEQLRTAELNGARPKKRHGSYLCLHEPFGLLITDMTTAGDLGASLAE LKPKWLNQSPSAPATAHRCRTCALRDMKNCESQIQGLKKQRSFCPLDLVSEQYENVLR ATGLIKGCKDRSRLARILYRNPTLQRLQSLQKTERDVGLQGPAAQSREMSLAMTLRDC TMFIKIPHDEKSPVEIRLGDLDLKTGAGGKAGYWRDLETRLIDQGWYRGSNTSQESGE CALHTPRRPSQSHLG PEX2_031730 MANIATPAHCVADFCLVPIGTSSPSVSDQVADIQRLVETCGLKY VMHSAGTTLEGSWDRVHQVIGQAHTILHQQGIVRIHTDIRVGTRTDKVQSFEDKVTKV QQILGKK PEX2_031740 MRPALLRLLKRPSAVSILDTLTATPIGIEQLETRYKCLRCHSRS AKQEPLEEPHNSPTRNQLEDSCRGKRPFSFPIYDIETSNELNTLEPTPLVQSQTHNNT TQCPIKLLSLRPDKLEFESDVGHLNNIGTRLVDNPEHRNNFDLWEELLRYRQRHYGDN GTLDIWEGLRVRVDGVRLPVVGEQADFFWQSFVDMGLRRELFLKEVLDYAVILREQQG NGWPQLYERVVSGLLGQGLTKRAVEWHKKLQNSHLASAADVLKILPSAIRSSSLPAGT EVATLADLERPSLSPGLQAFQTICSTTPDHNFYGPVIAMLMQQGHGEAAISMHHFLAR RQDHPQSPDEIQPLLEYVEKFGLRKEFNRLRGYVKKRFDTEASIDQPGPKDTTPKSVG RGSHDEKPFKDDIGAKLFATRALNFDMIVGGLKMLGVSEIGHRTLRELATRAHGNQDL LDKLKILKQSGISIGSTVFSRLVQKLATQNRDILLSDILRSDQHPDTLEDKRMQESML VSYYMARDWRLYNMTLAVLTELYPGAPDLYDIHFRKHIAAWELSAASKVADELALRGR TLGEDSVDFMAEQILTPRRMNHRPPPGQRLSAVEEVMFIFKILKRVVPAGGYVSAAFW IEMLKRLGMAEAWADWDKLRDCCLWLVRQYAESPGQKPWAGLPSPISSLDPTKQANGR DRRMLDLVFNPQMQAAIVSWGFMFRVLDTTASKFAIAPLNSQVDEKLIPWVRGLILLR ELEQSGLRLDKRLISQAVRHRLAMLYSHHVLSARRMNRMLRRRNPYSLQQVLNDVFQA WGDRSLFDGMEQNLEQLVNPPRASRTKRRAPVMRVFNFLALLPVVLANPLIQTKRTTA CNNSPDLCSKTYGAITHLGAHDSPFVRDSDTGNSLAANQYYDTPTQLSAGVRLVTAQV HKSNSQWRLCHTSCDLLDAGLLSTWLTDIKTWLDDNPNEVITILLVNSDDATASELNT EFTTANITDYAYEPTSQGTAPTTWPTLQTMIDDGKRLVVFVASLDTSTSYPYLMSEWS YVWENPYDVTSASNFTCEVDRPSTYKDNSASALSANLLPLMNHFLYSNTLAILDVEYP NSSYVGTTNAASGGTGNLGTAATTCKKAWNGRQPTFILVDFFNRGPAIDTVDNLNNVT NAVGRKSVSTSADSTSDASSTSNVFKALVELAASARSGTSVSMGNWIWTGGNWGNLLG GGISF PEX2_031750 MFRATSSRMAGFVFRENRVPYYQRLFQNHDGKRQWYKVSTLRKG HMPSIELWKEQETNIDIVSQTSRSGYIMYPYLLSVYGLGAATTYAMCRMVLGHKTWY PEX2_031760 MPSSSITLPPWEEIIPIAELYLLYCDSQPLPLFYRDSFLSTLQT RDTELLFAILALAFRFSYTYRNRADSTNLINSYAEVARGLVMKRVSEGPVELSTLQCL CILSLVDFTSTSSTFPTFKPELIVSTRR PEX2_031770 MDLETRMPYLHRFKPANLGERSLEELQMHREYWGPWFLNQFIYH TSLCLLNHPLLLSLSLRNFRSTIPEIFLQHTSDLISSHTTWIIHFINCFEEKSFQVSD PLLGYSAAVVATIELQLSFTDDLTIREHKRERFAKCVKFVQTMGERWPHMAQLAHRLQ RLEDAVSATYQSDPGAQNQSLLIDLSRFWEILEYSSNSDTDSARRLFGESLYAGSSSA GAEVSQTSPLPPPFRLSVQEQDTPNPRSQSFGANAPFPGQDYPTTSLVSPQHLTMSND EFSILAANFFSQGQEFLRSGDNWESVGNF PEX2_031780 MTSTKVSKPVFPAEAASQEYAASLDAADPLREFRDQFIIPSKAN LATKKLAKPGLSSEPSTYFCGNSLGIQPKAVSKYMEAQLDTWSSIGVCGHFTDLEDSP LKQWQLLSEQAAASMSRIVGAAPEEVAAMGTLTTNLHLLLASFYKPTETKRKILMDWK AFPSDHYAIESHIAWHGIDSKENMILIGPDEGQHEISTEKILAYIDEHAETAALLLLP GIQYYTGQLFDIPTITKYAQSKNLVVGWDLAHAFGNVDVKLHDWNVDFAAWCTYKYGN AGPGAMGGLFVHERHGQVDYSAGPDSPQFRHRLTGWYGGDLSVRFKMDNKFKPIPGAG GFQVSNPSVIDLTCLCAAMSVFDQTSMADIRQKSLKLTAYLEFLLLKDTDEETRLFDI ITPSDPNARGAQLSVLLKPGLLHKVSERLQNEGIICDKREPGVVRVAPVPLYNTFSEV FNFVKEFKGSLASS PEX2_031790 MSLKTLTLWTLRGHAGTPNPWKVLMVLEELKLPYEPKMVDIGDL KKEPYESINPNGRVPALEDPNTGITIWESGAILEYLVDTYDKQHTISFITGSKEYYES KQWLHYQVSGQGPYFGQAAWFTIYHPEKVPSAVERYVNEIRRVSGVLNRSLQNKEYLV GGKYSYVDAAFVPWFEVAALFWSNEMDLEKNFPHVNSWLNRIKARPAIAKTIDDKAKA AAAAAEGK PEX2_031800 MLQSTDAVLNDLFEGYAKQGFLSDGPPLARLSNPYYETWEDIAS QLPNLIQTSQIRVKIDNMPVYTTEHLQTEPEWRRACVIMGYLAHGYIWGGETPKDRLP PSISKPFLEISTHLELPACATYAGLTLWNFIPSHPEADITDPDNLHVQTSFTGTKDEE WFMVISVAVEAKGIKLISLMRDAFKAVAANDLDLVTALLCRFADGLCDLTLTLKRMYE HNKPAVFFHQLRPFLAGSKNMGHAGLPRGVYYDVGDSDGVEKPENWLQLSGGSNAQSS LIQALDIFLGIKHSATDGKQASGPSFIQQMRDYMPGPHRRFLEELSARASVRPFILDS PVQPPKDAYNAAVNELKAFRDTHIQMVTRYIVMASRQPNPVEQGTGKMNLATASSQMK ETGAKTKLAGTGGTDLIPFLKKTRDTVQDAKC PEX2_031810 MVSATGNKRVRGVSVFRPFVFGSIAHPFDPENKPPGCPPDHTHR WEIFVKGVNGEDISYWLKKVQFKLHETYAHNVRSIEQPPFEVSETGWGEFEIQIKLYF VPESNEKPQTLWHSLKLHPYGPDAEGMKERRETVISQNYEEIIFNEPIEPFYEILTGG FAGGQPGKSKGKNTKQIGNGRTADIPMSDAPGNPYSRMTERKELDRMAEATQTVEQMI REEKERLIEREKYLAKLRESEGVPANTKKR PEX2_031820 MPTKRLVVAGGSGFLGSRICKAATARGWTVISLSRSGEPRWETV TDSRERPSWAGSVEWAKADILKPESYKPHLHGASAVVHSMGILLEADYKGVVQGREPI LSGLQRAFSTSKLGSQNLLTRKEGEALEPKEKDGQLTYELMNRDSAIALAQESTNEHV PTFVYISAAAGAPILPARYISTKREAEATITSTLPDLRSVFIRPGFIYDSSRKFTLPI AMGGFVASEFNTFLGNKLGFLGSMAEKPLKADVVSEAVVEALEDESTKGAVGTKQIEA LATKAWRKTML PEX2_031830 MVQTRNADKSAKDEASQEQSIEQPTEQPTENSSESAVATSETKA ETQPEDAKDDAASKARERMNRFKALQARAKSATERNLKETAAESQRLTTDPALLNTLS RKHAFASHNLLKADTEAGGEDFERKRAWDWTVDESEKWDRRMEKKQRHRDDVAFQDYT QDARKIYKRQLREMAPNLESYEKDKLAAIERAAANGDLEIVETEDGEMIAIDKNGTFY STADSTGFTESKPDRAAVDRLVNDIQKAEEVRLKKRKERRGGDDDADVTYINEKNRQF NQKLARFYNKYTTEIRDSFERGTMI PEX2_031840 MGGLHTRLLLLQPRRRLNTGNMLSRQLVRNLYPATIKRPHDPSP SELLRFALTDSTKPIHDQNTNEILSGYLRELFVAEWKLNTPPPTLWTSLKGLTSRSQE LSWDANSHIANISSLRSLIERYINSKREAELLQTGDCLPLYYALRRCQQNNTLPEILS VLHDLLARLKRLRLPIHPKMYSIALCFACFELSPSDLKQFLDGHHQMGFPTLSLRESL RIVRCYSEALDCKAFEDQYYDPNPILAGFTGEGEFVTQDHNLHETLFWAQCTDQTGNQ FNSENKAHDYICLLVRLGDDEMLHKCWSRFLKNIQPKNSGSCIAAYQVVLALVQNVQS RIAVKFLEDISQRCGDNLPFIAKFSNVRFFLDDAIVGEALPDLVRGGDYLELLEFCLE DMDRRMSIEWNTKRQSHFSTALDPSQSIWGSFDDQLLPRIDSKSVGSDHTGQLYAELY IHGCSKSPATLGRVVDLLNDHDGQPQEIVTNLDYNPARLDELIRSKFESLELRWVPEH SPVEFSNSQIPALHDLSEPMTPSTLGLIRARLIIHGVPQMGIHNLHLMQLGCMDMRYG PDEPWQPSGYIVVWDRHHGELLGLYVGQNTGIIDCGPAPSDGPLGALMHLTLSASPTS GPRTLPRNCWGPYYLDVDPSADLEY PEX2_031850 MPSPVWSDKSAATHPFNPILPSTHQDPKPQSRNPNYRMPHGFDK LLHHEPEPQSPSPPPPPRRPSTQSRYHLHIRQQPIAARACGAGDRDRRPVDPPPIVQI LLTDFDSNSQEDRDLLQDPRFTVGCLLFPVSPSLPWAEPTETHPRERERERDHDRDQD RNRNRDRERERERERERESDGIARTDDNFETPLLSGKAFMSPFYVDEDPDPNSAPAHP SSIPDSHLDNPSYNAYNHAASRLHQPATFFIFADLSIRSAGLYRLQFRLMNWGSVEDT GQSMPILAEAWSNPFRVYPAKDFPGMRDSSILAEGLKELGFVELKTRGHGKGKGKKRR PEX2_031860 MYEAYQDQLPPGVNLATFASVGEQLIKLSHSQFPSASLVRSISI DVDAVYRIAVALADLQKGHYVYQWALTSCAKANSRRALVELVNRYIDTEGVDIYRNTE CIAKVKDLALKDEFPHAIMLYAKLLIWRGENAEAARLLEQRILPYIQPTRKHPGLWED IKLSNNFDSPWRMYAVAVEQEQGLAGIQRATHRAALEFHDPTAMADYAISALETEAPN KYEVYESYMSAAAVGGHTPACLHIANFYYRTFQGEFATEAERNAKKREEANAARNALL QRFEPIANWVYTLFNQPMDHMAYRMLAMEWYELAFDKGSSEAGYILAMLFREEGDMEK SREVYNLTAQKGLPTTVPKKGLVEMRDKWEDQTFQPGLPPKLLRLS PEX2_031870 MSFSTQAAARCARQLSGSVRPSSLRIATSATYLTARRTPSSRRC ESTQAAPAAATNPKISQIVDQISTLTLLETADLVSSLKTRLNIPDLPVGGFAMAAGGA PGAAAAVEEEEAAPAAAEKTLFNLKLESFEPTSKPKVIKEIKTMLGLSLVDSKKFVES APKILKESVPKEEAEKLIETFKALGAKATME PEX2_031880 MNLVFETDVKLWRTHQIGDRFGEEIERLEESAKKDVGGASHKVV GLTALSAILVEAFNGDTFLGPIFTAPVNLSRSPSLSSSLGQMTQDITTALDVAFGKET RDSVAICGFSIHDSGESPLFDYYHTANGLSPNGTNSVGPDTVFRIGSISKLFTVYQLL LHGGHDLLNEPISRYLDDLPADNGYNTSPTVKWDEIEVGALAGQMGGILRDYFLQGLD QQPPVFPAWETPAYSNAAFRILGYVAANVTNITMVDAFGAAIFRPLGLEHTYASKPPD FSGVIPATGESLWDFELGDDMPGHVVDYYTKGGSIGSYESLLVLIPDYGVTFSVLTAG KDNCLVSKLADVIEDTALKGVATAAREQSAQQFAGRYEGSNQPGDLLVIAVDEMPGLV IREWKSNSIDFLQVAQDYATSTGGGLLQSVRLYPTGISNRDQVIFRAAYNTTTSGISQ SGLFDHGYQA PEX2_031890 MSLSIPGPSQAGLFKPGYQSHDAEDGAVIRNIEACQAISGTVQT SLGPYGRNKIVINHLQKMILTSDAATILRELDVVHPAAKLLVMASQQQDAEMGDGTNL VIVLAGELLKKAEELIRMGLKTSDIVSGYEKAQNFALSVLEELEVDRLQNMRSATELS KALRTVVASKQSGTEDTLAALVAEAVLAVLPKNPVNFNVDNVRVVKIMGGSLEQSKVV KGMVFPREPDGTIKKASKAKVGVFSCPIDISQTETKGTVLLKNAKEMMDFTAGEEDRL EIAIKELYDSGLRVVVAGSTVGDLAMHYLNRFNILVIKILSKFELRRLCRVVGATPLA RLGAPMPDEMGQIDVVETTEIGGDRVTVFRQEDANAITRTATIVLRGATQNHLEDVER AIDDGVNVVKAITKDPRLVPGAGATEIQLVERISNFADRTPGLPQYAIRKFAEAFEVV PRTLAESAGLDATEVLSRLYTAHHRTTAPGESSSEEDEEEGSSSEEEEPYWTTGVDLE IGDSDGTLDTVEEGILDLMATKMSAIRLASESARTVLSVDQIIVSRQAGGPKPPKGGG DWDQD PEX2_031900 MRISFLIAEALHPAQGRLTSSSNVALIQYLRASIFYSLGYLSAV SGRTSTTTPTPITAVVSQTFPDQPAHLLLKPPIPLPTKAEPIQSIPRQHPSTYCLPIM EMTGRRRFNCVSRHQELNMGEESYREVLSSERGKILPQNHPLTLMVDGVLQRLIPQVA IEGADWKVHVIKDDGMVNAFVLPGGKVFVYTGILPICKDEDGLAAVLGHEIAHVVAHH PAERMSNSFITLGAVFAISFLFDVSGQFSSFLLNLMYSLPNSRTQEAEADNIGLMMMS KACFNPEAAVKLWARMHEQEKQAPPQFMSTHPSSYNRMETIQGWLDKAEGIYEENGCS SVKEYMPGFRTAYNSHVSYLR PEX2_031910 MEVDRTHTRRERTFVGSECAVCDEPLEHTLRGERVLQLSCSHVS HEACFYEFLRECDGQYCPTCNAPLALDTSRGGNVLDIEKISNIVRSVTSNDTATVRSG LTTPTPTPWEQSSSRRAPSDSGSRYTSGTREAPPYNSTREPSYNPSKEPSYNPSRDPS YNRRDSRDTSSQRERVERLTVGSNPRQPHSRNGSAAGSSGEYNEGQHTSSGRRHDYDV QAMESDLSPRPKVAKNPIPAPIVTVRSEFPTMNRSRQQQTLTCLITVEVPDANWRPDL DDLRHTPSGQSQLDEPYAGRSGGQDARSIQYEPTENMEEVAEELRNRVDNWHGLEFKR FGKLRLHGHMRVGKDRDSWQELECYLFGEMLICVKEKKSADHHFDASGRRKPVRCSLK GSILIKKHLKSIEVSPDEPILSLNLSVTELPCFYLRFQNRNQLDTWRRSLIDLHPEAI SRHNDYDYDNSGAEEDDYRGNRGIQRQASINSSYGAGKSINTAITDYTNPDYTNPDAE FPAINSVHIPLDLVVVIPVSSSMQGLKITLLRDALKFLVQNLGPRDRMGLVTFGSSGG GVPLVGMTTKSWAGWPKILESIRPVGQKSLRADVVEGANVAMDLLMQRKFNNPVSTIL LISDSSISDPESVDFVVSRAEAAKVSIHSFGLGLTHKPDTMIELSTRTKGSYSYVKDW MMLRECVAGCLGALQTTSHQNVKLKLRLPEGSPAKFVKISGALHTTKRATGKDAEAAL GDLRFGEKRDVLVQLVIQPDNATQDNMPQDPWESLVSGLEALGGGSDGDETRVLSVEE VPLIQADLTYGDLLRDGHLTHSPRPSLLAITMLPPNPRAKGHRSSNPPIPPHPSIVQR RMELLTSDMLTRALTLVSRGQHDRAMHLLNETRSILKGLGKGGLPPLPPGASRSDSDA DSRGDTPVSATSPSFGGTHSSASDTHTITTPSAVDAQTMIALNADLESALEWINHPAV FGRDSRKAVLQSIGVISSQRAYTFRTPSEAHWAQRVSGVRRLTERSQDWRETGDDALT EE PEX2_031920 MAESTARESLPLGPPTGPPIGPATQPLVVFVARGAPNPTHVDLG QLKYYLRPALMELQETFERTYGNLEGRSHCYCPLIHKSITPLEPDCDSFQCLTDMLMY GRTHGRDIMFVLNHWDSITSDGPTFANIFKDFTDVKVTIRVYGTISVDHVSEFHDIDA HRVSAHYQGLIRLEEEYVIDDALRYVVRVEEVRGVRIGVEESIGLMMELTGQPENELR ERVLWML PEX2_031930 MLFRAAVRQAAPLRRRAFTPVARRTVTTDAASANVETPIPQEDE KLFTVRLSDESFETYELDPPPYTLETSKKELKQMYYDMVAMRRMEMAADRLYKEKKIR GFCHLSTGQEAVAVGIEHAITRMDKIITAYRCHGFAMMRGGTVKSIIGELLGRREGIA YGKGGSMHMFAENFYGGNGIVGAQVPVGAGLAFAQQYNEQPNTSIVLYGDGASNQGQV FEAFNMAKLWNLPVLFGCENNKYGMGTSASRSSALTEYYKRGQYIPGLKVNGMDVLAT KAAVQYSKNYAISGNGPLVLEYVTYRYGGHSMSDPGTTYRSREEIQRMRSTNDPIAGL KQKMLEWGVTSEEELKGLDKTARANVDAEVAEAEKMPFPENTSRNLFEDIYVRGSEPK WMRGRTVDETFYY PEX2_031940 MTRIQPHEYSRPSYHPPSQNSFGTLPSGLRSGFSPASHSVSVVV RNPPTADNTSESSQETRGGANLTGSDPREGDIIESDLYYVQMPVGVAQPSGGDGSRLM SGSTTNRGRKRNHIDDDEIDGDTSRQHRRLTTKEEVALFEICNRNADTFGSRSNLCKW WISIAAEFKRTHEGRSYSWHSVRRKVEMVTRQRIKFLGDQRQRGSNAPGSTAEELMNP QWLAAVDAWLPTWQRWEEAENRRIAKRDEIKKRRQPQPWRQNSNNGDQDPWRNLPGSS VTSPTDVNTAMMGMMHQPVTNTVGDTTLPATSPTPSPSITGPAPTAHFLESPSTPVST ATSLKLPPGFENMFSTPQLTSQVAPLPSISTPPAPQSDGRMVSAVLETLGKLNKHLDA ASGGGIDTSAASPVISALVQAASEPQVLASPRQSQHPQVHPALQPPPHQGLTHIQIEQ MKEELRQEMQAQFRGELERERIAMEEKLDSVQRTQDLILEMLRQEPA PEX2_031950 MRNRASNTLSKGKIRQSWSKYNLYNMQRFRSPPTTNRTFFQQKW SAKAASRAYHGEQVREGQWKRMFNHRIRSVIPMNASDLAADDGSLVSSGRGSGLDDGG KPAYQTRPTPYTHMTFAPLERRLDVAIFRALFASSARQARQFVIHGAVTVNGQKMQHP SYLLNPGDLFQVEPERVMYATGLPKTRFERRVGRQDRRKAKAQRLQEAEGAPEAEAES KETAKEDIKDTEKEDTNETKKEDTKETLKALLAQAKSLISTGKETLTPQRNYELRGFQ KAVRRVLSRSESSTVLADSLEAQFSQLTLLLKAKRSEKPEKKEVKPRPADAFAFDKED TEVASAKKDTQATASDKLSEAFAQATLGNDVDASELSDEEFDTLKRALTQMRDNPRDT SKAYSTPWQPRQYMSAFAFIPRYLEVNQNICAAVYLRHPVARPGSAEVPTPFGESIAT PAYGWYLRRRW PEX2_031960 MEDDDDDYGSDEFDSLPPGTWYALEQNAFQATQASASQYHSNPI NSNSVPHAQAVPLNHNSGLLRLPPRLHTGLMNDYNTLEVGELEAEVYDNVDKPHVIPH GQHAHATGPSFAADGQLDDAMDLDEYYGQGNAAAEINARLVQLEQEREQMLQELAEAR MQVETKTGEISIIRRKQTTMTQDYDRQLAALRKSMADEMVKHKQEVEAAMSEGKVLAT ENVFLQQDLVDEAYQLRNYKSKHRETEAPVTPRKSRVLPFRDGFDDDEIAMVSPSKSA ARSKRATPTVPGKRKRQPSQDGPVPLQLNPVGMELMMPDATDTPAEVDEVKRKSAEAG RNQRFMMRLLSHHMHPNQETDFEAMAKLAFPSEPHRPMSSIIMEVMARVDPSSYALEY TQTIASLWQRAINEKFYEPVLRFEAITKYSLMSDDVALSELITPLVGVLQDTVEVNAV PRFKYAPASRDKRITRQTPQSDLQPLVDSTGAMRLLYQIACGVLHIKSAMETFWQNIR ISFILVMLHPSHPLGDIVLLMNLLSTSIRADSFGPIRNSDQDQLDVQKWIVDRLTHML SEPAIPDEGVEPYTAYDICAMRLEVLLLLESLAFNPMAPSQKHASTILALHPNALARL FRSMHDELDALYSSPPEADLRVALVNGLMRLIFGVMRQHGPLINMQEKLACVPGSKQK HLVVLTRLAFCDGTVLEAGIDDETVDMAHELLEEWTNPQEAESLAEAFPSSRRE PEX2_031970 MSKSRRNVRFQHRSNDERRLSVSSDVSDSPSEPTSPSKNGNGSK PSTTTEENPPQSEYEKKKQTFITRTIWTFVMIAGFFVALFSGHIYIIALITGIQIISF KEVIAIASIPNKEKNLRFTKSLNWYFLATTMYFLYGESVIYYFKHILLVDKVLLPLAN HHRFLSFTLYVMGFVFFVGSLQKGHYRFQFTQFAWTHMALYLIVVQAHFVMNNIFEGM IWFFLPAALVITNDIFAYVCGISFGKTQLIQLSPKKTVEGFLGAWACTVAFGYFMTNL LIRYKYFICPVNDLGSNALTGLECVPNPVFTSQPYSLEIFGLDKTFWVEPIQFHILAF ATFASLIAPFGGFFASGLKRTFKIKDFGESIPGHGGITDRMDCQFIMGFFSYMYYHSF IAVYKASVGDIIETAINGLTVDEQLEVVRGLGKYLYNQGAVSESILECLVTELKRR PEX2_031980 MPPHPDSNLYPEASGPAKALVDQHRAEQPLKLYAGWFCPFVQRV WLALEEKKIPYKYIEVNPYNKPDSLLTLNPRGLVPTLSTPADPHPRPLYESTVILEYL EEAYPDHKPRFLPEDPYERARARIWIDYVTSRIIPSFHRFLQYQSADGSGHNADAGLD QARQEFLNHLKAWTKEMHPEGPFFLGNNISLPDLVLAPWAVRLWVFDEFKSGGLGIPK EGEGDSDEGIWIRWRTWLAAIESRRSIKETTSDLAHYLPIYKRYADNTAQTYSEEIQE TAMARNMRGESPRGSSTLESGLSPPAIHPSFIQVANPYIFEQTIENCIEAMSVNPLRE TSVRLQGVAWIDSVRRALNLPIRTFDTAVGYYHRFRLVHPDNEYNWTDAAAAALFTAC KIEDTLKKSRDIVCAAYNLKAAPSEHLSADDPMFESHARGIIGLERLMLEASGFDFRT RHPHKTLMKLGRHYGLPQNSEVSNLAYRISSDLYRTFAPIKQNSSTMAFSCLELAGRL LEQRVEQVESGLDYTQWSTSRAEIMETLFDVLELYTHHRALTTVGSEFPADRFLTVRI PLNQEASEHHIPRYQPWIGQPPKPSNGTGANDQGTPRPAHPLTPIAANGDRQRTGERG RDAAVRFMLDPACADEERRQVAEYFKVEMEEYEVDA PEX2_031990 MSPVPEPILQALSLPPDSKASLSTSGLGSGFTSTGTIHAQVLGE NGIEAERRYFIKTSSNGKAAEEMFQGESESLNAIAASVPGFCPQAVARGPLDEPGRKA KKHFLATEFLELGGKMGRSTGEETTLAHRLGKLHTTPAPLDPNTGQRRFGFPVPTFCG DTKQPNRFCDSWAEFYANERLLTILATSEERNGPDAGLREEVEKTAHKVVPRLLGDGH LGYTFSGEGEGIVPVVVHGDLWSGNADRGRIVGSGRDETPGDVVYDPSACYGHSEFDL GIMHMFGGFGARFFDQYHRIVPKTEPVAEYADRLELYEL PEX2_032000 MMTRAAAPPILLQLQSAESASSQITALRTLKNELIGHDQRKETY IVEGIIPALAQVLTSRWPGTAEFNESISHQGRSTSKAPEDYEACLQAILIVGSLAQGG PTFLTPLFASSIPQTLLSIFSSPDCPDSFCLPILRLLNTIADRIPLQSQEQWPRDTQL ADLVFASANITSLRRLISQEYKNLRSQTTIELAAALIGKLCTEETHKTALAESGVLDA LALKIASFVVAKGFVLPGAEDRLQEPGALEDLPLPAPESAQLAPILRAVAVIIEQSKW RAEHFLSSPGIVTVFPKQVAGFAPSDIKKGPWGSTYLSGSAVPRHLGSNPIEQLLPSV PLAHTKSSSSSANFPPLGHGGSQRRHSHSYPTPFSLADTPVAEDDENSIIPWLLCILR SENGMTRLMSARLVTALFRLGLAKKHRIPMFSYLLIPILIRMLDKNVHLPDEEGAVND GLLSSTLRLKEEAPAVLANLVMDDQELQRHAVEANALKRLSQLLKETYNPITETSRPM WHAEDVPARDLESLSPECRLGPSGYSPTLCHVMRYRENILKALAALVPFKDEYRKAIC EHGVVPYIIDALKPRPSDALVDATTPKNTAEDGNPIPTILAACGTARMLTRSVSVLRT SLIDAGVAKPLFALLRHSDLEVQIAATAAICNLALDFSPMKEAIISHNIIPILCEHAH SSNTKLQIESLWALKHMVYDTANDIRMNIIETLGPEWIMEVISQDPVRAVARRGIEEE TDQSPGFAMGRSNSAGEQVDILNPMDDAAEWDEDLKMTDTMPPSKMSLDMFLPDARRR RKLVLHGTLAQTTQSRQDDIAVQEQTFDLLRNMICGRDAPEMIEYLFRELGQNDFLDT IADTLRPRTIQLPHRRDSSSQSLHIPNEIISAAAALIIHLAAGHPRHRRIVTFHRDLL RSLGNYFNHSHKDIRSSCVWVVINLIYEEDQSDHEGCRERAVRLRSLGFAERLASLED DTELDIRERTKTALQLLNKLSPT PEX2_032010 MLASSPSPSTAALRSPLPSTSGSHSHSHYEPTGAPQFNSPRSSR LAIDELRSALNRHTVDPSSPETSGDLQRRRASSSTDTLKILVNNSALASTPQSATSSA ATATTEATATSTNPPTSSPAPSMPAPTSGSNKRNSQRDHHPSDATPAEYDGEELERSS SKRLRPSKPDVKMLPTQYELADPRDMVVLISSMLMELIRFNDKIPLHQGRLTRFHSRS PPRISVQDYLQRLTTHATLSPPILLSMVYYIDRLCALYPAFTVSSLTIHRFLITSATV ASKGLSDSFWTNKTYARVGGIGMTELAMLELDFLFRVEWRIVPQPEVLVDYYQSLVDR CDGFEIEGVCKNGSAKAGVTGIAPAAGPVPT PEX2_032020 MDVEKTIRDLKPLVGQVFPIRTVQETRPQEEFGDAFVAEVNVKA ASKVIKALDSAFPRDASLPLSHLRRFAKRELLPPPLRAAIESQSVPLASPAQTIFVLI SPPLPDLTTLQALLAPFAPATAPAATNDPSTTNETPDEASHSDPPSPTIQLHPIKIPL APPFNATQAETWAKTMWPIIFNPAAPRSTVAPPPQTLKRAQESIEPRAGYYLSLARKV AEEAEQSGLGRGVGAVIVDPAIEEEITEAGYEAGYDTTAEWTEAVLAAAGDARYSRSE AGAPSQAQLHAGVAPNPASKTYNADVEGGPELHALMRAVELIARWRREHEHLDDVAAS TAPADPSKPELEHPELSAFESYFLYRHRPATSTSISSSPQPPSSPLKRKHEVPNPESD LTTNLSTDPSQDQLHNPPLPAPPPSTVILADSSTSATTPAVPRIRTRSQGGYLCTDLD VYLSHEPCLCCSMGMLLSRFRSVIFPRRGRLESGGLSSEPVVGPVADEIDQAVEADAS AVDGQDERGYYGLHWRKELNWRALGFEFVEDGDEDVEVKSEAGMPVFHA PEX2_032030 MNHHLPAMPHGQLPMPPSRRQQDFSYNAAPPHMRSPQYMGYPPH MNGHMPHQPYSSQQYPYWYPPYGHIQGPPRPYQAPYGPMIVSSYPHSQPVMAPTHIPH SMPMHQRTPTPLQPIMSPSVMHPSIQPIQPEMQEYPAVLPHSAQGYPIASPPPRWESQ SNLPPKPTFAPPIPWLSVPEQPFPARIPRRRRKGRAMQSSVELPTKDTHMAIKGDENE ETQPSCSSTQESSEPQTPTTTTFQQSDADSTQPTTPSSVARSQAQSKSSKPAPVIPVV PNVPSTPRRQAKDSSVASGTPKSTGPATPAPAIESYKAPSTETKTSSPIPAAPKSWAD LVRSKESAKAASAAAAAAAALAEPHGLPVQKNKSLADVLSTLGEDVTQYSDRIAFLEP RGLVNTGNMCYMNSVLQILVSCTPFYQFLDHLGRRAAHTFHSDLPLIDGMIMFMKEFR VIDSATSEDQLRLRLKPNELEQYGESFVPDFVYEMVRQLPRFRDMRRGHQQDAQEFLG FLLEEMHEECARAESHTAAKESSDSSVEGWLEVGHKQKSAVTQLSGSLAAESPVTRIF GGKLRSEFKVPGNKTSVTLEPYQPLQLDIGSHDVHNILDALRGMTKPESIQGDFNSSR GPNITATKQIFIETLPPVLILHLKRFQYDSVTGATQKIWKKVGYPLDLELPREVFPAH RRNAMASQGGPPKYRLMGVIYHHGKNASGGHYTVDVRRQEGLEWIRMDDTAIRRVRSE EVAEAGGEEDPKVLAAALEQRKNGKIPNGNIFDHIDQDDMDLTDSEKGWSQVNGNGAN GHASKKSVNGVTPPPAAPSGVRTPLGRYGSGDNKVAYLLFYQRMD PEX2_032040 MHSSDDDNTVPEKRHRWKKCPICWDSVYISETRPVRWFRGQEGD LPVEGGDVVLRLVKRESGSTLALPRDGSETLGPGEDVPWYHVAEVADYARIMKGGEGY MTAQHDAEIEDLHRQEVEDELLFGDENTWTRKAIGSIKDAKEKLKGIGNPPEVRPVKE RTIAPTPATSDEADHLSKTLDGVHLDAESGAKGKEKGHSKQPPVSSGPDQPYHFYQAL PQFYLSSLDIRILKAAFGEYSMFPATILPRVEHISTGHIVDDELRKRVKYLGHLPQGC EVSFLECDWRDVVVPEVLGRFRAEIDRRRKRNREKEAREEKDRIRAEKEEDDKRWAAA RRKRPSIGSGSVSEAPFSVHDFQPLPHNVDPTLFDAATASSSASPPRSSSGFGALASP STSPPGIRTVWGTTAVGSLSPSLEAQRAIHSDGWRQGWEDELFAQQESDLLAQTAVRD QDSNPGPSSSAGKKKKKNKTKITLMATSSQRGA PEX2_032050 MDRPGFIETPGGRRATRNSSVFEGSENGASNSPSLERSKSASRR RTSTKVKTEEDDTPVKVTTNGKTTTPKPKPASRIVDGWEEGLDPKVDYSGHFEFGGSL GVLSMMIGFPMLMYYMWIGATYYNGKFPRPAENESYGDFFAHLANLVYTGAFPSIKAW TIYWVFFIFEGACYVLLPGVSVTGRPLPHMGGKQLPYYCSGVWSFYTSIALALIAHVT GIFKLYTIIDEFGPLLTVAIMSGYIVSFVAYFSALARGAEHRMTGYPIYDFFMGAELN PRMFKILDFKMFFEVRLPWYILLGLTMGTAARQWEMYGYVSGEVMFLVMAHYLYANAC SKGEECIVSTWDMYYEKWGFMLIFWNLAGVPLSYCHCTIYLANHDPAEYRWNRYFLAF LFVAYLFVYWVWDTTNSQKNRFRQQERGTLVARNTFPQLPWQTVENPKTLTAADGSKI LVDGWYGKARKIHYTCDLYFALNWGLITGFSSPFPWFYPVFFACMISHRALRDIQRCR VKYGETWLEYERQVPYLFIPYVF PEX2_032060 MLIQESYHDVPTTADGQGTMRIYVFHPTIPGYPNARFPGVVVFS EIYQVTGPVARFARQIAGQGYICVAPSSYHEFTGPEALKYDAEDTDKGNAWKIGKKLA AYDEDASLSVDYLLSLPTCNGQVGATGMCLGGHLAYRCALDSRVKASVCYFATDIHSK TLALGKNDDSLERAGDIKGEMLMIFGKNDNHVPPEGRDLIRKTLHEKGVLFGFYEVAW AQHAFIRDELSKGRYDPAITKVCFEMLLELFGRTLKLDLGDHDGQKLVIEDKKGLIR PEX2_032070 MGSTQFGNFHLFTNETATAAFGACNLTGIPLSGDRYLRNLGSIL LAFIAIVLTVGMIWRSDKKHAAVGRREMQLFLLGFIVIEICEIFTVGGFPLSDAVRKG FSAVHIAAITATSWVLLMNALVGFQLLDDGTAASIGLIGASAGALFIGTGYIALDTGF DWTGRFQSSYEPPNRNIALYVLYQLFPLVCLVLFYLLEAVLVLRVLGEFRPMLYLTGA GLLFAIGQVFNYVISTHLCQASDGKINGALFETLFTLLSVTGVWAFWSSITEDDWPLP VGGGGYN PEX2_032080 MIKTNRDDPYCSWLPLLFIPTNASPLFIISFVALTYIIHRPCIY CSALLLILFVSSCHWSDRCIFDLRSNWFAPRYTSEPGGYAISGNATYEATVSGDGLTE FVFDTMNSTAKALAGAALEGVQQRLSLNGIESRPNEWTGVGLEWMRSLLGRREWTLPC VDVKVRL PEX2_032090 MSTPTDLQALLASIRPRPSPSNTPGQDNPQAQRQSYYQPGLFPP HPPQQQPYDGQSYPHPQSHGYQHPSVSSTVQSPAPMNAPPHQGSDILSPNVPSPRGEW VQQPQPQHTNPGSVDLLNLLRFSQRSGASPQPQAPAAAPEHLQAPSAQASHGRNISAS DLVATLFGQQAPAAPAATPAGPPPAFQSGQPEGPNENTQDMLLRLLNRSQPGPAVAES PALVSTQQAPYIQAAVDEHQNQTAKNIFMEVVDESPKALANPETVVSPNSKDSMFTYV NPFDQLAAISPVNKSPQTTSGVQSPAVEVMQKQKINVSAKLEPSQTPELAAPRERTQS PVLSESQREAVHEVVGRLVDEIGRSLSGDGPQAATESKAAFSTVQEESSEAALSSIAS HLRETAVEAKEAASLAQVDEPVKEKAPSAVPVAPKATENTEALADSWESAEDSAEKEE ERVVSVHNFPMRPFISIAVKATSGKLTTFRDDDVMDIARLKKEFDQLDRSLTAATSEY IVYALAKTGGIRIIRQDDGSDRQVFRSTRDRVFNVALCTAPSAAGDSEVQAILGIGVS GSVYWALISRSGKDFFDMDALESESLIFPPFPASDENTSGGQLKTRAKRSTRHTNLFA IGRGKNIYVVSPKAAMSPAYGVSGTQRTVNTEKFFKERALKISTGKAGKDFAFSDDDS VIASLDKTGRLRFWDINDMLNDASLVEGPAPAEIRVPLTTFVTGSPTEKSWPTSVLFL DKLRAYSRSMALRYVLVGLKQNHTLQLWDIGLGKAVQELKFPHENESDAICSVAYHPA SGVIVVGHPTRNSIYFAHLSAPRYNLPQMSQAAYIRDLNDKDNTLPKPESTACLSGIR EISLGSKGQLRSLELLPITKSATDKRGTEENPLFELYVMHSRGVTCLNIKKEDLGWTA DNKVIRPIDAMEHGLIEISDLQTFPTYATDDPSVNGDTASIQSRVAPKESAKKPEIGE LASGVAPSRNASPTKLAKKKEEPSEPAAAPVVVEKSEKKKKKKAAAAEAAKVKEPSVT VGVEPLPVPSGATKELETLASSTAAMGATQAAEHAVPSFGFSNELFNKHIQTLQGSVS SEFNKSLGHEFENLHRRFDDERRSWDAASSAKQDQVLRLVSSTLSDNVEKNLTRIVSA SIQTDVIPVIADATSAAVNKQLNGAISQQLGGAFNEELRQVLPQAVINAMQQAPVVKA VSDSVAQKLAPRIEAEVTRVMQSSIAPMIESLARTTKKVESDMERHFQAQISHYESQR QSDTAKIEEMSAVLRGLSKTVSLLAANQSQQIQQSQSPQHEISNREILNVNKRSSTRQ PSENHVRMPQPLSQPVLQPQAIQAPAPVPVASPVARSPEEIEMGDVVQLINTGHYEQG TIKWLQSNQQADLFDNFFVHISPAYLSRLSAIVMLSVAVAVTTTMQTNIGQRLHWLEV VLQNVNPRDTDLREVAPRILDIMSQRLNTLYMSVVERNPHDPILRHISPLARRARELH ASLS PEX2_032100 MPTLALINFNIVCATLGGFISLFGLVSYLCKEQFYLSEALISLL AGVLFSPHAANFIRPEDYALHSEENLEAITLHFTRLVLGVQLVLAGVQLPKRYLQIEW RSLGLLLGPGMAAMWICSSLVIWAMVPNFKFLHALIVGACVTPTDPVLSNSIVKGKFA DKHVPRELQRIIIAESGANDGLGYPFLFFAIYLLQYTGMGSQGYSGGAGKAMALWFYE TWAYTILLSVVYGTLVGWVARKLLHWAEEKRYVDRESFLVFAIALALFIVGTCGLIGT DDLLACFIAGNVFTQDDWFRLETMDDSLQPTIDMLLNLSVFMWFGAVCPWSSFLDNSV IPIYRLIFLGILVLLVRRLPIVFAMHKWIPQIELVSQAAFVGFFGPIGVGAIFYLSVS LEFLRKIQINGEVPEDVKQVMETIRVVVWFLVICSIVVHGLSVPVGKAGYHLPATISS VISISTHEEREPVPISNISHTHSTATPLTTGEDASYRSRKRGFRSATPRPQFFGIGRS VIRPRSPSHQPGVGQADEPERPVHLVINQTGSGEN PEX2_032110 MNKTTPSPSPVPKASPGSKPSPSPTAGTKRKRNTAAKYYAVKAG HKPGIYYGWNDCLAQITGFKGAIFQSFPSQEAANAFMNGTKLPAESQSSENTRFYGIQ RGRVTGVYTDWTTAQEQIRGFPRPRYRKFSTREEAEEFVREGQTQPPVGFGEASGPSG PHGITTEKPKNAEGVEFAPGDGPLPKGAEDGFDPNVLLDPATGKVVYKTAPQMAATKT QSTGIPGMLRIYTDGSSLRNGTPLASAGVGVYFGPGDSSRNVSEPLKGSRQTNQRAEL TAILRAIDIAPRHRDVTIITDSRYSIDCVTVWFINWRRNNWMTRDKKPVENKDLVESI LIKIEERNDLKVKTLFEWVKGHNKDPGNEEADKLAVNGAQRGVSAKAEALHVAQEVPD ELFDEDF PEX2_032120 MVVRALRFGKKISSKESHQKQDPGPCSKLAKALTELETHGGLLP IYVRQQNAAILITHRDNVVKIESFELSSRNESIITTVGRLQRIFLCLTLTMDLTTFNN KGFRDMIAQTIAQMSSQFVKKFYQKYDEDRDTTRPKMVTDFMMAVLRPRCSEVNTLQI QKNTREEVLQLNTRFPWRRSAMWLMVRVVLQLTFCRLSVL PEX2_032130 MGEAKYKEPFVDAEPKFIHTQHADRYQREFNLLTRFGLQSNVIR VASYANLNEKAVLSKALLYPALRKVVQKYPELGMTYFSGPSEKKKSQHRCFLGVLPRI NLDDHVEFLEIPPEEEKAGLTATIERYHGFWFDPSTKPLWRLVIVNGRHAMLVFDHFI TDGRGSTFILDALLQALNSPTEDHLNSSIVEMTTEVKGYPEVDPVKLYGSGPSVLFAI ASYICFWCIQFFYRGTDVFFCDARYQERHLVLSDPKKEDNLVTTKVHTLRLEADTMEK CLRACRKHQTSFTSLLHTLIKVSLAADFYPKAKFSHSETVVDVRPYLLKQERENTMST AVSMISSFDWLSQFRQAGQSSDSMGQIPIDADALWELARKHKAHVVNDLHHKKSWMKA WLSIDLIGNDDEDYVSQLLPGLKVVQKSTFSVSNLGAFDGQSGPGPWTISNMEFSAGA IKAGYGPNLTFNVSGVRDAATVIHVSNEEGSLSSDFVASLLERIEKRLLAVI PEX2_032140 MTWPDVSAKPAEGISYYTPAQSPPAGTARNPQTSGKPIPKLFKP LTVRGVTFQNRLGMAPMCQYSADDGHMTPWHIAHYGSIAQRGPGHIIIEATGVVPEGR ITPGCVGLWKDSQIAPLKQVVEFAHSQGQKIGIQLAHAGRKASTVAPWLGGVVANNSV GGWTDNIKAPSAIPFAEGDPIPIAMTQDDIAEVKTAWVAAVKRAIAAGCDFIEIHNAH GYLLSSFLSSSSNQRTDNYGGSFENRIRLSLEIAQLTRDTVGDNVPVFLRVSATDWLE NSLPAEKGWKLEDTVEFARALAAQGAIDLIDISTGGVHSAQKITSGPAFQVPHAAAIK KAVGDKLLVAAVGMINNGNLADKILNDDDLDVILVGRAFQRDTGLAWQFAKDLDVEIA MAGQIRWGFTSFRNASEYIQPNSMKACIFD PEX2_032150 MPTKFKLNTGAEIPAIGFGTWQDASEQEDAVAEAIKVGYRHIDT ARAYCTEKAVGKGVKKSGIPRKDIFITTKLWNNKHHPDDVAPALQQSLDDLEMDYVDL FLMHWPVAWKRGEELFPMKDEKPIMENIDIIDTYKAMEQLLKTGKVKAIGVSNFDKSE MERLIKNTSVVPAVHQMECHPWLQQHDFTEWNRDKGIHVTHYSPFGNQNALYGEKAGP AKLLEEPVLAEIGEPYNKNSAQVALAWGVSQGHSVLPKSKTPLRIRANLGGDFKLSPE DMKKIGKINKKVRFNDSGGEFGRDFFDGLEGKSAHGFALVTPASRGLGFAIAQQLLTR TELPVIATARKNCDELQERLLSSKGIPKDAEQRLRILQVDVTDESTISAMADTIREKY PNTLLRLGLTIPGILHAEKSPSKIDAANALESFKVNTLGPMLLMKHLSQFVPLKSSPE FPTIESSSAINSQGPLWLPSHAIYAMMAARVGSISDNASGGWYSYRASKAAVFQLAKT FDLHLRAKSAQRAIAVALHPGTVHTEFTKDYWGTREMLEPADAADKLLEVLVGLSTEA KGGRGRCWDWMGKEILP PEX2_032160 MPMNLEGSCQCGGVEFTLQSQTPVPYQLCACSICRKVGGHLGAV NLGGIADSLNIIKGKDLIKRYSAIKDRGTPDEKLCSSQRNFCSNCSTMLWLWDHHWPE LIHPFASAIDTELPVPDEMVCIMDGSKPAWARWPEGKKSVHEEYNEDSLEGWHKKHGL FVE PEX2_032170 MNKGTNDEACRKLAKEYLACRMDKNLMAPDNFENLGIEIRKNHT NLNHGDMLALLALCGSPHVKPFPPSHSYNLQLLHGAPSDQMSSIARPPDPCLVAIILI VRSRTGPRLVFHYPPNPLSESRIKTTTTRGGRRVSRTRTRSKNTDSSSSSESDSSTDE DEDERETQSHAGGSSVLAGRRASNFGLDDHLPAASSPAAGESQRPGSLGSGRGSSARK RAPGTDPDPDDDAGTASDRPDDGPGSSRPPWESLLGVPGSVWEKLLSPSRSWHKRRFE VGINDLALIGWPVFVREDGSWRKQRRKKQKKPRAEWDGGELGHNDSAEEGKKDEQFVT SPDLGASVASIAESLTSPTDSKRGSTSGRPGKSDEPLDLDPEDNDHMTMFNVVFVVDP PLLEYSMRVKEIYENIIKKFAKALKWEQARTDYVWKESQHILQVRRRARETKTSTHNL YSELISQSSLARAIYTVYSSISASKIASVSLSPDVSISLQIPPLTSTSYLTGPMDKAY PGLWLTTADSATPADDPSADEINTPHQVLAKHFALLLLDSEAAIVKDIEASGGTLAPA LAHYIRCSKPTKSFAQISVSSGIPLSHIQMLSSHLVYWRRARAIPPIHQRDTYIVSPN CDLSKLEIATIAYQTAFPTYPSLPKMLSTLSGTPRPYSNFIPSKDHKEIYFTILAWLL RGGWVTQLRTFARIKVSPEVKMAVEMALRKEEVERYLSKGNSNSISVDEDSDSDDEND DANSSSSSSLNSHGSGDETPTPSRLDAHAQLRSSHKLLDQSTALRLSSLILSPHRASP LESRWLDEIFSRFPDNPRIIQEDENASPDITPNDSLALHKKYWPVFLKYFNGFDAMEK ICVRENLKRKLVWQVLMRLGVVTGPLGSMDLDAREQVLVSVRHW PEX2_032180 MSTFSYAQAAKGVSGTPTPSKAPSETEKTDSKPEEQTIVETTTE DVPAPTESEPVQKSEVVAEENKDDDFTTVTNKHAAKTKAINSRTSSPSVRTGSKSRKT KEDDSNTSNGNADATAEKQVPSEGQTEKAEGAAEKPTEKSEDSEKTASPPKELKAAPL PSVNIWQQRREAQDAKVKAVPKSASTSKAASTKGASTADETQQDSKAGSKKKGADGAQ EGAKDRKKTDGGKARDAGSVPPVEDATAWPTPQVAIGEEKKKSHEKTDKSPVIRPHGK EKWTPVPYVPTAVFNTPLPSAGGRGGRRATRGGRDSGRGAHANGAAATDKAASGQAAQ GAKQASGDRGRHEAGSGRAASLPAQARRSTSTDAGAAADARKASQAPERGRGARNGDE NTKQVNGGETAPRPQREGKPFGRNQDARAGDRNQKGGNLAIDSQAATRSNDRRFEAGS KSADPTGFNDFTRERGEFRSERGGRGSNRGRGGAYSNFGAQNAQFNGSNSFAAPKAFG FNDRQRSQQHGLPNGSQQGNRMPLRSPSLPTPANMYGVVYPFPGDINTMYGYPAVNSA PMSAVPYQQQYVEPFSLMNMLSMQLEYYFSVDNMCKDMFLRKQMDSQGFVPLNVLASF KRVKSLTEDFELLRHVARQLRTVECQTGEDGVDRLRPRDKWQQWVLPVDQREPAAQHD GAAPARKTDENTPVHSHSENVINGSARQFVPNGVAHDVKTSLSSTAPEFMPSLLPTAV NEIANVGYPWNSPASTDDSTTSFFSSSSSFPVDYTFVDPSSSARGLDLQSEPPFPSDL EPMVTPTSFDADYLRQPIGGADWLINKSFAEAHQPAPKSKGKGLSSTETSNYVPKTFN MSRKETFDGRGRPTARRYSFGMTQPYESWSFTLTKNFNSHLYNEFRRSALDDLLTRHV HHGFNELMEFYRNCLLHRRPIPDLVLYDLVHLSQDQTSDYHTLVSNTIHETIASGKMK PNNRGKISKYFNTQPGETTGEKLS PEX2_032190 MEGASRQTSRLLRPRGQKLLPQRLTRTSAVSTTPFTQIQRRTLS NSRQSLAPESLLNSFRNGGSYGEGPTSYFSNRQTLPANTVVRFVPQQTAWIVERMGKF DRILEPGLAILVPFLDRIAYVKSLKEAAIEIPSQNAITADNVTLELDGVLYTRVFDAY KASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERANLNTNITKAINEAAQEWGVV CLRYEIRDIHAPEAVVAAMHRQVTAERSKRAEILESEGQRQSAINIAEGRKQSVILAS EALRSEKVNHASGEADAIKLKAEATALGIDAVSRAIEEGGENANNAISLSVAEKYVAA WSNLAREGTAVVVPGNVGDMGGMIANAMAVYGKVSETQARGLAKKTLGVEGASQSPET PQSSQVPTFFGEHAEQNTQSTIASQTADEGFETVAGEHKK PEX2_032200 MAPSEQSWVPSNPPAGIPTTTPDTPPAISTPARRYGKIQDEGAI RQRWIEDPTDPTCQIQPSTLTVADLTSARWYVRTDKHNIPFQVAEDAFGLGYSNAAVY NQTLTRNYAEGDLGLAGCENIYDILVGRGLIIAEGIFRSDGPQFSQIARAHLQEIAEP QSLRHFYVCDIVNTNTRNFVQEVIYSSRNDLTWPPVCRAPLIWEYNTPEYQGLLGTRV GKCAVYLVLEIFPRGTYYIARIVTWDESCSLEIRFDIEPIPASLVSTD PEX2_032210 MSLTRPNHLTYEQHFERECEIFQEALLREARSLHLMEDRRPETI QIMCDLIVQLGILAQLAETMSRKSPMCPTVLISPPGYFLGEFQDFCHAKILPGLVRSL NCLRESITIYRDINYTVAAQLEAAHEDMAFVLDSRE PEX2_032220 MHIIKPVWLTHGGERKDFEVYSCDVSPDGKRLVTAAGDGYVRIW STDAIYGTGTPELEGKPKQLASMSNHSGTIHTVRFSPNGKYLASGADDKIVCVYTLDA NPPTHSSTFGSDEAPPVENWRTIRRLIGHDNDVQDLGWSFDSSILVSVGLDSKVVVWS GHSFEKLKTIAIHQSHVKGITFDPANKYFATASDDRTVRIFRFTSPAPNSSAHDHMNN FVLEQTITAPFANSPLTAYFRRCSWSPDGMHIAAANAVNGPVSSVAIINRGSWDGDIN LIGHEAPVEVCSFSPRLYATEPPGKKQADGQPVPQHHITVIACAGGDKSLSIWITSNA RPIVVAQEMAAKAISDLAWTPDGKCLFATALDGTIVAVRFEDGELGWATEMEENEKSL TKFGTNRKGAGITETTDSLLLEEKSKAGEIKHVEGRMGALMGDSAEPATNGDKAPQPS NGTTPARGSSPAPEATKTQTNGTPSTPAATETEKPDPYKAKLERLKQRPTYTKEGKKR IAPLLVSGAGAGESSLPQARLMASVSNQVKADAPTTIVDLSKPFDGLPKGGLAALLLG NKRKLAQIEGDEDGSVEKRVTLASQNGATPIMANTPDGLLPAQVQTATTGQHPTPEFI RPAVTNPCMSVSQLRLAVPKIRSQILRALDSSGKPTEQPGPGTDSSSTKSRVDVVFEA RNPSPASLTGRAVDREPVRLTLFRGDQPLWQDFLPRTVLLVTGNQSMWAAGCEDGSIY LWTPAGRRLVSALVLEAQPVILECNGPWILCISSVGMCYVWNVKHLSSPHPPVSLQPV LDAAIHTMGANPTAAPAVTDARINSEGRVIVSLSNGEGYSYSPSMFTWQRISEAWWAV GSQYWNTTDAPVSNLQAKDAQQDNKDAKAAVAAGIIPWLERNTTNETLLRGRAYFLQR LIKVLLSREGYETFESSVSIAHLENRLAAALSLGAKEEFRLYLSMYAKRIGAEGLKLK VEELLKGLIGGLFEDEDDASEGVLKLQANEREGRNWREGSDDLCGWPRETLLKEVILA LGKHRDLQRVTVPYAKLLDMVDTTSEHGDAMDL PEX2_032230 MPPKKQIVQEKVLLGRPGNNLKSGIVGLANVGKSTLFQSITKSS LGNPANFPYATIDPEEARVIVPDERFDWLCEHYKPKSQVPANLTVYDIAGLTRGASTG AGLGNSFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVDPCRDLTIINEELRIKDIEFVE KALDLLGKQTRRGGQSLEMKKLKEEEATTAKILQFLKDGNDIRKGDWSPKEVEVINPL FLLTAKPVVYLVNLSERDYIRQKNKYLPKVFEWIKTNSPGDPILPVSAQFEERLTLMH DDAAAEAECKTLGTKSGLPKIITTMRQSMNLGSFFTTGEDEVRQWTIRKGIKAPAAAG VIHTDFEKTFIQAIVYNYASLREYGDENAIKAAGKIMTKGKDYVVEDGDIMLIKAGAA KH PEX2_032240 MFKKDIPPSNRSKVKSSVQRGLRQKLLETYPGLEPFIEDVMPKK ASLEAVKLPDRVTLYTIDSTPLFFQPIDGPPVPHLRLIHAYPSAVPTIQIDRGAIRFV LSGATLMAPGLTSPGGRLPDAEHALEAGQIVGVKAEGKEEICMIGMLKVGTEEIKSKG KGVVIDEGHYLGDGLWRMHLD PEX2_032250 MGFPCHAYRTGDIMRVDESGLYNFVGRCDRQVKVRGHRVELEGL ENIFLSTNLASAAAVVKVDPKDADMGPILVAYIVPQCIHIDREILAREFVNRAPRLAA PRVELLAELPLGIIGKYNRRKLEQLYTEKMRDRLHSSDDFFHMGGTSLQVAYLIGRIR FSLGIELRSTALYENSTLGQLTQLVQKHKNGAALPDAVDEQSVLARDSFLGQDLPASL NPAVDWLDASEGRVFLTGATGFVSAFLLATLLSMPQVIQVACLVRVQDTSAADLCIKK TLEKYRLPGSQERKIISVPGDLSLPRLGLPQEQYNHYATRASVVFHLGALVSYIQPYS CHRAANVLGTLEMIRLANHSRPKRLIYTSSLAAYGPTGFVQGTKTVSEDEQPLSHIAA LQYDTGYSQSQFVAENIVWNAIHNGSPAIIVRLGYVLGHSRTGRGNPKDFISCLMSSC LRLGYYPVVPGQCKAFTSVDFVVDAMLRIAAFEENVGHAYNLIQPAPIDLQETFDLVS GQCSRSMQAISFSCWLEMFINDAMSRLHPFLPLFQEKIWGTHTRWEVQGNAPRFEIKN TLWALRNNPELLAWMPALDLLQKYIPEWSAASNNI PEX2_032260 MMTRRSSSRDWYCKHKYDREGGLDGYHYVHLDGRYFSQNPDGSK ETINPILKEAFYTPPGSNHQIECSDEVDWEELNEIPDLCIARASVTHEAVAKFIQEMK SRADRTIQIDRTKNRKICARMLKGKETADMETDDEAEETETETEEVSDEEGATKKQKE NQQRNDCEEDHTPQETVAHRKTLTPEDQMLRAVTPISALTSAHESTDEHTNLGEADGT SSQKRKAIEMDDGEDETMRTPAAKRPNTHRRREPELTAAQRQEQKQIANRRKRERAKT RKALAR PEX2_032270 MRFSTILSLLPLALSVAAINVTEPAKGADVDVSGSFTVKWTSVN TDASTVDIVLVNNAVYPTVSEKIASGIDTSKGSYTVSGLKDVSSGSGFQINLLSTDAK NTGILAQSEQFDVTEAKSSSATTTGTSSTVSSTESSSSTASTSVVSSGTSTATTETDA STTGTSTPDVSIKPSIPGPLTSGSRTTGLPGKNSTDISLRTATGSSTTSTGTSTGTQS STGTATGTRLTTSASSTGTATGSAAASATASTGAAMGLVAPGAAAGLLAGVLALL PEX2_032280 MTDRFARTDGSTTAPCNTTAGFYCGGTWRGTMDHLDYIQGMGFD AVMISPISENIHGQVSYGEAYHGYWPLNFDNINSHFGTHQDLLDLSAAVHSRGMYLML DTVINNMAYITNGSNPATSIDYSVFTPFNNSDYFHPYCKISDWNNMTNAQLCQTGDLV VPLPDLYTEHEEVQNLMIQWANRVIKTYSIDGLRIDAAKHVNPGFLQSFRTGVDIFMT GEVLEGAVSIMEDYQTNYIDSLPNYPIYFQILAAFTQGNTSDLAQAVEDMRISMPDVN AMASFSENHDKPRIGSFSKDMAMAKNILVFTMLYDGIPMIYQGQEQHLSGNSVPVNRE AIWLTKYDTNAELYQLIAKLNRVRNHAAFLSTDYFDDATHTIFQGGSELAFTKGVQGR QVVMVLSTQPSTSGAYQIDMPVSYNAGTMVTNVLSCKNYTVDNQGMLHVDMDKGEPRV FYPAKLMDGIGLCGYPESNVSLAMLKTNSDTTSYSGGIKTTSHAGLATILLAVLDIAC LVIYNNSAMPFLWCGSREKGQKWKQIEEAAANLEQLPSWNAPDNSLILQTFEWHVPAD RQHWRRLQNRLPEYKAIGVDQIWVPPGCKGMDANGNGYDIYDLYDLGEFDQKGAVPTK WGTKRELEDLMCQAQNLGIGVIWDAVLNHKAGADYPEPFQAVKVDPKRRDLDISKPTE VSGWTGFDFAGRNDMYSSMKYHWQHFSGVDWDDKSKQSAIYKIVESNKDWAQDVSPEY GNYDYLMFSDLDLAHPEVRADLLQWGTWITKSLSLNGMRLDAAKHFSTEFQRAFVQYV RKTANPDFFAIGEYWTGHLPSLLDYLKKVEYDLLAYDVPLLERFSKLSHAQAPDLRGI FKDTLVQCRPDLAVTLVANHDTQPGQMLETPVSPSFKLLAYALVLLRKDGQPCVFYGD LYGIRANVDKPMTPSCNGLIPVLTQARKLYAYGEQQDYFNQPNCIGFVRYGNARHPSG LACVISNAGSGAQRMYVGQQHAYEQWTDVLHPNMKPVIINKNGYGNFGVQGMSASVWV DSAAVDRDNIKRDLYESIPILPLPGDVNIYEN PEX2_032290 MDSCTTALFALNEVILSVFSATHSEDEQVSQPSKLTPKDIGYIP NGWVDAINCVEREKWLEAAHKELAAQIKNGTWRAVDRKSNKTNRKPLTLRWVFNIKKD DGRYKARLVARGFNQIKDVDFHEVYAVVAKPMSFKVFCAIAAALNWFLHHLDIKTAFL NADIKEAIYIELPENQVAGGAQQIASETKKITRLAPILGDKIGLLMRTVYGLKQSPRE WYMLLHDALLSIGFQKIHADHSVFVKWLGKGTISIYVLVYVDDILILTPSKEAIAAFI AELSKHFNLTDKGLVTEYLGIEVIRKGSSIGLSQKKFITAMLHQYGMQHSKPVSTPFN EKEPLIPATEQATPAECKLYQERVGKIIWLMVSTRGDISYAAIQLAKHARNPSQQHEQ ALKRLFRYLLGTINHCIWFKSDHQSALHGYCDADHVGPHSTNGISTSGFVFRLAGGPI SWASKKQACVALSSTESEYIAQALAVQEARWLILLLNELRMQDHGLLRKPVVIHADNT GAIALAKNPEYHARTKHIAVRYHFLRQEVSSGSVTLEYIPTQEQAADGFTKPLGATAF RRFIDQLGLRPNT PEX2_032300 MWFLPEEMIPDFRELVKHLVEFGVPLNETSPLGGALNIALVRGS NLFNKASGFNQDQMVFMVADLVARGADLTQRISETTTDPSVLFCETAENQVSEMHCSS SYLYAVARRNIHDEFHSSDLEKCILSRSEVDLQEAISLSTSMEDSADKQYLAELCVGW PAGLRIFLGAGYHLNTIKLLSHAIRYRCCGSIQVLLNDNNTFIDKGHVELAHWTKNFD VSRLIMHSLAEKRKILQNLAERHLPDHIQAQLHLSKDSLLDTNAINVYSKLQASGINL DPCLKVSRSLVSVYDWIDGDIDAAKMLFKAGFRNLELECDQGLTTLMRLARKFRFEFP VPIRKILKTMLFLISKGADPATRRREDGRTAMHFFGRSVSSNLGQNVMLKFGVLESDF PEWDMITPSKKLKAISEIFDHEWFPLSHRSWTLLETVFVDGHTDACSCACSAQGCLPR TCFFGELASWLGLSLGIQALSEVIRFLGERWAGSMKHLHDTLAPSVVRICMFEYLELA HTCCRMTDRLFHQTEAERAERLDEILDEQKFLIEQVDDQTAFFLSKYHQMDLGLPEFL LEYMSVEITKDPKADDEEKMREYEQEARQIRRLGVVLDESCT PEX2_032310 MSNPFFSWSVTLNLLFALCISNICHADLLNQCEPYALTSEYRVG SFEGQPNTSIAITTTVLNPGPALPAWLDYHLRWAQHVLIYMDDPDERTIFQQLCGDRP VTLLDGSRIEPQMTPESRLIRRQMANMRHAIPYLMERNYIWLLHIDVDELLFGPSIES GNWAKDPEVGLVTFTNHEALPVDFETSDPFRDCVYFWVNGIDHNANFLAYGNGKSAVR LGAAVEPRGAHSFSGHIGRTFKCQEEEAVILHYPYPSYNSWLRKFKFYSKFSDHWFGD RRAPKIMDFMLHSRDVVQKARMTGDWANVKEFFSRRILDPESRKYAISEGRVRHYTPF TNPRKL PEX2_032320 MSLAATIVSTASVNMVLVKEKMLIVFSAGMMDAVCPLYHSEVSP ANSRGQLVGFHAFLLVTGYAGASWVGLGCYFEPNPDIQWRLCVGLQAVAPLILLLGSP WIPESPRWLILYGRLKEGREILCKLHKNNNDSADTLAEQEYQTICARVELDRAKTMTW RSLVSNKSIMRRLATGFFVVFAAKSSGVLVINNYQVTLYKGLGITGWKSLLLLSVYTS WAAFMNWVNAMLLDRIGRIKLMCFGLVGAAFALSGEAAMVARFAGTSNAIGNGLGVFF LFLFITLFAGGMDASCYVYSSEIFPTWLRAPGLAVSVTGLFTATLIFTGSASVAFASV GWKYYLVFIFTPITCALIIWFCLPETNGLSLEEIEQIFDREQIDAVAVVQIVKGDEIT VVKDQIMSKSHV PEX2_032330 MSLNIEKLLTELTIDEKISLVTGRDFWHTANIDRLQIPSIRMSD GPNGVRGTKLFNGVPAACFPCGTAIGATWDVKLARKVGELQGKEAIVKGVAVILGPTV NMQRSPLGGRGFESFGEDPILSGTIGAATVNGIQSTGVSAAIKHLVCNDQEDQRQLVD SIVTERALREIYLLPFQIAQRDAGPKCYMTAYNRLNGLHCSENPGLIQQILREEWGFD GLVVSDWFGTYSTSEALKAGLDLEMPGPSLLRGGLIMRALTCGKLLPHELDARVREVL KLVNHAMALGLQENAPERTIDTLETAELLRTVASSAIVLLKNDNNILPFNTQKTTAVI GPNAALAAYCGGGSAALFPYHAVSPLEGIRSQTKSCQYTLGSPGWKRLPLLSDLFRSA NGLVGLDMVVYLEDPSIRNRSPVDHIHVSNSEVFLMDYKNPKIHGYLYYADFSGTLTP EASGQYEFSITVAGTAKLYVDGYCVVDNATKQTRGDSFFGTGTTEEIGSIWLQAGKTY SIHAEMGTDPTITFQRPGASGFGAGGVRFGAAKKISLEEEIEKAVTMAGSVDQVVLCV GLNGDWESEGADRQNMDLPPGTEELIEAVLQANSNTAILMQSGTPVTMPWIDKAQALL QAWYGGNETGHAIADVIFGAVNPSGKLPLTFPARIEDNPAFVNFRSEANRVIYGEDVY VGYRWYEYCDKQVLFPFGHGLSYTTFEISSLSLDCDQDEIYVSVDLKNTGNKDGAHVV QIYVSPPQSRVNRPRKELKGFSKTFLRHNSQQKVLININRKYATSYWDETRHSWIEEE GEYTIYAGDSSVSTPLSVKLHVASTSWWSGL PEX2_032340 MSNFTYINEKGAGEKHSDIGHYSQAVILPGNIVKCSGQGGWTST GALDANDFKRQIDLAFDNVDRVLQAAGLKGWEDVYLLRSYHVDIGASWEYTVEKLRAR IPGHRSVWTAIAVPRLAFPQMLIELEVEAYNPRGSNL PEX2_032350 MSAAALTIETFTEYGIGMIFLLVRLYARLHIGGIRGLRLDDVFA VSAMIFWTMQTVIIHLLGVYGNNIGLNEKTAMLVPDSKISDMIIGSKLAFMNWIWPVE RNWQIKPYTGGKSRQSALPDNPRLTKTDNCTLREPLYIVIAVFNVMQVTPKRPQIPES NPNIMIRSDACIILIPIPILAKLQIPLQRKIILVMMFSSGVFIMICTILRAYYSLSSI TNLGTALGWADRECFVAAIVVSLPGIKPLFRNTRWLGSTNRKNSKSPYYNSDGYNGAG SNSGKTKTFVSSRSRKSGGFQLDSVLNTNKGNPISEAGSEEYILEGNLGVAAGTGNRD PMAIRVTTEYTLEHEHGMSDQMRS PEX2_032360 MGQAVANALSLRNDWEIHILDINSEHGARTANDLPRTTFHHANV TKYSDLAAAFQSMFQQHRKLDFVFANAGVIERTNFYSTPQAENNRDVFPPPEPDLLSI DADLKGVIFTAYLAQHYFRHSPHMGRDSSLVMTASCGGLYPSSYSPLYSAAKFGVVGF MRSISQHFRASGIRVNAICPGIVRTNLVDSTAWDSFPPGRFIDVETIARLVLQLVDGG EPAGRGLTDTPGRHLPLEKLYGVAVEISDSGFYFRDQHAFCDEGMREVMEATVVENQL GAVLNG PEX2_032370 MTRYQLLTKVPLQSGMGIDLAKNLCAKGWKVACVGRRHAAGDAL MKDLPRDRAHFFAADVSNYGEYASVFGKVHRLWGRIDALCANAGIVDTSSIYIYDSKN NSVDNIPSAPDLSPVDINYKGVVYGTQLAIHFMRHNPQPGGRIVVTGSIGAVFPHESY PVYCGSKAAVNHFIRGVAPLLKQKENIFINCVMPGIVSTPIVPPEMIAAVTPECLTPV QTILEGYETFLEDWTGMTGELLECSADKLIYYQMPEPGNGNITKRAVTVWEPLFRIMH GEDSGLQDAIQ PEX2_032380 MAGDTEKDSVQAGSPMETPSSPSTVSNESTEPVVTLKTWIVSSI LSCGYGLSFWPIPVVSAIGTMISTDMGDPTGYIWFVPAWTISITCAFLIFGPNTDLLG RRWFLVLGNLVCFIGHIVVASAKSTNQVIAGLVISGFGGANCQMAAFALPELLPNKWR HIGVVIADFTVYIAVIVAPVTARYGYELGTWAWNFWGVAIFQGLSFFGLLFLYHPPKH PLGISYKEAFKSLDYLGAFLFIGGAVPFLMGIVWAGVYDSNDVHVVAPLVVGAAVLIC FALWETFGKLKYPLTPTYIFASSLGRDFTAPVIALGVVNMFYYSSSILWPQMITVFYT NGGADWKYSVILSLPQGFAIFFGAILLTCFGSTLRHWHWQLTGSVFVMVVFGSLLGIV TPTNQGTMIAFIFLSQAGFGWALYLSIAITQMGVEHKNLGVSGGISGCIRFAAGAVAT SIYQTVYSNTLAKYTAIYVPSAAISAGLPDSKIPDLMAVVSQGAAAMKSYTPAVVVAA EAALSQAYCKAIFVVAMVSMAFGILGLAACLCCKDVDSKMTNKIEVYLENTDLSDRNK YH PEX2_032390 MASNNHNDSQGQIPNTSKENTIILPFTQNPNISNYITGSSGPKR EDTSPQKSWKPTFDRQHSWSNEDLKHQLQERLHWSEKGKEMGFTEAHRELRDLNERAW AGESDVFSTSKSLDSALKKNTAFIKRLRTGISASALSTFLADIRTLSLHKYLSEIISA CYEGLCKLKSPGEIAAGVEVVSALHQRFGPWEFTRQIGWLLGRGLSTPDKAQLKALSQ EIREREEKDRLSRHRVLLRVVTELWLVNVLKTLDDVERPEELGAKGKEGAVGIGNKPS DAPLKAKTPAAGAKDQDKQADPFPLEVLKELLGHDRDHTNLPLAVLFVKSFSWDIVGS KLAEDGRKTVEPDGATTTSAADPQTTETDGDAHSETDLPLTPEKTQQRFRNILNRYLE DVKAHVVRDQRALAQQNRRNAEAYVKSGEIFEDRQANFEKQSKVLEKLVANTQVLCEA LGTDMPDLAEKEPTDASASGGIGLVKTTDYLRGQGEGAGIWEDEEERRFYENLVDLKG KVPAVLLEDGKKKKSEGEESGKKKPDGETADSAEPSDEKSTAEADDQSTAIASKTVGA QVDSLLAKLPELQTKDQVDQFALDFCWVNSKASRNRLVKAVSDIPKGRIDLLPLYSRL VATLGQYLPDIPQGLTTYLDEEFRSLQRRKSKEFLGQVRMSNVRYLAELTKFGVVPEH IIFHCFKVSLDEFSRMNIEIIGHLLENCGRYLLRNPDSSPRMASFLETLSRKKTVQHL AQQERMVIENAIYYVDPPPRPAIQQKERTPMEQYIRRLIYLDMNKRNYTKILKSIRKL HWEEREVVDILERVFSKPVKVKYGSIHLLAILVSALYRYHQDFVIGIVDNVLEQVTLG LEQNDFKFNQKRIAEIKYLGELYNYKMIDSPVIFDTLYRIITFGHEGGTPAPGKISML DLPDDYFRLRLACTLLDTCGHCFDRGSAKKKLDFFLAFFQYYLFTKDPLPMDVDFLVQ DTYHSIRPQWKLATDGEEATRIFSEAVALNYNVQDSERPVEADEEDVESSSSDEDLEE DAIPEIDEDQESSDEAEVGPPSCLHEVRPINPFYPSKASGPNPEANYDSDSESEDEQI VVTRQEEERDPVTEAEFDREFEKMMAESMDSRRFERKTVFDIPLPMKRPRENMPSPEP VPAEPVAPKTMAFSLMTKKGNKQQTRTIDLPSDSTFAIAMRSQQQADREEQQRIKNLV LNYEASTEPEPTESEQYPLVNRKNFELRYPKAATQEKRTPTGQRMDKAGANRSAFRSR KLQLSDVNW PEX2_032400 MESSPLKSRVGSILGVKRPAALLPAFEPSSSPSLPRPQKRVARE PDVSTYPTPVPTSSTHIMSSSPPPGVAASRPSLPRSFSAALERAPLSAVPAIMLPENG EPTLMGRSSVSCHHQLAASRMISRVHVKATYKPAPNPFDRDRVEIVCMGWNGIKIHCQ GRKYDLAKGKTFTSDIKDADIMIDVHEARVLVQWPRSERRDCPSTDSEQTSDEATPTQ RRQSRRELQESPLLDRQRLASPVSPSPAVQHAIPPSSPIFTPSRSRAAVVVFEDEPSP LKRHNTVTGVISQSARRATAMLHTSRASELSDLSRSEEFSDNDEENDPIIHSFGPFGE NLLPRMASFRAGDSPIQTARSPRSLLPAQPLQPTRSPRQPATVHEDRESETPEAKPQY TDERSERVRNHAANQLAFSRLSSTPFSTILNNLPPAHWKSGPEYPTSLSRSDIRNILE STKFIGRVAREGKDAAGKPLESEYYYVPDEDDDAMRRSAVVDDLRKPGLRNCRKQHKV STSPMSLIHLMYLNPH PEX2_032410 MRNSMSFPRLNYTRASRATALISLPYVHLSIFTVSYSKAHYSIL CILSSILFYVHIDGSDIFFYLTVRFTFFIFKYQSVLDFKSIPRSLPFHLCPGD PEX2_032420 MKFQNTVAALATAGLAAAHGHGHAHAHKRATETETVSVPGPVVY DFVVLDPSKSSGPQSITIEEACKGLANHEFEWLDAAVAAACPSSSSSSSSTAAPTSTS TSTSTPAPTTTATVAPAILQVTSAVITPASSTSTAESTSSSTTTAAATKSSSSSSSSS ATGLTADFPDGEIDCGDFPSAYGAIALDYLGLGGYSGIQYVTILDEVVSDIVTAVTGD ECHHGAMCSYACPPGYQKSQWPTTQGSTGQSVGGLQCKTDNKLYLTNSNYKQLCIPGV GGVHVKNKMSDNVAVCRTDYPGTESETVPLSASPGVIHDLTCPDGDDYFLWEGKVTSA QYYVNPKGVSTEKGCQWGDGSESIGNWAPINLGVGYTKSGKFLSIFPNTPTTNKALDF NVKLEGDNLSDECRYEGGKFYDSKGLISGNSGCTVGVTSGKAYYVFYD PEX2_032430 MAPQIQVNDNKSDKKNEDPGSLSSAVHVSSQKGIYSWRLGLPIW VTSRVFEIQGKRAYGGWQWVFRTYNIRPCDDPIFKFAKDGDLDGLRQVFSSGIATPFV RDELGMTLLSVSSLSPYVFAGGC PEX2_032440 MGDYGEQPSMEFDHVELPFELKAGTSLFPLLTDGIILDDEQLAV PPVGGRVGQTRIALELRSDISSAALTGFCTPRGLTLMSVLNVAWSLVLAAYADTEAVN VLFVRYVAGVPYVGLSETVIDGGRTVQQTLAEAEQQVHTSMAVPSATSLSELQKRTAV EGHPAFNSAVLLSDSNAPEWEETGDYIAVQATATPDHLGIFLQFPTHMLPAAQAHHCA TTLSHVLGEIIRQPDLSIAEISMMSPQGLQQVSQWNQTAPAVVSRCLHNLVDETAQAR PDAMAIEGADGRMTYGELQTYSNRLAQRLVQLGVGPEISVPLFFEKSKWAIVTMIAVV KAGGVIVNLDAKQPKTRLRGLLAQLQAPLVLTSVQHAGLWDSEFSVVTVSEDALEQLP TATEAPIVTVTPQNALYIIFTSGSTGTPKGCVVEHESFLTAAAQHVQAGQILPSSRVL QMTPYTFDVSMLEIFTTLTTGACICFCDDEQAALGIAHIVNYLQISWTFMTPSLVRMI DPASVPTLKTLALGGEGLGRVDVTTWADKLHLINGYGPSECSVAATINEPLSLTSDPA NIGVGYGALCWVVHPDDHDRLVPIGAVGELVIQGPIVARGYLHEPVKTAAVFLDELPA FSALLPRSPPAFRLYKTGDLVRQNSDGTINFIGRKDRQVKLNGQRLELGEIEQRLSEN SHVRHSLVLLPKEGPCQGRLVGVLSLHDFPYNGPRDTSVHRLPVEESQLARLLLPEIT AQLAAHLPAYMVPTFWVVLAALPFTTSGKVHVVSMTQWLHEMSDEIYREIAGVSDDAP QTCLSTDVELQMQQIWAEEMGIPAAELKTNRSFIALGGDSLTALKIVARCRKQQLVVS VPDVLRAANIIELAARATQTPADSSQEGKENSENKTNSDPAQRAATVDNTLLEAAGLT SRNQVEDIYGCSPMQDGILLSQVKFPGTYEVRRVLRVESTRDAFTTVDRLQKAWQAVV DRHQMLRTIFVDTAGRFQQIVLKQAPACIQIHELLDEHDEHVVIKFLQALPLPTYQPA QPQHRLTICRAAGDNVFVKVEINHALVDGGSTEVILHELSQAFDDHSFSAPPALFSDY INFIHTPETASKSLKYWTKYLDGVQPTIVPLYPAEGSPKQIRSVPVPFTDASALSRFS ENHGLTLANVLQTAWALVLHAYTGAEDVCFGYIAAGRDVPVVGIEHAVGAFINMLVCR VRLAQQDTVLDTVASMQNEYFDALPHQHTSLAQIQHNLDLSGMPLFNSIVSVQRDVSD QPYGESLSFRPLEEDDPTDFDLAVAIHVGKETVKIDIGYWSSLLSDGDATNLANTFAG AISSILMHVQSSPTAIDLFTEQDRYQIFGWNHKEPVAALGVVHEYFYAQVLQRPDAQA VCAWDGEYTYAELNHLSEKLAYHLAHLGAGPEVLIPHCFAKSKLATVTMLAIMKSGSA GVGLSAAHPRTRIQDIVENCASHIAVVAAQHVPVVEGLIEHIVIIDEAFLAQLPDPQP NAQLPQAQPCHPAFVSFTSGSTGKPKGIVLEHRSLITSILAHGTEWGCDPSARVLQFS AYAFDASVSDTFTTLVGGGTVCIPHEKDRVDDLAGAINRLRVNWAFLTPRVLSLLSPE TVPTLRKVILGGEAISREDISRWTEAIDIRIVYGPTECTIYSMGTDPLTAASDPANLG HAVGTRLWVTHPENTNKLMPVGCTGELIIEGPLVTRGYLNEPAKTEAAYLEDPMWLPK KSTGEPRRFYKTSDLVRYYPDGQLRFIGRKDTQIKIRGQRVELGEIEHAILASMPGAL HITVDSVVLPTQTLVAFLYLDAPSVDKGPLFVPLASDVTEQLRTLEKNLAETLPSYMV PSLFIPISHIPMTISGKVDRIALRRAVQCLSAEQLEMYALAQGAKAAPQSPQEQCLRD LWAKVLRKDPESVGRHDSFFRLGGDSIGAMKLVAAARHAGFVLSVADIFGHPELLDMA ECVGLPKVVEPAVYAPFSLLPIDPIQRERLLADAARQCSVSSDAIEDVYPATPLQEGV FLMSTTHNGAYVAPTAFRLPAGFDVARFQKAWQVLVNTHPILRTRLVTIDATSHQVVL TPSASCLQWEQAPSSSDYLARIQALSVVAGAPLSHYALISEGESTVFIWTSHHALFDG WTVELLLDQLAQLYTQDLSPTITPSYAQFVQFIQNSDQNASRNFWASLVPQEPPATFP RLPSAAYRPAAKVTCYRTISAAVPQGSNLTLAILLRAAWSIVLARYLDAEDVLYGLTL SGRDVPVPGIEHVVGPTITTLPINVHLDGEMPVQTFLQQQQDQNIEMMRHQHAGLQMI RRISPAAAAATEFTNLFVVQPQTDEAKGLTELVKVPTDMTRFDPYALVVECNLADNQV HLEARFDDAVLCTDQTQRLLGHFEHVLGQLTQLEPSRLLRDIDVFSREDEQQIWEWNA IPTKSENKCVHDEIAKQAQLRPDHTAIEAWDGSLTYGELEQKSSQLAHWLSANYSIQP ESLVPLCFEKSRWTIVTMLAVVKCGGGCVMLNPDHPISRLEGVIADTASSVVLASPEL AGLFASVDTTVVGISEALITGLSELTETFLSLPSIQPTNPIFVIFTSGSSGKPKGIIV QHNSVCTVAIQHGEGLGFTGSDQRVLQFASFSFDVSMGEVFITLMKGSTLCIPTEHDR INDLAATINRMRITWTFMAPTVAALLDPHDVPDLQTLVLGGEAVSQSLVDQWVSQVNL IDSYGPAECTIWASHANPSATVSPANIGRGVGCRYWVVDTQDHNRLAPIGCVGELLIE GPNVSRGYLNEPDKTKAAFIENPAWLHGKEEPQYKFYCTGDLVRYNADGTLNIAGRKD SQVKHHGQRIELGEIEFHLRSRSQVEAGMVMLPKTGPCQGRLVAVIALTDLQPVAVEG DRVALIPDALQSQAQPQIEQVQEELGAALPPYMVPTIWLVLDSIPLTASRKVNRMPIS RWVANMSDGTYRHVVNITAPTVHLPTTELEKQMAKVWSHVLNLPVESIGMNRSFLSLG GDSITAMQVVSRCRANDIELSVQDILQAKSLAAVAARAITSTHCAVLREEQYDVPFEL SPIQQLYFEEVARGEGEQNHHYNQSVLMRATRSISHSDLSRAITQLVASHAMLRARFS QQADGQWVQLVRSPTHNSAPVVSHQVANRAAMMEIIMTSERRIDIEHGPIFVVDNFML PDQHGQLISFIAHHLIVDVVSWHIILGQLETLLLSPGSQSPSRMPYQNWVQEQRQYSE RLTTSSDLPIALPAANLEYWGLKRLPTWEGGEELQFTLDATTTALLLTTANTALRTEP IDLLIAALQQSFVESFPDRTMPAIFSESHGREPWEPSIDLSETVGWFTTFYPIYRRVR QHESIKHTVKRTKDARRAFKDNGFAYNTRRHFNADSREKFAHHRIMEICFNYLGQSQH TEREEALLQEEPLLAGDSIKNIGDTMGRLAVFDIGAAVSRGRLTVSFFFNSIIHHQDR IRQWVQRYKTILSSALTELAASDVEYSISDFPLLQINYQDLTTLTTTTLPTIGLPQSA IEDIYPCSPIQQGILISQAREPGTYEVRQLFEIVPRADISPVDVPRLLQAWQRTVDRH SLLRTVFVESLNGAGGYDQLVLRSHAPQVQRLVYQDTDGDVVPFIRRQAAPDYHQPVP AHRLTICEASGVVYCQLEVSHALIDGTSMALLVRDFISAYENTLPTTPGPLYSDYMAY IASRSPEDALAYWTARLADLEPCHFPDLQPTELPAAAFQSQTIHIDADGQLQRFCESQ DLTLSNLFQAAWGLVLRAYTGRSDVCFGYMTSGRDIPMEGIYDAIGPFINLLVCRLHV GDAVSVRDLLQAVRSDYMDSLPHQQTSLASIQHALGKSEAALFNTILSLQREPVDGPT PQVEFQIVDQVDPTEYDVDLNITTGLALGVEIHLSYRTSMLNGTQADSLLQNFTNVLL AITTSSDQLLSNLNMAYPGISTLPELSPEPTPTAVEATVLELIVKHTEQHPMSVAIQS TDGQVKLTYHELDRMTSALASDLQGLAVQPGDTVLLSFARSAWALVGMLAVLKAGATS LFLDPLASSDSRQETFSAVRASVVLCGREHATDFDELSLTPVIVDAGAMAVWDDASPV FAPPNCLSPDTVAVAIQDGADWALLTHRTVSTVASLLGPVAGLGADARVLQFSAATSP LYIVETLYSLINGSTIIVPAEGASFSEAMCSSQSNWAITTPTEAALTYPAQVPSLQTL LVAEGDISPALLAKWCDIRLIHPHELIQPPIWLSLSGSPAGSVTLQLLPASNLGRMWI RCPFNPAALAPPDCVGEVLVDGPVVPRGYLRGRKDRLLDAPWLPGTPLCRTGDLGRWT SEHQLSLVQHLGVTSEISSSLALLEQQVQSTLPITQHAVLSILRRGSIEEVAMFHVEC NQKIQATPALLVMSDVQRQQFMSLISSLKEHAPEAVSPAFVFPLNGLALTADHKVHRA ALDRLVAGLSQPELESYRLVSAKTTAHFTANELLLRDLWAEVLGLPDRSALRPTDTFF RLGGDSIGAMRIIAAARSQGLALTMNGIFQQPTLAGMAQELRLLAPHEDRPLKPFSLL PTALDSALLISEAAQKCQVEPTAIEDIYPCTPLQEGLMVLTSQDPTAYVVQEVFQLPM NVDMSRFQASWAAVVARSTILRTRIIATADGAFQILLQNPIVWGTGSDLTTFLAQDAA ESMTYGRPLARFTVLEGSGLRYFVWTAHHAVYDGLTMSTLAKQVSAEYNEEIALPEVP YNRFIDYIQSISPASATEFWTAQCATPAATFPLLPTRSYQVSPNQVQTHSVPVTRNPS DITLPTVLRAAWAVVLSHLTDSEHVSFGATLSGRNAALAGINQVLGPTIATVPIHVHV REGQSPAHFLRAVHMQSIDMIPFEHTGLQNIRRMGEQAREAVEFQNLLLIQPGSAPMD ESDFLGLTPITLESQQADPYPLTVECNLIESCVEIKAQFDSCLISVSEMDMILKQYAR TIQRFQAVQVTTTEDTVDDSMDPVGPISNEDLDQILSWNADRPPFIDSSVHEQFEEQV RLQPDAPAVSSFDVKLTYRELNVLVDRLANELLARGVEPEMNIPLCFNKCSWTIVAMF AVMKVGGVGCMLNPEHPSSRIQLLLNDLDASLVLCDPGSSTMLSTLLPPKGVLPVDGA YLQMLPPSAPPRLRVRPNNAVFVVYTSGSTGKPKGSILEHRSLVTGLRAHCAAMGIGP GTRTFQFAAYTFDVCFEEIIGSLMLGACVCVPSETERMNALADAMARYQVTWTELTPT VANLLLPSSIPSLKTLALSGESLTKEVIQRWAGAVQIINTYGPSECCVSSTCNVNTAA LRDPSNIGRGLGCTTWIVDPGNVDRLVSIGATGELLIEGPIVARGYLNEPAKTAAAFI SAPTWWPESYQCGRIYRTGDLVKYNSDGTIKFIGRKDTQVKLHGQRIELGEIEHRIRG AFTNDSQQVAVEVLSPTTRSGLKILTAFICESDAVSEDVENLLLPLEDGRPERFLALQ ARLLVDLPRHMVPQLFIPVSHMPLSPSRKLERKILRMVGNGLTPEQLGAYALTQVAKT APTTTMEKALAGIWAHVLGVSATGVEDHFFHLGGDSIAAMKAVAAATKAGLPLSVADI MDFPTLGAMAAAMDCASDMQALENTAPAPFSLVSPDYVSDVMAQAMAQCAVLDDAIED IYPCTPSQEALMALTARDETAYVSRAVYRLPLNLDVDKYQQAWELLARRQTIMRTRII YSSIATQSFQVVMHEGEAWQSGDSVEAYLAADKMTPMHHGQALMRFALLPGTTQDPRP SLVHTAHHAVYDGWSEAAMFDEAEAIYRHGLAALPPVVPYNKFIHYLSSVDATARDSF WRTQLDGDLPAPFPPPPLAATASSLIPRPNRSQSYAISLPLRSSTSSFPFSAPTILKA AWALLLERYTLSEDVIFGHVLSGRTVPLRGVTDMMGPTIATVPVRVRLIKEDNIETFL RRIHDQAQAMTPFEQVGLQHIRRLLPASESIDVGHLFFVQPPLDAPAADLGLEAITDA DLNFDTYPLIVECQLGTNADLNVEVKYDDARIAQAQMSWLLKHFEHLLQQLCQLPCKT PLSDLTLTGPSDLAQLRRWMGPPVEPVASTLHDKICAQTRAYPNRSAVEAWDGHLTYG ELDHLSSQFAQVLVDLSLPLGDPVGLVFDKSCWAVVALLAVLKAGGICVHLDHQHPPA RLTEISIDTGLQYILTAPPHAHIGAMLAVDHVLVVDSTMASKLPSSPTIVQPLPVVDA TSPAYLTFTSGSTGKPKSVVIDHCAIHTSIVAFSSALNLTPNSRVLQYAAYTFDISYA EIFAPLVLGATVCIISEQDRLNDIAGAVSRLGANWACLTPTVANLVQPSMVPSLKTLV LSGECPTEDNLRTWVSHVPTLINAYGPSEASVWCAAGPFKRPDDRCTNIGLPVGCRLW IAEPDNLHRLAPLGCVGELLIEGPILSRGYLKNEQASNAAFRRDLAWMTEPQLWSECS LVYRTGDLARYFPDGSIEYLGRADTQVKVYGRRIEPREIEHHMRTLLPGYSTMVDSVT LASRNGQKVLVAYIYQESGPVPDMELGAIARALTPELQQTLVNLQAALRAMLPPYMLP ALFIPLQLLPTNASGKTDRKLLGRAVNTLSDAQLQAYSLQDRVIKRPLETPMERRLAG LWAEALAVDASTIGADDTFFGLGGDSIVAMKLASLARNSQLSLTVTDLFTHPILADLA AYLSTQMPPTPGTETPPLVPKLTTATAPVLDLALAEVLAPQIGVVPSAIAAIQHTTDF QDVALVGHLSESRWMLNWFYFDGPGAPDIERLRQACYFLVQHFDILRTVFAPHAGHFW QVVLHKLQPCFDVETTADLAAFTQGLYDKGIANDFQLSEPYVRFVLAVHPNGQSHRLL MRLSHAQYDGVSLPTLWESLQRAYQGQSQLSTPSFARFLQDTTPADLTAARSHWRKLL AGSPETRFVVHNKPSLRDDATERVLHVTRHHVPVVSLPQYGITTATVLKSAWAVLLAR LTDSTDVVFGHTTANRSAATLASIDTVVGPCLNVVPVRARLDAHQTVLELLLAQQQQQ IANLPHEFLGFRQIIRDCTDWQRWSHFSSVVQHQNIEPDRALQLDQDNATVLYEPGFM GADLDLTDVSVLSTPTGDGYVDLDLLTSSAVMTPFAAELLIEQLGSLLRLWAALPVEK TPVYEKGESPALLPLHSREERPVDELLEKSPLPTTNSNVRAAVQQAWRTILPIDSRID DGKVDFFEAGGDLVHMALLLCELQAHGVGGAVRLETLVQHSSLDSMVRVLQ PEX2_032450 MHTNRGIITQLLRLIDSTQHIQQYLGQFHPSQGESLAVIALGPD SLSSPRTAVREQLEQLADSLAFLRRVGLFPVVVHGELDLDLPSISRVEGPNDQPNRLC RIRTTNYQLSALLEQQDVETRPISSGIFTAVSESDGTMSYHQGHVTDIATQGIKSAIH NGYIPVVAALGTSSAESRTYALDAYDAVAQLARVLQPLRSIFLVRDASPSSMSALIAT SPAMHDLARELRPHASIMLGEATALRSTIFPDPALWTVLRNPRIVQSATSLQDFPSRK ALRTALQRHVISNGAIDIDALLTQLETRDFIAYFDHDPISSAPEQTVNNLALVFTQAS FPWQFAPSLPSGDAEAYAPRSMATSVEQDSIIGSISELALFSIFHLDWHNGVAERFWD RIREDHVSLWGSLAETDPSLSWWLTRSSGRIKRQLEGKIYMWYGPVT PEX2_032460 MTEIDRTLWQKPPKTEAMHEFRGSLMQAEIESIWPYPHKKWHHD NLASSAGWTAITDDPTSESELYIALPGAPQDDIPFHSETFSIDECPMPTQSNPALLSS LPPTPPSSQVNPPTAVSQPQSHHLSPMAKKPDRSPPCCSAHERMLHQELSRGASINIQ NASGQTPLHIAAQQGSLGTVRLLLASNMIDVNVQDRCGATALHLASENGHVAVVSLLV AHNARLDVRATSV PEX2_032470 MTFPTAPAPDVEWTKLGLAVTDMVNGHIESTYSVEEGNWTAPKF IEDPYLRVHGLSTALNYGQQVYEGLKAYRTQSGKIQIFRPAFHAARMQHSADVVSIPP VPIDHFIAAVNAAVVYNAEWVPPYESGAALYIRPVLFGSSGHLALTPPAEYTLCVYVQ PFSSYHGTAPLPAVTLEEFDRAAPKGTGHAKIGGNYAPVMKWSKHAMKAGFPMTLHLD SKTGEDIDEFSTSGFMGVKIMQQEGGAEQVVLVVPKSDNIINSVTSDSLMAIGKGLDY QVEHRTVKYAELSTFSEVMAVGTAACLVPIRSITHQSRGDYFVYTTESAAGPVCQKLY AELTSLQRGDREDSLEWCHEVKQVLDGVVQK PEX2_032480 MIAVESLREAPLVVLVKTVPLALVAYGLAIIVYRIWFHPLAKFP GPVLAKVTNLYGGYYAWRGDLHLDMMRCHEKYGDIVRYAPNRVLFNSNTGLKEIYAFT KQVQKSAAYGAMVHRAPNTLTLIDKKQHGRKRRTIAQGFGDNALRGFEDTIMGLVRKF CDSLVQDTSAGEWSSPQNMAKWSNYLTFDIMSSVIFGESFDLIGSPENRHIVQSIEDS NVRTGVLLQASELSTRRLDRKLFPEAIAGRNIFIRFVNQLLKKRMSVKPLKRNDVFSF LLDAVDPETKQGFTPAEIGAESTTMIVAGSDTTSTAIASIFFYLCRYPDIYERVKTEV RAVFPDPNDVSIGAGLTECVFLRACIDETLRMSPPASSSLWREVIQDGVMIDGHVIPR GYDVGTCIYAVHHNAEYYPQPFEYRPDRWLDEPDKVQLARSAFTPFSIGPRSCLGKGL ALTELSLTMAYLLSKYDFRPAPGTEDVGGGSASQGPGRSREGEYQLHDHVTAAKNGPI VQLRLRQ PEX2_032490 MQPQVGQQLAYLLLVELLAITTQDVLLKEHNVKRFVEIGPADVL TSMLKNTVARHLKSQDAARNITRRFLSYAQNSQEIQYLLEAGTVATSEKSKKIETPTR STVTEATTTAQVTVKGLQTAESSEAQMNVTASTNITNAAPIPDAHIDAKEILVAIVAG KLRKSHGELETMKTIKALANGRSTMENEIVGDLDAEFGSLPEKPEDMALDGLANLLQS APSFSGQLRKVSTGLLSKVFAQKLPAGFSSGDVRDYLKSRWGLGPGRQDAIFLRASTA QISSRLADQKEAHSFFDKIVQQYGTDHGLNLLGFTQTAATAVTVTTPVDSAALDSVVK DQTKLKKSLMELYARLLGKDLRQDAHEALKAQLATAELQHQLDGITAEMGDFFLSGIR PLWAASKLRRFHSSWNWVLQDALDLFHRILRGDFSDADYAKYSNAIANRSSPRLVRML NYLAGNESLAWGPRFPEAKAALHRLLDRCEKTRIPRFEPLAASYDALIKGPSTDIDEK GNIKYSEVARGESPVVAPITIKTRGQSSWHINQPMTTLYREEVQTSWSEGVTFVNKNV LMTGVSAGSINAEVLKGLLSGGAKVIITTSSYSSSTTRYYQNLYVEHGARGSELIVAP FNQGSQQDLDGLVEHIFADTGLGWDLDHVIPFAAITESGREIDQIDSRSELAHRIMLT NTLRLLGAIKKQKQARGYRTRPTQVILPLSPNHGAFGNDGLYAESKLALESLFEKWHS ESWSAYLSICGAVIGWTRGTGLMADNNIVAAGIELHGVQTFSTAEMAAYILVLLTRKL ASECNVQPLYADLTGGLNAIPNLRATVDKVRRDILDQSTLRANLAQEKEAEEKLTILG LQAKDQEVARPRLANIPFTFPPLPDEDVEVQPLRERLLGMANLDRVVVVTGFSEIGPF GNSRTRWQMEATGRLSLEGCIEMAWMMGLITHYNGLLDGQQYTGWVDAKTKKAVQDLD IKVRYEEYILAHTGIRLVEAALDAQETTGKRQLLQEILLEEDLPEFEVSPEVAQQLVN EHGEKHVDVLSLGERCVVSLKKGAVLMIPKALNYDHAVAGQVPTGWDPRTYGIPDDIV AQVDRGTLFTLVSTIEALIASGITDPYELYQYIHVSEFGNCIGSGLGGVHSLKKLFKD RYMDKEVQKDILQETFINTTAAWVNMLLISSSGPIRTAVGACATSVESLETGYETIAT GRAKICLVGGYDDMNQAVAEEFANMKATTDAAAEATKGRLPQEMSRPSAESRSGFVES QGSGIEVITSARLALDLGLPIQGIIAWVGTASDKTGRSVPAPGQGILTNAREQPTNRF PSPLLDVRYRKRRLAARFVQIQQSVDLEVETMGDLEDSEAREHHLRFVEQEADRQRKE ALDTFGNDFWKSQTSISPIRGALAVFGLGIDDLDFVTLHGTSTVMNDKNEPAVLEAQM KHLGRTPGNPLFAISQKYLTGHPKGAAGAWMLNGGLQALNSGIIPGNRNLDSVDGHLE KNDYIVYPNRSITTSGLKAFSLTSFGFGQKGAQAIVVHPRYLYAMLEQAEFKQYREKR QTRHRKAFRFFHRGMVANTMFVPKTEAPYTPEQQNSVLLDPTARAIASSGATLQYSF PEX2_032500 MVSQWLRPRTTICGLDVEDQFGPIVSELCASGFDFTWYFESVIL ALPVTVFFLVWALPRIVYLRKENVKITGGYWGIVKLIAYALLVIIQATLLGLWASSFG KTTRATIGTATVTLVAYLVLGILSQYEHARTIKPSTINTGYLFLSSLLSIPESRTLYF VESNRVIPILYTVNLCLRAILLVVESIPKDSVLKRAYQNPPPETASGVLGLSLFTWIN PLLLLGSRTDLTVAQLPALEDPLCATGVGPNGLETLWRNGANWDQPHSLLWASMRYYA GPFLLGILPRALQIGFTFAQPFLVETTTSWVDSEDGPNTMRQGYGLIGAFAVTYIGLA ITTALAQHQAYRVVSMMRASMIDMMFRHVTVMRDSDIESSTPVTLMNADIERISSGFR YVHDVWACIVEIPIALYLLWRQLGIASVAPIVVVVVCMLMCVLISSLAGPRQKVWLEA IEKRVDMTAQVLGSMKGVRMAGLTDKIYNTVQSMRTHEVRMSIRFRRLLILVVAVAYS NYTVTPLASFLIYSLNARGHDNETLTPARAFTSLTLFTLLATPISNLVEAVTGIATAV GSIKRINLFLQSSPRSYEGQVDDFEIVSSANQSTIIVDEKKGVDYPSVSSREGLPHYD ALPGLAVVAHNSSTGWEEAKPNVINQASFEIRRGTLNTIVGPVGCGKSTFVRMLLHET PIATGTLHVEAGAIAYCTQVPWLTNRSMQDNILGESMFDLGWYNTVVEACALEEDIRD QPNQDQGLLGSGAATLSGGQKQRVALARAVYSRADTVILDDPFSGLDRTTEDAIFNAL LAPGGLLRRMGATIIMTSNSVSRLSFADHVIALSKTGTIVEQGSYKQLNERSDSYIHS LSAPEGSRQAAVGDDMEITEVAGPKLHQPLSLAALDLEAPPPQDGRRTGDISIYWYYM KILGIWRSLIFIFLICCYVVGITFPSIWVEWWTAANMRHPNGMLGYWLGVYGWIAVMA VSTLVLACWHLMSNLVARAAKSMHAQLLKTVLDSPLSFFHSTDVGQTTNRFSQDLQLI DMELPLAVLNTILTFFTCVAQMVVICVSTSYIAATVPACLLAFYFIQRFYLRTSRQIR YLDIEAKAPLISHFLDTLNGLVTIRSFKWEAQYRKQNAKLINDSQKPFYLLFSIQRWL ELVIALCVAGFAVTLVGIAVATRGSLSAGYVGVALLNIVVFTENLQGLVMQWTVLETS IGAVSRIRHFHRTTTSEHQEEETIDPPAEWPDRGVIEFHNVIASYQSSSSRALDDISL SIRPGTKVGICGRSGSGKSSLISSLFRLIELTSGNIVIDGLDISAIRRNSLRAQLNCI SQEPFLLPTCSVRLNIDPGSGLDDEVLINALQKVKLWDVIEGLGGLDAIITAQTFSPG QKQLLCFASAMVRPEGKILILDEATSSIDSKTDEIMQSLIRSEFASHTIIAIAHRLET IVDFDEVIVMESGRIKEHGPPGVLLEEKGAFAELYWDTGRSSNRTPMAF PEX2_032510 MLISTELLGPSAVLSASTSSNWSTPDELSTSSSPSNIWGSQILT ITDGRFTHTLSISTASFPAALQLRDDFLHDLQTAGGAEPISSLVELWARFIGFTVRRL EQSPHGLADDLRHLLTIALDTFDRDILLQREIHGVVYALDISADAESAILAAYVRARH VLRRVSRPAAPSALLAAAQQRKARLYAVFGGQGNDEDYFEELRMLWQTYRPLVEDLIP SVSSMLQRETREESISRFYHNGMDIVTWLENPDRTPPSDYLIGASISMPLIGLLQLAW YRVVGRIVGTTPAQLQTALAGATGHSQGIIPATVIAVAQSWTQFDALALDAIRLLLAI GTASQDQFAVGQLPPAVVADAEANGEGFPGPMLSVADCPISQLRTYVASVNGYLPVDA RIEIALINGPTNAVLAGPPLSLHGFNVWLRQVKAPAKGLQHRIPFSQRKPEIHTRFLP ITTAFHSSYLTDVAPGVLERVADFTITGDQLRIPVFCTHTGTDLRKCGAVNLIPKLIA MITTQQVVWPRAVNFPGSTHILAFGPDGASGIGTLTNRLKEGTGVRTILATGISSSRT ELGDRSEFFNWNPAPKGLVYGPIWRNRYRPQLVQIAGGGVLVDTKLSRLLGLPPVMVA GMTPTTAAWDFCAAVMRAGYHVELALGGFHQAAQLETDIYKLLDAVPAGRGITCNMIY AAPHAVKWQIPLLAKLRAAGVPLEGLTIGAGVPSLEVATRYINELGLRHMGFKPGTLP AIYQVLDIASAHPTFPILLQWTGGRGGGHHSFEDFHQPILQAYDSIRRFENVILVAGS GFGGAQDTFPYLTGDWACRYNRPPMPFDGILLGSRLMTAKEAHTSPAVKQAIVNAPGV EDEGDWEQTYTQPAGGIMTVTSEMGEPIHKLATRGVQLWAELDRNVFSLDRSKRVAYL QKHRDYLIQRLNTDSVKVWFGCDVQGSVVDLEEMTYAEVLRRFVALTYVSAEGRWIDD SYRLFLQDFLKRVEARIGDVAPGPGLSEVNGDEALADPTTALNAILKRLPTAEAQLIG TQDAEYFLLLCQRRGTKPVPFVPVLDENFETYFKKDSLWQSEDLAAVADADVGRVCIL HGPVAARYSTKVDEPAGDILGSIYHGHIECLQQQDPEYQQDGIPIVDCFSPYSVPQDW RLAELGIHCSPVGNTGAMLYEVKPLDGAPGAPPTPSLAEWLVALGGSNGGWRQAFFHA ATIVQGRAICENPLRRLFQPTRESYVMVQQGHGGLSADPIITLFEHRPHSEPVKVVEV RVDEPNVITLEIINYRNAGGAAIGLQLKFQFRREAVYAPIHEIMEGRNQRVKDFYYRV WFAGEPPETWPSVHDTFCESQFEVTADSIAEFAHCVQNSSDAASKRRGKRMAAPLDFG VVIGWEALMKPLFSRELDVDLLTLVHLTNGFRIPADADPIQAGYALETKSRIQAITIE ETGKLVEVRADVYHENKVVLELSSQFLYRGHHTDWENMFRKVDEEEMELCPRSPMEVA ILNSKSWFQPLDRSLDLENQTLVFVLRSTYQYASKDTFKTVTTVGEVRVNSSLPGGSR PIATVDYHAVTCRGSPVMDYLKRHGSPVQKRAMFDQPSPLTNDGASLILTMPHSNEAY AHVSWDFNPIHVSASLSRYADLPGLITHGMYTSARVRGLVEHYTCASAIGAFRSFHCS FTSMVLPGDKIEVVFQHIGMLAGRKIVSVEAKHVGRGETVLRGEAEIEPEETAFLFTG QGSQQKGMGMQLYAQSKAAQQVWDYADAYFSDNYGFRITDIVRNDPKELTVYFGGPQG RHIRDQYRSMTRESIGPDGNVQLLQLFPQIDEETEFHTYYSPQGLLSATQFTQPALTL MELAIFADLQSRGLISERSSYAGHSLGEYAALGAVGKIFNVESLVQVVFYRGLLMQYT VPRDEKGNSDYRMCAVDPSRVAPGFGQEALQLLVKTIATQTGQLLEIVNFNVLSLQYV CAGQIHALHCLATVLNYLHAHAPLPESPEQIVSLAQAQIEQVALANPPTTLTRGCATI PLRGIDVPFHSSSLLPGVAGFRRCLLNLIDGHSLDPKCLVGRYIPNLTAQPFELSKEY FELVHRTTGSVALEGVLREWDTYLDPTSADGLQKIEGAIQAMKTSPLSSRALTMISLP ALTALFGAVVGFFLLDPKALPGLWHARVLNILVKHLVWHRNSALVASSSTVSSAPSTI FRPRYTRSYCSIAELDFNLHKSNSTFYADLDVSRIELLVTLFKDAITPLSPRTTLAPE SVYSRRNRRKQLIAALGGVVCLFRREIKPYQRYDLVTRVLAWDDKWVYIVSYFLKPRG RHETEKMQDEIFASAVSRYVFKQGRQTMQPAEVFRNLRLLADEDTTAVNVAATVAPDR LPLPCQDLVDGAAPFGGEQDPWTWAHVEMERQRGLAIASHMGGFDGLQELGASLR PEX2_032520 MGWPTHCDLSSEDAQYAYCANGPAAVFFTVMFGLTWIVHFAQAI MYRKKFCWVIVVGTVWQCIGMIMRTYSTIDQTKSTTTAAGQLLILLTPLWVNAFVYMI FGRMVYYFLPEKKVAGIRAERLAQIFIWLDVSSFIVQATGGIMDSDFSNEMNKIGLHI YTAGIAAQQFFILCFCGLLFAFHRRMQEHGSISRGGGWRPLVMAMWATLTFITIRIIY RLIEFADTDKAGTSPLTLHEAPFYCLELLPMLSAMVIWNIWHPGRYLQGDDCDFPKKV KLSRNEKKMIKQEAKDSKRRGHRRSSSRKGTRISESHPANSFDEGALESQSYPLRGHR PEX2_032530 MIFVIRTPVLGENWANISDPVERRRAQNRIAQRGYRKRLRKGDE NPTSQNSTQTKATARSAAGGLSSPLDSKSSQPGAPQQQPVVGPQSSGQWPSQPYAHPH HHIQRQDPPQLPSDLHSYISQLDQVGLTGSPWAQSLDEDTHQIFNLKTMGSGSDSLST SPKNQAHPFQSSIDTDLLCSTPAPPLLHTPRGTPESTCSSTTRGPDGAHGHTALHRAA LYGHESVLAVLLQAGADPALPDSAGFTPLHLAAQQGHAGVVRLLLSSSPPRDLISWVT RKGETALHIAVQAQQPGVVRVLIEHSARAVNDQDWLGRTALHMACESNQQELVEMLVH AGVQLDIPDFEGQTRVYDACI PEX2_032540 MKLPSLVTASQLTFPLTFQTASHTTSNTASLLSAQYGTTLNVDV TFANQTFKLLVDTGSSDTYVVRNDFICINQTTNLEIPQADCQHVSPGYNESDTYRLIP NKIFGIQYGNGIASGVMAYEDITLAGITVPGQAVGIVDHSNPMGDGINSGVLGLAYPS LTSAHPGDHTDNTTFWFNRECYSPLFNTMYEQGLVSEPYFSIALAHTPRNLSMPTFGG YLTLGDLPPVAYDPKFASVPVEILENIPPGFTSGKRTRSYWAFTVSAVTFGSTTKVDL TTSTTSITTNSMEFQVFTDTGNDYSYLPAVVADPINALFDPPAIYNETLGVAVVNCNA QPPMFGVQIGDQTFYHDGQDLIYQTGDGYCVSSLVASERVAFEGIMLNILGVPFLKNV VSVYDFGRNELRFAQRSD PEX2_032550 MGSQEFLLSQQMNKNGSLYTRGRQHGITTDTAHQHIQLLEQAIK HKFEGSAHLHGKCYHQDRIRPHPSWLRRELVYSCAGEKGNNRLNEVWYNTWVFCINLK IDGDLDERTTRTLLGLHMKVERIQFTERGPNETTKRMRQLRDEIPWSVDGSVKMKDTG NCRYFGRRFEIGRDI PEX2_032560 MNYNWKDSLQFEAGNTEIDPAVLKELPEGCKVTSTENHGVSFWA QTGRINVLLRDGTPQSFFIKVLSKELGMEMTRGEFQSMSAIYNVLPEFVPRPIACGTY STIPDTHFFLCEFQEMTDDMPDPHKFTALLSTLHQKSVSPTGKFGFHVTTYAGNLPQF VGWEESWEVFFSKTMKQALDLEIERKGPSEELDVLASALFQRVIPRLLRPLESDGRTV KPSLVHGDLWYANAGINVDTDQPLVFDACSFFAHNECRFSYETPTIAYAKHIEFEDEF GQWQPACNRFGDEYVAAYNKFSQISPPEEDFQGRLDLYRL PEX2_032570 MSDDSSGATFTTVVEAVSMEAKAKITAMVIHHTSEVIFYRKDDG SVVLYERKTAASLGTLYSHKSPVRLLAWIEQRDALLSIDASNRIFVHTIHNSEDKGWL CNMTVLFKAHLDSDKAITDVLVGGTAAKFLVSTRESDHLFSLDSGTHERERTYPQMPG IRKWISHPESSLHLVCVNNFKACTYCWCDWSEVSSIALSLDGKAELKSATLYFHWL PEX2_032580 MCHVGEKQSAEAAQRPPIETPYQGLVFTEPKGNLIPIYHWENKT NSHFYTSDPISAVTLEATEAYVKIGIAWYIYPKRQDGTKTLPLVRWYDPVEGFYRYTA SEVGLLSPAPECKREAILGYIPIQDRDTLPTPGTIAIDPDIIFQPTGLFTFDPAFTDE QRYQILQAHSIAYERAGVCHSISGQEAGEVRGLYWVQIHHGIDTNPNNNASTTVGSRF IDVSITNLLSLSKNEIAQTLLHEMLGETNSDTR PEX2_032590 MLLDLPNEMLLRIAEHLTVTEHISAFAQANTHFYSLLNPLLYRY DVQHRESSALLWAAEYGRKVTARKSLDQGASLKALSYSLKLAAKNAHEEVIELLLAME GVDPDSKDLFGRTPLSYATEHENTAVFKLLLATEGVNPDSRDHNNQTPLSYAAQGEQE EVVRLLLATEAKRGSEATVKQLLGIEDVKPDSKDLFGRTPLSNATEHEDTAVFKLLLA SEGVDPDSKDRNNRTPLSRAAQFGNLAVVELLIATERVNPDYRDSKGRTPLWYAAEYN RGVVVLLLLSTEGVNPDPKDSYGGTPKWLSSYRQIHYNRLGSSAIKTSQY PEX2_032600 MCLLSFPPEITLLIASHLDSPKDLFRFIQVSQKLFNLLINELYH RNVCSDGGSALLWYASRGDELGVRNMLQAGANVNLRPQNRSQSTALLEAVTTKQTRIV QILLDNGALPDAADARSRRPLALATNGRSDAIITKLLLEHGARANSVAFDKHPPLLEA VRSNQEPKVALLLEHGADTHILEHRTGMNLLHIAASKNVSPGIMKMLMDTSIQTETQD NQGRTPLQVAADCSCTRAVRLLLHLGANPNFKNMSQGWSALFYAAERSRSRRNDNKTI IRTLVMHGAEIDSTDHDQKTPLLHAVAQGAIRQAQALLDCGASIMATDAYGETVLHLA ASSSSWCPDMTGWLVEKGADVNCAGGKQGETPIFSAIRHFYNRHGIECARKLLSLGAD VHFRNTEGMTPLSLAARTGSMELIAVLLERGGSVNSRDNHGRCPLHYAAEAYFGKIHK IVALLIQNGAEVNTRDIFGYTPLHSVVAKEGAWEAAAELLKAGADRYAMSDDGKFPHD MVPDGPWAETKRLMIRFYMP PEX2_032610 MAPIIVQQHNDIKGGVENPSRSANPKLEAALGDEDAPDDQDNWK WDADPNNPYNWPKKWKVQQVLMIASAAFTTSLGVSILSPAHSQFMNEFGVSSTVAILP MSLYVFALALGPVVGGPLSETIGRYPVYIGSVLLGSIFTLGVGLSHTFTAVCVLRFLA GLCFAPPLAIAAGTINETFEPASRAIPSTIFILTPFLGPGLGPVIGSFLVNRKGWRWT QWTTLLFAMCTIITTFIARETFHPVIKCRHSKNLGLPSPSLPLSSKQRDFATVALLRP AQMILTEPIVALTCLYVACEFATLFSFFAAFPLVFQGIYGFGIEASGLVFLSIVAGCL LGAITVLLCDILFYRRKAASYRGRQVPPEFRLYPSLIGSIGLPISLFWFGWTSRADIS WASPVTAITLFAWGNICVFVSTAQYIVDTYHGSTVASAMSANSLARYGLAAAFPLFTV QK PEX2_032620 MSTKIHKVLMLHGHGQSADIFIPKTRYVRSVLRTLSNEMDFEYH YLSGVFSAYPDDSDSKDRRVWGYGEPENEKINGLERSIEHILGALDQDGPFIGIVGFS SGAAMTAIVASILEKRKTNSTSD